交换超立方体网络容错路由研究 为了研究交换超立方体网络容错路由问题,引入了相邻结点集合类的概念,提出了相邻结点集的求解公式。对于满足任意子连通性条件的交换超立方体网络,给出了基于相邻结点集合类的自适应容错路由算法及算法的步长上界。仿真实验结果表明算法是有效的。 一种基于通讯痕迹的社会网络团伙分析模型 研究在已知目标团伙中某节点以及目标团伙特征的前提下,基于通讯痕迹特征寻找社会网络团伙。研究过程中引入了社会圈、节点中心度和事件集合关联矩阵等概念,重点将聚类分析方法与社会团伙发现相结合,以期得到一种基于通讯痕迹的社会网络团伙分析模型。 基于Hadoop平台的XML文档重复数据检测 XML数据越来越广泛地被用于信息交换与集成中,其数据质量问题引起了人们的关注.解决由数据质量引发的问题,实体识别技术非常关键.为了克服现有方法的不足,在海量XML数据上进行高效的重复对象检测,以实体识别技术为基础提出了基于Hadoop平台的XML文档重复检测算法,它将所有标签节点统称为属性,用实体来描述属性,通过属性的比较,快速地找到在某些属性上相同的所有实体对象,并利用Hadoop应用框架处理海量数据的优势实现并行处理.经过试验验证该方法良好的扩展性,伸缩性和高效性. 快速码字搜索算法中一维特征量的最佳选择方法 矢量量化编码过程中的最近邻码字搜索需要进行大量的矢量间距离的计算,这个过程的计算复杂度极高,严重限制了其实际使用.为了加速矢量量化的编码过程,许多文献提出了各种不同组合的基于均值、2-范数、方差和角度的矢量一维特征量的快速最近邻矢量量化码字搜索算法.通过实验给出了这四个一维特征量单独使用以及相互组合的所有情况下各算法的搜索范围和编码时间,并对它们进行了比较和分析,进而提出了在实际进行编码时如何最优地进行一维特征量选取的准则. 海量病例CT图像的快速查找检索模型仿真 在海量病例CT图像的快速查找检索过程中,采用传统算法进行检索,由于计算复杂、计算量大等原因,造成病例CT图像查找检索效率过低的问题。为解决上述问题,提出了一种改进高阶统计量算法的海量病例CT图像的快速查找检索方法。通过Radon变换方法将病例CT图像代入到一维空间中,获取病例CT图像投影数据的双谱信息,将高阶统计量算法与亚像素边缘特征算法相融合,将亚像素级精度位置搜索的问题变为最小化函数,对病例CT图像的亚像素边缘特征进行有效的提取。采用奇异值-迭代最近点法(SVD-ICP)和小波极大值完成病例CT图像轮廓间配准融合,进而实现了海量病例CT图像的快速查找与检索。实验结果表明,提出的改进高阶统计量算法的海量病例CT图像的快速查找检索方法精确度高,实用性强。 基于像素分解的圆形标志点亚像素定位研究 影像中圆形标志点的定位对于数字摄影测量具有重要作用.通过对圆形标志点边缘处的混合像素进行亚像素定位,提取出标志点的亚像素级边缘,再基于最小二乘原理进行椭圆拟合得到圆形标志的中心坐标.运用三种实验表明,与直接采用像素级边缘进行拟合定位相比,该方法的精度明显提高. 基于稀疏低秩描述的图像检索方法 使用颜色、形状、纹理等特征的基于内容的图像检索技术,将图像看作向量空间中的点,通过计算两点之间的某种距离来衡量图像间的相似度,然而在提取图像特征时相同类型的图像会出现不一致的特征,极大地影响了检索算法的准确率。针对该问题,提出一种稀疏低秩描述的多特征图像检索方法。通过对图像集的稀疏低秩描述,保持了相同类别特征的全局结构,同时也降低了对于局部噪声的敏感度,增强了检索算法的鲁棒性。在Corel图像集上的检索实验结果表明,该方法较已有的基于内容的图像检索方法有更好的检索效果。 基于神经网络的铁水KR脱硫预报模型 将神经网络理论应用于铁水脱硫过程,研究工艺参数与其影响因子之间的关系,建立预报模型,为生产过程中工艺参数(搅拌时间、搅拌次数和加入剂量)的设定选择提供准确的预报。研究分析表明,该预报模型可以应用于实际生产,提高铁水的脱硫成功的命中率,降低铁水的脱硫成本。 VANET安全技术综述 随着车载自组织网络技术的不断发展,研究者对车载自组织网络系统安全进行了深入研究.论文阐述了车载自组织网络领域中安全研究的重要性;介绍了该领域中目前最新研究进展和存在的主要问题;讨论并比较了各种安全协议应用于车载自组织网络的优缺点;分析总结了系统中安全协议的设计要素;最后展望了车载自组织网络安全技术的未来研究方向. 基于DSpace构建传统蒙古文学科机构知识库平台 本文主要阐述了基于DSpace构建传统蒙古文学科机构知识库的难点以及解决的技术路线,包括蒙古文数字资料的采集、存储、检索以及显示等。针对蒙古文的构词和语法等方面的特点,对开源搜索引擎Lucene进行改进——采用B树管理Term、简化了特征词权值的计算、采用EC方法确定了蒙古文停用词表,实现了基于Lucene的蒙古文检索。 一种基于DWT和HVS的图像版权保护研究 提出一种基于离散小波变换(DWT)的数字水印进行图像版权保护的新方法。将一幅有意义的二值图像作为水印来隐藏,先将水印图像使用推广Arnold的变换进行置乱后和图像同时进行多尺度分解,然后将分解后的水印系数根据人类视觉系统(HVS)特性自适应地嵌入到具有相同尺寸的低中频系数中,重构得到水印图像。实验结果表明,该算法具有较好的不可见性、鲁棒性和安全性。 用块稀疏贝叶斯学习算法重构识别体域网步态模式 针对低功耗体域网步态远程监测终端非稀疏加速度数据重构和步态模式识别性能优化问题,提出了一种基于块稀疏贝叶斯学习的体域网远程步态模式重构识别新方法,该方法基于体域网远程步态监测系统架构和压缩感知框架,在体域网传感节点利用线性稀疏矩阵压缩原始加速度数据,减少传输数据量,降低其功耗,同时在远程终端基于块稀疏贝叶斯学习算法充分利用加速度数据块结构内在相关性,获取加速度数据内在稀疏性,有效提高非稀疏加速度数据重构性能,为准确识别步态模式提供可靠的数据支撑。采用USC-HAD数据库中行走、跑、跳、上楼、下楼五种步态运动的加速度数据验证新方法的有效性,实验结果表明,基于所提算法的加速度数据重构性能明显优于传统压缩感知重构算法性能,使基于支持向量机多步态分类器识别准确率可达98%,显著提高体域网远程步态模式识别性能。所提新方法不仅有效提高非稀疏加速度数据重构和步态模式识别性能,并且也有助于设计低功耗、低成本的体域网加速度数据采集系统,为体域网远程监测步态模式变化提供一个新方法和新思路。 考虑后视和最优速度记忆的跟驰模型及仿真 为提高交通流的稳定性,在考虑后视效应和速度差信息(Backward Looking and Velocity Difference,BLVD)模型的基础上,综合考虑后视和最优速度记忆效应,提出了一个扩展的跟驰模型。采用线性稳定性分析,推导出该模型的交通流稳定判据,发现在模型中引入后视和最优速度记忆效应的共同作用后,交通流的稳定区域有明显增大。通过数值仿真验证了理论分析,仿真结果表明:在初始扰动相同的条件下,与BLVD模型相比,新提出的扩展模型具有更好的交通流致稳性能。最后,使用NGSIM数据对所提出的跟驰模型进行参数标定和评价,证明其能更准确地刻画车流演变规律。 砂轮位置对成形磨齿齿廓偏差的补偿 为提高成形磨齿加工的精度,提出一种通过调整砂轮位置实现齿廓偏差补偿的方法。应用包络理论,建立已知砂轮轴向廓形和砂轮位置误差计算齿轮端面廓形的数学模型。通过数值研究发现,齿廓倾斜偏差与砂轮径向位置误差和切向位置误差成正比例关系而且满足叠加原理。应用这些规律,依据测量的齿廓偏差可以方便地计算出砂轮位置调整量。试验结果表明,该方法可以将齿廓倾斜偏差由7级精度(ISO1328-1:1997)提高到2级精度。 基于物联网智能的独居老人自动监控方法研究 研究基于物联网框架下的独居老年人智能看护的问题。独居老人在家的行为存在较大突发性和随机性,关键反映特征受到手臂、角度、房屋结构等遮挡,存在监控死角。传统的智能监控方法缺少独立行为识别能力,框架下的设备无法对突发特征进行报警,由于遮挡的存在,对一些疑似行为缺少准确的识别。提出一种物联网框架下的人工智能独居老年人自动看护方法。在物联网的框架下,对老年人活动空间中视觉传感器采集的信号进行增强处理,为了适应物联网设备众多的需要,利用混沌粒子群算法,根据上述监控信号,完成老年人行为的寻优识别,克服死角、遮挡、异常无行为运动的干扰,实现老年人智能看护。实验结果表明,运用该算法进行人工智能独居老年人自动看护,能够极大的降低看护过程中的误识别率,从而保证独居老年人的安全。 动能橡胶圆球弹优化选择及外弹道仿真 为了为某型动能防暴发射器选择最优橡胶弹丸,建立了橡胶圆球弹外弹道模型,从国内外典型橡胶圆球弹的大小、空气阻力对弹道的影响和终点效应三方面分析了对橡胶圆球弹选择的影响,利用MATLAB仿真软件计算了8mm、10mm、15mm3种直径不同质量条件下的空气阻力对弹道的影响、最大射程、终点速度、飞行时间、动能和比动能;重点分析比动能和K值对弹道特性及终点效应的影响,通过分析和比较,得出了直径为10mm,质量为2g的橡胶圆球弹最适合作为某型动能防暴发射器的战斗弹丸;通过分析直径10mm,质量2g的橡胶圆球弹不同发射角度情况下的弹道特性和终点效应,结果表明,该橡胶圆球弹存速能力强,发射距离远,安全性高,为某型动能防暴发射器的弹丸制造提供了理论支撑。 一种自适应调制的鱼群优化部分传输序列算法 针对现有OFDM系统单一调制方式下峰均功率比过高问题,提出采用自适应调制的AFSA-PTS算法。该算法基于子载波信道增益对子载波进行自适应比特分配,根据比特数确定各子载波的调制方式,实现自适应调制,并采用AFSA快速寻优到PTS算法中的最佳序列,从而在降低系统计算复杂度的同时,实现峰均功率比的有效降低。仿真结果表明,采用自适应调制AFSA-PTS算法在降低峰均比的同时可有效降低系统的计算复杂度,证明了其优越性。 基于排队论的升降横移立体车库控制策略研究 关于升降横移自动化立体车库的结构优化设计问题,为车库结构安全可靠,操作方便,给出了三种不同存取车辆策略的定义,以排队论为理论依据,结合最优车位计算原则,对实际情况中遇到的存(取)车高峰期和非高峰期时间段建立了使用存车优先、原地复位、交叉存取不同控制策略车库相应的数学模型,对特定参数的模型进行了Matlab仿真,并给出分析结果,综合分析结果表明采用原地复位存取车策略车库的平均存取车时间较短,车位利用率较高,对车库设计前期和管理者决策具有重要的现实意义。 在网络流量中搜索恶意输入并自动修复验证 "为了真正实施自我修复技术,提高它们在系统中信任级别,在它自动发展后,""修复""的功效必须进行测试和验证。但在实际部署之前,由于攻击的特性,这种验证必须是自动进行,该问题称为自动修复验证(automatic repair validation,ARV)。为了说明ARV所面临的困难,提出了一种系统的设计,该系统跟踪和存储恶意的网络流量,为自我修复软件在验证阶段后重放提供条件。实例验证了该方法的可行性。" 云计算中虚拟资源的智能多代理设计 针对随着网络数据传输速度和复杂性的不断增加,网络管理变得更加困难的现状,提出了一种虚拟资源的智能多代理模型。描述了虚拟资源的智能多代理的处理过程,讨论了不同代理的处理机制。通过分析用户上下文和系统状态,可实时地分析社会媒体资源。根据虚拟资源的使用类型,对用户上下信息的需求进行分析和推断,自动地给用户分配资源。采用云计算中虚拟资源动态调度方法及MovieLens系统评估该模型,结果证明所提出的模型具有较好的性能,可实现虚拟资源的动态调度,动态地实现负载均衡,使云计算中的虚拟资源得到高效的利用。 面向移动云存储的属性基解密服务中间件 属性基加密(ABE)算法支持对云端数据的细粒度访问控制。针对属性基解密计算复杂度高,难以在资源受限的移动终端上实现的问题,提出并实现了一种面向移动云存储的属性基解密服务中间件。在保证密文信息不被中间件获取的前提下,中间件为移动终端代理属性基解密服务,实现了基于树形结构的线性秘密共享(LSSS)矩阵求解,降低了终端的计算与通信开销,提高了解密速度;属性权威可以在不需要用户参与的条件下,即时、细粒度地撤销用户属性;所有接口均使用Restful服务,保证了通用性。实验结果表明,属性基解密服务中间件提高移动设备解密性能近30倍,具备较好的并发性能,属性撤销具有实用性。 基于BP训练数据选取的压气机特性曲线计算 基于BP神经网络方法,研究了压气机特性曲线的拟合及BP神经网络结构设计。对不同训练数据选取方法和比例情况下的BP神经网络进行了计算,分析BP神经网络数据选取与网络泛化能力之间的关系。分析结果表明,均匀顺序选择的BP神经网络在压气机特性曲线仿真上具有更优的泛化能力。 无线传感器网络内部攻击检测方法研究 随着无线传感器网络软硬件技术的发展,内部攻击逐渐成为无线传感器网络面临的主要安全威胁之一。综述了内部攻击检测技术的研究,根据攻击检测的对象将检测方法分为攻击行为检测、攻击节点检测和复件攻击检测,并指出了检测悖论、数目占优和中心模式等作为这些检测方法的安全假设制约了方法的性能。同时,概述了现有的关于移动无线传感器网络的攻击检测方法以及移动节点的加入给无线传感器网络解决内部攻击问题带来的变化,在此基础上,讨论了移动节点给内部攻击检测带来的机遇与挑战,指出了相关研究的未来发展方向。 基于微重启和部分客观马尔可夫决策模型的智能水下机器人软件自修复方法 针对智能水下机器人(AUV)软件故障修复过程中存在的修复代价过高和系统环境只有部分可观察的问题,提出了一种基于微重启技术和部分客观马尔可夫决策(POMDP)模型的AUV软件故障修复方法。该方法结合AUV软件系统分层结构特点,构建了基于微重启的三层重启结构,便于细粒度的自修复微重启策略的实施;并依据部分可观马尔可夫决策过程理论,给出AUV软件自修复POMDP模型,同时采用基于点的值迭代(PBVI)算法求解生成修复策略,以最小化累积修复代价为目标,使系统在部分可观环境下能够以较低的修复代价执行修复动作。仿真实验结果表明,基于微重启技术和POMDP模型的AUV软件故障修复方法能够解决由软件老化及系统调用引起的AUV软件故障,同与两层微重启策略和三层微重启固定策略相比,该方法在累积故障修复时间和运行稳定性上明显更优。 面向云存储的多副本文件完整性验证方案 文件完整性验证是云存储服务的一项重要安全需求.研究者已经提出多项针对云存储文件完整性验证的机制,例如数据持有性验证(prove of data possession,PDP)或者数据可恢复证明(proof of retrievability,POR)机制.但是,现有方案只能够证明远程云存储持有一份正确的数据,不能检验其是否保存多份冗余存储.在云存储场景中,用户需要验证云存储确实持有一定副本数的正确文件,以防止部分文件意外损坏时无法通过正确的副本进行恢复.提出的多副本文件完整性验证方案,能够帮助用户确定服务器正确持有的文件副本数目,并能够定位出错的文件块位置,从而指导用户进行数据恢复.实验证明,充分利用了多服务器分布式计算的优势,在验证效率上优于单副本验证方案. 认知无线电中基于博弈论的频谱分配算法 利用博弈论分析了认知无线电网络中动态频谱分配问题,构建了基于博弈论的认知无线电频谱分配问题模型,提出了基于潜在博弈论的分布式频谱分配算法,并得到了相应博弈过程的纳什均衡。仿真结果表明,该算法能在较短时间内收敛到稳定状态,潜在函数取值达到最大值、系统总干扰水平降到最小、用户的SIR水平得到明显改善,达到了潜在博弈下信道分配的纳什均衡,实现了提高频谱利用率的目的。 基于FPGA的三模卫星信号模拟器硬件平台设计 BD、GPS、GLONASS是当今世界三种卫星导航系统,一种能够完全兼容三种体制卫星信号的高精度信号模拟器有广泛需求。以FPGA和双DSP作为主处理器,辅助以D/A转换电路以及RF上变频电路等,设计出一款多模、多通道、高精度的卫星信号模拟器,为导航接收机以及组合导航的研究与开发,提供了必要的仿真环境;最后,在完整的硬件平台上,采用频谱仪和商业接收机对模拟卫星信号进行了测试与验证;测试结果表明该文设计的多模卫星信号模拟器满足处理速度和精度要求,达到了预期效果。 基于信息熵和时效性的协同过滤推荐 针对协同过滤推荐算法存在的噪声数据问题,提出了用户信息熵模型。用户信息熵模型结合信息论中信息熵的概念,采用信息熵的大小衡量用户信息的含量,利用用户评分数据得到用户的信息熵,过滤信息熵低的用户,从而达到过滤噪声数据的目的。同时,将用户信息熵模型和项目时效性模型相结合,项目时效性模型利用评分数据上下文信息获得项目的时效性,能有效缓解协同过滤的数据稀疏性问题。实验结果表明提出的算法能有效过滤噪声数据,提高推荐精度,与基础算法相比,推荐精度提高了1.1%左右。 含有全部值语义的SQL查询 SQL语句在表达具有全部值语义时需要使用谓词NOT EXISTS构建复杂的多层嵌套结构,学习者学习起来难度大,易出错。元组关系演算可以充分表达全部值语义,通过应用实例探讨了元组关系演算表达式转换为SQL语句的转换规则,对学习SQL语句者非常有帮助。 大规模MIMO系统中基于迫零预编码的能效优化算法 在大规模多输入多输出无线通信系统中,为提高能效的利用率,提出一种用于优化下行链路能效的功率分配算法。基于迫零预编码思想推导出速率与用户总功率的解析式。在满足用户最小数据速率要求和基站天线最大发射功率约束的条件下,建立能效优化模型,该模型同时考虑大尺度衰落和阴影衰落的实际功耗。通过对分式规划问题性质的探讨,将原始的约束非凸分式最优化问题转换成减式形式,进而利用拉格朗日定理给出低复杂度的功率分配方案。仿真结果表明,与基于标准干扰函数的算法相比,该算法的系统能效提高明显。 基于IPv6的无线传感器网络的一种节点自组织成网算法 本文从无线传感器网络接入下一代互联网的互连方式出发,论证了全IP方式在单兵系统——空降兵系统的可行性;然后利用IPv6协议——无状态地址自动配置协议和移动IPv6协议,提出了在空降兵跳伞成功后或者有单兵移动时的一种自组织成网算法;最后用实验仿真验证了传感节点中实现的无状态地址自动配置协议的正确性。 基于FPGA的MAX192控制器仿真设计与研究 为减轻微处理器频繁控制A/D转换器转换时序与读取A/D转换结果的负担,提出串行A/D转换器MAX192的FPGA控制方法。根据MAX192多通道转换时序的特点,设计基于FPGA的多通道转换控制器和A/D转换结果寄存器阵列。由微控制器指定采样周期、采样点数、采样通道顺序和最大转换通道数等参数来控制FPGA,对MAX192进行转换,且每个采样通道配置1个结果寄存器组,其数量由最大采样通道数决定。仿真结果表明,基于FPGA的MAX192控制器对多通道信号连续转换时,每个通道的平均转换时间与单通道单独转换相比减少了37.5%;结果寄存器可及时存储每次转换结果,便于微处理器及时读取A/D转换结果进行后续快速数字信号处理运算,提高了数据采集系统的实时性,具有工程应用价值。 基于VTK的线框仿真组件实现技术 对刀位点数据进行实时、全交互的加工仿真是高档数控系统的基本功能需求。针对该需求,提出一种基于可视化工具箱(VTK)的数控加工线框仿真组件实现技术。通过动态插入刀位点构造并维护一个VTK标准数据集,利用VTK功能全面、性能优异的三维数据可视化引擎进行VTK数据管道的显示和交互。采用该技术的ActiveX组件已成功应用于基于工业以太网的新一代数控系统中。 PUGA:Web日志挖掘模型 为提高预测用户访问行为的能力,提出一种结合Web日志文件利用遗传算法预测用户模式的模型(PUGA),形式化地将模式预测任务作为寻找最优的解决办法。在数据预处理以及设计合适的适应度函数的基础上,提出一种双交叉多变异自适应遗传算法,保留父辈优秀基因,快速找到最优方法。由于网页序列链接强度高,采用最短到达路径的方法进行数据后处理,利用预测引擎预测访问网页。实验结果表明,该模型能提高搜索用户模式的综合系数,有效地预测用户的下一步网页需求。 膝关节骨关节炎软骨磁共振研究 目的:研究膝骨关节炎(OA)的软骨磁共振表现(MRI),评估软骨MR成像对于OA诊疗评估的意义。方法:对56例40~70周岁确诊膝关节OA病例和45例无症状志愿者行X线检查并进行K-L评分后实施软骨MR成像:①快速自旋回波T2加权成像(FSE T2WI);②三维脂肪抑制扰相梯度回波序列(3D-FS-SPGR)并采用最大信号强度法(MIP)进行三维重建;③脂肪抑制质子加权序列(FS-PD)。分别比较:①软骨平均厚度;②软骨缺损分布区域并进行直径测量和Noyes分级;③软骨下骨质水肿表现,并统计分析两组磁共振表现差异。结果:①OA病例组膝关节X线平片K-L积分显著高于病例组(Z=-5.39481,P<0.001);②病例组膝关节软骨整体厚度显著低于对照组(P<0.001);③病例组膝关节软骨缺损所涉及的关节面区域多于对照组(Z=-6.23,P<0.001);软骨缺损的Noyes分级水平(Z=-8.336,P<0.001)和软骨缺损直径(Z=-8.42,P<0.001)也大于对照组。④病例组膝关节出现不同程度的软骨下骨质水肿,而对照组所有膝关节均没有出现软骨下骨质异常信号。结论:40~70岁OA患者的膝关节软骨相对同年龄无症状人群显著变薄,且软骨缺损程度大。结合合适的评价系统,软骨磁共振成像可以有效地应用于OA临床诊断和治疗评估。 用于求解连续优化问题的均匀设计和改造BLX-α的分散搜索算法 分散搜索算法是近年来快速兴起的一种基于种群的进化计算方法,与遗传算法不同的是,它对高质量解和多样性解并存的小数据集使用多种系统子方法和有限次随机过程来获取全局最优解或满意解.基于分散搜索的柔性框架,使用均匀设计来改进以往连续分散搜索算法中的多样性产生方法,将BLX-α算子加以相应改造作为解合并方法,提出了一种基于均匀设计和改造BLX-α算子的新型分散搜索算法(URBSS)来解决非线性连续优化问题.通过8个广为使用的测试函数进行了仿真实验,实验结果表明在与其他连续优化方法的比较中,URBSS能够准确快速地搜索到全局最优解,具有很好的收敛速度和全局优化能力. 法曲率驱动曲面演化图像去噪模型研究 曲面演化图像去噪模型将图像看作嵌入高维空间中的曲面,并利用曲面局部几何特征来区分图像边缘和噪声信息,以此控制图像曲面演化速率,取得了良好的处理效果。通过分析现有基于主曲率的曲面演化图像去噪模型的优缺点,提出利用能够更好地刻画图像曲面几何结构的法曲率来控制曲面的演化过程。该模型将现有主曲率驱动的模型推广到了更一般的法曲率驱动的曲面演化模型。同时,由于法曲率在描述图像边缘、纹理、噪声等方面优于已有方法采用的主曲率,该模型在保持图像边缘、纹理等细节信息方面能够取得更好的性能。 不同扫描条件对单源双能CT水-碘浓度值稳定性的影响 目的:研究单源双能CT的不同扫描条件对水浓度值稳定性的影响。方法:使用单源双能CT(GE Discovery HD750)选取不同的扫描参数进行有序组合对标准水模进行扫描,扫描参数包括:管电流:260、275、360、375、550、600、630、640m A;转速:0.5、0.6、0.7、0.8、0.9、1.0 s/r;扫描野:腹部large和medium;重建层厚/间距:5mm、5mm、1.25mm、1.25mm;螺距:1.375mm和0.984mm。共扫描552组数据,利用GSI general MD analysis软件后处理生成水-碘图像,测量每个序列的中间层面图像中心区水浓度值(ROI=600±30mm2)。采用Matlab 7.11.0软件中的stepwise函数对测量所得数据进行分析以获得5个不同扫描参数对水-碘浓度值影响的大小。结果:转速、电流、扫描野、螺距4个因素对水-碘浓度值的变化有显著影响(P<0.05),重建层厚对水-碘浓度值的影响无统计学意义(P=0.353)。结论:不同扫描条件对单源双能CT的水-碘浓度值有影响,其中转速、电流、扫描野、螺距对水碘浓度值的影响较为显著,重建层厚对水-碘浓度值无明显影响。 一种分簇WSN最小跳数路由算法研究 能量高效是无线传感器网络中的关键问题之一.提出一种基于最小跳数的分簇路由算法,算法优化了HEED算法中簇头的选择策略,此外,算法中节点根据其邻节点的广播信息计算最小跳数,在下一跳节点的选择过程中考虑了候选节点到基站的最小跳数、节点的能量以及节点到基站的距离,并在不同情况下令三个因素所起的作用不同,进而提高路由效率.最后将所提出算法与现有路由算法进行了对比,理论分析与Matlab模拟实验结果表明,所提出的最小跳数路由算法适用于大规模的无线传感器网络,在降低节点能量耗费的同时,延长了网络的生存周期. 基于混合并行布谷鸟搜索的作业调度算法 针对布谷鸟搜索算法在解决作业调度问题时存在收敛速度慢等问题,提出一种基于混合并行布谷鸟搜索的作业调度算法。在传统布谷鸟搜索基础上,结合多核集群的拓扑结构特点,将消息传递编程模型MPI与共享存储编程模型OpenMP结合,采用基于作业优先级的编码方式将布谷鸟搜索算法中的鸟巢位置转换为作业调度序列进行求解。仿真结果表明,与传统的串行布谷鸟搜索算法相比,使用该方法求解作业调度问题的收敛速度有明显加快。 AES算法优化及其在ARM上的应用 提出一种高级加密标准(AES)算法的优化方案,适合在ARM处理器上运行长度均为128位的明文和密钥。将输入的明文和密钥按列优先原则排列成4×4的状态矩阵。对列混合、逆列混合以及密钥扩展进行优化,采用轮打开方式和轮不打开方式在S3C2440平台上实现该算法。结果表明,该算法可以在ARM上高效运行,并占用较少的ROM空间。 基于灰狼优化算法的低碳车间调度问题 为了提高生产效率、降低能源消耗量,通过分析低碳调度问题的研究现状,建立一种柔性作业车间内以能耗成本和完工时间成本加权和最小为目标的低碳调度问题的数学模型。根据所建模型的特点,对灰狼优化算法进行一系列设计和改进。首先采用两段式个体位置编码/解码机制,并利用基于启发式算法的种群初始化方法提高初始解的质量;分别设计了收敛因子非线性调整策略以及带权重系数的个体位置更新方法,并将其作用于算法进化过程;在算法中嵌入了局部搜索算法,用于加强局部搜索能力。大量仿真数据表明,所提算法在求解柔性作业车间低碳调度问题方面具有一定的有效性。 无线鼠标电路设计及算法实现 文中设计的无线鼠标包含发射电路和接收电路两部分,发射电路先采用光传感器ADNS5030检测鼠标的移动信息,然后输出到内置单片机的无线模块CC2430的I/O口,通过CC2430控制并将此信息发射到接收电路,接收电路接收到移动信息后,根据鼠标位移状态机解码算法,得到移动信息及按键信息,通过HT82M98A处理后将信息传给计算机,计算机自动完成相应动作;然后不断的循环;经测试,该电路不仅具备普通有线鼠标的全部功能,还可远距离灵活操纵鼠标,在大礼堂等开阔场所可达80m以上;计算机自动识别无线鼠标接收电路,不需要额外安装程序,每一次鼠标信号处理总时间不大于1ms,无线鼠标移动灵活,无停滞现象。 基于二维三维配准的股骨三维模型重建 介绍了一种利用两张常规X光照片和标准骨模型对股骨三维模型进行快速重建的方法。从两个正交方向拍摄X光片,并记录X光片拍摄的参数信息;利用二维/三维配准算法建立了二维X光片与三维标准模型之间的对应关系。提出了基于FFD算法的单位自由变形方法对标准模型进行重建,最终使得X光片外轮廓与标准模型模拟投影轮廓一致,从而获得个性化的股骨三维模型。针对35个样本设计了全面的实验,最终得到全骨的二维点配对平均时间为20s,平均重建时间为112s;三维模型的外形平均误差为0.52mm,且精度和速度均保持稳定,具有良好的鲁棒性。该快速长骨重建方法减少了重建时间,具有较高的重建精度,同时具有良好的稳定性,在临床应用和相关科学研究中具有很好的应用前景。 相框表面印花缺陷检测的图像配准方法研究 设计了一种基于机器视觉的条形发泡相框表面印花缺陷检测系统。给出了检测系统的硬件系统构建方案,基于灰度投影和归一化互相关相似度量图像配准算法,提出了一种用于相框表面印花缺陷检测的图像配准算法。仿真实验结果表明,该算法能够大大减少计算量,保持配准的精度,并且不受线性光照的影响。 无线传感器网络中的节点自适应周期定位 无线传感器网络节点自定位算法是无线传感器网络系统的重要组成部分,是无线传感器网络中所有应用得以实现的基础。基于最小二乘估计的自适应周期定位算法采用周期定位机制控制网络中节点定位,使用基于接收信号强度指示的测距技术获取节点间距离,启动定位周期,直至定位周期终止,完成定位。未知节点采用极大似然估计得到初解,使用最小二乘估计获得自身位置坐标的最终解。仿真实验表明,基于最小二乘估计的自适应周期定位算法能显著提高网络中未知节点的定位率,有效抑制测距误差的传播,提高了节点定位精度。 工作流技术在HACCP体系管理系统中的应用研究 为了更有效推进危害分析关键控制点(HACCP)体系信息化,提出了构建基于工作流技术的HACCP体系信息化工具的方案。该方案针对HACCP体系信息化实施的需求情况,把工作流技术应用到HACCP体系的原理和方法之中,从工作过程、组织及资源3个方面来对HACCP体系进行建模。以HACCP计划执行为例,把关键控制点看作步骤,关键控制点中的监控、纠偏、验证及记录环节作为步骤中的动作,建立了相应的工作流模型。最后利用该模型进行了一个HACCP体系管理系统的研发。系统的运行结果表明,该信息化工具的方案正确有效。 基于灰色BP网络的城市建设用地预测模型 城市建设用地的准确预测是城市合理规划,持续发展的重要保证,建立一种灰色BP网络预测模型,把灰色预测与BP神经网络组合起来,通过历史数据预测城市建设用地量,该模型既考虑了城市建设用地的时序特性,又考虑了它的非线性特性。通过具体的实例研究,对比了单独灰色预测模型与组合预测模型的预测结果,结果显示组合预测的结果与实际有较高的拟合度。 基于非局部均值的多尺度色调映射 针对高动态范围图像在传统输出设备上的显示问题,给出一个基于非局部均值滤波的多尺度色调映射算法。该算法使用非局部均值滤波对高动态范围图像进行粗化,将图像分解为一个包含大尺度变化的基本层和多个具有小尺度特征的细节层,对基本层和细节层分别进行调整,进行色彩还原。实验结果表明,与双边滤波等算法相比,该算法在较好还原真实场景的同时,不仅避免了光晕现象,也保留更丰富的细节信息。 改进优势度决策法及其排序方法 为进一步分析现有决策方案中存在的问题,提出解决混合测度决策问题的优势度决策法。根据实际决策情况给出一组优势相关的定义,对优势度求取方法进行改进,对其互补性和一致性进行有效性验证。对改进方法的排序向量、优势向量以及比较向量的特征进行研究,与线性加权法和理想点法做对比分析,分析结果表明,该方法计算量小、精确性高、通用性好。实例分析结果表明了改进优势度算法的有效性。 汉字识别方法综述 分析汉字结构特征及其演变,在汉字字库及汉字识别目标变化的基础上,结合汉字识别过程,研究与比较贝叶斯决策、二次判别函数法、隐马尔科夫模型、神经网络分类器以及支持向量机这5种汉字识别方法的优缺点及适用范围。结果表明,汉字识别方法仍需要在识别目标及特征提取、训练字符集准备方面进行改进。 基于参数自适应布谷鸟算法的RM电路面积优化 针对固定极性RM(fixed-polarity Reed-Muller,FPRM)电路面积优化问题,提出一种基于参数自适应布谷鸟算法的FPRM电路面积优化方案。在标准布谷鸟算法基础上,通过增加进化评估机制和参数自适应机制得到参数自适应布谷鸟算法。结合FPRM电路面积优化的特点,利用所提算法实现对FPRM电路的面积优化。最后采用MCNC Benchmark电路对该方案进行测试。测试结果表明,在RM电路面积优化中,参数自适应布谷鸟算法比遗传算法最优率提高21.5%,时间节省35%;比标准布谷鸟算法最优率提升2%,时间节省32%;与已有的改进型布谷鸟算法相比,最优率相同,时间节省35%。该方案具有更高的优化效率和性能。 写污点值到污点地址漏洞模式检测 设备驱动是允许高级程序与硬件设备交互的底层程序.通常设备驱动中的漏洞较之应用程序中的漏洞对计算机系统的安全具有更大的破坏性.写污点值到污点地址是Windows设备驱动程序中频繁出现的一种漏洞模式.首次明确地对该种漏洞模式进行描述,提出一种针对二进制驱动程序中该种漏洞模式的自动检测方法,并实现相应的原型工具T2T-B2C.该方法基于反编译和静态污点分析技术,与其他方法相比,既可以分析C代码,也可以分析本地二进制代码.该工具由T2T和B2C两个组件组成:首先B2C基于反编译技术将二进制文件转换为C语言文件;然后T2T基于静态污点分析技术检测B2C生成的C代码中出现写污点值到污点地址漏洞模式的语句.使用多种反病毒程序中的二进制驱动对T2T-B2C进行了评估,发现了6个未公开漏洞.评估结果表明:该工具是一款可实际应用的漏洞检测工具,可应用于对较大规模的程序进行检测. 基于改进遗传算法的柔性车间调度问题的研究 分析柔性作业车间调度问题的特点,提出一种求解该问题的改进遗传算法。在以最大完工时间为性能指标情况下,设计一种基于改进算法的柔性车间调度方法,改变种群初始化方式提高搜索效率,结合问题特点设计合理的染色体编码方式、交叉算子和变异算子,提高求解效率。通过实验仿真,验证所提出的初始化方法的可行性和有效性。 结构件装配复杂性分析的框架及其在装配质量缺陷率预测中的应用 为了探讨结构件装配复杂性与装配质量之间的定量关系,从主、客观复杂性两方面对装配复杂性进行了重新定义和分析,建立了结构件装配复杂性分析的框架模型,确定了结构件装配复杂性框架分析的三个维度,即结构件复杂性、装配操作复杂性和装配决策复杂性。分别以这三个维度为自变量建立相应的单因素结构件装配质量缺陷率的预测模型。最后综合考虑结构件产品设计复杂度、装配过程复杂度和决策复杂度,得到三变量结构件装配缺陷率预测模型,并据此对伸臂装配线质量的提升和改进提出了改善建议。所建立的结构件装配质量缺陷率的预测模型既可作为结构件装配质量的监控手段,也可作为结构件产品设计和装配工艺调整的依据。 一种基于过程神经元网络辨识的PID控制模型及方法 针对非线性动态系统PID过程控制问题,提出了一种基于过程神经元网络辨识的PID参数自适应整定的控制模型和方法。利用过程神经元网络对于动态系统时变输入/输出信号的学习机制,在某种最优控制律下通过对被控对象进行辨识来追踪被控对象的输出对控制输入变化的灵敏度信息,实现参数自适应匹配的PID控制。给出了基于过程神经元网络辨识的PID控制系统结构以及相应的实现机制,实验结果验证了模型和算法的有效性。 基于多通道交互技术的计算机辅助需求分析系统的研发 近年来,人机交互向着认知系统方向发展,以便提供自然与有效的交互原则。认知系统依靠来自多个传感器的数据的处理和融合算法的结合,通过动态地适应外界环境来改善人机交互体验。本原型作品在现有的面向问题的计算机辅助软件需求工程(Computer-Aided Requirements Engineering,CARE)工具的基础上,以及在人机交互理论和设计原则的指导下,针对需求展示的场合,提出了一种基于手势操作的自然交互方式,并在追踪识别手势的过程中运用多通道技术辅助追踪,从而大大加快了追踪与识别的速度,提高了精确度,提供了更好的用户体验。 简化的快速图像修复方法 针对小尺度缺损图像修复算法中修复速度较低的问题,提出了一种适用于缺损区域与原图灰度等级差异明显情况下的简化的快速图像修复算法。该算法利用缺损区域像素与其邻近已知点像素的相关性,待修复区域像素点用4邻域中已知点的像素值进行填充,多次遍历直到修复完整个缺损区域。理论分析及实验结果表明,与快速行进修复算法相比,该简化算法在修复速度方面有明显提高的同时,保持了修复效果。 ControlImp:生物系统可控性插件 为将生物系统可控性的研究引入到网络可视化领域,通过分析开源的生物网络显示和软件Cytoscape的架构,设计一个基于Cytoscape3.0开发的生物系统可控性和可视化插件ControlImp。集成控制理论中的精确可控和epsilon精确可控算法来判断生物系统的可控性,同时为结果提供可视化显示平台。当生物系统可控时,可将生物系统从非正常状态控制到正常状态。应用该方法可判断药物能否治疗疾病,应用结果表明,该插件是判断生物系统可控性的有效工具,为疾病的治疗提供了有效方法。 二维码在移动增强现实中的应用研究 针对目前移动增强现实应用中仅能对少量目标、而不能满足移动用户对大量目标识别和跟踪要求的问题,提出一种基于二维码的新型移动增强现实系统.利用二维码海量内容编码技术和快速定位技术实现了对大量目标的识别,采用关键帧和预估第4对匹配点的方法实现对场景的快速跟踪,再通过手机端的SQLite数据容器存储3D模型实现了本地渲染,减少了从服务器下载3D模型的时延和对无线网络带宽的占用.实验结果表明,文中系统能对大量目标进行有效地识别和快速跟踪,且不依赖服务器实现虚实场景的融合显示. 密钥提取中降低初始不一致率的预处理方法 在密钥生成过程中,传统量化算法会导致量化结果初始不一致率较高,从而降低最终的密钥生成速率。为解决该问题,提出一种基于奇偶校验的预处理方法。合法双方对量化比特进行合理分组,交互每组的奇偶校验位,且双方同时删除校验位不一致的分组。仿真结果表明,该方法能降低初始序列的不一致率,进而提高后端信息协商的效率,特别是当初始不一致率较高时性能改善明显,如当初始不一致率为0.26时,采用该预处理方法的剩余比例较未采用该预处理方法提高近9倍。 网络可视化研究综述 传统的文字+表格的展现形式已无法满足规模日益庞大的网络数据的分析管理需求,网络可视化技术作为辅助用户理解网络结构并从中挖掘隐含信息的有效工具而得到广泛应用。首先归纳了网络可视化的任务,在回顾部分绘图美学相关工作后,基于网络可视化技术的分类体系,详细阐述了各类技术主要代表方法的基本原理和特征,随后概括了网络可视化扩展问题的解决策略,最后比较分析了各类技术的特点,展望了网络可视化未来的研究方向及其面临的挑战。 环糊精葡葡萄糖基转移酶热稳定性的研究 环糊精葡萄糖基转移酶(CGTase;EC 2.4.1.19)是一种重要的环糊精生产工业用酶,该转移酶能进行环化、偶合、歧化、水解4种反应。环糊精广泛的用于食品、医药、化妆品、农业和化学工业等生产领域,为了提高环糊精的产量,需要CGTase具有更高的热稳定性。合理的设计耐热蛋白已经成为研究热点。在本文中,首次使用分子动力学模拟研究CGTase热稳定性,以补充实验上不易获得的原子能级和时间相关的信息。使用同源建模方法构建环糊精葡糖基转移酶及其突变体的三维结构,研究氨基酸的突变对CGTase酶耐热性的影响,用CHARMM能量计算CGTase及其突变体的能量与酶蛋白热稳定性之间的关系。证明:氨基酸残基经过突变,突变型比野生型含带电残基更多。相应的,在蛋白天然结构中突变型CGTase中,盐桥数量增加了10%。这些电荷之间、非极性残基之间的非共价键作用力的增强,提高了突变体的刚性,降低了突变体蛋白质分子的总能量,最后增加突变体蛋白质的耐热性。 嵌入式语音识别系统特征参数提取研究 在噪声环境下能准确有效地提取语音信息是语音识别的重点难点,将其应用于嵌入式系统中,有一定的研究意义。通过比较分析传统的语音特征参数提取的方法:线性预测倒谱系数,Mel频率倒谱系数,提出了一种新的方法,采用Mel频率倒谱系数与一阶差分Mel频率倒谱系数(MFCC+△MFCC)相结合的方法提取语音特征参数,结合双门限检测法进行端点检测和HMM模型进行模型匹配,并进行了以ARMS3C2410为核心硬件与软件的系统设计。该方法较传统方法提高了系统的鲁棒性、识别的准确率和系统效率,适用于噪声环境下的语音识别。 无线Mesh网络同步架构研究 提出了基于混合GPS同步与信标同步的无线Mesh网络同步方案,能够简化系统设计,防止同步误差逐级累积。在Mesh骨干节点间采用GPS同步,设计并实现了不依赖于CPLD等硬件的GPS时隙同步算法,简化了系统硬件设计。提出了在失去GPS后骨干节点同步修正算法,在失去GPS信号后能在一定的时间内维持系统的同步,提高了系统的可靠性。在Mesh接入节点与骨干节点之间采用改进的信标同步方案,能够在较远的距离达到10us级的同步精度。最后通过实验数据验证同步方案的同步精度。 航空自组网MAC协议综述 媒体接入控制协议MAC(Media access control protocol)是航空自组网的关键技术之一,主要解决多个飞行器如何高效共享通信信道的问题,直接影响着网络的吞吐量、传输时延、网络规模和组网灵活性。由于航空自组网网络拓扑高速动态变化,节点分布尺度较大,高空无线信道质量较差等特殊性,其MAC协议和传统地面移动自组网MAC协议有着较大的区别。对航空自组网发展进行了介绍,概述了航空自组网对MAC协议的要求,并深入分析比较了当前几类主要的航空自组网MAC协议,最后进行了总结和展望。该综述为航空自组网MAC协议的研发和应用提供了一定的理论和技术基础。 量子化学方法研究丝氨酸与酪氨酸水合团簇几何构型 为研究水合氨基酸几何构型,利用从头计算(ab initio),多极距法(multipole moments)等量子化学方法,在分子水平上对几何优化后的水分子,水合丝氨酸及酪氨酸团簇进行系统的比较。此外,针对目前流行的多种点电荷模型AMBER,CHARMM,OPLS,MMFF,TAFF的精确性进行了检测。结果表明:(1)ab initio得到的水分子偶极距和四极距与实验结果相一致,可以作为精确度评判标准与多极距、点电荷等方法进行比较;(2)丝氨酸与酪氨酸均可与周围水分子以氢键形式构成水合团簇,且氢键键长范围(O-O键)在2.7A~2.9A之间,H…O键长在1.9A~2.0A之间,均属于中强氢键。(3)当n=1~5时,酪氨酸与水分子间均形成1:1型氢键;n≤3时,丝氨酸与周围水分子以1:1型氢键构成环形水合团簇;n>3时,以2:1型氢键构成环形水合团簇;(4)多极距法阶数L增加,平均绝对误差(mean absolute error,MAE)先降低后升高,L=5时MAE最小,结果最精确。(5)量子化学方法预测丝氨酸与酪氨酸水合团簇精确度:Multipole moments>TAFF>OPLS-AA>AMBER99>CHARMM27>MMFF94X 基于PageRank的微博排名MapReduce算法研究 随着社交网络的发展,对于其数据的挖掘与分析已经成为一个热门领域。在微博中,用户排名通常是单纯根据粉丝人数进行排列,而这种方法并不公正。针对这一问题,结合网页PageRank算法,提出了新的排名算法,以用户为节点,用户关系为有向边,建立概率转移矩阵,计算微博用户PageRank值。该算法能有效减少垃圾用户对微博排名的影响,来提高排名的公平性与准确性。实验测试在云环境下进行,结果显示了新的排名结果,与现有的微博粉丝排名相比,更加公平,具有一定的实用价值。 基于多尺度核索引字典的飞机目标检测优化仿真 为进一步提高基于图像稀疏表示的飞机目标检测算法的时间性能与精确度,提出了基于多尺度核索引字典的飞机目标检测算法,分别从超完备字典结构、目标检测分类器结构两方面优化算法。首先引入基于高斯径向核函数的硬C聚类方法,构造核索引字典,在提升稀疏求解算法时间性能的同时,提高了索引字典原子聚类的准确度。接着基于核索引字典,构建多尺度分类器,进一步提高了算法的效率和精度。实验表明,在合理选择聚类数后,采用核索引字典有效降低了稀疏求解算法的时间开销,原子的聚类准确度有所提高;相对基于单尺度字典的飞机目标检测算法,基于多尺度核索引字典的算法在时间开销上平均降低至24.7%,在精度方面,误检率平均降低了20.3%,命中率平均提高了3.4%,满足实时应用要求。 基于QoS的网络化控制系统H∞保性能控制 在具有时延和丢包的非理想网络传输情况下,研究了存在外界干扰且具有参数不确定的网络化控制系统H∞保性能控制问题。根据Lyapunov稳定性理论和线性矩阵不等式方法,证明了网络化控制系统存在H∞保性能控制律的充分条件,提出了一种基于网络服务质量的网络化控制系统H∞保性能控制器的设计方法,该方法是一种能够兼顾系统控制性能和网络服务质量的综合性控制方法。最后通过仿真验证了该方法的有效性。 基于区块链技术的动态数据存储安全机制研究 "为解决攻击者对动态数据的篡改、伪造等潜在安全问题,提出了一种基于区块链技术的动态数据安全存储方案。首先,给出了动态数据存储安全问题的数学模型;其次,分析了共识终端最大化自身收益的局部行为与保障动态数据存储系统安全性和有效性整体目标的一致性;再次,设计了适用于动态数据存储安全的共识机制、实例系统所有权状态转移函数和动态数据存储体系结构;最后,分析了系统随机状态模型下动态数据存储区块链的质量特性和生长特性。分析结果表明,在核准加入方式下,该方案能够有效杜绝攻击者对""动态数据账本""的非授权改动,有效地提高了动态数据的可信度。" 异构系统的异步应用级Checkpointing技术 应用级checkpointing技术是同构系统上最为常用和成熟的容错技术,但在异构系统下的应用还处于起步阶段,还没有一套严谨合理的针对异构系统架构和故障模型特点的实现方案和配置方法。针对这一现况,本文基于CUDA异构系统的体系结构和编程模型,对CUDA程序在CPU和GPU上的执行模式进行分析,提出了一种面向异构系统应用级checkpointing技术的异步执行机制,并基于这一机制对异构系统的检查点优化设置问题进行讨论,设计了一套优化方案。最后在CUDA平台下通过三个实例验证了这一技术的可行性和实用性,并进行了性能评估。结果表明,这种面向CPU-GPU的异构系统的应用级checkpointing异步执行机制是行之有效的,相比CPU-GPU同步执行的checkpointing机制在设置上更为灵活,优化空间更大。而本文基于这一机制所提出的检查点优化设置方法也有效地减少了check-pointing的开销,从而获得了更高的容错性能。 关于蜂蜜兽药残留风险优化评估仿真 蜂蜜兽药残留风险评估是根据蜂蜜中各个兽药的残留含量检测值和每种药物的毒理学相关数据等信息,对食用该蜂蜜可能带来的健康风险进行定量评估。由于药物对人体的毒性作用机理复杂,且不同药物间存在相互制约、影响的现象,难以进行全面综合的评估。为了构建蜂蜜兽药残留风险的多因素综合评估模型,将多指标综合评价方法引入到蜂蜜兽药残留风险评估中。首先采用主客观结合的方式计算各个风险评价指标的权重,然后构建基于遗传算法的多指标综合等级评定模型。依据蜂蜜兽药残留全国采样数据建立出蜂蜜安全风险等级划分模型,将我国蜂蜜兽药残留情况划分为四个风险等级。将上述模型与食品安全指数法对比后,表明风险评估模型具有一定可行性。 TRUP-Vivaldi:具有均衡精确度的时延预测算法 由于Overlay网络中反三角现象和不等距映射的影响导致网络时延预测存在困难.传统Vivaldi算法坐标收敛速度慢且预测精度不高不稳定,提出一种具有均衡精确度TRUP-Vivaldi时延预测算法.TRUP-Vivaldi分析时延非对称和网络反三角现象的原因,针对Vivald算法建立反三角检测和抑制机制,定义检测系数修正不等距误差反三角造成的影响;从节点距离计算出发设置多个参考节点,动态调整更新参数,修正非对称带来的长短距预测精度不均,均衡长短距离链路预测准确度.仿真证明,该算法比传统Vivaldi算法的预测精度更高,且预测误差稳定. 基于crtmpserver的手机直播系统 分析现有视频直播方案,提出一种基于开源视频库FFmpeg和流媒体服务器crtmpserver的手机视频直播系统解决方案。在手机端利用FFmpeg进行编码压缩和流传输;在服务器端利用crtmpserver和nginx进行转发、存储和管理;在PC端利用FFmpeg接收视频流并解码播放,通过HTTP方式实现视频回放。实验结果表明,该方案在现有联通和电信的3G网络上具有较高的实时性和稳定性,且丢包率低,视频具有较高的质量。 一种求解0-1背包问题的算法 文中针对各种智能搜索算法可能找不到问题的最优解、出现局部收敛,而动态规划、回溯法、分支限界法时间复杂度又比较高的缺点,分析了0-1背包问题的数学模型,刻划了最优解的结构特征,建立了求最优值的递归关系式。进一步分析递归关系式的函数特征,提出了一种求解0-1背包问题的确定性算法,并用C++程序设计语言编码实现,该算法的时间复杂度为O(min{nW,2n})。对三组不同规模的数据进行实验,算法运行的结果表明,该算法实际效率较高且总能得到该问题的最优解。 军用运输机机舱有源消声实验系统的设计与实现 "为验证有源消声技术在军用运输机机舱低频噪声消除方面的有效性,设计和实现了一套机舱有源消声实验系统;采用""激振器+舱壁板""方式实现了飞机螺旋桨工作对机舱诱导噪声的声源模拟,设计了基于前馈控制结构的自适应有源噪声控制系统,构建了基于FX-LMS算法的自适应消声控制器,采用监测麦克风组对舱内空间的消声效果进行监测;实验结果验证了自适应有源噪声控制技术在军用飞机舱室消声降噪领域的有效性,并表明初、次级声源间距对自适应有源消声系统的消声效果具有重要的影响。" K-means算法最佳聚类数确定方法 K-means聚类算法是以确定的类数k为前提对数据集进行聚类的,通常聚类数事先无法确定。从样本几何结构的角度设计了一种新的聚类有效性指标,在此基础上提出了一种新的确定K-means算法最佳聚类数的方法。理论研究和实验结果验证了以上算法方案的有效性和良好性能。 主观评估模型在计算机衍生设计中的应用 在CAD领域中,用户的主观评价对于交互式设计系统的结果具有重要影响.针对主观评价特征,提出四维审美评估模型和设计探索算法,能够更加准确地获取用户的主观倾向.将用户的主观评价转换为数据模型,通过设计探索算法参与到设计过程中,有效地提高了交互式设计系统的效果,降低了交互设计对主观评价的单方面依赖性.文中通过一个基于形状语法的衍生式设计系统EDS,将该理论应用于壮族刺绣设计中,取得了理想的效果. 极化干涉SAR森林高度估计性能仿真研究 研究森林高度精确估计问题,针对森林高度估计性能无法用系统误差直接解析表示的问题,极化干涉合成孔径雷达(SAR)可以实现对森林高度的估计,将相干性作为中间参量引入仿真模型中。将系统误差用相干性的大小进行解析表征,接着以相干性的大小作为输入仿真极化干涉SAR数据,利用数据估计出森林高度,并分析森林高度的估计性能,可将系统误差与森林高度估计性能建立联系。在MATLAB平台上进行仿真实验,结果表明,方法可以有效地分析系统误差,对森林高度可以准确做出估计。 底部凹陷对弹丸气动特性的影响 对于底部结构复杂的弹丸,在用数值仿真方法研究其气动特性时,往往需要在底部构建大量的网格来描述复杂的弹体结构。结合某型弹丸运用数值仿真的方法针对底部凹陷对弹丸气动特性的影响进行研究,以Navier-Stokes方程为理论基础,运用CFD软件分别对带有底部凹陷和不带有底部凹陷的模型在不同飞行速度和攻角下进行数值仿真。仿真结果发现,底部是否凹陷所引起的气动参数的变化小于5%。在误差允许的前提下,对底部结构复杂的弹丸进行气动仿真时,可以对这部分几何结构进行简化,这可以有效地提高计算效率,对弹丸外形设计有一定的指导意义。 基于Android停车位信息查询系统的设计 如今随着车辆的日益增加,寻找停车位成为一大难题。论文针对现在停车难的现状,设计了基于Android的停车位信息查询系统,应用百度地图开放的API接口与JAVA编程,采用基于WEB服务的C/S结构,数据库采用SQL Server。将停车场信息及车位实时信息显示在移动终端上,实现车位信息的及时查找与车位预定的功能,并且通过预订方式来合理分配停车场的负载。软件测试表明,使用软件准确率达90%,能够及时、高效地完成停车位查找与预定。有效地减少因盲目寻找停车位而附加的交通量,改善城市交通拥堵状况。 基于BWAS_BM的移动代理路由算法研究 在无线传感器网络中采用移动代理技术能有效减少冗余数据传输,降低节点能量消耗,延长网络的生存周期.针对无线传感器网络中移动代理路由问题,提出适合较小规模网络的网络数据收集模型;采用改进的最优最差蚁群算法,引入变异操作防止无效路径的产生,以适应无线传感器网络应用环境.仿真实验结果表明,改进后的蚁群算法提高了算法全局收敛速度并有效避免无效路径的产生. 基于BP神经网络的自适应补偿控制方法 研究工业过程控制系统补偿问题,对于一类模型未知的SISO非线性系统,传统的控制方法不能获得被控系统的精确数学模型,因而在系统稳定性和鲁棒性上存在缺馅,控制效果不佳。为了提高被控非线性系统的稳定性和鲁棒性,提出了一种基于BP神经网络的自适应补偿控制方法。首先,通过逆系统理推导了被控系统输出和伪控制量之间的误差,然后误差进行在线自适应BP神经网络补偿,从而实现对被控系统的BP神经网络自适应补偿控制,且采用Lyapunov理论证明BP神经而网络的收敛性和闭环系统的稳定性。计算机仿真表明所提方法明显提高了非线性系统的鲁棒控制性能。 城市交通出行路径选择建模与仿真 城市交通复杂多变,出行者选择路径困难,为了优化城市交通路径选择,解决现有交通理论模型计算量大、运算时间长、约束条件苛刻等问题,提出了使用灰色理论预测模型预测道路阻抗即车辆的通行时间,并利用蚁群算法全局搜索的能力,在庞大的路网中寻找最优路径。首先利用城市智能交通系统获取道路上的交通流情况,利用灰色模型理论预测出路段的车流行驶速度并得出车辆在路段上的行驶时间,通过蚁群算法的寻优比较得到最优解。仿真结果表明,提出的预测模型和优化算法能有效解决城市交通路径选择问题,而且计算的效率较高。 SIP应用服务器内存数据库的设计 在SIP应用服务器中,由于大量用户及各种不同业务呼叫的存在,在用户检索、数据存储的速度及实时计费等方面对数据库的性能要求高,内存数据库的特性可以满足这种需求,本文介绍在SIP应用服务器中内存数据库的一种设计思路及实现方法,并对该内存数据库提供的接口进行说明;该内存数据库已经在实际的产品中使用,其可靠性及性能已经得到验证。 关于卫星对地面目标跟踪识别仿真 为提高卫星对地面点目标跟踪的可见时间窗口的求解效率,提出了一种基于二次曲线拟合的卫星可见性快速算法。将卫星对地面的可见性求解问题转化为一个非线性方程求根的问题,利用二次曲线插值拟合原可见性函数,进而求解可见窗口。完成了卫星对地面目标可见函数的推导,并计算出一颗近地卫星对地面目标的可见窗口。仿真结果表明,在与传统跟踪传播算法达到相同的可见窗口计算精度时,计算时间缩短了95%以上,大大提高了卫星对地跟踪任务规划的效率。 公路施工压力试验机网络监测系统设计与实现 针对目前公路施工领域力学试验过程中数据可靠性低、不便于监测的缺点,设计了压力试验机网络监测系统;在论述了系统的总体结构的基础上,完成了试验数据采集与网络传输系统的软硬件设计、样品条形码信息管理系统设计,以及试验数据与信息查询系统设计;基于文章方法设计的网络监测系统已经在云南某高速公路工地试验室得到应用,实际运行情况表明,系统工作高效稳定,实现了对工地试验室试验过程的远程监督和管理,以及对样品的过程跟踪,可以有效保证工程项目的施工质量。 自适应区域协方差跟踪算法 协方差跟踪算法由于其优秀的特征描述能力在近年获得众多关注,但其全局遍历搜索策略使其仍不够高效。提出一个通用的、自适应的协方差跟踪算法,该算法利用了自适应积分区域计算策略和简单的遮挡检测处理方法,前者远快于积分图像计算并自适应于跟踪目标和跟踪环境,后者用于动态调整搜索窗口的大小。积分图像计算和全局协方差跟踪可以看作所提算法的一种特例。所提算法自然统一了局部搜索策略和全局搜索策略,并可根据跟踪环境(如遮挡、突然偏移)自然切换。所提算法既获得了在正常情况下局部搜索所带来的高效、偏离的健壮性和稳定的轨迹,又获得了在非正常情况下的由更大搜索窗口所带来的遮挡处理和重新识别定位目标的能力。通过在部分视频序列上的实验,所提算法展现出优秀的目标表达能力、更快的跟踪速度和更好的健壮性。 基于CIM模型的电网层次编码设计与实现 针对电力系统资源编码不统一、规格混乱、应用局限于特定的领域等一系列问题,分析了电网设备模型层次结构,提出了一种统一、规范的电力系统资源编码思想,该编码体系以公共信息模型(CIM)为基础,保证了系统对象模型的标准性与通用性。在.Net环境下编制了编码的解析程序,程序测试结果表明了编码设计的合理性与正确性。在输配电网分析平台的服务器与客户端通信中采用该编码方式,有效的提高了系统数据的传输效率和处理速度。 挖掘数据库中的负频繁模式 传统的关联规则挖掘研究事务中所包含的项与项之间的关联性,而负关联规则挖掘不仅要考虑事务中包含的项,还要考虑事务中不包含的项。给出了完全负关联规则的定义,提出一种基于树的算法Free-PNP,通过此算法挖掘数据库中的负频繁模式,继而得到所要挖掘的完全负关联规则。通过实验验证了算法的有效性。 故障概率与影响因素因果关系的推理方法研究 为了研究元件故障发生概率与影响故障因素之间的因果关系,基于因素空间理论的基本思想,在空间故障树框架内提出了影响因素和目标因素因果逻辑关系的两种推理方法,即状态吸收法和状态复现法。前者尽量使最终推理结果包含所有状态信息,是广度优先方法;后者尽量使出现频率大的状态信息起主导作用,是深度优先方法。解释了上述两种方法出现的必然性。应用上述方法分析了故障概率与使用时间和使用温度之间的因果逻辑关系,并与已有分析结果进行比较,表明所得因果关系基本覆盖了故障概率分布特征,验证了方法的正确性,给出了方法的适应性特点及缺点。 并行概率规划综述 自动规划针对特定领域的特定问题,生成一个由可应用动作构成的规划。经典规划中的动作效果是确定的,且在每个时间步内只能执行一个动作。但在实际问题中,动作的效果往往是不确定性的,且动作的执行具有并发性。因此,并行概率规划(parallel and probabilistic planning,PPP)被提出,并且它的应用前景正在引起规划研究学术圈的关注。有鉴于此,对其进行综述,具体内容包括定义PPP领域、问题和规划解,介绍其描述语言、基准领域及规划器,并对其中两个有代表性的规划器进行实际测试。实验表明在求解效率方面测试结果与比赛结果基本一致,但部分规划器的求解规模与竞赛不完全一致。这可能是比赛中的某些未开源代码或手工干预得到的。 基于结构张量的GrabCut图像分割算法 传统的Grab Cut图像分割方法大多基于图像的像素值建立图模型,未考虑到彩色图像中丰富的纹理信息。为此,提出一种新的Grab Cut模型图像分割算法。对比基于结构张量的Grab Cut分割方法和传统Grab Cut分割方法的结果,利用结构张量和像素值构建紧缩的结构张量。为提高计算的简洁性和高效性,将Grab Cut方法构建的混合高斯模型扩展到张量空间,并用Kullback-Leible散度代替常用的黎曼度量。在合成纹理图像和自然图像上进行的实验结果表明,与Carsten Rother,GACWRF等方法相比,该算法具有更精确的分割效果,不仅实现了纹理信息与颜色信息的无参融合,而且提高了计算效率。 不同原子分类方案的电矩矢量对芳香胺类的基因毒性的综合研究 "利用电矩矢量(Vmed)的分子结构数字技术,通过不同原子类型分类方法探索芳香胺类的基因毒性与组成原子类型之间的构效关系。随机多组的样本划分方案研究揭示,恰当的回归相关系数(R~2)能够在一定程度上避免模型的""过拟合""状态,原子划分类型越详细,就越容易陷入""过拟合""状态中。全分子集的4种原子类型分类方案综合研究揭示,化合物的基因毒性与分子结构的芳香性碳原子多寡、芳香氮原子的替换和稠环化程度等因素相关联。分析结果表明:通过不同的原子类型划分方案的综合研究,Vmed方法能够比较直观反映出分子结构的原子类型变化与性质变化关系,有效的指导化合物设计。" 综合网管系统软件测试模型的研究与实现 综合网络管理系统对稳定性、及时性要求很高。论文在功能测试、性能测试和自动化测试等方面对测试流程及重点技术进行理解,通过对综合网管系统的结构和业务进行分析以及对软件测试理论的深入研究,拟定了一套综合网管系统测试解决方案,包括系统测试模型,功能测试模型和性能测试模型,并针对回归测试提出了一个自动化测试模型。提高测试效率,保证软件质量。 基于数据优先级和车辆密度的安全数据的转发算法 在VANET中,为了保证安全数据的准确性和实时性,和安全相关的数据通常需要较高的优先级并且优先传输,现有的VANET数据转发算法不能满足这一点。为了满足VANET中安全数据的优先传输需求,提出了一种数据优先级模型,并基于数据优先级和车辆密度提出了一种安全数据转发算法。数据优先级模型可以给不同状态的数据分配相应的优先级;基于数据优先级和车辆密度的数据转发算法PDT动态分配计数器阈值和碰撞窗口,动态分配碰撞窗口可以确保数据的传输次序,而动态设定计数器阈值既能够保证数据的可靠传输又能有效地抑制广播风暴。仿真结果表明,与传统的counter-based数据转发算法和slotted-p数据转发算法相比,在数据吞吐量、传输时延和抑制广播风暴方面,PDT算法都有显著的性能提升。 一种快速求强规划解的算法 为提高求解效率,设计一种求强规划解的简化分层算法。以传统分层算法为基础,引入贪心选择策略,对每个非目标状态的动作进行筛选,去除对求解强规划解无益的动作,加快状态向下搜索的速度,并在改进分层的基础上,优化求强规划解策略,由于在求解过程中会存在大量重复搜索,因此建立一个集合保存已访问状态的信息,避免对状态的重复搜索。分析结果表明,在初始状态到达目标状态路径都不重合的情况下,改进算法的时间复杂度为O(nm)(n为初始状态个数,m为层数),在都重合情况下为O(m),优于普通正向搜索算法与反向搜索算法。 基于连通域特征的维吾尔手写文本行分割 针对维吾尔文手写体文本中行分割问题,基于连通域大小将图像中文字分为三类,提出了自适应涂抹细化算法,对主体文本行进行定位;并对第三类连通域中相邻两文本行间粘连的字符进行切割;此外,利用重心范围内的邻域搜索算法,解决了剩余笔画的文本行归附问题。实验结果表明,该方法与常见的水平投影法,分段投影法,及涂抹方法相比具有更好的分割效果。 基于3D GIS无人直升机地面站系统软件设计与实现 为了实现无人直升机地面站系统的空间数据处理、三维可视化和导航的功能,提出了基于3DGIS无人直升机地面站系统软件设计及其实现的方法。首先对无人直升机的整体系统框架进行说明,然后对地面站系统的软件框架设计和各个功能模块做了详细的分析,在此基础上重点分析了利用World Wind Java软件开发包来实现3D GIS导航的过程。整个地面站软件系统使用Java语言编写,嵌入3D GIS。最后以实例证明了该地面站系统软件的可行性,并且具有良好的可移植性和可扩充性。 基于定位精度的无人机侦察航路自主修正算法 根据电子对抗无人机侦察定位的特点,建立了无人机可侦察区域计算模型和定位误差计算模型,描述了定位精度与无人机飞行航向、目标雷达的距离以及定位时间的关系。在此基础上,从提高无人机定位精度,缩短定位时间的角度出发,提出无人机在侦察飞行过程中,依据侦察获得的目标雷达位置信息,结合无人机性能参数,适时自主修正飞行航路,从而在最短的时间内获得最精确的目标位置信息,并且可以避开飞行威胁区。仿真结果表明该修正算法能够有效地缩短定位时间,提高定位精度以及定位成功率。 网络环境下收益管理系统需求无约束估计综述 近40年间,需求无约束估计在收益管理系统实践中得到了不断发展和持续关注。考虑到在网络型组织业务环境和以互联网为新兴分销渠道的信息市场环境中,顾客预订时间和预订方式发生了根本性转变,总结和梳理了现有需求无约束估计方法的研究成果。以网络环境和大数据应用为视角,从需求所满足的具体分布形式、顾客的策略性选择行为,以及方法实际应用的鲁棒性和准确性三方面,对数理统计类、选择模型类和机器学习仿真评价类方法的研究进行了述评和展望。 BFSQ:处理空间成员查询的方法 随着普适计算技术、定位技术、移动通讯技术的进步,移动对象数据管理技术在诸多领域中得到广泛应用。在移动对象数据管理领域中,隐私保护是一个不可忽视的问题。用户不仅期望获取高质量的服务,同时也期望能够尽量保护自身的隐私信息。研究了空间成员查询,检验在空间某区域内是否存在移动对象。所提出的BFSQ(Bloom filter-based spatial query)方法的一大特点是能够较好地保护移动数据/用户查询的隐私,同时查询结果的质量也维持在一个较高的水平。实验结果表明了新方法的高效率和有效性。 基于Boosting的代价敏感软件缺陷预测方法 Boosting重抽样是常用的扩充小样本数据集的方法,首先针对抽样过程中存在的维数灾难现象,提出随机属性子集选择方法以进行降维处理;进而针对软件缺陷预测对于漏报与误报的惩罚因子不同的特点,在属性选择过程中添加代价敏感算法。以多个基本k-NN预测器为弱学习器,以代价最小为属性删除原则,得到当前抽样集的k值与属性子集的预测器集合,采用代价敏感的权重更新机制对抽样过程中的不同数据实例赋予相应权值,由所有预测器集合构成自适应的集成k-NN强学习器并建立软件缺陷预测模型。基于NASA数据集的实验结果表明,在小样本情况下,基于Boosting的代价敏感软件缺陷预测方法预测的漏报率有较大程度降低,误报率有一定程度增加,整体性能优于原来的Boosting集成预测方法。 基于图像配准的光学触控系统触点校正 针对目前光学多点触控系统存在的摄像头取景图像特性对触点校正精准度的限制,研究高性能的触点坐标校正形式,提出了一种通用的触点校准算法,使其扩展了对摄像头及安装环境的适应性。通过引进图像配准方法,利用特征点提取实现坐标系的高度统一;采用动态查找表法,提高图像配准效率。理论研究和实验结果验证了该方法的有效性。 一种基于移动Agent的分布式并行计算模型 针对传统的分布式并行计算方案所存在的缺点,提出了一种基于移动Agent技术的分布式并行计算模型。在简单介绍移动Agent技术后,给出了基于移动Agent的分布式并行计算模型,并详细叙述了该模型的具体工作过程和实现方案。模型设计完成后,用此模型来解决计算量很大的数值计算问题。首先利用数学工具分析设计出该问题的适合于分布式并行计算的方案,然后依照模型实现实验程序。实验测试表明根据该模型实现的分布式并行计算程序具有较高的加速比和并行效率,并有效地提高了分布式并行计算的稳定性、灵活性、可扩展性和移动性。 实体解析技术综述与展望 实体解析是数据清理、数据集成、数据挖掘等技术中关键的一步,是数据质量的保障。介绍了实体解析含义、背景起源以及算法基础。列举并解释了实体解析发展过程中的经典算法,包括成对实体解析、集合实体解析、大数据的实体解析、复杂数据上的实体解析等,以及它们的特点和局限性,分享了在新的应用环境下衍生出来的针对不同需求的新的实体解析算法。最后展望了实体解析领域当前的研究热点以及发展方向。 利用固定字段的自同步加扰系统容错译码 香农指出,信源中的冗余可以在接收端被用来提高系统性能。固定字段可以看作是信源中的冗余。本文研究自同步加扰系统信源固定字段利用问题,提出由去扰数据进行固定字段检测,得出错误位置,并进一步映射为去扰前错误位置,从而在译码之前预先纠错的容错处理方法。由于容错后的数据流恢复了部分错误,误码率得到降低,因此译码结果明显改善。仿真结果显示,当信源载荷率为30%时,通过利用固定字段,容错译码可获得0.5dB左右的信噪比增益。 基于图和双向搜索的频繁项集挖掘算法 基于图的关联规则挖掘算法会产生大量候选项集。针对该问题,提出一种结合双向搜索策略的改进算法。按照支持度对频繁1-项集排序,对频繁k-项集的最长超集进行验证,利用Apriori算法进行剪枝。实验结果表明,在支持度阈值较小时,改进算法能有效减少候选项集的数量,提高挖掘效率。 一种改进的可能模糊聚类算法 通过分析FCM、PCM、IPCM和PFCM等流行的聚类算法和它们在噪声环境下所面临的问题,提出一种概率模糊聚类新算法(SWPFCM),该算法结合样本加权和一种适用于噪音环境下的初始化聚类中心的方法,可以有效地消除噪声对聚类结果的影响。实验表明,SWPFCM算法具有处理大量噪声数据的能力,但对于没有噪声或噪声很少时,效果不明显,当目标样本集中出现噪声时,使用SWPFCM算法聚类将会得到满意的聚类结果。 基于信息关联拓扑的互联网社交关系挖掘 针对目前基于监督学习的关系抽取方法需要标注大量训练数据和预先定义关系类型,提出了一种基于词语共现信息构建关联网络并在关联网络上进行图聚类分析的人物关系提取方法。首先,从新闻标题数据获得关联度较高的500个人物对用于关系抽取研究;然后,抓取关联人物对所在新闻数据,对其进行预处理,并利用词频—逆向文档频率(TF-IDF)得到人物对共现句子中的关键词;其次,基于词语共现信息得到词语之间的关联,进而建立关键词关联网络;最后,利用对关联网络进行图聚类分析以获得人物关系。在关系抽取的实验中,与传统基于词语共现和模式匹配的中文实体关系提取方法相比,所提方法在准确率、召回率和平衡F分数(F-score)上分别提升了5.5,3.7和4.4个百分点。实验结果表明,所提算法能够在没有标注训练数据的条件下,有效地从新闻数据中抽取丰富且高质量的人物关系数据。 自适应三维美工树木骨架提取算法 提出了一种自适应三维美工树木骨架提取算法。该算法主要由前处理、骨架提取和后处理三个步骤组成。前处理阶段依次完成预计算操作,包括对具有几何相似性的子枝进行聚类,自适应生成每个子枝点云的聚类长度阈值,确定子枝之间的父子关系等;骨架提取阶段实现对每个子枝点云的聚类,及其对应骨架点、骨架曲线的生成等操作;后处理阶段完成孤立骨架节点去除,整棵树所有骨架曲线光滑化等处理。该树木骨架提取过程完全由计算机自动完成,不需要用户的任何干预。实验结果表明,采用该算法得到的美工树木骨架既能完整地保持树木模型的形状,又能正确地实现树木模型的拓扑结构。 基于随机中心距离排序的支持向量预选取方法 提出了一种基于随机中心距离排序的支持向量预选取方法.对于线性可分情况,该方法首先从每一个类别中随机选取一定数目的样本计算均值,并把该均值作为该类别样本的随机中心,然后对每一个样本计算它与另一类样本随机中心之间的距离,最后选择一定数目具有较小随机中心距离的原始样本组成边界样本集.对于非线性可分情况,此算法借助于核函数将原始问题映射到特征空间,然后再按照线性可分情况求解.由于支持向量往往分布在两类样本相邻的边界区域,因此此方法可以较为精确地预选取支持向量.在部分UCI标准数据集和ORL人脸数据库上的实验说明此算法较以往支持向量预选取算法可以更为快速准确地进行支持向量预选取. 一种有效的社会网络社区发现模型和算法 社会网络的社区发现存在划分效果较好的算法时间复杂度过高、现有快速划分算法划分质量不佳、缺乏表达和充分利用个体和链接属性信息的模型和机制等问题.针对这些问题,提出了一种边稳定系数模型和一种能表达个体间关系紧密度的完全信息图模型,在此基础上设计和实现了一种有效的社区发现算法.提出的完全信息图模型具有较高通用性,适用于需要融合个体和链接属性的社区发现算法.通过系列实验表明,所提出的以边稳定系数模型和完全信息图为基础的算法,对社会网络中的社区发现问题是有效的.算法不仅具有较快的速度,也能适用于带权与不带权的网络,得到的社区划分结果也具有较高的划分质量. 一种格上基于改进盆景树模型的属性签名方案 为了提高数字签名的效率和安全性,使数字签名方案能抵抗量子攻击,引入属性密码体制和格理论,利用Cash等人提出的改进的盆景树签名模型,同时在密钥提取过程中加入用户自己的信息来产生签名私钥,提出了一种格上基于改进盆景树的属性签名方案。经过分析发现该方案不仅提高了签名效率,而且授权机构无法获得私钥来伪造签名。最后,在随机预言机模型下利用SIS问题证明了方案的存在性不可伪造性;与传统的属性签名相比,该方案具有更高的效率和更高的安全性。 一种低剂量锥束CT三维图像重建快速GPU并行算法 鉴于非局部平均NL-Means(Nonlocal Means)算法的高性能图像去噪表现,并考虑到重建速度这一重要因素,提出一种低剂量锥束CT稀疏角度3D图像迭代重建算法。首先,采用最小二乘方法进行图像重建以满足投影数据一致性,再对重建图像进行非负约束;然后,利用非局部平均算法对以上非负约束后的图像进行滤波处理,起到去噪保边缘的作用。以上各步骤均可以进行并行化处理,交替执行直至满足迭代终止条件。实验结果表明,该迭代重建算法获得了满意的3D图像质量,尤其适合并行化GPU加速,重建速度大幅度提升。 一种主动学习式P2P流识别方法 P2P流的识别对于网络的维护与运营都具有重要意义,基于机器学习的流识别技术是目前研究的热点和难点内容,但目前仍然存在着建立分类模型需要大量适用的训练数据、训练数据的标记需要依赖领域专家以及因此而导致的工作量及难度过大和实用性不强等问题,而当前的研究工作很少涉及到这些问题的解决办法。针对这一问题,采用主动学习技术提取少量高质量的训练样本进行建模,并结合SVM分类算法提出了一种基于锦标赛选择的样本筛选方法。实验结果表明,其相对于已有的流识别方法,能够在仅依赖少量高质量训练样本的前提下,保证较高召回率及较低误报率,更适用于现实网络环境。 工程教育学习产出区块链设计 提出了一种基于学习产出的区块链技术,以专业认证高校毕业要求标准为基础,建立达成度评价智能合约,将基于成绩、过程和数据,通过学习产出智能合约定量定性相结合的课程学习产出达成值,并将数据记录在梅克尔树中。只有通过该课程达成度评价完成智能合约的学生所获得的能力能达成社会共识,从而完成了学生课程达成度评价到工作后的能力评价结果转化的显性化记录,并能将学生能力评价的反作用给课程,实现对课程的持续性改进。 煤气化多联产系统集成及规律研究 研究了以煤气化为核心的多联产系统中化工生产过程与动力生产过程的特点,试图从能源科学与化工科学的交叉领域寻找同时解决资源、能源和环境问题的新途径;为更准确地对多联产系统进行模拟研究,需要借助ASPEN Plus和Thermoflow先建立关键部件的模型,进而综合这两款软件的优势建立全系统的流程模拟,并按照物质世界本身的属性——氢碳比、压力、物质和温度等的梯度利用特征,拓展能量利用形式,优化工艺流程。 延期支付条件下基于VMI的竞争性供应链协调分析 为了使两种卖方管理库存供应链实现协调,考虑单个卖方和两个存在价格竞争的买方组成的两阶段供应链,分别构造了各方的收益模型并求解。针对实销实结VMI供应链,从卖方出发设计了延期支付和返利两种协调策略,分析了两种策略的应用条件及其优劣。指出一般式VMI供应链难以实现协调。对于卖方如何推动供应链从一般式VMI转换到实销实结式VMI提出了具体的转移支付策略。通过数值分析,考查了价格竞争、需求价格弹性、批发价格和可变成本对卖方采用两种协调策略的积极性的影响。 基于TMS320C6678多核处理器体系结构的研究 为充分挖掘多核DSP性能,从计算机系统结构的角度出发,结合对TI的TMS320C6678的认识,开展了对多核DSP体系结构的研究.在C6678单核结构的基础上,分析了片内互联网络,共享存储结构及核间通信技术,并采用相关算法验证多核DSP的性能,最后提出了多核DSP的发展方向. 基于质心理念的无线传感网络路由协议的研究与改进 针对无线传感器网络中的信息时延和网络生存周期较短等问题,提出了基于质心的CMC-PEGASIS(center of mass concept in power efficient gathering in sensor information system)路由协议;该协议将区域分为等宽的5部分,利用PEGASIS的理念对其各自成链;而后利用质心的概念找到区域节点的能量中心,并结合节点自身的能耗以及节点与基站的距离关系得到区域内最佳的簇头节点;仿真实验表明,该协议有效地平衡了整个网络的能量消耗,并且延长了网络的生存时间。 基于网络演算的无线传感器网络能效 能耗问题是无线传感器网络研究中的热点。本文运用网络演算理论的到达曲线和离开曲线刻画无线传感器网络中流量的到达过程和离开过程,建立传感器能耗模型,给出模型约束条件,并分析模型中信噪比的作用。通过引入离开曲线和最佳离开曲线,得出离开速率与信噪比的关系。在此基础上研究和计算在特定状态下传感器网络最佳能耗,推导出最佳能耗公式。通过仿真验证了最佳能耗情况下速度与距离关系等相应结论。 一种基于HBase的RDF数据存储模型 随着语义网数据的爆炸式增长,如何高效地管理海量RDF数据成为一个关键问题.现有的集中式关系型RDF数据存储管理系统已难以适应这种需求,越来越多的研究者使用分布式系统和并行计算技术来管理海量RDF数据.提出一种基于分布式数据库HBase的RDF数据存储模型,根据OWL本体定义文件,将数据按类划分,同一类的三元组数据保存在该类的S_PO和O_PS两张表中,实现该存储模型上的8种Triple Pattern和Basic Graph Pattern查询算法,并提供部分推理功能,在Hadoop集群环境下对存储模型与查询算法进行了可行性验证. 多类型语音特征进化选择算法 基于特征选择的语音特征获取用于说话人识别是目前较为有效的方式。但是,最优语音特征随着具体应用环境的变化而不同。因此,提出了基于四类型语音特征封装式遗传特征选择算法(FSF-Wr GAF),该算法提取了四种类型的语音特征参数,通过链式智能体遗传算法和GMM-UBM进行封装式动态特征选择,获取高精度的识别准确率。采用了多种指标完成该算法的性能测试。实验结果表明,该算法具体实现过程简便,改进效果明显,较同类算法在多项指标(识别率,EER,DET曲线)上都有显著提高。 基于量子行为粒子群算法的微电网优化配置 关于微电网的优化配置问题,是在功率平衡等一系列约束的前提下,以投资成本、环境因素等为目标,优化配置微电网中各微电源的数量。微电网的优化配置是一个动态多维非线性优化问题,传统的优化算法收敛速度慢,容易陷入局部最优,而量子行为粒子群算法以其搜索能力强、收敛速度快和解的精度高等特点,可以很好的求解微电网的优化配置问题。以某地的气象和负荷数据为例,在满足用户的冷热电负荷需求前提下,求解微电网的配置。结果表明,采用量子行为粒子群算法,可以得到各微电源容量的最佳配比方案。 基于共同评分项目数和用户兴趣的协同过滤推荐方法 在推荐系统中,为了在一定程度上减少用户评分数据稀疏对推荐效果的负面影响,提出了一种基于用户共同评分项目数和用户兴趣的协同过滤推荐算法。此算法将用户共同评分项目数和用户兴趣相似度相结合,使用户之间的相似度计算更加准确,为目标用户提供更好的推荐结果。仿真实验结果表明:所提算法比基于Pearson相似度计算方法的算法推荐效果更优,具有更小的平均绝对误差(MAE),表明了其有效性和可行性。 接触概率和数据分组新鲜度感知的机会网络路由算法 高效的数据分组传输机制是机会网络的核心问题之一,在分析机会网络节点运行规律的基础上,提出一种机会网络路由算法.该算法根据节点间相互接触的历史信息,计算节点接触的平均接触间隔时间,进一步得出两个节点间数据分组传递的期望时间;结合数据分组的新鲜度和节点间数据分组传递期望时间,设计数据分组的效用函数;基于分组的效用函数和传染路由,设计高效的机会网络路由算法,来指导数据分组的有效转发.仿真结果结果表明,与经典算法相比较,基于历史接触概率和数据分组新鲜度的机会网络路由机制能够有效的提高数据分组的传递成功率,同时减少传输时间. 基于多站大气电场的雷暴云等效电荷混合反演算法 为了能够利用地面电场资料对雷暴云等效电荷进行反演,提出了一种雷暴云等效电荷混合反演算法。该算法通过镶嵌混合结构形式将粒子群法和牛顿法相结合,并构造混合概率函数来控制混合时机。给定雷暴云电荷结构参数,在正演结果基础上对雷暴云等效电荷进行反演,结果表明:该混合反演算法的全局搜索性强,能有效地解决对初值的选取问题,并能得到更精确的反演结果;单纯的串行混合结构计算时间短但是反演的效果不佳,镶嵌混合结构能较好地体现两算法的优势;构建混合概率密度函数能够较好地提高整体计算效率。 不均匀节点分布下WSN均衡算法仿真 当前无线传感网络中的节点分布可能存在极不均匀现象,造成传统WSN分簇路由协议中,远离Sink节点的簇头能耗较大,容易导致网络覆盖面积减小。一旦远离基站的簇具有较多的节点,很容易造成节点过快的消耗能量,导致网络的能量不均衡现象。提出了一种无线传感网络群体适应均衡算法模型。在相对不均匀的节点分布中,对无线传感网络中数据传输路径进行规则描述,对每次描述网络传递路径进行有效的记录,根据结果建立群体适应模型,并对上述模型计算最优解,从而获取最理想的路径选取方式,实现不均匀分布环境下,无线传感网络的均衡化处理。实验结果表明,利用改进算法进行无线传感网络均衡化处理,能够有效提高网络的均衡性,从而提高无线传感网络的性能。 基于异常检测的尿沉渣图像分割 在尿沉渣图像中,由于其样本特性,使得在细胞图像采集时会有大量的杂质。这些杂质形状不规则,颜色不单一,用传统的图像分割算法难以去除。针对这个问题,提出一种基于异常检测的图像分割算法。该方法用形态学的方法对二值图像进行轮廓提取,根据其轮廓进行特征提取并且进行标记,然后用提取的轮廓特征以及标记构建异常检测模型。最终根据该模型对图象进行分割,并且定量地对该模型进行评价。实验结果表明,基于异常检测模型的尿沉渣检测方法能够以较高精度将杂质从细胞图像中分离。 基于视差估计的图像双向匹配 提出了一种图像匹配方法,并实现了两幅图像间兴趣点快速、准确、密集的匹配。首先,提取两幅待匹配图像中的稀疏兴趣点,利用双向匹配的方法对稀疏兴趣点进行匹配。然后提取密集的兴趣点,并根据稀疏点的匹配信息,预测两幅图像的大概位置关系,根据这个关系在小尺度范围内搜索同名点,其中相似性测度为相关系数。最后,把部分视差异常的匹配点消除掉,达到了准确匹配的目的。算法中,在次兴趣点中搜索主兴趣点的方法使主兴趣点的同名点不易漏检,双向匹配的方法能消除匹配中一对多或多对一的歧义性,利用稀疏的匹配信息进行的位置估计能减小密集匹配的搜索范围,利用视差标准差作为约束准则能很好地去除误匹配点。大量的实验表明,该算法能够实现快速、准确、密集的匹配。 边际关联分析及其在表情识别中的应用 提出了一种基于边际关联分析MCA的人脸表情识别方法。传统的CCA、MML等处理的是所有训练样本的全局关联系数。受关联分析和边际学习启发,MCA专注于样本与对应类标间的个体关联,而非整体或全局关联。基于JAFFE和CED-WYU两个表情数据库的识别结果证实了MCA特征提取方法的有效性。 低剂量CT图像的自适应广义总变分降噪算法 针对低剂量计算机断层扫描(CT)重建图像时出现明显条形伪影的现象,提出一种自适应广义总变分(ATGV)降噪算法。该算法考虑了传统广义总变分(TGV)算法在降噪时模糊图像边缘信息的缺点,把可以有效区分图像平滑区和细节区的直觉模糊熵应用到传统TGV中,对图像的不同区域进行不同强度的去噪,从而达到保护图像细节的效果。该算法首先采用滤波反投影(FBP)算法得到低剂量CT重建图像;然后利用基于直觉模糊熵的边缘指示函数对传统TGV模型进行改进;最后用改进后的模型对重建图像进行降噪处理。采用Shepp-Logan模型和数字胸腔模型(thorax phantom)仿真低剂量CT重建图像来验证算法的有效性。实验结果表明,所提算法的归一化均方距离(NMSD)和归一化平均绝对距离(NAAD)均比总变分(TV)降噪算法和广义总变分(TGV)降噪算法小,且可分别获得26.90 d B和44.58 d B的峰值信噪比(PSNR)。该算法在去除条形伪影的同时可以较好地保持图像的边缘和细节信息。 收益控制权意义下的供应链激励契约 为合理分配成员间的收益,提高供应链运作绩效,针对制造商和经销商组成的供应链,建立了收益控制权意义下的委托代理激励契约模型。在不同信息属性(对称和非对称)状态下,通过该模型,提出了委托人最优激励支付和代理人最优努力投入,并以供应链期望收益效用最大化为目标,分析了委托人和代理人收益控制权范围,提出了它们之间可以达成的最优契约模式和可拥有的最优收益控制权比率。最后论证了代理人隐藏行动下的成本节约以及由此造成委托人收益损失程度,并对该模型的适用场合进行了说明。 装备维修保障模型适用性的评价方法 针对装备维修保障分析中模型选择缺乏考虑模型适用性的问题,论文提出一种评价模型适用性的方法。从模型的输入、输出和模型本身三个不同的角度,分别研究数据的可采集性、结果的不确定性和模型的成熟度,得到装备维修保障模型适用性评价的决断图。该方法有助于在维修保障分析中选取适用性更好的装备维修保障模型。 基于KFCM和AMDE-LSSVM的软测量建模方法 针对软测量建模过程中模型存在失效问题,提出了一种基于KFCM和AMDE-LSSVM多模型的软测量建模方法;首先,采用核模糊C均值聚类(Kernel-based fuzzy c-means algorithm,KFCM)对训练样本数据进行划分,然后利用最小二乘支持向量机(least squares vector machina,LS-SVM)对每个聚类建立子模型,并使用自适应变异差分进化算法(Adaptive Mutation different evolution,AMDE)对最小二乘向量机中的径向基宽度和惩罚系数进行寻优;将提出的算法用于秸秆发酵关键参数乙醇浓度、基质浓度(总糖浓度)、菌体浓度检测中,通过软测量建模得到的预测值与离线化验值进行对比,证明方法的有效性;实验结果表明,改进后的算法克服了差分进化算法中容易陷入局部最优,早熟收敛的现象;建立的新模型相比单一模型,乙醇浓度、基质浓度(总糖浓度)、菌体浓度测量误差分别为0.64%,1.85%和0.75%,具有更好地适应秸秆发酵过程、提高测量精度的优势。 基于红外热像图的电路板卡多芯片提取的研究 针对机载电子线路板卡红外热像图中提取多目标芯片位置的问题,综合采用区域生长算法和二维OTSU算法完成多目标提取任务,为芯片排列复杂的电路板红外热像图的分割提出了一种有效的解决方案,为后续利用芯片的温度特征来实现板卡芯片级的故障检测与诊断提供了必须的保证;实验结果表明,可以准确地完成板卡上芯片的精确定位(剔除热辐射区域,仅仅保留芯片的核心发热区域),这种方法针对电路板卡红外热像图的多目标提取具有很高的准确性和完整性。 AFDX网络协议及关键技术的研究 机载通信网络技术是实现航空电子系统间大容量的高速数据交换的枢纽和核心,其性能和功能决定航空电子系统综合化程度的高低,是现代先进飞机航空电子综合化的关键技术之一。源于商用以太网技术、在国际民机中广泛使用的AFDX网络技术,具有低成本、高带宽、低延迟、确定性、高可靠性等诸多优点。文中在论述现有总线网络技术的基础上,介绍了AFDX技术。重点叙述了AFDX网络体系结构,给出了AFDX网络工作机制和流程,重点分析研究了AFDX网络协议及关键技术,为AFDX网络协议处理芯片的设计、实现、验证及系统应用提供了理论基础。 面向开放互联网的多媒体数字版权保护系统 针对数字内容安全和跨平台用户共享的实际需求,提出并实现一种面向开放互联网的多媒体数字版权保护方案及综合化平台原型系统。采用XML语言生成数字权利证书,以证书与用户设备硬件信息绑定的方式,实现受保护内容在Android和Windows平台上的安全播放和使用控制,满足了以上两种平台上基于权利证书的跨平台数字权利分享功能。该方案广泛适用于多媒体音视频数字内容安全平台。 重复数据删除关键技术研究进展 企业数据量的不断增长和数据传输率要求的不断提高,使得数据中心海量存储空间和高带宽网络传输需求成为当前网络存储领域面临的严峻挑战.利用特定应用数据集内数据高度冗余的特性,重复数据删除技术能够极大地缩减数据存储容量需求,提高网络带宽利用率,降低企业IT运营成本.目前,重复数据删除技术已成为国内外的研究热点.首先介绍重复数据删除技术的概念、分类及其应用;阐述重复数据删除系统的体系结构和基本原理,并与传统存储系统进行对比.然后重点分析和总结重复数据删除各项关键技术的研究现状,包括数据划分方法、I/O优化技术、高可靠数据配置策略以及系统可扩展性.最后对重复数据删除技术的研究现状进行总结,并指出未来可能的研究方向. 农产品中最优利益-消耗平衡模型仿真 针对在农产品最优利益-消耗平衡的建模过程中,由于平衡条件特征与利益分成系数存在较强的关联性而选出大量的相关数据,不能很好的排除关联性数据,导致最优利益-消耗平衡建模误差大、精确度低的问题。提出采用基于改进Shapley算法的农产品供应中的最优利益-消耗平衡点的计算建模方法。先将博弈论思想与Shapley算法有机的结合,设计出一套农产品供应中的利益最优分配方案,在组建农产品供应中的利益分配模型。利用映射函数将农产品供应中的利益分配结构关系映射在其消耗平衡的计算范围内,依据在农产品供应中的最优利益与消耗关系相平衡的条件特征,构建计算模型。仿真结果表明,基于改进Shapley算法的农产品供应中的最优利益-消耗平衡点的计算建模方法建模精确度高,效果理想。 一种基于动态跳数距离的有洞无线传感器网络定位方法 在无线传感器网络中,与距离无关的定位技术一直是一项挑战性的工作。尤其是在有洞的各向异性网络中,多跳节点之间的距离估算更是一个难点。针对有洞的无线传感器网络,提出一种新的距离无关定位方法,该方法可以较好地估算未知节点到参考节点之间的距离。其主要思想是,先估算各信标节点对之间的平均单跳距离,然后选择平均单跳距离较大并且最短路径通过未知节点的信标节点对作为参考节点来估算未知节点的位置。新算法能够较好地滤除距离估算误差较大的信标节点作为参考节点。实验表明,新算法比以前的算法定位更准确。 二分逼近结构的GPS码环鉴相器的设计与实现 在GPS信号的跟踪过程中,码环鉴相器的选择与实现对系统来说有重要意义.文中在分析研究几种不同鉴相器算法的基础上,对最广泛使用的非相干超前减滞后算法的实现进行研究.在一般的算法基础上提出一种二分逼近的鉴相器结构,在相同的精度下,面积和性能都有所改善. 基于骨骼的3D角色的碰撞检测 基于骨骼的角色实现快速碰撞检测。该算法以层次包围盒(OBB)为基础,通过为每个骨骼模型建立包围盒,运用分离轴理论计算包围盒的相交测试。该方法能有效地用于3D游戏引擎中碰撞检测的实现。 面向喷墨打印机的高速数据处理系统 为提高工业喷墨打印机的打印速度,设计基于MPC8548E和Xilinx Virtex-5的高速数据处理系统。MPC8548E处理器通过千兆网从PC端接收经编码的打印图案数据,对其进行解码并通过RapidIO传输给Virtex-5。具有强大实时处理能力的Virtex-5对图案数据进行处理,并将处理后的数据传输给喷头。性能测试结果表明,该系统的数据处理能力可达320 Mb/s,相比国内印花机,在同等条件下其性能提升明显。 三维视频压缩研究进展 信息压缩是信息传输过程中的一个关键环节,随着三维显示和三维视频技术的发展和完善,未来的视频传输将不仅限于二维视频。但是,三维视频的数据量很大,这就给三维视频的传输带来了阻碍。因此,设计出高压缩比、高压缩效率的三维视频压缩技术,不但可以解决三维视频的传输问题,而且有利于促进三维视频及三维显示领域的进一步发展。本文系统地讨论了目前三维视频压缩技术的研究进展,在对各种技术特点研究的基础上,提出了进一步的研究和发展方向。 基于CAS的门户单点登录方案 门户是一种个性化、单点登录、内容聚合的Web应用系统。为了实现门户的单点登录,分析了不同的实现方法,选取基于集中式认证的CAS协议来实现,并针对CAS在单点退出的缺陷进行改进,提高系统安全性和用户访问便利性。 高层次时序电路可靠度估计方法研究进展 时序电路的可靠性问题日益成为人们关注的焦点。讨论高层次时序电路的可靠性评估方法,重点研究分析了贝叶斯可靠性分析方法、多阶段可靠性分析方法和基于概率转移矩阵的时序电路可靠性分析方法。以ISCAS 89基准电路为实验对象,选择几种典型的高层次时序电路可靠性评估方法进行实验和分析。研究结果和实验结果表明,电路的抽象级别越高,评估方法所获得结果的准确性就越低,评估时间开销越小;同一抽象层次上,不同类型的方法相比,仿真模拟方法的准确性高但时间开销大,解析方法省时但准确性较低。 基于双稀疏字典的新型盲压缩感知模型 针对压缩感知理论实际应用过程中,稀疏基先验信息未知的情况下,如何从信号的压缩测量值中学习与待重构信号本身相适应的字典的同时,利用该字典重构出原始信号的问题,基于已有的盲压缩感知理论(BCS),在稀疏基为双稀疏字典结构的约束条件下,提出了一种新型的盲压缩感知算法(D-BCS)。所提算法交替执行稀疏编码阶段和字典更新阶段。在稀疏编码阶段,采用分裂Bregman迭代求解非凸的l1最小化问题,从而实现稀疏系数矩阵的更新;而在字典更新阶段,则通过将目标优化函数转化为类LASSO问题,并利用LASSO算法来实现字典原子的逐列更新。在不同采样率下,对多个测试视频帧进行仿真对比实验,实验结果表明,所提算法能很好地从压缩测量值中恢复出原始信号,且表现出了最佳的性能改进。 REPS:一种高效的容错并行概率流Skyline查询方法 概率数据流的并行Skyline查询作为当前大数据分析的一个重要方面,在诸多实际应用中发挥着重要作用。针对并行概率流Skyline查询过程中因发生故障而导致查询结果不准确和查询中断等问题,提出了一种基于复制的容错并行Skyline查询方法 REPS。该方法选择参与并行处理的计算节点作为副本节点,并采用层次-循环式数据副本放置策略,选择优先级高的副本恢复数据来保证数据恢复的高效性;同时将故障检测、丢失数据恢复和查询过程恢复贯穿于整个查询更新过程中,以减少容错处理的额外通信和计算开销,并实现快速的容错并行查询。实验结果表明,REPS方法不仅在无故障发生和单个节点失效时具有较高的查询处理效率,而且对于多节点失效情形,仍然能够保持较高的查询处理速率且满足查询需求。 性味归经与抗衰老药效的BP神经网络研究 针对中药药效与其性味归经之间复杂的、不确定的关系,运用人工神经网络的理论和方法,建立中药抗衰老药效的BP神经网络模型,通过实例对抗衰老指标进行评价分析。经验证,用BP神经网络方法评价中药抗衰老药效是一种快速、可靠的方法。 基于时序特征的物联网社区划分算法 针对物联网环境下的社区划分问题,提出一种基于时序特征的社区划分算法.首先给出时序数据的相关定义;然后对物联网社区的时序数据进行预处理,提出了物联网社区划分算法,并进行了算法性能分析.通过实际网络社区数据的仿真实验表明该算法的高效性. 汽车轮速传感器周节误差分析 为了完成汽车轮速传感器和齿轮的高效率、高精度、高可靠性能测试,在汽车轮速传感器检定算法上,研究了目前普遍流行的占空比误差参数测试算法及其存在的缺陷,分析了影响占空比误差精度和可靠性的各种因素,提出了一种全新的基于上升沿和下降沿最大周期、最小周期的Pitch error(齿轮的)周节误差算法,较好地反映了信号的失真大小,优化软件算法;设计的基于LabVIEW最大周期、最小周期误差参数测量模块,并已成功应用于汽车轮速传感器检定测控系统中。 智能网络磁盘集群负载平衡研究 智能网络磁盘(IND)是一种存储体系结构的新构思,IND集群是一种海量存储的新途径,为维护系统的自动负载平衡,用基于访问频数的动态调整和适时迁移策略相结合,精心设计算法,合理布局数据,使系统高效稳定运行,长期实践表明,这种负载平衡的灵活调度策略,对IND集群存储系统的实现是必要而有利的,对高性能计算的海量存储尤为重要。 离散多智能体系统分组一致性研究 针对一阶、二阶离散多智能体系统的分组一致性问题进行了研究。为了去除现有结果的一些保守假设,提出了两个新的分组一致性协议,新的协议反映了系统相同分组内与不同分组间智能体的相互影响。基于图论和稳定性理论,经过分析得到了两个保证系统一致的充分条件。最后,通过两个仿真实例证明了理论结果的有效性。 面向虚拟试验的可信度评估工具设计与实现 虚拟试验技术在航天领域得到了越来越广泛的应用,尤其是在多专业耦合仿真方面,但是面向虚拟试验的可信度评估工具方面的建设与研究未得到充分关注;针对虚拟试验分析阶段的工程需求,设计并实现了虚拟试验可信度评估工具,涵盖了评估对象管理、评估专家管理、指标体系构建、可信度评估等功能模块;此外,该工具还提供了虚拟试验与实物试验的数据库接口,有效地支撑了虚实比对工作,进一步规范了虚拟试验的分析流程与分析内容,有效提升了工作效率。 基于框架技术的通用虚拟计算平台的实现 通过分析目前几种虚拟计算环境的现状、特点及适用范围,针对聚合异构分散计算资源的需求,提出了一种基于框架技术的虚拟计算环境的实现方法。研究了虚拟计算环境的关键实现技术,主要包括计算平台的总体框架和服务组件,以及各个组成部分之间的关系和通信方式;并基于服务分层设计方法来优化计算服务的架构,对各服务组件进行了详细的设计,实现了虚拟计算平台系统。以地震资料数据处理为例进行了实验测试,测试结果表明,该虚拟计算框架具有一定的可行性和有效性,能够整合零散、异构的计算资源,尤其适用于性能差异明显的计算组织,为用户提供了透明的资源访问模式,提高了计算资源的利用率。 LDPC码在8PSK调制下的应用分析 研究低密度奇偶校验码(LDPC)在八相移相键控(8PSK)调制下的LLR算法,分析LDPC码在8PSK调制下初始消息的计算方法,推导初始消息的计算公式。对该算法进行程序仿真,仿真结果表明,LDPC码在8PSK调制中具有良好的性能。当信噪比为3.5 dB时,误码率可达到10-6数量级。 含有效冲突的恒定连续Petri网演变图及构造算法 最大速度恒定的连续Petri网(CCPN)是由David首先提出的一类时延连续Petri网模型,构造其演变图是对其性质进行分析的一种有效方法。而对于含有效冲突的最大速度恒定的连续Petri网,由于有效冲突所引起的变迁激发的不确定性,使得构造演变图变得较为困难。基于有效冲突的两种解决方式——确定优先级或按比例分配流量,给出了计算各变迁瞬发速度的算法2;进而对含有效冲突且有界的CCPN,提出了演变图构造算法3,利用其演变图,可以对含有效冲突的CCPN进行性能分析。 基于B/S的智慧社区健康监护管理系统软件的设计与实现 应用C#ASP.NET编程技术和SQL Server2008数据库技术进行智慧社区健康监护管理系统的搭建。该系统能够完成设备与人员信息管理、健康生理参数与环境参数的实时显示与查看、网上预约社区医生以及健康知识查询学习等功能,为老年慢性病患者提供一个优质的健康服务。此系统的构建能够缓解我国医疗卫生资源分布不均以及由人口老龄化程度加深带来的医疗压力。 应用信息论的数据导向模式匹配方法 随着计算机网络的发展,许多复杂庞大的异构数据集应运而生。为了有效利用这些异构数据,通常采用数据集成的方法,其中模式匹配是数据集成的核心技术。然而,许多数据集具有典型的异构性,并可能存在有重复数据、缺失数据、模式信息缺失等问题,导致传统的模式匹配技术无法适用。为此,研究了模式信息未知或者不完整情况下的模式匹配问题,提出了应用信息论的模式匹配模型。该模型完全基于数据分布的特点而不依赖于任何外部知识,能够准确地计算出属性列之间的相似度,并有效地描述数据集中各个属性列数据的分布特点和属性列之间的关联关系。还提出了构建原始数据分布图和演化数据分布图的算法,从而形式化地表达出属性列之间的关系,达到匹配的目的。在真实数据集上的综合实验评估证明了方法的可行性和有效性。 基于概率转移矩阵的社会网络影响最大化算法 现有近似求解影响最大化算法的时间复杂度较高,为此,提出一种扩展的线性阈值模型及其概率转移矩阵,给出该模型的传播过程及规则,设计基于概率转移矩阵的影响最大化算法,并利用贪心方法寻找到k个最具影响的节点。该算法通过矩阵乘积的方法得到T时刻节点之间的影响概率,无需在每个时刻计算所有非活跃节点的边际效益,从而在较短时间内提高运行时的效率,使得在规模较大的社会网络中被影响的节点最多且信息传播范围最广。仿真实验结果表明,在大规模社会网络中,该算法对社会网络节点的影响范围广且时间复杂度低。 无线网络传输数据节点入侵优化检测仿真 对无线网络传输数据节点入侵进行准确检测,可保证无线网络的安全运行。进行入侵检测时,应将节点行为进行量化后运用预先设定的信誉阈值与网络节点的信誉值比较完成检测,但是传统方法通过对无线网络某一区域环境中变量自发性的临界变化状态进行分析,找出最脆弱的网络节点完成入侵检测,但是无法将待检测的节点信誉阈值与正常网络节点的信誉值进行比对,降低了入侵检测的精确度。提出一种基于信誉机制的无线网络传输数据节点入侵优化检测方法。首先,对无线网络节点的行为属性进行分析,通过对节点的丢包率、转发率等数据实现量化后构建模型,分析不同节点所具有的不同属性。然后,对不同属性节点通过建立的信誉机制进行分析判断,将节点行为进行量化后运用预先设定的信誉阈值与网络节点的信誉值比较,确定被检测节点信誉值与预先设定阈值的大小关系,最终检测出节点是否异常。仿真结果表明,与传统的信誉机制的阈值法进行比较,改进方法可以有效地检测出节点节点入侵状况,为网络数据的安全使用提供了支持。 道路网络中移动对象的索引研究 为了能有效地实现网络中移动对象的过去、当前和将来轨迹的查询,提出了一种L2R索引,它由两层R树和一个链表结构组成。两层R树用以索引道路网络和移动对象过去的运动,对象当前的位置和将来的预测轨迹信息保存在链表中。L2R索引不仅可以支持网络中的移动对象的轨迹查询,尤其是可方便的在纵向链表中查询在同条路线上的所有对象。在此索引基础上文中实施了对移动对象的范围查询和点查询,最后通过实验表明L2R结构的索引和查询性能均要优越于TPR树。 多粒子角色协同作用的混合粒子群优化算法 针对粒子群优化(PSO)算法易陷入局部最优和后期收敛速度慢的问题,提出一种多粒子角色协同作用的混合粒子群算法(MPRPSO)。引入粒子角色的概念,将种群粒子分成探索粒子(EP)、巡逻粒子(PP)和局部开发粒子(LEP)三类角色,在每次迭代中利用探索粒子以标准PSO算法搜索解空间,用基于混沌的巡逻粒子加强全局搜索,并在陷入局部最优时替代部分探索粒子,恢复种群活力。最后通过局部开发粒子的单维异步邻域搜索加强算法局部搜索能力,加快收敛。实验独立运行30次,所提算法在粒子角色比例为0.8∶0.1∶0.1的条件下,在Sphere、Rosenbrock、Ackley和Quadric函数中获得的平均值分别为2.352E-72、4.678E-29、7.780E-14和2.909E-14,尤其在Rastrigrin与Griewank函数中能收敛到最优解0,优于其他对比算法。实验结果表明,所提算法在优化性能上有所提高,并有一定的鲁棒性。 基于辫群的代理盲签名方案 由Shor等人构造的量子算法可以在多项式时间内解决传统三大难解问题而利用辫群构造的很多数学困难问题,在量子计算机条件下均无有效的解法,辫群是一种适合构造抵抗量子密码分析的计算平台。利用左右子群元素的可交换性,基于CSP问题、SCSP问题和p次方根问题的难解性,提出了一个新的代理盲签名方案,并通过方案分析验证了该方案的有效性和可行性。 软件动态执行网络建模及其级联故障分析 随着人们对软件功能需求的不断增加,软件系统的结构和规模越来越复杂。如何对复杂软件系统的拓扑结构及其质量进行有效分析和评估是软件工程中亟待解决的难题。采用复杂网络理论对软件系统进行建模和求解,将软件源代码中的函数作为节点,函数之间的调用关系看作有向边,函数调用次数作为边的权重,提出了一种软件动态执行加权网络模型的构建方法。通过对TAR、GEDIT、EMACS这3个开源软件系统的建模及网络特征分析,发现软件系统动态执行的加权拓扑网络满足小世界效应和无标度特性,即符合复杂网络特性。基于此结论,进一步利用CML(耦合映像格子)网络故障传播模型对软件系统的级联效应进行了模拟,通过实验发现了影响软件级联故障的主要因子,这些因子为软件质量保证等研究提供了重要支持。 基于LoRa技术的物联网智能农场监控系统的设计 针对ZigBee、WiFi、GPRS传统无线传感技术在大范围农场等温室环境监测系统的不足,提出了一种基于LoRa技术的低功耗农场环境监测系统;该系统依托物联网思想,分三层结构;其中感知层作为信息采集部分由MSP430单片机、温湿度传感器和光照强度传感器等组成;网络层采用LoRa无线扩频通信技术进行数据传输,可以实现定点、省电、透传和监听4种模式;应用层对网络层传输的数据进行处理和保存,最终实现灯光控制、灌溉控制、降温控制和人机控制。 一种基于人脸图像的年龄估计方法 有关年龄估计的研究在人机交互领域有着非常重要的意义。该文提出一种基于人脸图像的年龄估计方法,该方法首先基于颅面成长模式理论建立人脸测量模板,在此模板上计算面部几何比例特征,然后运用分数阶微分提取人脸局部区域的纹理特征,结合这两类特征构成个体年龄特征向量;通过聚类学习的方法训练年龄特征向量获得年龄-特征映射矩阵,最后由此矩阵表决出输入人脸的估计年龄。实验结果表明,基于这两种特征构建的年龄估计模型可以获得较好的年龄估计结果,年龄误差较小,分类准确率接近人的主观判断结果。 简单高效的URL消重的方法 在Web信息采集的过程中,为了避免对同一页面的重复下载,需要对URL进行消重。当URL数量变得非常庞大时,URL编码、计算和存储将会给网页消重性能造成很大的影响。通过对URL网址的观察和工程实践,提出一种简单高效的K-PickHash算法,能够快速对绝大多数的URL生成唯一编码,同时保证较低的碰撞率。实验结果证明,计算相同数量的URL时,K-PickHfIp算法所占用的时间小于信息—摘要算法(MD5)的1/3,内存使用比MD5节省1/3,碰撞率也控制在可接受的范围。 基于虚拟机日志记录回放的可逆调试方法 传统的调试器调试程序时,仅仅能够让程序正向运行并获取其当前的状态。提出了一种可以让程序逆向运行,回到过去任意时刻的调试方法,来增强调试器的功能。该方法是通过为Xen虚拟机添加完整的日志记录和回放功能以及对GDB调试器作相应修改来实现的;调试对象可以恢复到其运行过程的任意时刻。该可逆调试器,可以解决大型软件和操作系统内核开发调试困难的问题,大大提高了开发进度。 基于SARSA在线规划的软件体系结构自适应 提出基于SARSA算法的在线规划软件体系结构自适应方法,用来解决由于环境内在固有的不确定性、复杂性和不可预见性而产生的离线规划的局限性。在线规划方法指可以根据当前的环境状况自动选择行动的规划方法。结合Robocode的实例详细阐述了实现基于SARSA算法的在线规划方法的三个关键问题和过程策略;为解决自适应的状态和行动表述、适应度和可受理集合关键问题,提出了自适应在线规划的策略。最后用Robocode的坦克战斗实例,证明了基于SARSA在线规划软件体系结构自适应方法的可行性和有效性。 火炮自动供输弹药液压系统仿真设计 火炮自动供输弹药液压系统结构复杂,故障相关因素具有随机性、模糊性、分散性等特点,故障样本积累时间长,而实装故障模拟拆装困难、价格昂贵。为确保火炮弹药供输速度,采用数学建模法以及面向对象的系统建模法,分析建立了液压系统中液压马达、液压缸、液压控制阀等重点环节的数学模型,并利用液压系统建模仿真软件AMESim建立了供输弹液压系统的仿真模型,仿真输弹回路,分析了系统响应,摆弹油缸的行程和时间。仿真结果能满足实际使用要求,证明了仿真模型的可信性。 高性能网络协议还原平台的研究 在计算机网络日益发展的今天,网络上的信息传播正在逐步取代传统媒体,因而计算机网络上的安全问题也越来越受到人们的重视。针对当前大流量网络的普及和多核处理器的广泛应用,提出并实现一种高效的网络数据包重组还原平台。该平台完全工作在用户空间,主要对以旁路监听方式下在网络链路捕获到的网络数据包进行重组及协议还原。扼要地介绍协议还原所涉及到的数据包捕获、数据包重组以及应用层协议还原等关键技术。实验证明,该平台能够高效地将网络数据流还原到TCP层,并可根据需要加入多种应用层协议还原模块,具有很好的可扩展性。 CEEMD-WT和CNN在短期风速预测中的应用研究 由于风速存在随机性和不稳定性,为了提高短期风速预测的精度,提出了一种基于完备总体经验模态分解(CEEMD)、小波变换(WT)和卷积神经网络(CNN)的短期风速预测混合模型。首先,CEEMD算法把原始风速序列分解成一些相对平稳的固有模态函数和一个残差序列;然后,WT算法对每个固有模态函数进行二次去噪,进一步消除噪声对固有模态函数的影响;最后,卷积神经网络对每个固有模态函数、残差序列和影响风速的5个属性训练预测得到各自的预测结果,对所有的预测结果重构得到最终的预测结果。通过实验与其他4个风速预测模型进行比较,所提出的模型预测的绝对平均百分比误差(MAPE)最小,为2.484%,表明在短期风速预测方面CEEMD-WT-CNN模型有较好的性能。 影像医学的使命和未来 "[正文]2013年1月15日,中华医学会放射学会现任主任委员、复旦大学副校长冯晓源教授作客中山大学""文理医融拓宽视野名师系列讲座""课堂,为学生们带来了《影像医学的使命和未来》的精彩演讲。冯晓源教授指出,未来医学将朝""精确医学""发展,而未来影像医学的核心和基础是功能影像学和分子影像学,将能反映生物学信息,起到预测和预防疾病的重大作用。我们要改变诊断模式和知识结构以适应新医学时刻的到来。冯晓源教授强调说:""疾病的整个" 电厂侧调度数据网安全防护设计 "按照""安全分区、网络专用、横向隔离、纵向认证""的电力二次系统安全防护原则,论述电厂侧调度数据网安全防护设计要点。" 基于均匀设计的差分进化算法的参数设定 差分进化算法参数的设定多采用经验选取方式,其缺点是试验运行量大以及难以得到最优参数组合,从而在很大程度上影响了算法的寻优能力。将均匀设计的试验方法引入差分进化算法的参数设定中,通过对单峰函数、多峰函数和病态函数等3种不同类型的标准测试函数进行均匀设计试验,找出适合不同类型标准测试函数的最优参数组合,从而达到对差分进化算法的参数进行设定的目的。结果显示,将经过均匀设计试验得到的两组最优的参数组合用于差分进化算法时,所获得的平均全局最优解为4.3215,平均标准差为3.650。可见,利用均匀试验设计方法对基本差分进化算法的参数进行设定是可行且有效的,同时具有较好的稳定性。 六自由度点焊机器人平顺轨迹仿真研究 以ABB-IRB-1400型工业点焊机器人为对象,研究机器人平顺轨迹规划问题。首先根据建立的机器人D-H数学模型,应用MATLAB平台的矩阵运算功能,完成机器人正、逆运动学的求解。针对三次多项式不能保证角加速度光滑的问题,提出应用5次多项式对逆解求出的各个关节角进行插值规划。应用CATIA软件建立机器人的几何模型,通过CATIA与ADAMS之间的SimDesigner接口将其导入ADAMS软件中进行仿真,得到了各个关节的角度、角速度、角加速度的变化曲线,解决了机器人运动过程中平顺轨迹规划问题,为工程实际提供了一种有效、可行的轨迹规划方法。 中小型企业软件过程改进方法研究 软件质量很大程度上取决于生产和维护软件的过程的质量,这一结论已被广泛认可。我国自20世纪90年代开始关注软件过程改进,先后引入ISO9000、CMM/CMMI等过程模型。但这些模型主要源于大型组织的过程经验,在中小型企业中实施起来存在诸多困难。中小型企业如何实施软件过程改进这一问题在业界和学术界一直倍受关注。结合一个典型中小型企业的软件过程改进实践提出了一个持续的、迭代增量的软件过程改进方法,可满足中小型企业希望以较低成本达到良好改进效果的需求。 基于分数阶和非局部全变差模型的图像去模糊 为减少阶梯效应,同时更好地利用图像本身的信息,提出一种结合分数阶全变差(FOTV)和非局部全变差(NLTV)模型的非盲去模糊图像重建方法。分别用FOTV和NLTV约束由全局梯度提取法(GGES)分解而成的平滑区和纹理区,建立图像非盲去模糊的正则化模型,分别采用交替方向乘子法(ADMM)和分裂Bregman操作符(BOS)算法求解两个子问题。充分的实验结果表明,该模型减少了平滑区的阶梯效应,更好地恢复了图像的纹理细节,验证了该模型的可行性和算法的有效性。 Coif5小波在煤岩声发射源定位中的应用研究 分析即将失稳的煤岩的声发射信号,可以确定煤岩体的状态。鉴于小波较好滤波特性,而传统时延估计对声发射源定位精度受噪声影响较大。为了准确地定位声发射源,提出了Coif5小波分析和TDE相结合的方法,既解决了传统时延相关估计方法易受噪声的影响,又解决了定位精度低的问题。在实验室建立监测系统,并对煤岩体样品进行单轴压缩的实验。实验结果表明,通过基于Coif5小波的TDE算法在能实现声发射源定位的同时,也大大减小了声发射源定位的误差。将其应用到即将失稳的煤岩体的预测预报中去,将为煤矿的安全生产保驾护航。 基于多种偏好信息的物流方案评估方法 为了有效地反映物流方案评价中评价信息的模糊性,提出了基于多种偏好信息的物流方案评估方法。决策者采用各自偏好的信息形式对物流方案进行评价;利用转换函数将多种形式的偏好信息一致化为模糊互补判断矩阵,应用行和归一化方法计算排序向量,从而将决策成员的权重集结并获得物流方案的初始优先度;合成初始优先度与各项评价相应的修正系数,并通过规范化处理得到物流方案的优先度。通过一个实例验证所提方法。 后退锁位式RFID自适应多叉树防碰撞算法 针对无线射频识别技术系统中的标签碰撞问题,采用对碰撞位锁定的方法,提出了一种后退锁位式自适应多叉树防碰撞算法。在自适应多叉树防碰撞算法的基础上,通过碰撞锁位指令判断标签碰撞信息并将碰撞位信息提出来,结合后退式寻呼机制,在减少碰撞时隙的基础上,同时也减少了传输的数据量。仿真结果显示,该算法有更快的识别速度和更少的传输数据量。 一种抗强脉冲噪声干扰的火场人员视觉定位算法 研究火场人员准确定位问题。火场的情况复杂,烟雾和外界环境的干扰使得采集的火场图像包含大量的非连续性脉冲噪声,极易形成强脉冲干扰环境。传统的图像定位算法在强干扰的环境下,很难保留图像的边缘和细节,对非线性噪声的过滤有着明显的缺陷,噪声干扰使得人员图像边缘出现缺失,定位存在较大偏差。为提高定位准确性,提出了一种抗强脉冲噪声干扰的火场人员视觉定位算法。利用像素插值方法能够计算火场图像中的亚像素位移,从而得到火场图像像素形变程度。利用视觉定位方法,计算火场人员的空间位置参数,从而确定火场人员在标准坐标系统中的实际坐标。实验结果表明,提出的算法能够有效提高火场人员定位的准确性,有利于快速救出火场中的被困人员。 面向空间应用的数据对象存储与检索系统研究 针对当前标准对象存储系统的数据对象属性存储和检索方面的不足,结合空间应用数据对象特点,进行了对象属性设计,提出了一种基于HBase数据库的属性管理方法。该方法利用HBase数据库对数据对象及其属性进行集中管理,同时采用分段散列索引实现利用对象属性快速检索对象数据。测试结果表明,基于HBase数据库对象管理方法性能优于现有的属性管理方法,具有较高的对象属性检索效率。 旋翼空中机器人系统架构及设计 设计并验证了某型旋翼空中机器人的系统架构。整个空中机器人系统由直升机和地面站两部分组成。直升机是空中机器人的主体,可以自主飞行并完成指定任务。地面站用于监控无人直升机的飞行,并实现人机交互等多项功能。此外,地面站还可通过视觉导航系统引导直升机的自主着陆。直升机与地面站之间通过指令数字链路和视频模拟链路进行信息交互和实时通讯。经实际飞行验证,该空中机器人系统具有鲁棒和实时的特点,能实现直升机自主飞行和自主起降功能。 基于空间多尺度HOG特征的人脸表情识别方法 "传统HOG特征是一种有效的图像边缘信息描述符,但它忽略了局部特征之间的空间排列信息,针对此缺点,提出空间多尺度HOG模型。逐层将图像细化分成一系列不同尺度的子区域;采用改进HOG算子分别计算各个子区域的梯度方向直方图,按层按顺序将其连接,得到整幅图像的""空间多尺度""HOG特征。在JAFFE数据库上的实验结果表明,该算法在识别效果和运行时间方面优于HOG、LBP、Gabor等传统特征描述子,通过多尺度梯度方向准确描述了面部肌肉褶皱变化所蕴含的表情信息,是一种更有效的表情特征描述子。" 基于线性协方差分析法的轨道机动精度分析 研究航天器轨道优化控制问题,航天器进行轨道机动时由于各类误差因素的影响,实际轨迹会偏离标称轨迹。为分析机动时存在误差的主要因素,提出建立轨道机动精度的非线性分析模型,给出了协方差的传播方程。采用一个近地点施加脉冲速度的算例,利用线性协方差分析法研究了初始状态误差、脉冲时间误差和脉冲大小误差对轨道机动精度的影响,并利用Monte Carlo仿真验证正确性。最后设计了正交试验来分析各误差因素对精度影响的大小,证明脉冲速度误差的影响较大。仿真结果为轨道优化控制提供了依据。 基于Web Services的油井监控系统设计与实现 油井监控系统中的信息具有多样性、复杂性,为了解决不同信息、不同设备集成效率低的问题,使用Web Services技术将不同类型现场设备的数据接口以Web Service的形式发布,从而使远程监控终端与现场设备的数据交换变成了标准的Web Service方法调用;这种方法实现消除了不同类型现场设备接口的异构性,实现了设备集成的跨语言、跨平台特性,使得油井监控系统中温度、压力、流量以及视频监控等设备的集成变得容易;在局域网中、单个远程终端链接情况下,视频服务器速率达25fps,满足一般的监控要求。 基于关系数据库的模糊本体的存储方法 模糊本体被广泛用来描述语义Web上的模糊信息。如何对模糊本体进行有效的存储,逐渐成为语义Web领域一个重要的研究课题。鉴于关系数据库在数据组织与管理方面的优势,提出基于关系数据库的模糊本体的存储方法。首先,通过对RDF数据类型进行模糊扩展,给出模糊本体中模糊数据类型的表示方法;然后,提出了基于关系数据库的模糊本体的存储模式以及模糊本体的结构和实例在关系数据库中的存储方法;最后,证明了该存储方法的正确性。基于关系数据库的模糊本体的存储方法为语义Web中模糊数据的存储和管理提供了一个有效的解决方案。 基于指纹和智能卡的PKI双向认证系统 提出将指纹识别、智能卡和PKI技术相结合的认证方案,系统可实现双向认证功能,给出了详细的系统认证流程,并分析其安全性和可实现性. 小波模糊神经网络在非线性函数逼近中的应用 将前馈神经网络与T-S模糊模型相融合构造了一种模糊神经网络,进一步利用小波变换的压缩特性与模糊神经网络相结合构造出一种小波模糊神经网络模型,并应用在非线性函数逼近上。通过仿真,结果表明小波模糊神经网络是最优的。 基于可扩展路由体系的路由均衡存储转发模型 路由表的急剧扩张使得可扩展分布式路由器中线卡的硬件成本迅速增长。提出一种路由表的均衡存储转发模型RESD(Storage and Decomposition Model based on Even Routing),它属于非完全存储方式。RESD模型通过初始化分配将长度相邻的前缀尽可能地分配到相同或是相邻的线卡上,然后通过分段式分配均衡每个线卡上的路由数目,最后通过路由特征位信息表和定位路由来实现路由信息的查找。实验证明,该模型可以在路由表分解存储均衡的同时大大减少LPM匹配中各线卡的并行查找次数。RESD模型的设计和实施原型都是以真实的环境为基础的,因此,RESD模型与现有网络的体系结构具有良好的兼容性,易于实施。 反向插补的NURBS曲线前瞻插补算法 为了避免现有NURBS曲线前瞻插补算法在确定自适应减速起始点时不够准确而产生的减速距离大于实际需要距离的问题,防止插补出现低速运行区域,提出一种基于反向插补的减速点确定方法.在该方法中,前瞻算法从减速区域的终止点开始,采用S型加减速规划进行逆向插补,以确立逆向插补曲线与自适应插补曲线的交区间,将交区间的起始点作为自适应减速区间的起始点,并再次进行前瞻插补,得到理想的减速区域速度规划方案.仿真实验结果表明,文中算法有效地避免了插补过程中的低速运行现象,提高了插补效率. AOD炉炉衬风口侵蚀识别方法的研究 低碳铬铁合金冶炼(简称AOD冶炼)是一个复杂多变的物理化学反应过程,对炉衬的侵蚀时刻在进行,影响炉衬的使用寿命。因此,对炉衬侵蚀状态的检测、监控是非常必要的。在现有的检测方法中,很少有方法是对侵蚀面积进行直观体现的。基于此,设计了一种基于图像特征分析的AOD炉炉衬风口侵蚀识别方法,运用数字图像处理技术实现炉衬风口侵蚀面积的提取。该方法通过对采样图像进行图像增强,再进行高斯滤波处理,最后采用阈值处理、数学形态学方法来提取缺陷信息。为验证该方法的有效性,设计了模拟实验装置,用工业CCD摄像机获取炉衬样品检测的图像,进行缺陷识别处理。实验结果表明,系统检测图像能够明确反映损伤的面积信息,误差范围在3%之内。 基于差分演化的自适应参数控制蚁群算法 蚁群算法存在对参数的依赖、早熟和停滞等缺点但具有与其他算法容易结合的特点,据此,将差分演化算法应用到蚁群算法的参数选取中,提出一种改进的蚁群算法。将蚁群算法的参数作为差分演化算法解空间的向量元素,在自适应地寻找蚁群算法最优参数组合的同时求解问题的最优解。改进算法对蚁群算法中的参数进行自适应调整,可避免大量盲目的测试,扩大蚁群算法的搜索空间,提高全局搜索能力。在典型的旅行商问题上进行对比实验,结果验证了改进算法的优化性能高于传统的蚁群算法。 一种改进的颜色敏感图论着色算法 针对认知无线电频谱分配的公平性问题,提出一种改进的颜色敏感图论着色算法。该算法根据用户频谱效益生成与频谱分配相关的权重,通过该权重对颜色敏感的图论着色算法进行修正,保证频谱分配的公平性。仿真实验结果表明,改进算法网络总效益虽有所下降,但频谱使用的公平性有较大的改善。 CPU和DRAM加速任务划分方法:大数据处理中Hash Joins的加速实例 硬件加速器能够有效地提高当前计算机系统的能效.然而,传统的硬件加速器(如GPU,FPGA和定制的加速器)和内存是相互分离的,加速器和内存之间的数据移动难以避免,这使得如何降低加速器和内存之间数据移动的开销成为极具挑战性的问题.随着靠近数据的处理技术(near-dataprocessing)和3D堆叠DRAM的出现,我们能够将硬件加速器集成到3D堆叠DRAM中,使得数据移动的开销大大降低.然而,由于3D堆叠DRAM对面积、功耗和散热具有严格的限制,所以不可能将一个功能复杂的硬件加速器完整地集成到DRAM中.因此,在设计内存端的硬件加速器时,应该考虑将加速任务在CPU和加速器之间合理地进行划分.以加速大数据系统中的一个关键操作hash joins为例子,阐述了CPU和内存端加速任务划分的设计思想.以减少数据移动为出发点,设计了一个包含内存端定制加速器和处理器端SIMD加速单元的混合加速系统,并对应用进行分析,将加速任务划分到不同的加速器.其中,内存端的加速器用于加速数据移动受限的执行阶段,而处理器端SIMD加速单元则用于加速数据移动开销较低成本的执行阶段.实验结果表明:与英特尔的Haswell处理器和Xeon Phi相比,设计的混合加速系统的能效分别提升了47.52倍和19.81倍.此外,提出的以数据移动为驱动的方法很容易扩展于指导其他应用的加速设计. 基于多点模型和改进BFGS的人脸姿态估计 针对人脸姿态估计往往存在姿态解不稳定和不能唯一确定人脸三维空间姿态的缺陷,准确提取人脸特征点及进行相应特征点深度值估计后,以人脸的多个特征点建立人脸模型,并利用人脸特征点近似估计人脸姿态,通过改进的BFGS(Broyden-Fletch-er-Goldfarb-Shanno)算法精确估计三维人脸空间姿态。实验结果表明,该方法不仅可以获得稳定和唯一的3D人脸空间姿态,而且与同类方法比较具有良好的姿态估计精度。 基于UML的视频点播系统的设计要点分析 为了加快在线视频点播系统的信息化步伐,提高在线视频点播水平,建设和完善在线视频点播系统已经变得十分重要。论文旨在通过设计在线视频点播教育平台,借助UML(统一建模语言)语言的强大建模优势,从而将在线视频点播系统详细的建模分析过程完整展现出来。视频点播通过引用Ckplayer播放器接口,再结合Codeigniter框架整合到一块,采用B/S的体系结构,完成了视频点播的整体实现。提出了视频点播系统的具体设计与实现方案,并详细探讨了系统在设计与实现中所采用的相关技术与方法,让学习者可以更加深入地认识视频点播系统的基本原理和工作过程。 基于语义网和遗传算法的知识图形化展示研究 为提升领域知识认知、解读的效率,以现有技术为基础,进一步研究了知识的图形化展示方法。基于本体和语义网技术讨论了适用于图形化展示的知识模型和相应展示方案。针对知识图布图问题,基于遗传算法,研究了目标函数、对编码方案进行了优化、引入了优势解保留的精英解群,并改进了遗传策略。算例对比证明,该算法能够在减少交叉点的同时达到聚类目的,效率较高。以上研究的知识模型和布图算法可用于实际系统,并为类似研究提供参考。 多阶段共因失效任务系统可靠性仿真 在多阶段共因失效任务系统(PMS_CCF)中,阶段依赖性和共因失效增加了系统可靠性分析的复杂度。为此,提出一种基于蒙特卡洛方法的PMS_CCF仿真算法。通过建立显性故障树获得显性共因结构函数,利用抽样统计思想设计依赖性处理模块,并计算可靠性指标。算例分析结果表明,该算法与解析算法的结果相近,具有可行性。 面向E-learning系统的可定制多元化学生评价机制研究 E-learning系统在现代化教学方法中发挥着重要的教学辅助作用,而客观有效的学生评价机制是E-learning系统的重要组成部分。现有的评价方法多以单一的文化课成绩为中心分别记录和分析学生的单科成绩,因而不能记录和跟踪学生日常学习过程中不同方面的成绩和表现,并给出客观综合评价。针对一个实际的E-learning系统,提出一个基于PROMETHEE的多元化可定制学生评价方法。首先建立系统化的基本学生评价指标体系,从而在学生的日常学习和对E-learning系统的使用过程中根据这些指标收集和跟踪学生的学习进程和效果;为了提高所收集数据的准确性和可信度,随后给出一套用于修正原始数据的方法;最后,基于PROMETHEE实现对学生的多元化综合评价。通过具体的算例验证了方法的有效性。 基于OSP场景图结构的虚拟装配碰撞检测算法的研究与实现 快速、精确的碰撞检测对提高虚拟环境交互的真实性和增强虚拟环境的沉浸感有至关重要的作用,而虚拟装配环境的复杂性、实时性和精确性又对碰撞检测提出了更高的要求;为实现虚拟装配系统中交叉表面间的精确碰撞检测,提出一种基于OSP结构的虚拟现实碰撞检测方法;该结构第一层为对象节点,主要用于粗略的碰撞检测,第二层为表面节点,第三层为多面片节点,第二层和第三层主要用于精确的碰撞检测,采用层次的碰撞检测算法,以提高碰撞检测的实时性和精度;应用结果表明该方法能有效解决虚拟装配系统表面间的精确碰撞检测问题,满足系统实时交互的应用要求。 演化博弈框架下带奖惩的P2P激励机制研究 P2P系统中引入激励机制,主要为了促进节点之间相互服务,从而提升系统性能.现有的基于演化博弈的P2P激励机制,采用演化博弈的方法对P2P网络中的个体及交易建模,可以更真实反映个体行为变化的规律.然而完全按照交易历史的服务方式对经常服务的个体不够公平,对经常不提供服务的个体也过于宽容.本文在当前的激励机制中引入奖惩,通过仿真实验证明,这种带有奖惩的机制可以更好促进节点合作,也可以更快的使得系统达到最优平均收益. 基于SiGe-0.13 μm X波段高效率功率放大器 基于IBM SiGe 0.13μm BiCMOS工艺,设计了一个工作在超过BVceo的SiGe HBTs class E功放,在产生高的输出功率的同时又保持了比较高的功率附加效率.利用SiGe堆叠E类结构来增加整体的电压摆幅,每个管子都是工作在安全操作区域,同时电压是超过BVceo的,进一步加大了功放的输出功率.设计了级间匹配网络,既保持了输出级比较高的击穿电压,又兼顾了功放的性能.在10GHz工作频率下,功放的峰值PAE达到了47.4%,同时其输出功率达到21.43dBm. 一种基于模糊综合评价的IMS网络攻击后果评估方法 为定量认识IMS网络攻击的影响,提出了一种基于模糊综合评价的IMS网络攻击后果评估方法。通过分析可能的攻击流程建立了相应的攻击因素树,引入层次分析法计算评价因素的权值,然后利用模糊综合评价法对IMS的攻击后果进行综合评估。为了降低由单一模糊算子特点带来的评估偏差,选取了几种不同特点的算子分别进行评估,并取其均值为最终评价结果。实例验证了该评估方法能有效地区分不同攻击方式带来的攻击后果,能够为IMS网络的安全防护提供一定的参考。 基于组签名的RFID群组标签所有权转移方案 物联网环境下无线射频(RFID)标签具有明显的群组特性,对单标签所有权转移方案扩展设计出高安全、低成本的群组标签所有权转移方案是一个新的挑战。为此,设计一种基于组签名的RFID群组标签所有权转移方案,以轻量级低运算量为原则,利用自组合交叉位运算,生成完整组签名标识,授权认证通过后实现新旧所有权的安全转移。在提出通用可组合安全模型下,定义群组标签转移理想函数,证明得到所需安全目标,并对比相关方案,其结果表明,该方案满足标签低成本标准,具有更高的认证、转移效率。 基于反馈可信度的可信机会路由转发模型 传统Ad Hoc等先决路由机制不再适合无线Mesh网络。相反,基于后择路由机制的机会路由已经获得越来越多的应用。机会路由中的转发候选集可有效增加无线Mesh网络吞吐量和降低重传数;但是,机会路由也正遭受安全问题困扰。针对节点间的共谋攻击行为,提出一种基于反馈可信度的信任模型,并结合到机会路由中,防止共谋节点加入机会路由转发候选集。建立一种基于反馈可信度的可信机会路由转发模型(简称FCTOR)。仿真实验表明,该模型较经典的Ex OR协议可以有效抑制典型恶意节点,尤其面对共谋攻击行为时表现出良好的性能。 一种新形式的微粒群优化算法 提出了一种更为简化的微粒群算法.该算法用相位角的增量代替速度的增量,通过绘制相位角来确定微粒的位置.用这种新的微粒群算法对大学生综合素质测评体系的权重模型进行优化,经与标准微粒群算法进行比较,证明该算法更容易实现,并且具有更好的全局搜索能力. 基于可见光图像估计黑色素分布的光学快捷算法 黑色素是人体皮肤中最为重要的一种色素,目前常用的非入侵式估计方法大多以光谱仪为基础,其价格非常高昂,限制了该类方法的应用。为此,提出一种由可见光图像估计黑色素分布的快捷算法。以光学和皮肤生理学为基础,分析皮肤颜色的形成过程并用Elman神经网络模拟该逆模型,从而获得与颜色值对应的黑色素分布。在不同光照及相机拍摄条件下的皮肤图像中进行实验,结果表明,所提算法比其他同类算法的估计结果更准确,可以帮助医学工作者实现非正常黑色素分布的快捷诊断。 基于模糊粗糙集的二维延伸性汽车产品保证成本数据挖掘 为了挖掘二维延伸性产品保证成本决策规则且鉴于数据挖掘是大规模制造企业产品保证成本控制的有效手段,基于模糊粗糙集理论,建立了二维延伸性汽车产品保证成本数据挖掘模型,挖掘二维延伸性汽车产品保证成本的不协调信息系统决策规则。研究结果显示,该模型可以对二维延伸性汽车产品保证成本不协调决策信息系统进行属性约简,并挖掘决策规则。该研究结论可以为汽车制造企业或汽车研究中心未来制定二维延伸性汽车产品保证成本控制策略及面向不同产品使用率人群的二维延伸性产品保证策略设计提供重要参考。 因素空间理论与知识表示的数学框架——突变型标准综合函数的性质与构造 综合函数作为因素状态合成的工具,在多准则Fuzzy决策、综合程度估计以及多因素Fuzzy决策当中都得到了成功的应用。李洪兴详细研究了可加型标准综合函数,在此基础上,研究了非可加型标准综合函数,即突变型标准综合函数的公理化定义,以及性质和构造。 Ad hoc网络结构抗毁性研究 抗毁性是移动自组织网(Ad hoc网络)拓扑结构的重要属性,对Ad hoc网络完成信息传输任务有着重要作用。文中明确Ad hoc网络结构抗毁性的定义、指标及模型,指出Ad hoc网络结构抗毁性与功率控制的关系,然后分别从同构功率控制和异构功率控制两方面介绍了目前Ad hoc网络结构抗毁性的研究工作,并加以比较和分析,同时指出这些工作存在的不足。最后分析和总结了Ad hoc网络结构抗毁性研究现状中存在的问题、需要进一步研究的内容和发展趋势。 基于UDP的可靠数据传输协议仿真研究 传统TCP协议在现代高速网络中的数据传输变的低效,具有不同往返时延的TCP数据流在竞争瓶颈带宽时将引发带宽不公平分配问题。基于UDP的可靠数据传输协议(UDP-based Data Transfer Protocol,UDT)是在UDP之上增加了可靠性和拥塞控制机制,适合于高速网络下的大量数据传输,具有很高的公平性。通过NS2仿真平台,改变瓶颈带宽、时延等形成不同的网络环境,在效率、公平、稳定等UDT目标性能上同传统TCP进行比较研究,结果显示UDT在高速网络环境下具有比传统TCP更优的传输性能。 多源信息融合的环境舒适度检测与评价 为了能够实现对室内环境的监测和舒适度的调节,首先需要获取准确、及时的室内环境参数;针对目前室内环境监测系统存在自动化程度低、检测点部署欠灵活,安装维护困难,监控难度大等问题,设计开发了一种基于无线传感网络的室内环境监测系统;以ZigBee技术为核心,构建无线传感器网络,自动采集和传输室内相关环境参数;通过结合物联网云平台,实现远程监控和报警;运用模糊综合评价的方法,通过统计、分析、计算,得到符合实际的室内环境舒适度水平;本系统通过硬件设计降低了室内环境监测成本,通过软件设计提高了系统的监测水平和灵活性,通过结合模糊综合评价方法和物联网云平台降低了监控难度;经实验,验证了本系统在实际环境下的使用性能,适合推广应用。 基于局部线性嵌入算法的流量矩阵流形结构分析 利用经典流形学习算法研究流量矩阵中的流形结构,能够获得流量矩阵的本征维度。然而局部线性嵌入(LLE)算法依赖于近邻点的选取,传统近邻选取个数往往通过实验试凑法得到最优解,不能完全揭示流量矩阵的流形结构。针对上述缺点,提出一种改进的局部线性嵌入算法,该算法利用改进的LLE算法探索流量矩阵的流形结构,并对实际骨干网络中的流量矩阵进行分析。实验结果证明,改进算法具有较小的重构误差,相对于标准LLE算法,能更为准确地揭示流量矩阵的低维流形结构。 启发式搜索在数学智能解题系统中的应用研究 在人工智能领域,对问题求解的方法都需要某种对解答的搜索,即为一个搜索过程。文中论述的数学智能辅导系统采用了与或树知识表示方法,也可称为问题规约法。它把初始问题通过一系列变换最终变为一个子问题集合,而这些子问题的解可以直接得到,从而解答了初始问题。系统使用以推理深度作估价函数的启发式搜索,使得问题的求解更加有效与合理。论述了采用启发式搜索的必要性及可行性。对比了采用启发式搜索前后,系统解题合理性得到很大提高。 膝关节镜手术规划及训练系统 完善的术前规划有助于降低手术风险、改善病人的预后。面向微创手术术前规划的需求,提出一种广义腔体的建模方法;为了获取高层次的语义信息、实现对腔体形状的理解,提出一种抽象形状的语义分割算法,并定义子腔体网络用于存储语义信息。利用微创手术腔体空间分析与理解的研究成果,针对膝关节镜手术术前规划的需求,设计并完成膝关节镜手术规划及训练系统的开发工作。最后以多发性游离体摘除术为例,验证系统的功能和效果。该文有利于推动微创手术朝智能化、精准化和个性化方向发展。 基于OpenFlow的软件定义网络虚拟化方案 软件定义网络(SDN)可以将网络控制平面与数据平面分离开来,为网络虚拟化提供了良好的平台。为了解决SDN中多租户下的虚拟化,提出了一种基于OpenFlow的网络虚拟化方案。通过一个中间代理来转换并匹配物理MAC地址与虚拟MAC地址,以及物理流表项和虚拟流表项,以此实现流量空间的虚拟化。其中,根据实际数据包的惰性计算,使用前缀或通配符来精确匹配流表项。另外,为了保障物理OpenFlow网络上不同租户之间的隔离,将单个虚拟MAC-通配符流表项映射为多个具有精确MAC地址的物理流表项。实验结果表明,该方案成功地实现了网络虚拟化,且虚拟化开销较小,具有可行性。 基于攻击检测的网络安全风险评估方法 为了实时评估网络安全风险,建立了用于描述主机安全状态的隐马尔可夫模型,以入侵检测系统的报警信息作为模型输入,计算主机处于被攻击状态的概率。针对攻击报警,提出了一种新的攻击成功概率计算方法,然后结合攻击威胁度计算主机节点的风险指数。最后利用主机节点重要性权重与节点风险指数量化计算网络风险。实例分析表明,该方法能够动态绘制网络安全风险态势曲线,有利于指导安全管理员及时调整安全策略。 Snake模型的研究进展 为进一步理解Snake模型,首先以归类方式系统地总结了Snake模型的各种改进模型及其最新应用状况;在此基础上,讨论了Snake模型的研究难点和发展趋势。分析结果表明,虽然Snake各种改进模型和基本Snake模型有较大的差异,但其研究思想一脉相承。此外,可针对各种改进模型的优缺点将其应用到不同场景中。 非线性系统辨识方法研究 讨论了利用小波神经网络对非线性系统辨识的新方法。在辨识过程中,为了提高小波神经网络对非线性系统的辨识性能,使用一种改进粒子群优化算法对BP小波神经网络参数进行训练,求得最优值,达到对非线性系统辨识目的。在数值仿真中,与采用标准粒子群优化算法相比,结果显示了提出的方法在收敛性和稳定性等方面均得到了明显的改善。 基于Movielens电影数据的可视分析 目前,随着电影数据逐渐被人们获取,关于电影数据的研究可以给人们带来很多启发。分析电影流派的演变规律,可以为导演提供电影题材建议;分析经济和电影之间的关系,可以找到电影演变的原因;研究高评分电影在时间上的规律,可以指导导演选择电影的上映时间。但是,由于电影包含电影名称、所属流派、评分等多重属性,一般的研究方法不足以发现并直观地呈现电影数据隐含的规律。用可视化与可视分析的方法分析电影数据,设计了一系列相互关联的可视化视图,从多个时间尺度角度分析电影流派的时间演变,通过增长率曲线图研究电影数量和经济的相关关系,并设计饼图集来发现高评分电影在时间、流派上的规律。 一种高能效的无线传感器网络模型 在分析集中式体系结构和分布式动态体系结构基础上,提出了一种提高目标跟踪无线传感器网络使用寿命的模型,该模型是一个静态簇型架构,由异构传感器组成,传感集群中的每个成员节点采用两种运作模式:工作模式和睡眠模式。结果表明,所提出的架构比集中式系统消耗更少能源,而且使用寿命更长。 FlexRay总线在电池储能系统中的应用 当前大规模储能电池管理系统内部通信总线普遍采用CAN总线,使用Flex Ray总线作为其内部总线替代传统的CAN总线,能较好地满足大规模电池储能系统(BESS)内部通信的要求。分析CAN总线用于大规模储能电池管理系统存在的不足以及Flex Ray总线的优势。在BESS通信架构的基础上,介绍储能电池管理系统的通信架构,讨论Flex Ray总线在大规模储能电池管理系统中的应用及主要方案。运用Network Designer与CANoe.Flex Ray仿真验证Flex Ray通信系统在大规模储能电池管理系统中应用的可行性,结果表明,与CAN总线相比,Flex Ray总线用于储能电池管理系统中可以取得更好的效果。 速度知觉测试软件的开发与应用 为了更加准确高效地测量人的速度知觉能力,设计开发了一款速度知觉测试软件。该软件基于速度知觉测试心理学范式,利用C++语言开发而成,具有参数设置灵活,测试结果相对稳定等特点。选取16名受试者参加速度知觉测试与模拟人控交会对接实验,相关分析结果显示受试者速度估计偏差与其人控交会对接过程绩效有显著的正相关。研究结果表明,所开发的速度知觉测试软件能较为敏感地测量人的速度知觉能力;人的速度知觉能力很可能会影响人控交会对接任务的绩效表现。 基于自组织映射的安卓恶意软件分析研究 随着Android系统日益广泛的应用,其安全性也成为关注的焦点。由于Android系统的开放性,Android恶意软件也与日俱增。分析Android恶意软件,了解恶意行为,对恶意软件检测具有重要意义。文中首先总结了所收集的1 260个恶意样本中表现的恶意行为;然后提取这些恶意样本请求的权限信息与声明的Action信息作为训练的特征向量,采用自组织映射神经网络算法对Android恶意软件进行聚类分析;利用U-matrix算法的热色图分析不同恶意Android应用之间的联系;利用组件平面图分析了恶意软件与单一特征之间的关系,了解特征参数与恶意行为的相关性。最后总结归纳了不同恶意行为频繁使用的权限与Action特征。分析结果表明,文中所提方法能够有效了解恶意行为的敏感特征信息组合,可为进一步的恶意Android应用检测提供依据。 基于CP-ABE访问控制系统的设计与实现 在访问控制中,传统公钥加密由于其需要对接收群体的每个成员用其公钥加密,再分发,因此需要获取接收群体中每个成员的身份。但是,在分布式应用中,却难以一次获取接收群体的规模与成员身份。如果列举用户身份,则会损害用户隐私。基于密文策略的属性加密体制(CP-ABE),由于其广播式的、授权人通过满足某些条件就能确定的特点,避免了由于必须获取这些信息而引出的数据安全问题。于是,文中给出了一种基于CP-ABE的混合加密访问控制系统的设计与实现方案。通过实际项目的成功应用,证明了该方案的可行性与优越性。 二维空间上基于呼叫详细记录数据的用户移动性分析 针对当前基于呼叫详细记录(CDR)研究用户移动性主要局限于移动距离、回旋半径等一维尺度,且该尺度不能准确描述移动范围的问题,提出在二维空间上用覆盖移动轨迹的凸包面积来描述用户的移动范围,用群体移动向量分析人群的移动行为。首先,设计了一种基于经纬度建立二维平面笛卡尔坐标系的方法。该方法结合墨卡托投影与半正矢公式得到散点间方位与距离,并依此确立散点在平面坐标系的坐标;然后,基于该坐标系计算了覆盖用户一天内移动轨迹的凸包及其面积,并统计其分布;最后,对所有用户的移动向量分时段作累积,分析群体移动向量在一天内的变化。实验结果表明,在180 km范围内,新坐标所计算的方位角与由墨卡托投影下确定的结果平均偏差0.037°,点间距离与由半正矢公式得到的结果平均偏差0.102%,可以很好地保持散点间的方位与距离关系。覆盖移动轨迹的凸包面积满足幂律分布,并与移动距离有较高的相关性。群体移动向量的变化展现了人群移动的潮汐现象,而且为发掘用户所在区域与周边地区的联系提供新的视角。 基于小波变换的ROI图像压缩改进算法研究 "小波变换作为一种多分辨率分析方法,具有良好的空频局部特性,特别适合按照人类视觉系统特性设计图像压缩编码方案。基于小波变换的嵌入式编码算法能够有效地应用于基于感兴趣区域(ROI)的图像压缩与渐进传输。为了进一步提高效率并改善图像压缩传输的效果,本文在该算法的基础上提出了两点改进:(1)计算感兴趣区域模板时,将数学上的""排列组合""思想应用于传统的回溯法,使计算过程更加简单;(2)在对小波系数嵌入式编码时,牺牲图像背景区域的细节系数以获取感兴趣区域更高的解码质量。最后给出了改进算法的部分实验结果,证明了改进算法的有效性。" 海底混响的空时模型及仿真 研究海底混响特性问题,海底混响是声纳设备在浅海海域进行水下探测、通信和导航中遇到的主要干扰信号。为了有效识别水中混响特征,提出了一种海底混响模型,用仿真软件为实现抗混响提供较完整的依据。采用划分散射单元,得到包含相位信息、多普勒频移信息等主要影响混响形成具有空时特性的海底混响模型,能广泛适用于各种阵列,并推导了任意阵型的阵列信号输出模型,采用带通采样技术在matlab上进行仿真,结果表明,实现了混响模型的瞬时值和包络值的概率密度分布、频谱、空时特性等。表明了仿真特性能够为抗混响研究提供依据。 云计算环境下基于可靠性感知的任务调度算法 针对云计算环境下并行任务易受资源失效的影响而无法完成,且动态提供云资源可靠性较低的问题,首先,引入失效恢复机制,由于在失效可恢复情况下资源失效规律动态变化,使用两参数Weibull分布对不同时段资源节点和通信链路失效规律的局部特征进行描述;然后,根据并行任务之间存在的各类交互关系分析,提出了一种基于变参数失效规则的资源可靠性评估模型;最后,将该模型并入粒子群算法得到基于可靠性感知的自适应惯性权重粒子群资源调度算法R-PSO,从而在计算适应度时充分考虑备选资源的可靠程度。仿真实验结果表明,当选择了合适的失效恢复参数时,提出的R-PSO算法能够大幅度提高云服务可靠性,且只会增加少量的额外失效恢复开销。 面向大规模金融对账文件的近似比对模型及系统 针对TB级的大规模金融对账文件的近似比对问题,本文深入分析了金融对账文件的特点,以提升比对速度作为研究目标,提出了一种多层次的近似比对模型—Up Compare模型.Up Compare模型以多进程为扩展基础,采用哈希索引建立映射表结合快速致胜策略为核心算法.测试结果表明,运用Up Compare模型,我国银行卡清算系统的每日清算文件近似比对效率提升了5倍以上. 应用WCF分布式框架实现移动数据同步 远程数据访问及合并复制同步方式存在数据安全性及不适合复杂网络环境的问题,而同步服务通过组件重构的方式实现数据同步,因此可以方便地将服务端同步组件和客户端同步组件分别部署。通过使用WCF封装服务端同步组件,可以使得服务端同步组件单独作为应用程序服务层为所有的客户端提供同步服务,从而解决了数据安全及复杂网络环境问题。可以自定义服务端同步适配器实现服务端和客户端之间的增量数据同步,利用同步服务冲突管理机制,又可以采用服务端优先或客户端优先方式解决数据冲突,从而给出一个完善的基于分布式框架的移动数据同步解决方案。 一种基于多核DSP的Turbo译码实现方法 随着无线通信系统传输速率的不断提高,采用单核DSP进行数字基带处理的系统已经不能满足日益增加的复杂度及实时性要求.基于LTE-A系统的Turbo译码原理,设计了一种Turbo译码在TMS320C6670多核DSP中的实现方法,并对处理结果进行验证,比较分析了同平台下的多核协处理器与单核处理器的运行效率.测试结果表明,所提出的TCP3d译码实现方法的处理性能远高于传统的单核DSP.此方法具有较高的工程应用价值,并对其他多核DSP的此类应用开发具有一定的参考意义. 海面风场数据时空融合方法研究 为满足HY-2A卫星全球海面风场业务化的需求,解决单星数据覆盖范围不足的问题,提出利用时空Kriging算法实现多源星载微波散射计海面风场融合的方法。从散射计海面风场数据的特征和规律出发,将空间Kriging插值算法拓展到时空域,构建了新的时空变异函数,实现了多源散射计海面风场数据的时空融合。以中国东部的部分海域作为研究区,选取了SCAT/HY-2A和ASCAT/Met Op-A海面风场数据进行实验,并采用NCEP风场数据进行对比验证。结果表明,时空Kriging海面风场融合算法能够获得时空连续的海面风场信息,精度优于基于空间Kriging插值的算法。 软件缺陷度量与软件过程管理方法研究 软件能力成熟度模型第4级中要求在项目中定量管理,建立组织级过程性能,构成完整的量化管理,采用统计或其它定量方法管理软件过程,并通过对过程中出现的方法,技术等问题进行因果分析和寻找解决方案[1]。在仔细研究了现有的缺陷度量分类方法和分析指标后,提出了一个基于缺陷度量与分析的软件过程改进模型。应用该模型可以设计缺陷数据管理系统。 复杂网络中基于三角环吸引子的社区检测 针对复杂网络社区检测过程复杂、时间复杂度高的问题,根据节点间三角环数量关系,设计一种基于三角环吸引子的社区检测算法。从任意一个节点开始,将一个节点的三角环吸引子中的最大节点划分到同一个社区中,直到所有节点均被访问,将整个网络划分为多个社区。通过确定一个社区数量的门限阈值,将划分社区进行优化直至社区个数为确定的门限阈值个数。实验结果表明,该算法的时间复杂度低,能较好地划分出真实网络和benchmark网络的社区结构。 一种中继节点上的网络-信道编码联合设计方案 网络编码技术由于其能提高网络吞吐量、具有较好的鲁棒性、能有效提高中继节点转发效率等优点成为目前广泛关注的研究热点。在中继节点上,采用网络-信道编码联合设计方案能进一步提高系统的误码性能。基于Razaghi等人研究的两种双层LDPC码(Bilayer LDPC,分为删余型和延长型),设计了一种在中继节点上联合设计的网络双层LDPC延长码(Network Bilayer Lengthened LDPC,NBL-LDPC)。给出了此码的编译码过程,并针对此码,对高斯密度进化算法进行改进,计算误码率并完成误码性能仿真。仿真结果表明,所提出的编码方案性能要优于利用双层LDPC删余码设计的网络双层LDPC删余码(Network Bilayer Expurgated LDPC,NBE-LDPC)。 虚拟机资源概率配置的云计算SEFFD算法 为增强虚拟机资源分配过程性能,有效解决云计算环境下虚拟资源分配的NP-hard问题,利用模拟进化算法结合首次下降算法构建虚拟资源分配优化过程(SEFFD)。首先,构建全新的虚拟资源分配的评估方式,并结合模拟进化过程较强的算法寻优爬坡效果,采用迭代方式实现虚拟资源分配过程的个体选择、评估以及排序进化;其次,以模拟进化(SE)过程所获得资源分配结果为基础,结合首次下降(FFD)算法准则,实现物理主机及虚拟机资源的二次分配,从而获得资源分配效果和效率的同步提升;最后,利用Clound Sim及Gridbus云计算仿真平台对算法性能进行对比测试,实验结果表明所提策略的内存利用率高于60%,处理器利用率大于55%,可有效减少所需物理主机数量,从而降低能耗。 高度机动目标的异步多传感器偏差估计算法 异步多传感器偏差估计问题是数据融合系统中的常见问题。在已有算法中,由于忽略了伪量测方程中的加速度项,在高度机动目标条件下的偏差估计可能是有偏的,为此提出了一种针对高度机动目标的异步多传感器偏差估计算法。该算法在构造伪量测差分矢量时通过反求最适的伪量测同步时刻,可以建立无加速度项的伪量测方程,并通过Kalman滤波对系统偏差进行估计。仿真结果表明,在目标高度机动的情况下,该算法的估计精度优于已有的算法。 改进型WLD与LBP特征融合的行人检测 为提高行人检测的识别率,提出一种基于改进型韦伯局部描述子(WLD)和局部二元模式(LBP)的特征融合方法进行行人检测。对图像进行二维离散Haar小波变换得到4个不同频率的子图像,对其中1个低频部分提取WLD特征,对3个高频部分提取LBP特征,并将各个子图像的特征串接为1个向量,得到WLD-LBP特征。在INRIA Person数据集上利用SVM作为分类器进行测试,实验结果表明,与单独WLD特征、梯度方向直方图(HOG)特征、PHOG特征以及HOG-LBP特征融合方法相比,该方法的识别率最高,可达98.1%,并且对光照和噪声也有较好的鲁棒性。 基于WDF过滤驱动的USB存储设备监控系统 WDF是微软推出的下一代驱动程序开发模型,它所提供的KMDF框架为内核模式驱动开发提供了一个面向对象、事件驱动的开发框架。本文深入研究了WDF驱动模型和过滤器驱动技术,设计和实现了一个USB存储设备监控系统。测试表明,系统可以在多操作系统的内网环境下,有效地监控USB存储设备的使用。 基于MVC的Observer开发模式的扩展及应用 首先讨论了MVC设计模式的特点,对Observer模式进行适当扩展,抽象出用于显示逻辑的表现层,增强了代码的可重用性,降低了耦合度。然后利用此扩展的Observer模式完成防撞预警系统的架构设计。 高安全性人脸识别系统中的唇语识别算法研究 针对目前人脸识别系统面临的图片和视频攻击,构建了一种将人脸识别与口令密码相结合,并采用唇语识别技术进行活体检测的高安全性身份认证系统。首先由于汉语唇语数据的缺少,建立了CNLIP1和CNLIP2两个较大的汉语唇语数据库;其次,为了保留唇语的时序性,采用堆叠卷积独立子空间分析(ISA)深度神经网络模型来实现唇动时序特征的提取;最后提出使用迁移学习算法来训练特定人唇语识别模型。实验证明,唇动时序特征能更好地表征出数字串唇语,迁移学习训练的特定人唇语模型能够满足活体检测的需要,所构建的高安全性人脸识别系统具有较好的防攻击效果。 面向近亚阈值的标准单元库设计方法 由于商用标准单元无法支持在极低电压下工作,为使数字电路能够工作其最优能耗点,采用smic130nm CMOS工艺,对近/亚阈值电压下标准单元库的设计方法展开研究,定制了近/亚阈值的标准单元库.测试结果显示,所有库单元能够正常工作的电源电压低于90mV.为了进一步验证定制标准单元库的稳定性,我们提出了一个4×8的FIR滤波器,测试芯片选择了130nm的CMOS工艺进行流片,测试结果显示时钟频率为100 Hz时,最低能耗点的工作电压为0.25V,能耗是150nJ/cycle,芯片的最低工作电压为0.18V. 基于逆向清理的实时异构数据整合模型研究 为解决异构数据整合过程中数据源本身的质量及目标数据的实时更新问题,在适配器、XML和逆向清理等技术的基础上,提出一种基于逆向清理的异构数据整合模型。从两方面对异构数据进行处理,一方面利用实时线程对新增或修改的原始数据进行抽取、清洗并保存,达到数据的实时更新,另一方面利用平台上或整合后的有效数据,采用逆向清理过程反向修复原始数据中的错误和缺失。实验结果证明,该模型能同时提高原始数据和目标数据的质量。 光学观测中月球夹角计算的原理与实现 对光学观测中高精度的月球视位置计算方法及月球夹角计算问题进行了研究。首先给出月球夹角的定义及计算公式,然后提出了改进的高精度月球视位置计算方法,对章动、视差、大气折射的影响进行了分析,最后给出了实用的月球夹角计算算法。利用实际测量的月球视位置数据对改进前后月球视位置计算方法的精度进行了验证,结果表明改进后算法的高度角平均误差从53. 46’降低到-1. 14’,方位角计算精度也有一定的提升,能够满足光学观测中月球光照影响分析的需求。利用月球夹角计算算法给出了特定时间特定地点的月球夹角仿真结果,证明了上述算法的实用性。 基于几何差异的目标识别算法 为降低目标识别算法复杂性且提高其抗噪能力,提出一种基于几何特征差异的目标识别算法;将获取到的目标图片经图像处理后提取轮廓,并以最小周长多边形算法构造目标轮廓的近似多边形;然后根据模板库标准目标做放大或缩小处理后使其面积与模板面积相等;再使用摆放算法使其与模板库图形部分重合;并提出一种改进型双向链表算法求多边形相交部分,通过计算相交部分面积大小达到识别图像的目的;经过仿真实验验证了此方法简单易行,能够快速识别目标。 基于时空域相关性的屏幕内容帧间快速编码算法 针对屏幕内容视频帧间编码的高复杂度问题,提出了一种基于时空域特性的帧间快速编码算法。首先,根据运动静止检测算法将待编码帧分为静止帧和运动帧;然后,对运动和静止帧分别采用不同的编码策略。对于静止帧,在统计分析时域对应编码单元(CU)分割深度和预测模式的基础上,确定CU最佳分割深度和最优预测模式。对于运动帧中的静止最大编码单元(LCU),利用时域相关特性提前终止CU分割,模式选取则只针对大尺寸模式进行预测;对于运动帧中的运动LCU,根据其相邻LCU的运动静止特性确定CU分割深度以及预测模式。实验结果表明,所提算法相比原始编码平台,在BDBR平均上升3.65%的情况下,编码时间平均节省46.40%。所提算法在率失真性能损失可接受的前提下,有效地降低了屏幕内容视频帧间编码复杂度,有利于屏幕内容视频的实时应用。 基于概念设计思维模型的计算机辅助创新设计流程 "为激发设计者的创新思维,从认知的角度出发,探索""分析—综合—评估""进程模型、""宽度—深度优先—机会主义""设计策略和""发散—收敛""思维模式之间的内部关系:设计者的思维模式推动设计实践遵循设计进程,以设计策略为实施原则持续进行,由此建立了一个设计思维模型。利用认知实验和linkography数据分析方法验证了模型的有效性。基于该设计思维模型,遵循设计进程的思维特性和设计策略选择偏好原则,组织和推送支持计算机辅助创新设计的系统资源,建立符合设计思维规律、以启发设计者内在思维机制为目的的计算机辅助创新设计流程,为构建高效的计算机辅助创新系统提供理论基础。" 基于自动筛选技术的广义有序统计类CFAR检测性能分析 通过仿真实现了自动筛选技术的广义有序统计(GOS)类CFAR,并与经典CFAR检测器进行检测性能比较。并基于雷达对海探测实测数据,在数据预处理基础上对GOS类CFAR算法的检测性能进行验证与分析。 基于FARIMA模型的无线网络状态融合方法 为了解决无线网络传输过程中受噪音干扰的问题,提出了一种新的信号状态融合算法(signal fusion based onwavelet transform and date association,SFDF)。该算法针对小波变换和卡尔曼滤波刻画的缺陷,利用数据关联和FARIMA模型对信号进行了有效融合,并设计长相关信号的算法流程。同时以分形布朗运动(fractional Brownian motion,FBM)模型产生实验数据,深入研究了信号状态与干扰因素之间的关系。实验结果表明,与以往算法相比,SFDF更加具有适应性。 结冰对飞行特性的影响及仿真研究 研究结冰对飞机飞行特性影响问题,由于飞机结冰会导致操纵性下降,影响飞行的安全性。现有的结冰模型较复杂,需要测量大量参数。为解决上述问题,提出了一种简单可用的结冰参数模型,代入飞机小扰动方程并加入系数仿真结冰问题,计算结冰前后纵向、横向阶跃输入下的飞机动态响应及结冰条件下飞机失速情况,得到的结果与真实结冰条件下飞机响应一致,证明提出的结冰模型计算方法简单、物理概念明确,避免了很多大气参数和飞机结构参数的测量,研究表明结冰模型能合理地模拟结冰对飞机特性的影响趋势,对于结冰影响的仿真结果可为飞机结冰试飞提供理论依据及数据支持。 基于ATML的ATS系统中测试通道搜索技术研究 研究了基于ATML的标准描述在测试通道搜索中的应用,提出利用ATML的关键信息搜索测试通道的方法;利用矩阵工具对测试通道中开关模块进行了建模,并深入研究了由开关模块级联形成的开关系统的模型建立方法;该模型实现了测试路径自动搜索并判断出具体闭合哪个开关才能实现测试通道导通,使开发人员编写的测试程序可以完全与测试路径硬件无关,提高了测试程序的可移植性。 一种双频段有源频率选择表面的设计 在频率选择表面问题的研究中,当传统FSS结构成型后,其工作带宽和谐振频率等参数固定,不能适应外部电磁环境的变化。为解决上述问题,设计了一种有源FSS结构,在传统FSS中加载PIN管或变容二极管等有源器件,通过调节器件的偏置电压或电流来改变FSS的电磁特性;运用等效电路法分析了有源FSS结构的传输线模型,利用有限元软件HFSS研究了不同加载方式下,该结构的电磁响应变化;通过有源FSS结构单元与传统FSS结构单元的交替排列,实现了具有双频性质的FSS结构。研究结果表明FSS加载电容时,谐振频率降低,且加载电容值越大,谐振频率越低,带宽越小;FSS加载电感时,谐振频率增大,且加载电感值越小,谐振频率越高,带宽越大。结果表明,为在实际应用中达到带宽优化的效果,应尽可能加载较小的电容与电感。 基于多向测度和属性相似度的混合协同过滤 传统协同过滤算法中相似性度量方法存在度量不准确,不能如实反映信息的问题,导致推荐质量不高。针对这一问题,提出一种新的基于多向测度和项目属性的相似性计算方法。利用多向测度方法计算用户对项目的评分相似性,同时结合项目属性计算用户对项目属性的偏好相似度,通过加权因子得到用户间的最终相似性。实验结果表明该方法较传统方法显著提高了推荐精度。 基于分解组合法的三维景观网络共享 "各地的数字城市建设积累了大量城镇区域的三维景观数据,但因为采用的标准规范、技术路线不同,之间不能互相共享和应用而成为""信息孤岛""。针对社会、公众三维景观网络在线共享的需求,分析三维景观数据内容、数据组织等标准规范和共享要求,比较二维、三维地理信息数据共享模式和集成机制,研究三维景观在线发布技术,提出基于分解组合法的跨地区三维景观在线共享的基本思路和技术路线,通过将三维信息在服务端分解为地形、影像、模型等组成单元,利用标准的地理信息服务发布,在客户端利用HTML5实现三维信息的组合和表达。通过省、市、县三级的共享实验表明,该方法对现有三维景观成果进行少量的改造就能够有效地实现共享。" 区域排水泵站的优化调度有色Petri网模型 针对城镇排水系统区域泵站调度及其可视化仿真问题,利用模糊控制思想,提出一种以流量进出平衡为基点的区域泵站调度优化策略,依据有色Petri网(CPN)理论,结合排水调度优化过程,建立区域泵站优化调度CPN模型。CPN Tools软件仿真结果表明,该模型动态运行过程符合排水调度控制规律及状态空间分析结果,能实现区域泵站调度动态运行过程的参数可视化。 基于微分同胚的鲁棒激活函数的极端学习机 在实际问题中数据的不规则分布、带有噪声以及离群点,都严重影响了极端学习机算法的分类准确率。针对这些问题,深入分析不同激活函数的特性,提出了一种基于角度优化和微分同胚理论的鲁棒激活函数(robust activation function)。该鲁棒激活函数通过角度优化及微分同胚揭示数据的内在流形,从理论上证明了中心化样本长度与其偏离主空间角度为子空间偏离的主要因素,进而解决了噪声造成的主空间偏离问题,并且可尽量避免激活函数的输出值趋于零的情况。实验结果表明提出的激活函数优于其他的激活函数。 基于软件自动修复评估缺陷定位技术的工具:GenProg-FL 虽然缺陷定位技术和软件错误自动修复技术已经得到一定的发展,但是软件的修复工作仍然需要程序员投入大量的时间和精力。大多数开发者仍然使用传统调试技术(例如断点)来进行手工的调试,缺陷定位技术的研究成果并没有较好地运用到实际的修复工作中。近来,软件错误自动修复技术得到了快速的发展和广泛的关注。在软件错误自动修复工作中,利用缺陷定位技术自动定位错误代码是必需的,而定位的精度直接影响到补丁的生成,从而对修复的效果产生较大的影响。GenProg-FL工具可以接受不同的缺陷定位技术去自动修复故障程序。同样,使用GenProg-FL可以从软件自动修复的角度评估现有的基于程序谱的缺陷定位技术定位的有效性。 基于K-Means聚类的瓦斯浓度预测 提出一种基于K-Means聚类的非线性时间序列预测模型。利用混沌时间序列短期可以预测的特点,对选取的某两处煤矿构建了瓦斯浓度预测模型。采用关联积分方法确定相空间时间延迟τ和相空间嵌入维数m。然后在重构相空间中,运用基于K-Means聚类的加权一阶局域法构建煤矿瓦斯浓度的预测模型。结果表明:在预测间隔1 min的数据时,使用200个连续的数据进行训练,预测效果较好,误差达到最小值0.0341;在预测间隔多分钟的数据时,使用200个15 min间隔的数据进行训练,预测效果较好,误差达到最小值0.0437,可见该瓦斯浓度时序在间隔15 min后又恢复了初始的混沌性。 一种低复杂度低码率视频压缩方案 以H.264视频编码的低复杂度低码率应用为研究对象,分别就编码中关键部分如DCT变换量化模块、预测编码模块、降噪及去块效应等进行深入分析、理论研究和实验仿真,给出实现方案。主要有降低编码复杂度的全零DCT系数块预判、运动估计及模式选择早结束、自适应降噪去块效应以提高图像质量的预处理等。仿真结果表明,该方案达到了低码率低复杂度高质量视频压缩的目的。 自适应缓冲控制的移动机器人分布式多跳路由算法 针对移动机器人平台下60 GHz无线信号太弱而无法存在于高衰减的长距离或非直线环境的问题,提出了一种基于自适应缓冲控制的分布式鲁棒多跳路由算法。该算法的核心思想是通过求解单位时间平均预期功耗总和最小化和每单位时隙缓冲稳定性的联合随机优化来确定功率分配量,使每个机器人平台能够计算从它自己的缓冲区传输数据包的功率分配量,从而优化整个系统。拥有二十个移动监控机器人平台的仿真实验验证了提出算法的有效性。实验结果表明,相比分布式多跳路由协议中较为著名的自组织网络按需距离向量(AODV)算法,提出的算法在平均功耗和缓冲占有率方面均有优势,在权重因子为0.5和2时,缓冲占有率分别为AODV的0.14和0.34倍,平均功耗也有所降低。 基于SFA和GLCM的影像特征提取方法 针对遥感影像中同类样本差异性较大的缺点,提出一种基于SFA和灰度共生矩阵(GLCM)的遥感影像特征提取方法。对原始图像进行SFA变换,利用SFA的生物视觉特性消除图像中的同类差异性,对变换得到的图像进行GLCM计算,获得基于SFA和GLCM的新型特征。实验结果证明,SFA预处理能降低遥感影像的同类差异性,提高特征的可区分性,其效果优于传统的GLCM特征提取方法。 基于Gestalt视觉心理学和最小F-范数的图像显著区域检测和分割 根据Gestalt视觉心理学说,提出了一种新的图像显著区域检测方法。通过不同程度降低双对立颜色或亮度的特征图像对比度来抑制图像中次要特征对应的区域,增强主要特征对应的显著性区域;通过矩阵的最小F-范数确定符合Gestalt视觉心理学的特征图像的合成方案,并利用Gestalt视觉心理学的核心理论来检验和自适应修改组合方案,得到最佳的显著图;利用Otsu法对显著图像进行二值化操作来完成图像的分割。实验结果表明,算法可以从复杂的自然彩色图像中较为完整地提取并分割显著目标,实验结果与MSRA数据库手工分割结果相一致,在满足实时性需求的基础上能比传统方法更加准确、完整地提取图像的显著性区域。 异构多核系统中编译器指导的I/O代理技术 在异构多核系统中,精简设计的从处理器核无法运行操作系统,且不支持终端打印和读写文件等常用I/O操作。为此,提出编译器指导、主处理器代理执行的I/O操作技术,采用信息交互、中断处理等方法,实现聚合代理并优化从处理器代码中的I/O操作功能。实验证明,编译器指导的I/O代理性能比系统级I/O代理高5倍以上。 基于异构多核并行加速的嵌入式神经网络人脸识别方法 针对传统视频监控设备进行前端人脸识别时处理大量人脸数据所面临的计算性能不足的问题,提出了一种基于CPU-多核加速器异构结构的前馈神经网络并行加速框架,然后借助主成分分析方法对人脸数据进行特征提取用于神经网络的训练,并将训练好的神经网络模型导入神经网络加速框架中进行分类识别的方法。该方法最终在集成Zynq SoC和Epiphany的Parallella嵌入式并行计算平台中进行了系统实现。实验数据表明,该方法在保证识别准确率一致的情况下,能够提供相对于Zynq中的双核ARM处理器8倍的识别加速能力,在嵌入式人脸识别加速方面具有显著作用。 基于可信推荐的服务质量评估模型 由于网络用户多样性和利益诉求的复杂性,部分用户发布的Qo S数据不完全可信,以致影响了Qo S评估的精度,为此提出基于可信推荐的Qo S评估模型TR-SQE。该模型以用户推荐的与众不同程度作为其推荐信任度,隔离推荐信任度低于阈值的用户发布的Qo S数据;TR-SQE将修正过的QOS信息作为推荐数据,接着根据用户与推荐者的偏好相似性来评估服务质量。分析和仿真结果表明,TR-SQE的平均绝对偏差MAE较其他方法小,评估结果与真实的服务质量基本相符,TR-SQE有助于用户的服务选择。 基于DSP和FPGA的网络转换网关 以高性能的DSP+FPGA作为核心处理芯片构成硬件平台,开发了一种实时性强的现场总线NCUC-Bus与以太网转换的嵌入式网络转换网关。该网关在网络的物理层和数据链路层完成协议转换,解决了现场总线和以太网无法实现直接通信的问题。通过实验证明,该设计的可行性和有效性达到设计要求。 基于可信计算的Ad hoc网络认证方案 针对在Ad hoc网络平台完整性证明方面存在的缺陷,基于可信计算技术提出一种认证方案。节点间通过直接匿名认证协议进行认证,利用平台配置寄存器值和存储测量日志进行平台证明,确认当前平台状态以及完整性,确保通信过程中节点平台的可信,消除了因平台完整性破坏带来的安全隐患,并且满足Ad hoc网络的动态拓扑和自组织特性。 四值非线性格值逻辑上公式的真度理论 王国俊教授在多值逻辑系统中提出了公式的真度等概念并初步建立了计量逻辑学理论,但其研究的多值命题逻辑是线性赋值格结构。在四值非线性格值逻辑系统上提出推广的真度,得到了一些平行的相关结果,说明计量逻辑学在非线性格值逻辑系统上有一定的可行性。 系统域网络基于消减策略网络断层扫描方法 系统域网络是高性能计算机、数据中心的重要组成部分,当前系统域网络存在网络规模庞大,内部链路繁多,网络流量行为复杂和各种应用对网络性能状况敏感度高等特点,致使采用传统网络断层扫描方法进行性能测量的计算复杂度呈指数级增长。针对上述问题提出了一种基于消减策略的网络断层扫描方法(network tomography based on reduction strategy,NTRS)。该方法提出了预处理原则对实际物理拓扑进行策略约束,充分利用内部链路已知的性能信息缩小性能测量网络区域和关键链路覆盖,依据测量结果计算链路性能协方差,筛除性能状况较好的链路集合,实现链路数量的有效约简,进而很大程度上提高了网络诊断的准确性。通过模拟实验验证了NTRS方法的有效性,实验结果表明该方法能缩小链路性能参数的测量规模,降低计算的复杂度。 数据驱动蒸馏塔的安全生产的研究 流程工业过程的故障检测对于企业安全生产和提高产品质量是十分重要的,基于数据驱动的方法相比较传统故障诊断方法,不需要详细了解过程机制和模型,满足了流程工业复杂难于建立精确模型的特点而引起广泛关注。主元分析法是基于数据驱动方法中典型的代表,本文首先研究了主元分析法在田纳西伊斯曼仿真过程中的应用,并以工业过程中的蒸馏塔单元为平台,将主元分析法应用到蒸馏塔故障检测中,实验结果表明:主元分析法能够准确及时的检测出蒸馏塔的故障,对蒸馏塔的故障检测有显著的指导作用,实现了基于数据驱动蒸馏塔的故障诊断,保证了企业的安全生产。 基于HLA的多任务规划仿真系统的研究与实现 基于HLA的体系规范,研究多任务智能规划和运动目标搜索技术,设计了一个多任务规划仿真系统。介绍了多星多任务的智能规划技术和基于MIP/CP模型的运动目标搜索技术,针对空间信息任务特点分析了系统需求,设计了分层的系统结构,实现了一个仿真系统原型。针对MIP/CP模型进行了仿真数据测试,分析了仿真结果。该原型系统实现了分布式的仿真支撑平台,为进一步进行理论探索提供了一个参考实验平台。 基于随机需求与产能限制的供应链协同优化研究 供应链所面临的需求随机性以及供应方的产能限制对供应链协同路径优化有着显著影响。已有研究并未考虑二者综合作用下供应链各参与主体的行为选择机理及协同优化的实现路径。对此,建立了一个包含多制造商与单一销售商的二级供应链模型,运用非合作博弈的方法求得稳定均衡解,并探讨了实现供应链协同优化的有效路径,从而有助于为供应链参与主体提供决策支持。 支持双重触发的μC/OS-Ⅱ内核的设计与实现 针对当前大多数嵌入式操作系统不能同时支持时间和事件双重触发的机制,对μC/OS-Ⅱ内核进行了深入研究,对μC/OS-Ⅱ的调度代码进行了分析,提出了将系统内核架构设计为上下两层,以时间触发部分为上层主要模块,事件触发部分为下层基础模块的层次性架构;从而使得系统核心的调度器既可以调度TT任务也可以调度ET任务;实验证明,该方法不仅能够支持时间和事件双重触发的任务调度,而且在不影响可靠性和确定性的情况下,提高了系统的灵活性和实时性。 一种基于移动终端的最佳匹配隐写系统 针对移动智能终端信息传递中存在的安全性问题,提出一种基于最小直方图失真(LHA)的匹配隐写方案(M-LHA),并设计一种基于Android移动平台的信息隐藏系统。M-LHA隐写算法采用置换的方式对秘密信息进行预处理,选择与载体图像最佳匹配的置换方案实现隐写。实验结果证明,相比传统的LHA算法,M-LHA算法具有更好的相对熵以及更稳定的直方图分布,能有效提高隐写系统的不可感知性。设计的信息隐藏系统可满足终端用户的安全需求。 基于无线信道特征的密钥生成与提取研究 "基于无线物理层信道特征参数构建密钥是依据无线信道衰落和噪声的客观存在,利用通信双方共享信道的时变性、互易性及唯一性,在评估彼此高度相关的信道参数的基础上协商提取密钥的一种物理层安全""一次一密""解决方案,具有无条件安全的属性。由于这方面的研究在现实应用中既可避免现行""四次握手""导致的安全漏洞,又摆脱了预分发密钥机制的限制,从而成为了无线网络安全领域的热点之一。对此领域的理论基础进行了分析,对物理层信道特征密钥生成和密钥提取这两个关键技术问题的研究现状进行了梳理,并按照评价指标探讨了现有方案存在的一些问题。最后,讨论了下一步研究的重点。" CLEQS——基于知识图谱构建的跨语言实体查询系统 针对中英文知识图谱在实体规模和关系质量上存在很大差异的问题,提出了一个基于英文知识图谱YAGO构建的跨语言实体查询系统CLEQS,即在英文知识图谱中查询对应中文实体。CLEQS包含两个模块:实体消歧义模块和跨语言实体链接模块。首先,实体消歧义模块依据中文查询实体和上下文信息,准确地将中文实体映射到中文维基百科中的无歧义词条;然后,跨语言实体链接模块构造跨语言实体链接模型(RSVM),将中文维基百科与英文知识图谱中描述相同概念的实体进行链接;最后,形成一个实体关系网。首次提出跨语言实体查询问题,并获得了82.3%的查询准确度。CLEQS系统能够提供准确、高效的跨语言中文实体查询,还能够发现中英文知识图谱中未知的跨语言实体链接。 基于负载均衡的MapReduce后备任务上限自适应算法 已有算法采用固定后备任务上限,不能动态适应负载水平变化。针对该问题,提出了基于负载均衡的MapReduce后备任务上限自适应算法。通过计算空闲节点强度和网络带宽分析系统负载水平,不断调整后备任务上限,精确控制后备任务数量,避免因过多空闲节点空载导致资源浪费或过度执行后备任务导致网络拥塞。实验表明,该算法能有效感知系统负载水平,对后备任务数量作出合理调整,并且比原算法在负载均衡和作业响应时间上有明显的提升。 基于NS的无线传感器网络地理信息路由协议的仿真与研究 地理信息路由协议由于其简单高效及低负载等特点,成为无线传感器网络中一类运用非常广泛的路由协议。文章利用NS2仿真平台,对GRS、GPSR和GEAR三种地理路由算法进行仿真和研究,并详细说明了在NS2中添加新路由协议的实现方法。仿真分别选取小规模节点规则分布和大规模节点随机分布的两种存在路由空洞的复杂网络环境,并选择能耗、网络生命周期、数据包到达率等算法指标,分析三种路由算法在不同网络环境中的性能。 基于光传输的先天加固型LCD显示器 "为解决军事作战过程中由于外界环境复杂及强电磁脉冲武器而引起的显示器可靠性低、显示图像不稳定等问题,提出一种采用先天加固并用光纤传输替代电气传输的液晶显示器设计方案。以""模块化设计""思想为指导,详细介绍显示器的电路框架、硬件设计和软件设计,最后对整机的显示性能及环境适应性进行实验测试。结果表明在不同信号源输入下,显示性能良好;应力筛选实验及电磁兼容性实验都满足实验大纲的要求。验证了设计方案的可行性与实用性。" 改进的基于椭圆曲线加密的3G认证与密钥协商协议 针对第三代移动通信系统(3G)中采用的认证与密钥协商(AKA)协议存在安全漏洞和密钥管理困难等问题,采用基于椭圆曲线密码(ECC)和公钥体制协商会话密钥、对称加密算法加密消息的方法,提出了一种应用于3G业务中的改进协议。该协议不仅有效克服了AKA协议中存在的各种缺陷,避免了复杂的密钥管理难题,而且相比其他协议更简单容易,具有实际应用性。 平流层飞艇蒙皮强度建模与仿真研究 关于飞艇蒙皮强度优化设计问题,平流层飞艇体积巨大,而蒙皮厚度远小于飞艇长度,结构在受载情况下容易发生较大变形甚至破坏。为了给飞艇蒙皮强度设计提供依据,建立了飞艇蒙皮在只受内外压差载荷作用下的环向和轴向应力方程,用Von Mises应力来定量描述蒙皮在环向和轴向应力作用下的整体受力情况。然后建立了飞艇蒙皮的计算模型,通过在ANSYS中进行非线性仿真分析得到蒙皮各点的受力情况,并将理论应力值与有限元仿真结果进行了对比,结果验证了理论方程的有效性,为飞艇蒙皮的应力估算提供参考。 面向交通干线无线宽带覆盖的WMN路由协议研究 系统地比较分析了常用的WMN路由协议,包括基于三层的AODV、OLSR、B.A.T.M.A.N.、Babel以及基于二层的B.A.T.M.A.N.adv和HWMP路由协议,搭建了基于B.A.T.M.A.N.adv协议的WMN实验平台,对该协议在传输带宽、传输时延、路径切换以及重新组网方面的性能进行了深入的实验研究与分析,实验结果表明,B.A.T.M.A.N.adv协议基本可以满足交通干线无线宽带网络覆盖的性能需求,但在连接丢失后的重新组网时间约为5 s,因此其无线宽带网络覆盖需要配置足够的重叠覆盖区域以实现无缝的路径切换。 基于改进粒子群算法的配电网重构策略 针对有源配电网对安全可靠性的要求较高,而现有的配电网重构算法精度低、速度低的问题,提出了基于蛙跳分组思想的自适应惯性权重的全信息简化粒子群算法。首先,从降低网络有功功率损耗、提高电压稳定性、均衡馈线负荷三个角度考虑,建立配电网多目标数学模型;然后,通过基于Pareto支配原则,采用模糊隶属函数的标准化满意度将多目标转化为相同量纲、同一属性、相同数量级的单目标,弥补加权法带有主观性、量纲不统一的弊端;最后,为保证种群多样性,避免随机初始化产生大量不可行解,结合蚁群优化(ACO)算法随机生成树和改进粒子群算法制定出一种针对含分布式电源(DG)的多目标配电网重构策略。通过对含DG的IEEE33节点配电网系统仿真验证,实验结果表明,与标准粒子群优化(PSO)算法相比,该重构策略寻优效率提高了41.0%,与重构前相比,该重构策略降低配电网有功损耗41.47%,降低电压偏移指数57.0%,改善系统负荷均衡度31.25%。该重构策略有效提高了寻优精度,提高了寻优速度,从而提高了配电网运行的安全可靠性。 云环境中数据安全的同态加密方法 目前的同态加密方法计算效率低,普遍存在公钥尺寸过大和计算复杂度较高的缺点,为解决这一问题,提出一种改进的同态加密方法。在椭圆曲线加密算法的基础上,分别构造加法同态加密方法与乘法同态加密方法,在保证安全性的同时,显著提高同态加密方法的计算效率,分析该方法中各项参数对加解密效率的影响。理论分析与实验结果表明,该方法具有较高的安全性,在计算性能上是一种高效的方法。 中长期电网负荷组合预测研究 中长期负荷预测是地区电网系统负荷预测的重要组成部分,对于电网运营成本的节约,电能质量的提高,系统安全稳定运行的保障等具有重要意义。文中采用基于IOWA算子的组合预测模型来研究地区电网中长期负荷预测模型和预测方法,该组合预测模型将RBF神经网络和灰色系统有机结合,既充分发挥单一预测模型的优点,又避免了单一预测模型所存在的风险,有效地利用多种有用信息,全面地反映系统的变化规律,减少随机性,提高了预测精度。实验结果表明,所建立的预测模型对地区电网中长期负荷预测工作具有一定的理论和实践指导意义。 一个面向信息抽取的中英文平行语料库 除了机器翻译,平行语料库对信息检索、信息抽取及知识获取等研究领域具有重要的作用,但是传统的平行语料库只是在句子级对齐,因而对跨语言自然语言处理研究的作用有限。鉴于此,以OntoNotes中英文平行语料库为基础,通过自动抽取、自动映射加人工标注相结合的方法,构建了一个面向信息抽取的高质量中英文平行语料库。该语料库不仅包含中英文实体及其相互关系,而且实现了中英文在实体和关系级别上的对齐。因此,该语料库将有助于中英文信息抽取的对比研究,揭示不同语言在语义表达上的差异,也为跨语言信息抽取的研究提供了一个有价值的平台。 新的基于身份认证的群密钥协商协议 群密钥协商(GKA)协议在构建安全多播信道中扮演着主要角色。由于公钥管理的简洁性和高效性,基于身份的认证群密钥协商协议密码系统近年来成为热门研究方向。提出了一个基于Weil对和完全三叉树结构的群密钥协商协议,同时提出了成员加入和离开子协议。对新方案的安全性进行了分析,结果显示,新方案可以抵抗常见的攻击。在性能方面,新方案在参与者较多时有较明显的计算优势。 基于HOG与LBP特征的人脸识别方法 针对人脸识别方法在复杂环境下识别性能下降、单一特征表述能力有限的问题,基于梯度方向直方图(HOG)和局部二值模式(LBP)特征,提出一种人脸识别方法。通过提取人脸的HOG特征和LBP特征,利用主成分分析和线性判别分析方法进行线性降维,给出基于加权的特征融合策略。在环境复杂的人脸数据库上进行实验,结果表明,相比于单一的局部特征,该特征融合方法能提高人脸识别的精度与速度。 基于着色区间约束的法向纹理编辑方法 为了适于真实及非真实感图形绘制,提出一种基于绘制着色图的法向纹理编辑方法.通过引入着色区间约束概念,设计了一个法向纹理到着色图的非线性二次规划问题模型,并利用GPU技术实现了算法加速,方便了用户交互操作.实验结果表明,对于1024×1024大小的法向纹理,迭代计算的平均时间为3.6ms,能够达到实时的交互. 一种电力故障录波数据压缩算法的研究 电力系统故障录波数据是分析电网故障的主要依据,录波数据压缩有益于减小数据存储容量和提高数据传输效率。针对电力故障录波数据的格式及构成特点,提出了一种基于傅里叶变换和小波包变换的数据压缩新算法。采用离散傅里叶变换对录波模拟量通道的B时段数据进行压缩和重构,根据重构误差判断该通道是否为故障通道;对故障通道的暂态扰动时段采用小波包变换进行压缩,对正常通道及故障通道的其他时段采用傅里叶变换进行压缩。大量录波文件的压缩结果和工程实际应用表明,所提算法可以同时获得很高的压缩率和压缩精度,具有广阔的应用前景。 基于云平台的高逼真链路仿真研究 针对Open Stack云平台不能准确仿真虚拟链路性能参数的问题,提出高逼真链路仿真方法。通过多粒度虚拟化技术仿真虚拟网络节点,基于软件定义网络构建虚拟网络节点间的虚拟链路。根据虚拟网络节点间通信所处的计算节点位置不同,分别实现宿主机内和跨宿主机间的链路仿真,从而支持虚拟链路中带宽、延时、丢包率性能参数的灵活配置与自动化部署。实验结果表明,该方法能够准确地对虚拟链路性能参数进行仿真,并且提高链路仿真的逼真度。 Up-BuVer软件维护技术的研究与实现 针对软件后期维护阶段存在的缺陷回归、需求改变问题,提出一种Up-BuVer软件维护技术。该技术集成Bug管理、自动更新和版本控制功能,可提高软件可维护性与减少软件更新维护的周期、成本,为企业信息系统的正常运行提供保障。在上安公司风管订单处理系统中的应用结果验证了该技术的可行性。 并网逆变器节能供电质量优化控制仿真 开关频率过高是并网逆变器的固有缺陷,易增加系统能耗,在高压大功率场合显得尤为突出,严重影响该类型换流器在交流电网中的应用。因此,为避免开关频率过高,导致器件换向损耗及系统能耗增大的问题。提出一种低开关频率模型预测直接电流控制法。该策略通过坐标变换构建α-β静止坐标系下并网逆变器的预测模型,采用滚动优化方式,分别对所有开关状态所对应的系统状态进行预测,在评估函数中引入降低开关频率目标项,从而选择使目标函数最优的开关状态并直接作用于三相并网逆变器。上述算法能够有效降低开关频率,减小器件换向损耗,改善供电质量,并且系统结构简单,动态响应较快,解决了传统方法中存在的开关频率过高造成系统能耗增大问题。最后,仿真结果验证上述方法的可行性与正确性。 位置正则的支持向量域描述在人脸识别中的应用研究 支持向量域描述是一种有效的一分类数据描述方法,能够有效地对单一类别的数据进行表达,并能有效地降低负样本的干扰。应用支持向量域描述方法,将人脸图像集合投影到高维特征空间构建描述特征空间中人脸图像的超球体,并定义两个超球体之间的相似性度量,应用最近邻分类器进行分类。在基于集合的人脸识别应用标准数据库上测试了该方法,在Honda/UCSD、CMU Mobo和You Tube数据分别取得100%、97.55%和59.78%的识别率。实验结果表明,该方法是一种有效的基于图像集匹配的人脸识别方法。 三相可逆平衡电子负载装置的设计与仿真 采用电子负载模拟装置研究实际负荷对电网的影响是现实有效的方法。论文设计了一种基于PWM变流器的三相可逆平衡电子负载装置,该装置主电路结构采用双PWM变流器,控制电路使用闭环控制,输出功率的控制是通过控制逆变器输出电流的幅值和相位来实现的,从而实现供电系统与负载之间能量双向流动的模拟。建立基于Matlab/Simulink仿真平台的三相电子负载装置仿真模型,通过仿真实验,证明该三相电子负载装置设计方法正确。 一类非线性周期时间序列模型 为了描述周期时间序列中的偏倚和多峰等非线性特征,结合有限混合模型方法,提出混合周期自回归滑动平均时间序列模型(MPARMA),给出了MPARMA模型的平稳性条件,讨论了期望最大化(EM)算法的应用,通过PM10浓度序列分析,评估了MPARMA模型的表现。 一种新型滤波器的设计与实现 文章提出了一种采用现场可编程门阵列器件(FPGA)设计FIR数字滤波器的方案,利用MATLAB软件对滤波器进行了设计和仿真,设计时利用移位寄存器代替通用乘法宏单元的调用,同时利用CSD编码简化了硬件的结构,对用VerilogHDL语言描述的滤波器进行了综合和仿真。结果表明,此实现方案具有比较高的设计效率和推广应用价值。 基于RMKMFDA的间歇过程监测与故障诊断 针对间歇过程特点和基于多向主元分析(Multiway Principal Component Analysis,MPCA)的间歇过程监控方法的缺陷,利用核映射在处理非线性过程和Fisher判别分析(Fisher Discriminant Analysis,FDA)在故障诊断能力上的优势,提出了基于递推多模型的核多向Fisher判别式分析(Recursive Multi-model Kernel Multi-way FDA,RMKMFDA)的间歇过程监测与故障诊断方法。该方法采用多模型核多向Fisher判别分析(Multi-model Kernel Multi-way FDA,MKMFDA)非线性结构代替MPCA单模型线性化结构,并提出确定时滞变量的算法;一旦通过MKMFDA监测出某一新批次过程正常,则模型参考数据库就随之更新:在线监控时通过比较核Fisher特征向量之间的欧氏距离来实现,而最优核Fisher判别向量用来鉴别故障类型。该方法在实时监控新的批过程时,只需利用已收集到的数据信息,且在线递推地更新模型参考数据库,提高了间歇过程监控的准确性,克服了MPCA不能处理非线性过程和实时性问题。通过采用RMKMFDA与移动窗多向主元分析(Moving Window MPCA,MWMPCA)方法对青霉素分批补料发酵过程的实时监控,结果表明RMKMFDA比MWMPCA能更及时地监测出过程异常情况,更准确地判断异常发生的原因。 舱外航天服热试验数值仿真方法研究 研究舱外航天服热试验数值仿真方法,以达到简化仿真工作,同时保证仿真结果准确的目的。从分析试验组件物性着手,建立一对一理想加热模型分析影响被加热表面热流的因素,再用NX软件建立试验仿真模型和试验数据对比,讨论仿真关键参数。研究结果表明,只有舱外航天服外形和实际接近、添加遮挡热流的试验支架等物体、适当调整红外加热笼发射率,仿真模型才能准确模拟试验热流情况,航天服上半身受遮挡较少,试验测量较准确,仿真结果和试验数据的偏差小于10%。 Agent-CA的体育场馆人群疏散模型 根据体育场馆人群疏散的特点与规律,提出一种基于多智能体和元胞自动机相融合的大型体育场馆人群疏散模型(Agent-CA)。将元胞空间中被虚拟人个体占据的元胞视为一个独立的智能体,将元胞及其状态进行封装,扩展为具有自主性的智能体,通过设计各种人群疏散行为策略做为演化规则,实现个体的差异性以体现个人个性、体力、心理等对疏散行为的影响,对体育场馆的人群疏散进行仿真实验。结果表明,Agent-CA综合了多智能体和元胞自动机的优点,充分考虑了个体内在因素,更接近现实大型体育场馆的人群疏散情形,缩短了疏散时间。 基于分布估计算法的Web服务组合优化 分布估计算法(EDAs)将遗传算法和统计学习相结合,并利用概率模型来描述变量之间的相互关系,提高解决高维问题的效率,降低时间复杂性,最终求出最优解。文中将分布估计算法应用于解决Web服务组合问题,并提出了基于分布估计算法的Web服务组合优化模型。仿真实验采用了EDAs中的基于群体的增量学习算法(PBIL),分析了服务类的数量以及采用精英保留策略对优化结果的影响。结果表明采用了精英保留策略的分布估计算法求解Web服务组合的问题是可靠有效的。 能量收集信息物理融合系统抢占阈值调度 在能量收集信息物理融合系统(energy harvesting based cyber-physical systems,EHCPS)中,其能量管理体系结构不同于传统电池供电嵌入式系统,任务调度策略需要考虑能量收集单元的能量输出、电池的能量存储和计算任务的能量消耗.实时任务在满足能量约束的情况下,才能满足时间约束.传统抢占阈值调度的可调度性分析没有考虑任务的能量属性,其阈值分配算法也不适用于EHCPS.针对此问题,提出了一种能量相关抢占阈值调度策略(energy related preemption threshold scheduling,ERPT),在可调度性分析中融入任务能耗属性和能量补充能力,并给出了阈值分配算法,为抢占阈值调度在EHCPS中的应用提供了一种解决方法.通过与目前现有的2个经典调度策略进行比较,验证了ERPT策略能够有效减少任务抢占. 无人水面艇航迹跟踪控制仿真 针对模型参数不确定、存在外界风浪流干扰的欠驱动无人水面艇的航迹跟踪问题,为提高无人水面艇自主航行能力,减小航迹跟踪过程中的轨迹偏差与跟踪迟滞,提出了一种分层控制结构的方法;由外至内采取航迹、航向、舵角三层控制,外环航迹控制层使用过渡目标点策略,根据无人水面艇的偏航距确定实时目标点,中环航向控制层使用最小转角策略,根据无人水面艇艏向角与目标方向角之差确定偏转打角,内环舵角控制层使用模糊自适应整定PID控制器,对模型参数的动态变化进行补偿;基于MATLAB GUI进行无人水面艇航迹跟踪控制仿真试验,结果表明,模糊自适应整定PID控制器提高了舵角的控制响应速度,无人水面艇在航行过程中的直线行驶、连续折线行驶均能得到较好的航迹跟踪控制。 一种分类数据聚类算法及其高效并行实现 "针对大规模、高维、稀疏的分类数据聚类,CLOPE算法相比于传统的聚类算法在聚类质量及运行速度上都有很大的提升。然而CLOPE算法存在聚类的质量不稳定、没有区分每维属性对聚类的贡献度、需要预先指定排斥因子r等问题。为此,提出基于随机顺序迭代和属性加权的分类数据聚类算法(RW-CLOPE)。该算法利用""洗牌""模型对原始数据进行随机排序以排除数据输入顺序对聚类质量的影响。同时,根据信息熵计算各个属性的权重,以区别每维属性对聚类的贡献度,极大地提升了数据聚类的质量。最后,在高效的集群平台Spark上,实现了RW-CLOPE算法。在三个真实数据集上的实验结果表明:在数据集乱序后的份数相同时,RW-CLOPE算法比p-CLOPE算法取得更好的聚类质量。对蘑菇数据集,当CLOPE算法取得最优聚类结果时,RW-CLOPE比CLOPE取得高68%的收益值,比p-CLOPE取得高25%的收益值;针对大量数据,基于Spark的RW-CLOPE算法比基于Hadoop的p-CLOPE算法执行时间更短;计算资源充足时,随机顺序的数据集份数越多,执行时间的提升越明显。" 改进的抗遮挡MeanShift目标跟踪算法 传统Mean Shift目标跟踪算法通过bin-bin颜色直方图表示目标特征,直方图中往往会混入背景颜色信息,造成跟踪不准确;同时由于Mean Shift算法具有局部最优性,当目标受到严重遮挡丢失后,不能对目标重新定位跟踪。为了解决上述问题,在颜色直方图和抗遮挡能力方面进行了改进。利用交叉bin颜色直方图代替传统的bin-bin颜色直方图表示目标特征,减少背景颜色的干扰,提高Mean Shift算法跟踪精度;当目标受到严重遮挡丢失后,通过一种尺度变化调整机制,在全局范围内搜索目标位置,提高Mean Shift算法抗遮挡能力。实验显示,改进后的算法不仅在背景干扰大时对目标的跟踪精度更高,而且当目标受到严重遮挡丢失后,也能够对目标重新定位跟踪。 基于直觉模糊集多属性决策的灰色关联分析法 基于直觉模糊集理论,提出了一种新的灰色关联分析方法来研究模糊多属性决策问题.首先,根据直觉模糊集的几何意义,引入了两个直觉模糊集之间的距离,且每个备选方案的评价值用直觉模糊值表示.其次,依据传统灰色关联分析方法的基本思想,通过计算每个方案对直觉模糊正、负理想方案的灰色关联度.然后计算备选方案对直觉模糊正理想方案的相对关联度度.最后,通过一个具体实例说明该方法的有效性和具体应用过程. 高分辨率遥感卫星影像的河流提取方法研究 为了克服单纯采用光谱信息提取河流的缺陷,利用高分辨率遥感影像突出的高分辨率的特性提出一种综合影像中光谱、纹理、几何特性等多特征联合提取河流的方法。该方法分别对河流水体的光谱特征、纹理特征及河流几何形状进行描述,选取特征参数,构造综合特征矩阵,利用均值聚类分割最终得到河流目标。通过对真实高分辨率遥感影像Worldview1影像进行的实验验证了该方法的高精准性及快速性。 一种面向网格计算的自适应动态冗余预留策略 针对网格环境中冗余机制在系统可靠性和任务执行效率之间难以平衡的问题,提出一种冗余度可动态调整的自适应冗余策略.该策略以可靠性指标为约束条件,依据负载变化自适应地优化系统冗余度,在不降低可靠性的前提下减少冗余度过高对网格任务执行效率的负面影响.理论分析给出了冗余度与可靠性之间的量化关系,实验分析对比了该动态冗余策略与其他冗余策略的性能差异,并对策略关键参数进行了对比分析.实验结果显示,当系统面临较高的任务负载或负载变化剧烈时,自适应的动态冗余预留策略能够显著提高资源有效利用率,并在任务执行效率和系统可靠性之间实现动态平衡,从而降低传统冗余策略对系统性能的负面影响. 一种适用于高速OFDM的符号定时同步技术 OFDM系统以其优秀的频带利用率受到了广泛的应用。就OFDM系统在高速数据传输环境下出现的符号定时同步问题与经典同步算法中的问题的不同点做了分析,针对小子载波数情况出现的不同对已有的经典符号定时同步算法做出了相应的改进。使用Matlab对其进行了仿真,可以得出在小子载波数的情况下捕获性能优于已有经典算法,是一种可以用于高速OFDM系统的符号定时同步算法。 基于边缘融合的图像非真实感特效渲染算法 针对传统的图像非真实感渲染制作受场所、设备的限制以及依赖人工绘制的周期长、效率低的不足,研究了彩色图像的非真实感渲染方法.提出了一种基于边缘融合的彩色图像非真实感渲染方法.根据非真实感图像的特殊性质,在进行渲染时必须考虑边缘和颜色两个重要因素.方法首先将RGB彩色图像转换为Lab彩色图像,并对L通道进行梯度滤波,获取边缘梯度图.然后对L通道进行灰度量化,并合并量化后的L、a、b三个通道,将其转换为RGB图像,最后将边缘梯度图和量化后的RGB图像融合,得到非真实感渲染后的图像.实验结果表明,与前人提出的基于扫描线的非真实感渲染算法相比,方法能克服扫描出现的颜色突兀跳变与虚假条纹,有一定的实用意义. 云计算环境下对资源聚类的工作流任务调度算法 针对云计算环境中资源具有规模庞大、异构性、多样性等特点,提出了一种对资源进行模糊聚类的工作流任务调度算法。经过对网络资源属性进行量化、规范化,以预先构建的任务模型和资源模型为基础,结合模糊数学理论划分资源,使得在任务调度时能够较准确地优先选择综合性能较好的资源类簇,缩短了任务资源相匹配的时间,提高了调度性能。通过仿真实验将此算法与HEFT、DLS进行比较,实验结果表明,当任务在[0,100]范围增加时,该算法平均SLR比HEFT小3.4%,比DLS小9.9%,其平均Speedup比HEFT大5.9%,比DLS大10.2%;当资源在[0,100]范围增加时,该算法平均SLR比HEFT小3.6%,比DLS小9.7%,其平均Speedup比HEFT大4.5%,比DLS大10.8%。所提算法实现了对资源的合理划分,且在执行跨度方面具有优越性。 关于导弹战场突防目标航迹规划仿真 航迹规划是实现导弹在战场突防过程中自主飞行、提高导弹生存能力的技术保障,航迹规划算法则是航迹规划的核心。为解决传统的单向动态规划算法在反舰导弹航迹规划过程中耗时长以及规划航迹上存在冗余节点的问题,提出双向动态规划算法和基于三角不等式的航迹优化策略。首先将规划空间网格化;接着采用双向动态规划算法搜索航迹节点;然后运用提出的航迹优化策略对航迹进行优化;最后将所提算法与模拟退火算法、蚁群算法、传统单向动态规划算法进行仿真比较。仿真结果表明,与其它三种算法相比,所提算法计算速度更快、规划航迹更短、节点更少。 星载电子设备浪涌电流抑制以及浪涌电流的测试方法 介绍了一种可用于星载开关电源的浪涌电流抑制电路;该电路在设备供电正线上串连P沟道MOSFET管,其栅源电压受专门设计的RC网络控制;通过调节外围的阻容器件改变栅源电压的上升速率,就可以实现浪涌电流抑制;试验结果表明,该电路可以将启动电流抑制在额定输入电流的1.5倍,或不大于2 A,且持续时间不大于5 ms,上升斜率不大于10~6A/s;该电路已经被广泛应用于各类星载设备中,设备运行稳定可靠;同时对星载设备浪涌电流的形成原理以及测试方法进行了介绍。 GDI/GDI+绘图函数缺陷与避免方法研究 首次报告了Windows的GDI/GDI+绘图函数存在的几类缺陷,一类缺陷是GDI绘线函数在最后一点少绘一个像素;另一类缺陷是GDI的绘制或填充矩形或椭圆的函数以及填充多边形函数,它们都在右边界和下边界少绘一列/排像素。在GDI+中,绘线的缺陷已经改正,但矩形与椭圆的绘制与充填函数以及多边形填充函数的缺陷有的依然存在,且缺陷方式与GDI不同。GDI+有缺省与精细两种模式,这两种模式下的缺陷表现方式也不一样。虽然这些缺陷对于一般的可视化应用程序没有什么影响,但对于一些特殊的应用则会导致不精确的结果。给出了测试数据以及在应用程序中避免这些缺陷的方法。 基于C-Canny算子与灰度空间的彩色图像边缘检测 提出了利用灰度图像边缘检测结果完成彩色图像边缘检测的算法,并对Canny算子进行了改进,在计算梯度幅值时采用了3×3邻域,改进后的Canny算子对噪声抑制效果明显,且检测边缘更加精细.采用了两种算法将彩色图像转换为灰度图像,利用C-Canny算子对转换后的灰度图像进行边缘检测,在灰度图像边缘检测结果基础上,完成彩色图像的边缘检测.经实际测试证明,该算法检测出的图像边缘连续性好,准确性高,对颜色数不多的彩色图像有较好的边缘检测结果. 基于ODMRP的可靠多播路由协议 基于按需多播路由协议(ODMRP),提出一种改进的可靠多播路由协议。该协议采用集中报错机制,在尽量不增加控制分组数目的前提下,将一定数量的数据分组丢失事件集中在一个聚合的NACK中,并将该NACK添加到Join Table分组中,通过上游节点重传实现ODMRP协议的可靠传输。在OPNET下进行仿真实验,结果表明,与原协议相比,改进协议的数据包投递率提高了2.5%。 基于CRF和半监督学习的中文时间信息抽取 为提高文本中时间信息识别和抽取的效率,提出一种基于CRF(条件随机场)的方法。根据时间信息表现出的一般特点,采用机器学习的方法,通过分析文本中相关词性、短语结构和上下文信息等,提取时间信息的外部特征,采用一种自训练的半监督方法,使用CRF进行识别和抽取。实验结果表明,该方法有效提升了时间识别的性能,在显性时间、隐性时间和总体时间上分别取得了96.25%、88.65%和93.97%的F1值。 基于访问控制模型实现银行网络安全目标 随着加入到银行网络中新鲜事物的增多和银行的网络交易环境越来越多样化,银行网络安全的重要性日益凸显。文中着重对强制访问控制、自主访问控制、基于角色的访问控制、基于属性的访问控制和基于任务_角色的访问控制这五种访问控制模型进行了描述和分析。通过对各自访问控制模型的策略分析而建立比较完备的访问控制模型,详细地以各自的访问控制的授权实现方式展开各自在银行网络安全中的应用,总结了这五种访问控制模型的特点和应用环境,以实现银行网络安全目标。该目标的实现,一方面保证了银行客户信息的安全,另一方面为银行的安全信誉提供了保障。 一种基于P2P-SIP的可管理和可运维VoIP系统架构 基于SIP的VoIP系统由于其客户端/服务器的服务架构,在用户规模增大时会引发其固有的单点失效和性能瓶颈问题.P2P和SIP融合的VoIP系统具有良好的扩展性和容错能力,但是现有系统并不能满足可管理和可运维的要求.从运营商的角度考虑,提出了一种基于P2P-SIP的可管理、可运维的VoIP系统架构AMAP.在AMAP系统中,由少量部署的服务器按照DHT协议组成服务覆盖网络.服务覆盖网络使用扩展的SIP信令来维护,为用户代理的注册和会话建立、系统的管理和运维等服务提供支持,可有效地与现有VoIP系统兼容.AMAP系统借助备份和缓存技术提高容错能力,缓解服务热点问题.设计了分布式元数据收集和分发算法,满足了运维信息收集和管理策略下达的需求,对比分析了系统的维护开销,结果表明与现有基于P2P-SIP的VoIP系统相比,AMAP系统减少约80%的开销.详细分析了AMAP系统的功能组成和所涉及的关键技术,并实现和测试了原型系统. 一种基于Android手机的移动视频直播系统的设计与实现 无线网络及移动计算技术的发展为面向新型网络环境的在线视频直播提供了机遇,但网络的动态性及流服务的实时性也对系统实现带来挑战.针对上述问题,本文设计并实现了一个基于Android手机的移动视频直播系统,该系统支.持用户通过智能手机内嵌摄像头实时采集现场视频信息,并通过Wi-Fi或3G等上传视频流至服务器,提供在线直播或点播服务.文中详细描述了视频采集、视频发布处理和视频播放等核心功能的设计与实现机制,并从视频编码和网络传输两个方面对系统性能进行了分析. 可追责和完全可验证外包解密CP-ABE方案 在密文策略属性加密方案(CP-ABE)中,解密密钥定义在多个用户共享的属性上,任何私钥无法追溯到原有密钥的所有者,恶意用户可能为了经济利益泄露他们的解密权限给第三方,并且不会被发现。另外,大多数现有CPABE方案的解密成本和密文大小随访问结构的复杂程度呈线性增长。上述问题严重限制了CP-ABE的应用。为此,通过定义追责列表来追溯故意泄露密钥的用户,通过外包运算降低解密运算成本,提出一个可追责和完全可验证外包解密的CP-ABE方案。该方案可以同时检查授权用户和非授权用户转换密文结果的正确性,而且支持任意单调访问结构,可追责性不会给其他安全性带来任何影响。最后在标准模型中证明了该方案是选择明文攻击(CPA)安全的。 基于LDA模型的餐馆评论排序 在餐馆评论中,存在评论文本未明确指出评价等级及评论文本不一致等问题。为此,提出一种基于LDA模型的餐馆评论排序方法。利用LDA模型对评论文本进行主题抽取,过滤掉不相关评论,基于过滤后的用户评论和用户给出的评论等级计算餐馆评论若干方面的得分,在该得分的基础上,利用逻辑回归进行训练,得到餐馆评论排序模型。实验结果表明,该方法的排序效果较优。 基于OpenCL的雷达外推算法改进与优化 基于雷达资料的外推是临近预报中重要的方法之一,随着全国气象雷达网络建设规模的不断提高以及观测资料精细化程度的提升,基于区域乃至全国雷达拼图的外推预报,每次计算都需花费大量时间,甚至滞后于每6分钟一次的资料观测频次。为解决传统外推算法运算复杂度高,实时性差的问题,运用OpenCL构建基于GPU的异构计算模型对外推算法进行并行化改进。然后逐步分析影响算法性能的瓶颈,并通过改进和测试数据比对,阐述算法优化的过程。其中,内存与线程的映射优化、合理利用局部存储器作为高速缓存以及隐藏CPU执行时间等方法不仅对本算法的执行效率带来显著提升,也可为其他基于OpenCL异构计算的优化提供参考。以AMD Graphic Core Next和Northern Islands二代GPU架构作为测试平台,并以Intel CPU并行计算作为测试参考,测试结果表明,改进后的算法在硬件同等功耗的情况下,计算性能提升15~22倍。 新的混合型盲均衡算法 以修正常数模算法(MCMA)为基础,用变步长代替固定步长,提出一种新的变步长修正常数模算法(VSS-MCMA),并在此基础上提出一种基于均方误差判决引导(DD)的双模式盲均衡算法(DD-VSS-MCMA),该算法在收敛阶段采用收敛性能良好的VSS-MCMA算法,当剩余均方误差达到足够低时切换到DD算法,又在此算法的代价函数中加入星座图匹配误差函数(CME),进一步提出CME-DD-VSS-MCMA算法。计算机仿真结果表明:与MCMA算法相比,提出的VSS-MCMA可以同时提高收敛速度和降低稳态剩余码间干扰(ISI),DD-VSS-MCMA进一步降低了稳态剩余ISI,CME-DD-VSS-MCMA显著地加快了收敛速度并获得了更好的稳定性能。 基于二进制粒子群算法的异构传感器网络成本最优节点部署机制 节点部署作为无线传感器网络应用的一个核心问题,是保证网络服务质量的重要手段。针对异构传感器网络节点的高密度部署和监测目标的非均匀分布的情况,提出一种基于二进制粒子群算法的异构节点成本优化部署方法。该算法在满足区域节点部署要求的条件下以最小化传感器节点的部署成本为目标函数进行优化操作,以达到降低网络冗余、提高网络服务质量的效果。仿真结果表明,该算法能快速收敛于最优解,能够降低网络部署的成本,提高网络中目标监测的质量。 基于子带可控响应功率的多声源定位方法 为了提高多个说话人情况下麦克风阵列的定位性能,提出基于子带可控响应功率的多声源定位算法。该算法将语音信号频域分为7个子带,在每个子带计算相位变换加权的可控响应功率函数,在声源空间搜索其最大值得到声源位置的初始估计。根据语音信号频率的稀疏性,这些初始估计包含多个声源的位置,运用会聚聚类算法得到最终的声源位置估计。仿真和实验表明,在有2个说话人,10 dB信噪比,较强混响的条件下,该算法比传统算法的定位正确率提高了约4%,额外率降低了约7%。 基于双方交集计算的指纹认证方案 针对开放网络中指纹认证的隐私保护问题,利用智能卡设计通用可组合安全的隐秘双方交集计算协议。该协议使用对称加密算法实现双方交集计算,具有较高的计算和通信效率。在此基础上,提出一种隐私保护型身份认证方案,使服务器能安全地比较现场指纹细节点集合与注册模板集合的匹配程度,确认用户身份。分析结果表明,该方案在认证过程中可保证双方私有数据的保密性。 基于容差优势关系的排序方法及其应用 针对序信息系统下经典优势关系过于严格从而可能导致排序方法失效的问题,首先,提出了容差优势关系的概念并对其相关性质予以研究;然后,基于容差优势关系,给出优势度的定义,并提出基于容差优势关系的方案排序方法;最后,将该方法应用于智能电网的综合评价中。实验结果表明:相比经典优势关系,容差优势关系对数据具有更强的容错能力,排序结果具有较强的区分度。容差优势关系的提出,能有效避免经典优势关系因属性个数较多、属性值互有优劣时可能引起的失效问题。 流媒体分发体系结构演化和关键技术进展综述 研究流媒体分发体系结构的演化路线,介绍各种体系结构下流媒体分发的主要技术及发展方向,指出P2P-CDN混合式体系结构是未来流媒体分发的必然趋势.探讨拓扑一致性、NAT/防火墙、网络扰动和激励机制等P2P共性问题对流媒体分发的影响以及相关研究.重点阐述P2P体系结构下的网络拓扑、数据调度和数据编码等与流媒体业务特性密切相关的关键技术,总结P2P-CDN混合式体系结构的研究成果,归纳出一种简化的混合式模型.最后总结流媒体分发研究存在的问题并对进一步研究进行展望. 基于人眼视觉特性的嵌入式小波零树编码改进 在分析了嵌入式小波零树编码算法(EZW)的基本理论基础上,提出了一种以8个标志位代替原EZW算法中4个标志位的改进算法。改进算法中,重新定义零树的结构,降低了编、解码复杂度节省了存储的空间,且有利于提高压缩比;重新分类重要系数一方面增加了图像边缘信息编码,另一方面极大的减少了零树根的数量,提高了压缩比。仿真结果表明,改进算法重构图像质量及主观视觉效果明显好于原算法。 基于双线性对的动态门限多秘密共享方案 为解决当前许多门限秘密共享方案都是基于RSA密码体制和门限值是不变性的不足,分析了一些其他文献的多秘密共享方案,提出了一个基于椭圆曲线的双线性对动态门限多秘密共享方案。通过一个多项式实现动态门限的多秘密共享,并利用双线性对对参与者身份进行验证,所以能在任何场合中确保秘密安全,而无需安全通道,具有高效性,减少通信量,并且能有效地防止欺骗行为。同时,该方案能够定时地更新共享的秘密,增加了安全性。分析结果表明了该方案的高效性和安全性。 基于多特征选取和类完全加权的入侵检测 为提升入侵检测系统的整体性能,文中提出一种新的算法。首先使用孤立点滤除算法进行数据前期处理,通过特征选取算法筛选出各分类器中最佳的特征空间,以增强各分类算法的训练模型。再进一步运用十倍交叉验证法对分类模型实施性能评估,把具有最佳捕捉率的分类模型作为预测测试样本类别时的加权分类模型,最后得出整体推论结果。仿真实验表明该算法整体分类准确率提高到96%,成本值减低为0.198 3,能够成功地改善网络异常入侵检测的分类性能。 应用MATLAB求解DMF在NKA-Ⅱ树脂上的吸附数学模型 考虑非线性吸附平衡关系、吸附剂内外传质阻力以及表面扩散系数为固相浓度的函数的基础上,建立间歇搅拌吸附槽中大孔吸附树脂吸附DMF的表面扩散模型,由于该模型为高度非线性的偏微分方程,只能采用数值方法求解。因此,对MATLAB中pdepe函数进行适当修改,并针对吸附表面扩散模型边界条件的特点建立边界条件函数,使其可应用于具有Robin边界条件的吸附数学模型的求解。当间歇搅拌吸附槽的搅拌速度为100rpm,温度为298K条件下,应用修改后的pdepe函数结合优化工具箱求解吸附表面扩散模型,获得有效表面扩散系数D0=1.04×10-10m2/s和液相传质系数KF=9.60×10-6m/s;模型计算得到的吸附速率与实验测定结果的均方根误差为ε=0.008。结果表明:DMF在NKA-Ⅱ树脂上吸附动力学过程与吸附表面扩散模型吻合良好,应用修改后的pdepe函数可方便地求解具有Robin边界条件的表面吸附模型,结果准确可靠。 低功耗无线传感网节点的混合监听休眠方法 随着无线传感器网络的演化发展,其应用领域也越来越广泛。例如现有的公共事业抄表系统、建设中的环境实时监测系统以及未来将实现的工业4.0中智能工厂监控系统,都会利用大量的无线传感器进行数据监测和采集。这些数据监测采集系统通常需要支持远程数据采集和移动端近距离数据采集两种模式。通过采用这两种模式的不同特点,即:唤醒时延的不同需求以及所能够支持的不同的数据传输速率,提出采用混合监听周期这一方法。该方法能够支持实时的数据监测采集,与单一监听周期相比明显降低了监听功耗,延长了传感器节点的工作时间,完全符合移动端近距离数据采集的实时性需求。 基于混合人工鱼群算法的凸多面体碰撞检测研究 提出了一种基于最短距离计算的凸多面体碰撞检测算法。该算法利用凸多面体三维空间顶点坐标的凸包表示凸多面体,将两个凸多面体间碰撞检测问题归结为一个带约束条件的非线性规划问题,采用混合人工鱼群算法对该问题进行求解,寻优过程前期利用人工鱼群算法快速找到全局极值的邻域,后期切换到模式搜索法,准确找到全局极值。实验表明,无论在计算精度还是在计算速度方面,混合人工鱼群算法比惩罚函数法和遗传算法有更加明显的优势,能够满足碰撞检测的实时性和精确性的要求。 能量高效的协作路由算法 提出了一种能量高效的分布式、多跳、多中继协作路由算法。寻找一条由源节点到目的节点最短路径的单径路由,以单径路由上的节点为簇头招募它们的邻居节点作为中继节点,形成虚拟MIMO(multiple-input multiple-output),进行协作传输。在选择中继节点时需要考虑备选中继作为接收端和发送端的信道状况,在确定各跳中继节点个数时提出一种基于簇间物理距离的中继节点个数确定算法CWRN(CWR New),分析了新算法的误码率。仿真实验结果表明,在保持误码率一定的情况下,新算法相比较于CWR算法能够节省能耗6.41%以上。 基于微波透射法测量织物含水率 织物的含水率是染整生产过程中的重要指标;应用微波技术检测织物的含水率,能在线实时准确测量,且可靠性好,抗干扰能力强,不易受到织物的颜色、结构等影响,并可检测织物内部的含水率,结果更具代表性;为了快速、准确地检测织物中的水分含量,基于微波透射法,设计了一套织物含水率在线检测系统,实现了对织物含水率在线无损检测;重点讨论微波测湿原理及方法,采用最小二乘法拟合直线,获得织物湿度与衰减量之间相互关系的标定曲线;实验结果表明;设计的装置可对含水率为30%~70%的织物进行有效的测量;测量精度高于±1%。 源自胃癌的转移性卵巢肿瘤的MRI研究 目的:分析胃癌卵巢转移性肿瘤(SOTGC)的MRI表现,提高MRI诊断准确性。方法:回顾性分析经手术和病理证实的12例SOTGC的MRI资料,同期33例原发性卵巢癌(POC)作为对照。观察肿瘤的部位、边缘、形态、大小、囊实性、实性区内边界清晰的囊样信号、T2WI上肿块实性区与子宫肌层的信号强度比(SIR)、强化程度、腹膜种植及腹水量。结果:MRI上12例SOTGC共发现22个卵巢肿块;33例POC共见47个卵巢肿块。双侧卵巢肿块(10/12,83.3%vs.14/33,42.4%)、边缘光滑(18/22,81.8%vs.17/47,36.2%)、分叶形(17/22,77.3%vs.10/47,21.3%)、囊实性/实性肿块内边界清晰的囊样信号(14/22,63.6%vs.6/38,15.8%)和较低的SIR(1.2±0.3vs.1.9±0.5)五个征象有助于SOTGC的诊断(P分别为0.036、0.001、0.000、0.002和0.000)。出现囊实性/实性肿块内边界清晰的囊样信号及SIR值<1.4两个征象之一时,MRI诊断SOTGC的敏感性、特异性和准确性分别为90.9%、76.6%和81.2%。结论:SOTGC的MRI表现具有一定的形态学特征,结合病史将有助于与POC鉴别。 基于混沌和动态变异蛙跳的模糊聚类算法 针对模糊C-均值FCM(Fuzzy C-Means)聚类算法易陷入局部最优解,对初始值敏感的缺点。提出基于混沌和动态变异蛙跳SFLA(shuffled frog leaping algorithm)的FCM算法。该算法先用混沌的Tent序列初始化青蛙群体以增强群体的多样性,提高初始解的质量;并根据青蛙的适应度方差值选择相应的变异概率。再将改进后的蛙跳算法优化FCM算法,最后求取全局最优。人工数据及经典数据集的仿真结果表明,该算法(CMSFLA-FCM)与SMSFLA-FCM、SFLA-FCM和FCM聚类算法相比,寻优能力更强,聚类效果更优。 一种基于前向无监督卷积神经网络的人脸表示学习方法 当前基于深度卷积神经网络的人脸表示学习方法需要利用海量的有标注的人脸数据。在实际应用中,精确标注人脸的身份非常困难。因此,提出了一种基于前向无监督卷积神经网络的人脸表示学习方法。其中,基于Kmeans聚类获取训练样本虚拟标签,再利用线性判别分析进行卷积核学习。提出的网络结构简单有效,训练阶段不需要反向传递,训练速度显著优于有监督的深度卷积神经网络。实验结果表明,提出的方法在真实条件下的人脸数据集LFW和经典的Feret数据集上取得了优于当前主流的无监督特征学习方法和局部特征描述子的性能。 面向服务访问控制策略精化描述 策略精化是解决分布式应用访问控制策略配置复杂性的重要方法.现有的策略精化技术给出了分层策略描述和逐层精化的方法,但是描述和处理策略之间关联问题能力不足,影响策略精化应用.为此给出了策略和包括组合、互斥、精化、访问路径协同等策略之间关系的形式描述方法,提出了能够描述策略之间关联属性的精化算法和记录策略和策略之间这些关联属性的策略精化树构建方法,为策略精化中的策略关联问题处理提供基础.策略精化树还能直观呈现访问控制的服务品质协议(service-level agreement,SLA). 基于消息传递接口的大规模生物网络比对并行化算法 为有效降低生物网络比对算法的时间复杂度,提出一种基于可扩展的蛋白质相互作用网络比对(SPINAL)算法的消息传递接口(MPI)并行化实现方法。该方法将MPI并行化思想运用在SPINAL算法中,在多核环境中采用并行排序代替算法原本的排序方式,并结合负载均衡策略合理分配任务。实验结果表明,与未使用并行排序以及负载均衡策略相比,该方法在处理大规模生物网络比对时能有效地缩短计算时间,提高运算效率,对于不同组比对数据都有较为稳定的优化保障,具有良好的可扩展性。 遗传算法改进的多模式污染物集成预报 针对如今庞大复杂的多模式数值预报数据以及数值模式下大气污染物浓度精度不高的问题,提出一种引入遗传算子的极限学习机改进遗传算法的多模式污染物集成预报方法。根据不同季节下单一模式污染物预测值和实况值的相关系数和偏差选取集成预报模式作为输入,定量模拟遗传算法中算子的操作构建ELM进化机制并与遗传算法结合建立多模式污染物集成预报模型。数据基于8种数值模式的天津区域自动气象站点资料,并与其它算法进行对比实验,实验结果表明,该算法预报精度优于单模式及其它算法。 基于GAE云计算的区域移动医疗服务平台 针对医疗信息化与互联网应用现状,提出面向区域移动医疗网络服务平台概念.在分析移动医疗及其发展现状、云计算及其关键技术和Android平台应用开发模式的基础上,首先提出基于云计算的移动医疗服务平台整体架构,并分别从信息资源提供层、云计算平台层、医疗服务层和移动应用层等四个方面进行阐述;然后在研究GoogleApp Engine云服务平台的基础上,对基于GAE的移动医疗服务平台的服务器端与客户端技术、数据模型等关键技术进行详细描述;最后给出基于GAE的移动医疗服务平台的系统实现,分别从平台服务器端和移动客户端两方面进行描述,其中客户端实现基于Android移动操作系统,为普通用户提供专家门诊信息、医药用品信息及其他功能. 基于稳健主成分分析与核稀疏表示的人脸识别 针对现有人脸识别方法难以有效抑制噪声和误差干扰(如光照、遮挡和表情等)的问题,提出一种基于稳健主成分分析的核稀疏表示分类算法。利用稳健主成分分析将各类训练样本转化为低秩矩阵和误差矩阵之和,并运用这2个矩阵构成稀疏表示的冗余字典。将核稀疏表示问题通过矩阵变换转化为常规的稀疏表示问题,采用正交匹配追踪算法求解该问题得到稀疏表示系数。通过稀疏表示系数计算每个类的重构误差,从而实现人脸识别。实验结果表明,与SRC,ESRC等算法相比,该算法具有较高的人脸识别率,且对噪声和误差干扰有较强的适应能力。 基于粗糙集理论的不确定推理方法 针对粗糙集理论在分析不精确、不完备信息系统等方面具有的优势,把粗糙集理论应用到模糊推理系统中,提出了一种基于粗糙集理论的不确定推理方法。通过粗糙集理论对属性进行约简,使得到的规则大大简化,通过引入可信度及其传播的概念,使推理结果更具有可信性和说服力。算例结果表明,应用此方法提取的模糊推理规则数目少、可信度高,且具有一定的容错能力,对规则的测试验证了该方法的有效性。 独立光伏发电系统混合储能协调控制研究 光伏发电系统具有随机性与波动性,尤其在离网状态下,易引起直流母线电压失稳越限。鉴于此,提出了一种适用于含混合储能的独立光伏发电系统协调控制策略,利用混合储能系统具有功率型与能量型的特性,使独立光伏发电系统满足直流母线电压稳定性的要求。在系统功率平衡的基础上,上述控制策略考虑到储能元件的剩余容量,进而决定了各单元变换器的工作状态,从而解决了直流母线电压稳定及能量优化协调的问题。仿真结果验证了所提出的控制策略在独立光伏发电系统中的可行性与正确性。 基于ARMA模型的船舶海水冷却系统参数预测 "船舶海水冷却系统与船外海水直接接触,工作环境较为恶劣,而基于小波理论、灰色理论等参数预测方法受环境影响较大,为了实现对船舶海水冷却系统状态参数的准确预测,提出了根据平稳时间序列建立自回归移动平均模型(ARMA)的方法;介绍了ARMA模型原理及建模过程;选取""育鲲轮""海水冷却系统6天的状态参数作为训练样本,输入到ARMA预测模型中进行训练;在MATLAB环境下,获得预测数据;运用平均绝对百分比误差对预测模型的准确性进行验证并对误差进行分析,结果表明所建立的船舶海水冷却系统状态参数预测模型具有良好的预测能力,能有效地反应未来一段时间海水冷却系统的工作状态的变化,提示系统是否存在异常,为早期故障诊断提供有效手段,进而为船舶的稳定运营提供了条件。" 基于工作流的第二课堂活动管理平台的研究与实现 高校第二课堂活动在学生创新素质培养方面具有独特的优势,二课活动的信息化是高校信息化的重要组成部分。针对二课活动管理参与角色众多、业务流程多变的特点,提出了增强型的RBAC模型,并基于Petri网对二课活动的竞赛类活动及公益实践活动业务流程进行建模,采用.Net技术自主开发了工作流引擎,实现了基于工作流的高校第二课堂管理平台,增强了业务流程的可扩展性、灵活性和安全性。 软件定义内容网络中的联合拥塞控制策略 内容中心网络(CCN)从网络体系结构角度解决内容获取与分发问题,但网络资源的有限性和流量的突发性导致网络拥塞不可避免。当前主流的CCN实现仍需依赖IP路由,这样拥塞控制方案只能叠加在IP拥塞控制之上,无法体现研究价值。考虑到协议无感知转发(POF)协议是对Open Flow协议的扩展,支持任意格式的转发数据包,基于POF环境实现不依赖于IP路由的CCN原型——软件定义内容网络(SDCN),并以此为基础提出一种联合拥塞控制策略。实验结果证明该策略可以有效实现软件定义内容网络的拥塞控制。 基于差分隐私保护的模糊C均值聚类推荐 通过对用户进行模糊C均值聚类,使其以不同的隶属度隶属于不同聚类,解决了因硬聚类导致的推荐准确度低的问题,获得更加准确的聚类效果;针对推荐算法的隐私泄露问题,通过将Laplace噪声引入到模糊C均值聚类过程中,实现基于差分隐私保护的模糊C均值聚类推荐.实验结果表明,该算法在保证推荐质量的同时有效改善了推荐系统的安全性. A-Stein:以数据为中心的业务流程管理原型系统 以数据为中心是业务流程管理领域的新趋势,典型的代表为IBM提出的artifact概念.名为A-Stein的BPMS的原型系统实现了从业务流程的设计、检查、优化到选择、组合Web服务并执行流程及对其实时监控的一体化和自动化.在模型管理部分提供了友好的图形界面,对流程提供多角度的检查和优化功能.提出了业务逻辑模型到BPEL的自动转换方法,实现了对Web服务的自动选择和调用.实现了对流程信息的实时监控.对系统框架、关键技术和主要演示过程进行了说明. 一种1394b PHY快速锁定时钟恢复电路的设计 为了满足多通道1394b串行收发器芯片对时钟恢复电路锁定时间和相位精度的需求,文中提出了一种快速锁定时钟恢复电路,通过循环移位寄存器控制模拟插值器来实现。该时钟恢复电路通过环形振荡器产生多相时钟,并通过基于差分电荷泵的PLL电路降低了输出时钟信号的抖动;通过将输入数据相位与多相时钟信号进行比较,确定合成输出时钟信号需要的输入时钟相位;通过循环移位寄存器控制模拟插值器的电流,改变输出时钟相位,直至其与输入数据相位锁定。该电路可以解决延迟锁相环时钟恢复电路速度和精度不够的问题。该电路在0.13μm CMOS工艺下实现,可以工作于0.1~1 GHz。当工作电压为1.2 V,输入数据速率为1 Gbps时,电路的功耗为0.8 m W,最小相位变化为3.5 m UI。 眼科病床的合理安排模型 对医院床位利用情况进行合理的综合评价可为医院管理者加强医院管理,合理分配床位,提高病床的使用效率等提供很好的参考。因此,通过建立模型合理分析床位利用情况,及时调整床位结构,对于提高医院经济效益、改善病房管理、挖掘潜力、增强服务能力等都具有十分重要的意义。 潜艇流水孔阻力特性分析 "以潜艇流水孔为研究对象,基于RNGk-ε湍流模型对流水孔三维流场进行数值模拟,通过改变流水孔参数,研究了流水孔形状、尺寸以及数量对航行阻力的影响,研究结果表明,4种形状流水孔阻力值以菱形流水孔最小,其次为正方形流水孔,圆形流水孔,长方形流水孔阻力最大;随着流水孔尺寸的增大,湍流活动被加强,总阻力增大;多数量流水孔的湍流特性呈现出""两头强,中间弱""的态势,总阻力随流水孔数量增加而逐渐增大;以上结论可为潜艇流水孔设计提供参考。" 融合差分进化和SOM的组合文本聚类算法 自组织映射算法是一种重要的聚类模型,能够有效提高搜索引擎的精确性。为克服自组织映射网络对于初始连接权值敏感的不足,提出一种改进的差分进化和SOM相结合的组合文档聚类算法IDE-SOM,首先引入一种改进的差分进化算法对文档集进行一次粗聚类,旨在对SOM网络的初始连接权值进行优化,然后将这个连接权值初始化SOM网络进行细聚类。仿真实验表明,该算法在F-measure、熵等评价指标上都获得了较好的聚类效果。 2014年中国移动医疗行业研究报告 [正文]据统计,从2010年至2014年3月份,移动医疗行业共发生投资事件61起,披露金额超过1亿美元。移动互联网的火热催生医疗的各个细分领域的快速发展,如诊断、个人照护、治疗等。随着远程预约、远程医疗、慢病监控、大数据综合解决方案等改变现有的医疗健康服务模式的应用的发展,移动医疗将掀起一轮新的投资热潮。移动医疗改变了过去人们只能前往医院就医的传统生活方式,将大众引导入一种更为先进、轻松和便捷的就诊模式中,近年来也逐步 一种基于交通视频车辆阴影去除算法的研究 车辆识别是智能交通系统的主要研究课题,而阴影是影响车辆识别的最主要原因。根据车辆阴影形成的光学特征,提出一种基于自动标记的OSTU双阈值图像增强车辆阴影去除算法。首先使用改进的统计直方图背景更新算法进行背景更新,获取背景图像。其次使用背景差分运算求取包含移动阴影的前景二值图像。最后使用改进的自动标记算法对所得二值图像的连通区域进行标记,然后分别对每一个标记的连通区域所对应的实际图像使用OSTU双阈值图像分割方法进行分割,并对所得的分割区域进行不同程度的灰度增强。在视频检测实验中,该算法不仅能够有效消除移动阴影,而且在一定程度上克服了阴影误检等问题。实验结果表明,该改进方法在阴影消除方面的有效性。 MR 3D-FIESTA序列在显示肩关节周围韧带的价值 目的:探讨磁共振3D-FIESTA序列对正常肩关节周围韧带的显示能力。方法:对30例健康志愿者的双侧肩关节行MR不同序列的扫描。3D-FIESTA序列图像进行多平面重组,观察其周围韧带。分别测量脂肪、肌腱的信号强度及背景信号强度标准差,计算肌腱与脂肪的对比噪声比(CNR),比较3D-FIESTA序列与常规T1WI、PDWI、T2WI脂肪与肌腱的CNR。由两名主治医师观察3D-FIESTA轴位与T1WI轴位、3D-FIESTA重建图像与PDWI斜冠状位图像对喙肩韧带、喙锁韧带、喙肱韧带的显示效果。结果:3D-FIESTA序列上脂肪与肌腱的CNR与T1WI无差异(P=0.295),而高于T2WI和PDWI,P值分别为0.000、0.000。3D-FIESTA序列轴位图像显示喙肩韧带优于常规序列,多平面重组图像显示喙肩韧带;喙锁韧带;喙肱韧带优于常规序列。结论:3D-FIESTA序列图像可以清楚显示喙肩韧带、喙锁韧带及喙肱韧带,具有较高的临床应用价值。 带有丢包的线性参数变化系统的H_∞控制 主要研究了一类带有Lipschitz非线性和随机通信丢包的线性参数变化系统(LPV)基于观测器的H_∞控制问题。针对信号传递中的随机丢包,使用了已知条件概率分布的Bernoulli分布序列来描述。在随机丢包存在的情况下,利用李雅普诺夫稳定性定理得到了基于观测器的反馈控制器存在的充分条件,使得闭环网络LPV系统不仅是均方指数稳定的,而且满足预定的H_∞扰动抑制性能指标;然后利用近似基函数和网格技术将无限维的线性矩阵不等式组的求解问题近似为有限维线性矩阵不等式组的求解问题,提出了一种线性矩阵不等式的方法,设计出了相应的H_∞控制器。最后,通过数值仿真验证了所提方法的有效性。 基于过时反馈的选择协同分集研究 在无线协同通信系统中,由于信道的时变特性,导致中继选择和数据发送时的信道状态信息不同。针对该问题,对基于过时反馈的选择协同策略进行研究。介绍系统模型及基于过时反馈的中继选择过程,推导系统的中断概率闭式结果和高信噪比下的近似逼近结果,通过分集复用折中性能分析得出,过时信道状态信息导致系统的最大分集阶数为2。数值仿真结果验证了理论分析的正确性,并且得到中继选择时的信道状态信息与数据发送的信道状态信息稍有不同,其中断性能就会大大降低的结论。 呼吸机压力控制通气吸气策略仿真研究 作为呼吸机最基本的一种呼吸模式,压力控制通气的一个难题是如何保持平稳的呼吸压力平台。为了解决这一问题,本文引入呼吸机与肺部仿真模型,研究不同患者的压力控制通气策略。仿真结果表明,压力控制通气的吸气策略与患者肺时间常数存在一定联系,通过选取合适的控制参数,可以保持平稳的呼吸压力平台。这对呼吸机控制参数的选用和对呼吸机通气控制的进一步研究具有一定参考价值。 基于CPLD的自适应高精度时统模块设计 通过分析影响时统模块自守时精度的因素,给出传统时统模块自守时精度低的原因,提出了基于CPLD的自适应高精度时统模块的硬件设计和CPLD逻辑设计方法;通过仿真及实际测试结果表明,基于CPLD的自适应高精度时统模块的自守时时钟与参考时钟的误差每小时小于3.6 ms,并且根据自守时精度的数学模型,通过提高晶振的频率可以提高模块的自守时精度。 区间数信息下的多属性群决策研究 随着决策系统的不断扩大以及复杂化,评价指标以实数值描述稍显欠妥。研究属性权重为实数值、区间数信息下的多属性群决策问题,建立集结区间数信息的非线性规划模型,采用模拟植物生长算法集结各方案中多位专家给出的区间数偏好,并利用得到的偏好区间数构成群决策偏好矩阵。结合已知权重,引入投影理论得到各方案的综合评价值,从而选出最优决策方案。算例结果表明,模拟植物生长算法不仅计算简便、灵活,并且尊重每个专家的意见,较好地保留了信息的完整性,显示出人工智能算法在信息集结方面的有效性。 基于交替-连续长度码的测试数据编码方法 为了减少测试数据量,提出基于交替-连续长度码的测试数据编码方法。采用变长-变长的编码方式,直接编码测试集中连续-交替的长度码。实验结果表明,该方法的解压结构是一个简单的有限状态机,所需额外硬件开销小,与同类型的编码方法相比,具有更高的测试数据压缩率。 含群学习的免疫网络优化算法 为了在探索和利用之间取得平衡,提高算法的效率,借鉴粒子群算法机理,本文提出一种新的免疫网络优化算法,算法利用了抗体集中的优秀个体以及父抗体在克隆变异过程中的有利信息来自适应地指导变异方向。在一些经典的测试函数上对新算法进行测试,实验结果表明,该算法具有很好的全局和局部搜索能力,有较快的最优解搜索速度和较强的多峰值搜索能力。 基于ARM的RFID智能物流管理系统设计与实现 为满足物流行业的发展的需求,利用ARM11嵌入式开发平台,通过扩展射频模块、显示模块和Wi-Fi模块,结合RFID技术,设计了一种智能物流管理系统。给出了系统的总体结构设计,硬件接口电路及软件设计流程。实验结果表明,该系统能对带有电子标签的商品实现射频识别并进行信息的修改,可以通过PC机信息管理系统统一管理数据信息,系统安全可靠,可扩充性强,应用灵活。 一种参考独立成分分析算法在弱信号提取中的应用 独立成分分析(Independent Component Analysis,ICA)是解决盲源分离问题十分有效的方法。特别是FastICA算法,它以中心极限定理为出发点,采用定点迭代的优化算法,收敛快速、稳健。但是在提取弱信号时,由于中心极限定理不再严格成立,FastICA算法也不再适用。因此从理论和实验两个方面着手验证了这个观点,并针对弱信号提取问题提出新的解决思路:在FastICA算法的基础上,引入源信号的部分先验信息作为约束,即参考独立成分分析(Independent Component Analysis with Reference,ICA-R)。若已知源信号的部分功率谱,结合加权范数最小化信号外推算法的思想,建立接近性度量,以约束的形式融入FastICA算法中,从而分离出要求的弱信号。实验结果表明,不管是对模拟信号还是真实的脑电信号,该算法都是有效的。 图形硬件加速的柔性物体连续碰撞检测 给出了一种图形硬件加速的柔性物体连续碰撞检测算法,可以实时检测复杂柔性物体场景中所有物体间碰撞和自碰撞.算法将柔性物体的碰撞检测过程进行流式分解,映射到图形硬件上并行执行,同时使用了并行流式登记算法,在图形硬件上高效实现了变长数据结构.该算法已经使用OpenCL在AMD Radeon HD5870图形硬件上实现.针对一组各具特色的柔性物体仿真场景进行测试,对比CPU(Intel Q6600@2.4GHz)上的单线程优化实现,可以获得9.2~11.4倍的计算加速. WSN中一种基于网格的并行数据收集方案 数据收集问题是无线传感器网络中的研究热点之一。数据收集方式会影响数据到达sink的准确度、延迟以及网络的能量消耗。针对时间响应和数据准确度要求高的应用,提出一种基于网格的并行数据收集方案(DGSG)。首先,sink利用已知的全局信息和计算能力构建出基于最小度的数据收集森林进行任务分发,得到网格粒度最优的数据收集策略,然后网格内的普通节点通过时隙分配来进行数据收集,并可以根据自身的局部信息动态调整数据收集路径。仿真实验表明,相对于已有的方法,DGSG在保证网络生命周期的前提下,能够有效降低延迟以及提高数据收集的准确率。 应用布尔遗传算子求解N皇后问题 应用回溯法求解规模较大的N皇后问题时,时间开销巨大。从提出布尔遗传算子角度,增强遗传算法局部搜索性能,与具有良好全局搜索性能的矩阵遗传算子组合应用,对N皇后问题求解。采用自然数和二进制互换的编码方式,应用N皇后的约束条件构造适应度函数,保证了算法的全局收敛性。通过与回溯法和相关遗传算法比较,实验证实了该方法应用于求解N皇后问题,具有良好的搜索效率和求解质量。 一种面向服务Petri网模型及其结构化操作语义 在工作流网、开放网等Petri网模型的基础上,提出适合描述面向服务系统的Petri网模型—EON(扩展开放网).针对服务的各种组合方式定义了五种EON的组合算子,利用这些组合算子可以从基本EON组合成复杂EON.基于这些组合算子,EON本身可以采用代数化的构造性方式表达,本文进一步给出EON的结构化操作语义,这种语义是遗忘式的,不依赖于EON的标识,而是用发生变化的代数表达式描述EON的运行状态. 装甲装备故障预测与健康管理系统技术研究 预测和健康状态管理(PHM)技术是一种先进测试、维修技术;从视情维修保障的背景出发,介绍了装甲装备PHM系统的内涵,进行了装甲装备故障模式影响和危害分析,明确了PHM系统具备的功能,初步构建了基于开放体系的装甲装备PHM系统的技术框架,并对其中的关健技术进行了深入研究,对炮控系统进行故障预测分析,结果和实际应用一致。 基于云计算的Android恶意程序协同检测系统 为检测Android恶意程序,设计了基于云计算的Android恶意程序协同检测系统。引入云计算的概念,通过在云平台上协同调用N个杀毒引擎来检测Android应用。该系统主要包括两个模块:信息管理模块和协同检测模块。在检测Android应用时,将应用与特征库进行匹配。若匹配成功,则显示该应用在特征库中存储的检测结果;若匹配不成功,则调用N个杀毒引擎来对其进行检测。最终,系统对N个引擎的检测报告进行汇总。利用信誉积分机制获得结果,将结果反馈并存入系统特征库。实验结果表明,该系统平均检出率为97.17%,检测性能良好且可靠性高。 常压蒸馏装置的效益分析与能耗优化 "常减压蒸馏是石油加工的""龙头""工艺,生产过程需要消耗大量的能量,因此,常减压蒸馏优化对提高效益和降低能耗有重要意义。根据过程?损理论,增大高温位的二中循环取热比例可降低有效能的损失。以常压蒸馏装置的效益最大和二中循环取热比例最大为目标,以产品质量为约束,建立了优化模型,应用列队竞争算法分两步求解。实例计算表明,对比原工况点,最终优化操作点的常压塔产值增大8560万元/a,总取热量增大1.90MW,充分利用了装置内部多余的热量。" 一种具有频率扩展功能的低温漂振荡器设计 设计了一种结构简单,低温漂,具有频率扩展功能的振荡器.该电路利用温度补偿电路输出无温度系数的电流,通过TRIMMING电路精确调节电流的大小,在逻辑控制下对电容充放电,经过双门限比较器和整形电路产生稳定的200kHz的方波信号.同时,分频电路产生逻辑信号控制振荡器的扩展电容大小,使振荡器具备频率扩展功能,可以有效地降低电磁干扰,增强系统的电磁兼容能力.本电路采用0.5μm BICMOS工艺,利用Hspice和Cadence软件进行仿真,在芯片系统典型应用环境下仿真得到振荡频率为200.8kHz,当温度在-40~125℃之间变化时,振荡器的频率随温度的偏移在±1%以内.频率扩展范围在中心频率附近浮动±5%左右,该振荡器已经成功应用于一款AC/DC电源管理芯片的设计之中. 基于Web评论的用户个人信息提取方法研究 企业收集和获取用户个人信息是其对用户行为进行分析以制定合理营销决策的前提。注意到当前,由于互联网的高度发展和普及,消费用户往往在Web上以评论文本的形式分享其消费习惯、消费偏好和消费体验,这些海量的评论文本中蕴含着极具价值的信息,为用户个人信息的收集提供良好的资源。针对传统企业收集用户个人信息的方法主要以人工为主导,自动化水平较低的问题,提出一种基于Web挖掘技术以网上评论文本为挖掘对象,对用户个人信息进行自动提取以自动分析用户行为的改进方法。企业可以通过此改进的用户个人信息提取方法对用户行为进行分析以自动获取消费用户对产品的反馈意见并制定有针对性的营销策略。 异构分布式计算环境下一种新型表调度算法 针对异构分布式环境下并行计算的静态任务调度问题,在HEFT算法的基础上,提出一种新型表调度算法IFEFT。以最小化有向无环图(DAG)的执行跨度为目的,在任务处理器分配阶段改变HEFT算法中的处理器分配策略,计算任务最早完成时间与其出口任务之间的最大通信开销,并依据两者乘积的最小值进行分配,兼顾任务对其直接后驱任务和直接前驱任务完成时间的影响,以优化处理器分配结果。通过随机生成的DAG图进行仿真,与HEFT、DLS和CPOP算法的比较结果表明,IFEFT算法具有更高的调度效率。 基于模糊免疫的入侵检测系统设计 针对入侵检测过程中存在的检测精度不高,训练时间过长等问题,提出了基于模糊免疫入侵检测系统,该系统通过引入模糊免疫的策略,大幅缩减了入侵检测的训练时间。同时采用基于连续特征码的匹配策略,提高了入侵检测的精度。实验测试表明,该文设计的算法比基于传统的海明距离匹配的入侵检测算法,其训练时间大幅缩短,其检测率降低约50%。 网络入侵后攻击路径标志技术研究与仿真 对网络攻击后入侵路径的标记,是后期对攻击有效防范的关键。网络遭受到入侵后,攻击路径和合法路径分布交错,对正常信息传播途径进行破坏。传统的攻击路径挖掘方法,以预防式为主,对攻击后攻击路径的标志问题研究很少,主要难点在于无法解决攻击随机性特征下,主动攻击与被动攻击的识别问题,不能准确识别网络入侵路径。提出依据IPPID的多阶段网络入侵攻击路径标识方法,根据历史路由IP地址和Pi值数据库对网络入侵路径进行标识,获取完整的路径,动态插入标识,最大程度地利用标识域的空间,对路径进行动态标识,确保路径标识方法可动态自适应不同网络数据特征,通过学习过程的受害主机判断标识的数据包是合法包还是攻击包。实验结果说明,上述方法在收敛时间、误报率方面都优于其它方法,同其它路径标识方案对比,接受率差值提高了15%-20%,显著提高了网络攻击路径标记的准确率。 采用模糊逻辑修正的SRM滑模控制器设计 针对开关磁阻电动机输出转矩脉动问题,提出了一种基于模糊逻辑修正的滑模转矩脉动最小化控制方法;采用数学解析方法对有限元分析的电感数据进行处理,从而建立电机的非线性模型,并详细分析了转矩脉动机理;设计了基于滑模变结构的电机瞬时转矩控制器,并采用模糊逻辑修正模块对关断角进行实时补偿控制;仿真结果表明,与PI定角度控制及滑模控制相比,转矩脉动系数分别减小了24.7%和15.1%,提升了高转速时的电机动态性能。 改进微粒群算法在机器人路径规划中的应用 研究机器人路径规划问题,是为了设定合理最短路径、最快速度、小能耗的优化路径。由于目前微粒群算法应用在路径规划中易陷入局部最优、搜索时间长等缺点,在微粒群算法基础上,提出一种引入了交叉算子和变异算子的改进算法进行路径规划设计,并采用栅格法对机器人实际运动环境进行三维空间建模。在微粒群算法中引入交叉算子,使成对的粒子可以进行信息交换,以便粒子具有了向新的搜索空间飞行的能力;同时引入变异算子,使其坐标值被随机更新,增强了微粒群算法跳出局部最优点的能力。仿真结果表明改进算法简单有效,收敛速度快且具有优秀的搜索能力,为优化机器人路径规划性能提供了依据。 基于改进的RBFNN在线故障诊断专家系统设计 针对在线故障诊断需求,通过分析神经网络和专家系统的各自特点和不足。为解决上述问题,构建了神经网络的在线故障诊断专家系统。通过对比分析选择径向基函数(RBF)神经网络,采用减聚类和k-means聚类混合算法求取RBF参数;建立了显示与隐式知识库,采用带可信度的产生式规则表示知识,运用ADO方法管理知识库和应用Visual C++、Matlab混合编程的方法,实现了诊断系统组建;最后在某随动装置在线故障诊断中仿真验证,构建系统很好地解决了在线快速故障诊断的问题。 稳态网络海量可公开数据完整性高效检测仿真 当前数据完整性检测方法运行复杂度高、检测覆盖性较差,提出基于双线性对的稳态网络海量可公开数据完整性检测方法。将稳态网络中的各数据用一个阅读器表示,阅读器对其范围内的数据标签进行阅读,将阅读到的结果传送到中间件,中间件将所得标签保存并构成阅读器-标签函数。据此函数对相邻阅读器覆盖密度进行计算,以获取阅读器权重,对权重值进行排列,将具有较小权重的阅读器确定为冗余阅读器,将其清洗掉。将数据清洗结果代入数据完整性检测中,对稳态网络进行划分,使其变为两个终端数据相互传输的形式,将双线性对引至数据完整性检测。终端一根据随机的形式选取数据通过第三方外包的形式传送给终端二,终端二将生成的证据返回,并利用对检测等式均衡性的验证判断终端一传输来的数据是否具有完整性。实验表明,上述方法运行复杂系数为0.2,数据完整性检测覆盖性能较强。该方法性能全面,鲁棒性强。 精益生产模式下的海洋工程项目托盘配送优化 为有效解决精益生产模式下海洋工程项目中的托盘配送问题,建立以增强配送准时性和降低综合成本为优化目标的配送问题模型。在多维约束条件有效处理的基础上,设计了求解托盘配送问题模型的混合改进的非支配排序遗传算法,融入基于非支配接受规则的禁忌搜索算法,增强了局部搜索能力并维护了种群的稳定性。为了解决配送时的动态需求,采用了两阶段求解策略。通过算例的对比分析,证明了该混合算法解决托盘配送多目标问题是可行的,不仅收敛速度快而且避免了早熟收敛,搜索效率较高。 并行计算系统度量指标综述 "系统度量指标的研究一直是并行系统和应用设计的重要问题。本文首先通过对国内外并行计算系统度量指标的分析,将当前并行计算系统度量指标分为单一的计算性能度量指标和多要素综合的高效能度量指标两种。其次,总结了这些度量指标的研究现状,并指出这些度量指标研究中存在的一些问题以及需要考虑的难点。由于并行计算系统正在逐渐从""高性能""走向""高效能"",本文主要考虑当前大规模并行计算系统的可靠性和能耗要素对系统的影响,从系统可扩展角度建立了可靠并行加速比和能耗并行加速比模型,并进一步扩展为度量并行计算系统效能的综合指标模型。最后指出了未来并行计算系统度量的研究方向。" 带有现货市场的柔性合约决策与协调能力比较 为解决供应商和制造商柔性合约的最优化决策问题并分析不同合约供应链系统的协调能力,通过设定供应商和制造商两阶段运作的契约模型,分别对远期合约、期权合约和组合合约中供应商和制造商的分散最优决策进行求解,得出不同合约供应链系统利润函数。以集中供应链作为协调的参考基准,用数值方法比较了三类合约的系统利润,发现组合合约的系统利润接近集中决策系统,而单纯的远期合约或期权合约的协调能力远逊于组合合约。 工业以太网在船舶发电机组测试系统中的应用及网络负载分析 船舶发电机组测试系统作为一个监控系统,在控制器(PLCs)和上位机(触摸屏、监控PC)之间存在大量的数据传输;采用485总线,允许的最大波特率是19200bps,且为主从式通讯,同一总线只允许一个主站存在;为了提高系统的通信速率和响应速度,改进系统的性能,采用速率极快的100Mbps工业以太网,采用星型拓扑结构分割网段,隔离冲突域,解决非确定性;实践证明,系统响应速度快,实时性好,性能明显优于485总线,且允许多主站存在;为了从理论上验证系统的良好实时性,分析了控制器和上位机的网络负载,计算了网络占用率,结果分别是29.822%和26.448%,均满足工业以太网网络占用率低于30%~40%的要求。 基于高斯投影的经纬度距离参数修正方法 当经纬度求算实际距离时,要满足中小范围内和大范围内2点求取距离的误差要求,而误差会随着经度差增大呈非线性增长。针对此,改进经典高斯投影算法,拆分计算跨带经度的2点距离,利用经度差作为控制变量得到修正系数,对线性叠加的距离进行修正。实验结果表明,该方法能减少跨带误差,有效控制计算误差。 改进混合蛙跳算法和K-Means的新型聚类算法 研究针对现有聚类算法存在着精度较低,易陷于局部最优等问题,提出一种改进的混合蛙跳算法和K-Means相结合的新型聚类算法ISFLA-K,该算法使用对立学习的思想产生初始种群,根据蛙自身具有认知能力和学习能力的特性对混合蛙跳算法的蛙跳规则进行改进,即形成ISFLA,最后使用ISFLA优化K-Means聚类算法,提高求解精度.实验结果表明,ISFLA-K具有很好的聚类性能,求解精度高. 两级链表在交换控制芯片描述符管理中的应用 研究一种利用硬件实现片上存储器管理的方法,针对目前交换机最长至9 728 Byte的超长帧存储问题,在交换控制芯片描述符管理方法的基础上,提出一种两级链表的设计方法,即第一级发送队列链表和第二级缓存标签链表,分别用于维护每个端口的帧优先级次序及每个帧的缓存页地址。仿真实验结果证明,该设计方法能有效地管理描述符,可处理超长帧的交换控制芯片。 一种基于接种疫苗的克隆选择算法 对生物医学中的疫苗及接种疫苗技术进行抽象与建模,分别提出疫苗及相关概念、疫苗自动获取算法和接种疫苗算法。将上述算法与一般克隆选择算法结合,实现对其的改进。分析改进算法的计算效率和时间复杂度,改进后的克隆选择算法被用于模式识别。实验结果表明,基于接种疫苗的克隆选择算法能够加快收敛速度。 大型空间环境模拟设备试验数据管理研究 针对国内外空间环境模拟设备试验系统数据管理模式,从试验数据采集、试验数据处理、试验数据存储归档以及试验数据移交利用这4个方面进行对比分析,提出国内空间环境模拟设备试验系统在增强试验数据处理能力、建立卫星故障模式分析和危险分析系统(FMECA)以及局部试验数据应用模式向远程同步试验数据应用模式发展的建议。 Web服务中隐私信息违例识别与补偿策略设计 为判定Web服务中隐私或敏感数据是否被违规使用,定义Web服务的隐私数据模型,为SLA增加面向隐私数据的条款;构建隐私信息监测模型(private information monitoring model,PIMM),在该模型中对隐私数据实施分类,抽象表示不同类型隐私数据,给出违例判定规则,以此为依据识别服务行为隐私信息使用违例;根据不同违例类型定制补偿罚金,评估违例的风险价值。通过在线购买服务验证了该方法的有效性。 引入三维阴影及镜面反射的两步光源检测算法 现有基于光照模型的增强现实逆向光源检测算法要求校准物体的表面是纯漫反射体,检测出的位置误差较大.针对这一问题,提出一种引入投影阴影三维形状特征及镜面反射分量的两步光源检测算法.算法分为光源位置和强度检测两个部分,在光源位置已知的条件下引入带有镜面反射分量的Cook-Torrance模型检测强度,并采用随机采样分区、误差处理等机制.同时使用投影阴影三维形状特征代替平面阴影角点特征,然后利用光线跟踪检测光照位置.实验表明该算法检测光源位置更加准确,检测光强有效,较好地解决了点光源位置检测不准确和受校准物材质限制问题. 基于特征融合的选择性剪切外显子预测 基因在转录的过程中,mRNA前体常常会经过一个选择性剪切的过程,它包括多种选择性剪切形式。选择性剪切的鉴别在生命科学的研究和医学上都有重要意义。由于选择性剪切的内在限制,同类的预测选择性剪切的模型或者算法只能预测出特殊的选择性剪切事件的类别。本文在研究序列本身特征的基础上,考虑了碱基的保守性,并结合支持向量机建立的预测模型对选择性剪切外显子进行预测。性能测试结果显示,相比同类预测模型该方法在性能方面有了一定提高。 汽车控制系统CAN总线的网络演算建模及分析 针对汽车控制系统CAN总线的实时性问题,提出一种汽车控制系统CAN总线的网络演算模型.利用网络演算分别建立了汽车控制系统CAN总线的达到曲线和服务曲线模型,有效地模拟了汽车控制系统CAN总线的确定性行为特性.最后,以某汽车控制系统CAN总线为例,计算得到了各个消息帧的最大延迟,验证了所提方法的有效性,该方法可用于汽车控制系统CAN总线的设计与性能评价. 基于SSD卷积神经网络的公交车下车人数统计 传统典型的公交车人数统计方法在准确率和速度方面存在一些不足,且提取目标特征的效果较差.本文提出了基于深度卷积神经网络的公交车人数统计系统解决人群计数问题.首先制作数据集,难点在于所有用于训练的数据集均是手工标注.并且公交车摄像头角度比以往文献覆盖更广区域.本文首先比较了多种不同的深度卷积神经网络模型对乘客进行全身检测的效果.综合考虑检测速率、准确率等方面,最终采用单次检测器深度卷积神经网络模型对乘客进行人头目标检测,在线实时目标追踪算法实现人头的多目标追踪,跨区域人群计数方法统计公交车下车人数.系统准确率达到78.38%,运行速率约为每秒识别19.79帧.实现了人群计数. Costas编码信号复合速度测量方法研究 Costas编码跳频信号具有图钉形的模糊函数,不存在距离-速度耦合现象,因此可以同时得到目标的距离-速度信息;目标的速度会对Costas跳频信号回波相位产生很大的影响,如果不进行速度补偿,目标的距离像就会产生很大的衰减,甚至淹没在相位噪声里;为了进行目标速度的测量,同时考虑到系统实时性的要求,将互相关测速和步进补偿方法结合起来,设计一种综合测速方法;仿真表明该方法大大减少了计算量,同时满足了系统对测速精度的要求。 基于差动制动的SUV防侧翻控制仿真研究 在汽车行驶安全性研究中,为提高SUV极限行驶性能中的抗侧翻能力,建立了一种差动制动的防侧翻控制方法。建立了三自由度SUV侧翻模型,并在SUV侧翻模型的基础上推导出横向载荷转移率,作为汽车的动态侧翻性能指标,用来定量的表示汽车的侧翻稳定性能,并用特定值作为控制系统的触发因子,从而在符合控制条件时通过PID控制器对侧向加速度差值进行控制,进而进行制动力的分配,实现差动制动控制。以Matlab/Simulink和CarSim仿真软件作为仿真平台对SUV防侧翻控制系统进行联合仿真,仿真结果表明在典型工况下SUV防侧翻性能得到有效改善,横向载荷转移率值降低12.5%左右。实验证明,研究结果对SUV防侧翻控制系统的设计具有一定参考价值。 使用局部纹线距离进行指纹分类 为了提高指纹识别的速度和准确率,特别是在指纹数据库不断增大的情况下,指纹分类显得尤为重要。提出了一种使用局部纹线距离进行指纹分类的方法。该方法首先以指纹的参考点为中心提取一个区域,然后计算该区域的局部纹线距离,最后按照局部纹线距离对指纹库中的指纹进行分类。在FVC2006指纹库上对该方法进行了实验,系统穿透系数为0.106 3,有效地提高了指纹的检索速度。 基于用户联合相似度的推荐算法 基于用户的协同过滤推荐算法在进行近邻用户的筛选时以用户之间相似度的计算结果作为依据,数据量的增大加剧了数据的稀疏程度,导致了计算结果的准确性较差,影响了推荐准确度.针对该问题本文提出了一种基于用户联合相似度的推荐算法.用户联合相似度的计算分为用户对项目属性偏好的相似度和用户之间人口统计学信息的相似度两个部分.用户的项目属性偏好引入了LDA模型来计算,计算时评分数据仅作为筛选依据,因而避免了对数据的直接使用,减缓了稀疏数据对相似度计算结果的影响;用户之间人口统计学信息的相似度则在数值化人口统计学信息之后通过海明距离进行度量.实验结果表明,本文提出的算法在推荐准确度上优于传统协同过滤推荐算法. 基于模糊度和色调变化率的图像模糊取证 "提出一种数字图像模糊篡改的检测方法。由于模糊操作是一种常用的图像润饰篡改手段,所以检测人工模糊对图像的真实性和原始性具有一定的必要性。首先利用图像的模糊度,估计出图像中可能经过模糊篡改的区域,再根据模糊操作会破坏图像邻域的色彩一致性且被破坏后的色彩一致性无法被""再次""破坏的性质对估计出的模糊区域进行高斯低通滤波处理,然后根据滤波前后色调值的变化个数进行精确的检测,最后定位出人工模糊篡改区域。实验表明,该方法可以检测出图像中的人工模糊篡改区域,并能准确地将其进行定位。" 基于健康度分析与和声蚁群算法-支持向量机的故障预测模型 针对现有的故障预测技术无法从整体上反映系统性能下降趋势等问题,提出一种基于健康度分析的故障预测方法。首先,在支持向量机回归算法基础上构造多输出支持向量机,以实现健康度的多步预测,并提出一种和声蚁群算法优化支持向量机参数,解决了蚁群算法易陷入局部最优的问题;然后,根据最优参数建立拟合监测数据和未来健康度下降过程非线性映射关系的和声蚁群算法-支持向量机(HSACA-SVM)故障预测模型;最后,通过某装备电源系统监测数据验证了该模型的有效性。实例验证表明该模型能够较好地实现对健康度下降趋势的预测,预测准确率达到97%,进而实现故障预测。 基于相控投切技术的无功补偿装置 "为了减小煤矿电网在无功补偿时随机投切电容器组给电网带来的涌流及过电压,设计了一套以具有发展前景的""软开关""技术即相控技术为根基的无功补偿装置,采用寿命长、成本低的带有永磁机构的真空接触器作为投切开关,该机构较传统的弹簧机构和电磁机构动作分散性小,为满足动作时间高度一致,对真空接触器动作时间的分散性进行有效补偿,并采用模块化的思想理念建立系统整体结构,采取适当的控制策略完成预期位置投切.最后本系统进行了仿真,并在某矿6kv电网中进行了实验测试,仿真和实验结果都表明本系统能有效的补偿系统无功,有一定的应用价值." 自适应多视角学习及其在图像分类中的应用 针对现有多视角学习算法在构建近邻图时缺乏数据自适应性问题,提出一种自适应多视角学习(AMVL)算法。该算法首先利用L1范数具有自动数据样本选择的特性,对不同视角分别构建有向的L1图;然后根据得到的L1图,最小化各个视角下的低维重建误差;最后对不同视角间进行多视角全局坐标对齐,得到自适应多视角学习算法的目标函数。此外,还提出一种迭代优化求解方法来对所提目标函数进行优化求解。将该算法应用到图像分类问题,在Corel5K和NUS-WIDE-OBJECT两个公共图像数据集上与现有算法进行对比。实验结果表明:所提方法在这两个数据集上可以分别提高最高5%和2%的分类准确率;优化求解算法可以保证在100次迭代内收敛;算法所得到的近邻数目具有数据自适应性。 汽车转向防抱死制动控制系统研究 为了研究解决车辆转向过程中防抱死制动稳定性问题,设计了一种由执行级、协调级组成的分层控制系统,在执行级,设计了基于遗传算法的汽车ABS最优滑模控制器;设计了基于遗传算法的汽车转向滑模控制器。在协调级,针对制动和转向两个子系统提出协调控制方案,给出具体协调策略。用仿真结果验证所设计控制算法的稳定性和有效性。 智能家居室内温度远程优化控制仿真研究 对智能家居室内温度进行准确控制,可以改善智能家居室内的体验效果。在进行智能家居室内温度控制时,应对当前温度采样值是否合理进行判断,并将判断信息进行发送,而传统方法是通过时间触发控制方法进行远程在线控制家居温度控制,无法准确判断当前温度是否合理,同时所需的节点多、稳定性差、而且会造成不必要的能源浪费,降低了智能家居室内温度控制的效果。提出一种随机变异粒子群算法的智能家居室内温度远程优化控制方法。根据无线传感温度控制节点和事件触发条件决定当前采样值是否发送,搜索智能家居空间粒子的位置和速度,在优化模拟家居温度控制粒子群的过程中,不断改变粒子位置和速度,直到搜寻出适用于智能家居的最优温度,实现智能家居温度在线调节和远程控制。仿真结果表明,提出的改进方法能够有效地实现智能家居温度高精度的远程控制。 基于改进分水岭的CTA去骨技术 为避免快速分水岭在CTA图像去骨中存在的过分割现象,采用一种改进的可交互分水岭算法对CTA图像进行去骨研究。改进算法建立在快速分水岭的排序操作基础上,排序完成后,采用侧重于像素空间关系的方法对像素进行溢流标记,同时采用树结构组织水盆及其合并关系,最后通过用户给定标记和合并阈值对集水盆合并过程进行干预,从而影响分割结果以抑制过分割。实验结果表明,改进算法有效地解决了分水岭变换中的过分割问题,并较好地去除骨骼结构。 无线传感器路由算法中的仿真研究 在研究无线传感器优化的问题中,传感器的簇首节点选择的合理性直接决定传感器网络寿命的长短。由于传统的算法在确定簇首过程中忽略了邻居节点的状态信息,导致簇内节点过早的出现盲节点,从而降低网络的生存时间。针对传统LEACH算法能量消耗快、网络生存时间短的缺点,提出了一种改进的LEACH算法(ILEACH)。在分簇阶段簇首选择时充分考虑节点剩余能量和地理位置因素;在稳定传输阶段,采用改进的簇首间多跳路由机制,并在转发过程中再次进行数据融合。对ILEACH算法进行仿真实验,仿真结果表明,与经典分簇路由算法LEACH相比I,LEACH算法有效平衡节点间开销,提高能量有效性,降低了网络整体能耗,有效地延长了网络的寿命。 云自适应混合细菌觅食优化算法 针对传统细菌觅食优化算法存储量大、收敛速度慢且难以解决高维问题等缺点,通过细菌的适应度值将细菌种群分为三个层次,不同层次分别采用不同的搜索步长生成策略,由X条件云发生器自适应调整一般层次中细菌搜索步长,并引入粒子群算法思想进行细菌位置更新,提出了云自适应混合细菌觅食优化算法.由于云模型云滴具有随机性和稳定倾向性特点,提高了算法的灵活性;粒子群算法的引入提高了算法全局搜索能力,加快了算法的收敛速度.通过典型函数优化实验表明,与基本细菌觅食算法、自适应细菌觅食算法以及YSPSO相比,云自适应混合细菌觅食算法更有利于解决高维问题且具有较快的收敛速度和较高的计算精度. 基于Diophantus模型与动态S盒的图像加密算法 为解决当前S盒加密算法主要是借助固定S盒进行像素扩散,且S盒的生成与明文无关,导致其安全性不佳的不足,提出一种基于线性Diophantus模型与循环移位动态S盒的图像加密算法。从Logistic映射迭代生成的混沌数组中选择两个元素,计算Diophantus模型的系数,获取两个解集合,定义位置排序法,形成置乱密钥;借助外部密钥,生成2DLogistic映射的初始条件,输出随机整数序列,基于等尺度变换,拓展2D Arnold映射,形成矩形变换机制,获取系数矩阵;基于有限域理论,设计循环移位动态S盒,构建像素扩散模型;利用改进的引力模型,定义密文深度增强机制,优化密文的NPCR(number of pixels change rate)与UACI(unified average changed intensity)值。实验结果表明,与基于固定S盒的加密技术相比,所提算法的安全性与加密效率更高。 WIA-PA网络中的基于节能的AODV路由算法改进 能源问题对于WIA-PA工业无线网络是非常重要的;因为在恶劣的环境中它们只能依靠其有限的电池供电;传统的路由算法中,选路时没有考虑节省能量的策略,它会造成一些关键节点的过度使用而过早的退出无线网络;针对WIA-PA网络的能量受限问题,提出了一种基于搜索有较大可持续能量路由的节点方法,并对AODV协议进行改进,逸免了低能量节点的使用,从而延长了网络的生存时间;该路由协议经过了理论分析和计算机仿真的验证,具有可行性和有效性,对于WIA-PA网络的节能路由协议研究以及实现具有一定的参考价值。 10GE-RapidIO网关的设计与实现 为了实现RapidIO网络和万兆以太网网络之间的高速数据通信,文章提出了10GE-RapidIO网关的设计方案,采用IP-over-RapidIO的方法即将以太网数据包封装进RapidIO数据包中实现以太网数据包在RapidIO网络中的数据传输。此网关能够高效的连接万兆以太网和RapidIO网络,实现RapidIO传输数据包和万兆以太网数据包的转换。 一种虚拟试验系统中的多节点信息交互机制 大型分布式虚拟试验系统利用局域和广域网络,将分布在不同节点的虚拟设备、实物设备进行互联,共同协作完成先进装备的虚拟试验任务。为提高系统的实时性,通过试验平台将相应数据及设备状态,快速有效地协调其信息交互是支撑虚拟试验运行的关键。为实现试验功能、试验运行和底层通信三者分层管理;消除交互双方在时间、空间、控制方面耦合;减少网络上冗余信息传递,提高交互效率,提出了一种多节点信息交互机制。方案采用层次式体系结构、基于主题的订购/发布模型、结合可靠单播与局域组播通信,对面向大规模虚拟试验的应用系统开发具有参考价值。 邻域决策的随机约简与集成分类研究 为了进一步提升邻域分类器的性能,提出基于属性约简的集成邻域分类策略.首先在启发式求解约简的过程中,通过放宽属性选择的条件,从而在一定范围内利用随机选择的方法获取多个能够降低邻域决策错误率的属性子集,然后借助这些属性子集在对应邻域分类器上得到的结果进行投票集成,得到最终的分类类别.在12个UCI数据集上的实验结果表明,所提出的基于属性约简的集成邻域分类策略不仅能够有效地提升邻域分类器的分类精度,而且亦能增强邻域分类结果的鲁棒性.这一研究为从集成的视角研究粗糙集理论提供了技术支持. 基于云服务的智慧医院能源效率管理系统的研究 随着医疗机构医疗技术的进步以及医疗机构功能的不断完善,就医环境与工作环境的不断优化,我国医疗机构电气煤单位面积能源消耗每年递增,医疗机构能源和环境质量管理仍存在粗放式现象,如设备资料缺少、台账不清、制度执行力较弱、员工队伍失衡等问题。而目前绝大多数医疗机构都没有开展能源诊断以及系统管理,医疗机构节能和环境质量管理发展潜力很大。基于云服务以及物联网技术,主要研究面向智慧医院能效管理的云服务架构,以及管理评价方面的关键问题,利用物联网监测获取数据,通过信息平台集成和分析数据,结合回归分析与BP神经网络的方法对能耗数据进行预测,确立能源效率与环境质量综合表征的智慧医院指标体系,并开展相关评估与管控研究,从而打造节能高效、环境舒适的智慧节能医院,有效推进医疗机构绿色节能建设的发展。 一种融合本体与粗糙集的文档相似度计算方法 针对向量空间模型VSM中,在计算文档相似度时要求文档标引词必须相互独立这一缺陷,提出融合本体与粗糙集的文档相似度计算方法。在该方法中,不仅可以利用本体对概念关系的准确揭示,计算文档之间的概念相似度,还可以结合粗糙集对相关概念实例的属性重要性进行度量,从而计算属性相似度,克服了传统方法需要依赖人的先验知识这一缺陷,最后综合形成文档语义向量相似度,并通过实验分析证明该方法可以在很大程度上提高文档相似度计算的准确性。 一种基于数据划分实现分布式SPARQL查询的方法 当海量RDF数据存储在分布式平台上时,数据划分的策略将直接影响海量数据的查询效率。为了提高分布式平台上的海量数据查询效率,提出一种基于分布式平台的有效数据划分方法。该方法根据RDF数据图的特征将数据分布在集群的各个节点上,并在此基础上对SPARQL查询语句进行分解,实现高效的分布式查询。算法在云平台上实现,并在真实的RDF数据集上对算法进行了测试。实验结果证明,与基准方法相比,该算法在查询效率上有很大的提高。 一种TD-LTE与eHRPD系统重叠覆盖下的负载均衡算法 针对3G的eHRPD和4G的TD-LTE移动通信系统进行混合组网时,每种网络制式下的小区在用户增多时都有可能过载,除了传统的与邻近小区进行基站的切换,还可以在不同制式之间进行垂直切换。本文以网络资源利用率为参考,对系统内的用户进行异系统垂直切换,从而使混合组网后的系统负载得到均衡。通过该整合系统的仿真,在保证无线链路丢失率不受显著影响前提下,该方法使得蜂窝小区的平均吞吐率有所提高,并能找到使得吞吐率最大时的最佳切换阈值。 基于用户间动态信任关系的推荐算法研究 在电子商务中,用户对商品的决策很大程度上取决于用户间的社会信任关系。传统的推荐算法往往考虑用户间的静态关系,即决策依赖的社会关系图是不变的。实际上,用户对好友的信任度往往随着时间的变化而变化。为了描述动态的信任关系在推荐系统中的作用,提出了一种基于动态信任关系的推荐算法。首先,提出了一种考虑用户的静态兴趣和静态信任关系的产生式模型;然后,分别将时序因素加入到用户兴趣和信任关系的描述,并提出了相应的动态产生式模型。实验表明,提出的算法能很好地描述用户之间信任关系随时间的变化,并且与其它相关算法相比,评价值的预测准确性得到了明显的提高。 优化排水直管段流量测量准确性的研究 研究排水直管段流量的测量精度问题。由于目前传统的测量方法不能有效地减小直管段安装角度造成的误差,导致流量测量的准确度较低。为了优化流量测量的准确性,流体力学湍流双方程理论,建立直管段湍流模型,采用计算流体力学软件Fluent仿真孔板前后压差随着排水直管段安装角度所产生的变化,并得出消除静压差后的流量定量值。仿真结果表明,随着流速增大,角度变化对流量测量准确性的影响越小。仿真结果证明,角接取压在整体测量方法中较为准确,是一种处理流量测量精度问题的有效方法。 一种能耗均衡的无线传感器网络分簇算法 以无线传感器网络中的LEACH和HEED分簇算法为背景,提出一种基于能耗均衡的自适应网络分簇算法EBACA。算法的主要特点是传感器节点根据自身状态信息自主竞争簇头,簇头选择标准考虑了随机概率与节点剩余能量结合,并引入了节点能量预测和能量阈值;为均衡各个节点的能耗,通过重新规划时间片来调节节点的工作频率;为减少簇头的能量开销,簇头之间通过多跳方式将各个簇内收集到的数据发送给特定簇首节点,并由此簇首节点将整个网络收集的数据发送给基站。设计的目标是均衡网络能耗,进而最大化网络寿命。分析和仿真结果表明,相对于几种重要的分簇算法,如LEACH和HEED,EBACA在平衡节点能量消耗和延长网络寿命方面具有更优越的性能。 鲁棒半监督局部线性嵌入算法 主要研究半监督局部线性嵌入算法(Semi-Supervised Locally Linear Embedding,简称SSLLE)对于噪声的敏感性,提出一种具有鲁棒性的半监督局部线性嵌入算法(Robust Semi-Supervised Locally Linear Embedding,简称RSSLLE).RSSLLE在对数据进行离群点检测的基础上,从两方面增加算法对离群点的鲁棒性.对于光滑点集,直接对其采用SSLLE算法进行降维,以避免离群点对光滑点的影响;对于离群点集,利用其局部投影坐标计算局部重构权,从而真正反映离群点的局部线性关系.再将光滑点集作为训练点集,结合SSLLE方法计算离群点集的低维坐标.模拟实验和实际例子表明RSSLLE对噪声有很好的鲁棒性. 一种抗合谋攻击的基于身份的门限签名方案 基于Paterson签名方案和分布式密钥生成协议,提出了一个新的基于身份的门限签名方案。该方案能有效抵抗合谋攻击和伪造签名攻击,并能实现签名成员的可追查性。无需可信中心参与,群签名成员共同生成群公钥和秘密分发群签名密钥。在标准模型下,该方案是健壮的和对适应性选择消息攻击是不可伪造的。 一种改进的分布式查询优化算法 为了提高分布式查询优化算法的性能,在遗传模拟退火混合算法中融入小生境技术,并对混合算法的相应要素进行改进,基于该混合算法,提出了一种改进的分布式查询优化算法。利用小生境技术扩展遗传模拟退火混合算法的探索区域,防止早熟现象发生,简化算法中的Meteopolis规则,以消除混合算法中引入新技术后产生的功能冗余,将混合算法应用到分布式查询优化算法中。实验结果表明,改进的分布式查询优化算法可以稳定地得到最优解,减少分布式数据库查询的代价,提高查询效率。 基于法向量的非线性逼近型细分格式 提出一种二进制的几何非线性逼近型细分格式。在该格式中,新点不全是旧点的线性组合,其中一个新点是通过在法向量方向偏移所产生,且法向量在每次细分中能自适应计算。引入一些参数来控制细分过程,且参数对曲线形状的影响是局部的。实例证明,通过选择适当的参数值,产生的细分曲线具有保凸性和G1连续性。 分布式软件系统运行时监测框架研究 软件监测是评价大规模分布式系统行为是否符合预期的重要手段,也是进一步实施在线调整和演化活动的前提和基础。给出了一个名为Mega的分布式软件系统运行时监测框架,可以支持具备监测能力的分布式软件系统的开发和运行。一方面,该框架通过引入一种专门的监测需求描述语言,在分布式系统中实现了监测逻辑和功能逻辑的分离,使得监测逻辑可以高效开发和独立维护。另一方面,该框架通过引入为监测数据处理而设计的事件模型,使得大规模系统的监测具备可伸缩和可扩展性。在阐述Mega框架特点的基础上,进一步给出了基于这一框架的若干监测设计模式,可以有效支持具备监测能力的大规模系统开发。 计算机学科发展研讨会在北京召开 [正文]2015年9月5日,中国计算机学会(CCF)在北京组织召开了计算机学科发展研讨会.CCF学术工委主任、北京大学教授金芝,学术工委副主任、北京理工大学教授黄华,参加《中国科协2014-2015计算机学科发展报告》撰写工作的13个撰写组的代表,CCF学术工委委员,以及部分在京高校/研究机构的研究人员和博士研究生等50余人参加了会 机场航班行李分拣站合理分配仿真研究 针对大型枢纽机场面临的航班行李分拣站分配任务越来越繁重、约束条件复杂、优化困难等问题,分析了旅客行李处理流程并给出了机场航班行李分拣站分配问题的形式化描述,提出了面向机场不同业务需求的行李分拣站分配方案性能评价指标。以均衡度最小、偏好匹配度最大和空闲行李分拣站数量最大为优化目标,研究构建了行李分拣站分配问题多目标优化模型,并设计了遗传算法求解该模型。基于北京首都国际机场实际运行数据的仿真验证表明,提出的分配方案在各个评价指标上均有较好表现,相较于机场现行方案,有显著优势,能很好地满足机场实际的运行需求。 基于DMD的可见光目标模拟系统设计与实现 目标模拟系统作为导引头电气系统半实物仿真实验的重要组成部分,向可见光探测系统提供动态目标和场景图像,以测试其发现、探测和跟踪目标的能力。分析设计了可见光目标模拟系统结构以及构建了DMD驱动控制模块和光学系统平台,实现解决了DMD驱动控制、灰度调制以及光学投影等关键问题,并成功地实现了将仿真计算机中仿真图像通过DVI接口传输到DMD上以60Hz频率显示。最后,与探测设备进行对接,对可见光目标模拟系统的所有功能进行了验证,结果满足仿真实验要求。 “数字流域”网络门户的研究和设计 "分析和研究了目前国内外河流的信息化平台建设情况,以""数字流域""建设为背景,为西藏""四江流域""可持续发展,尤其是生态环境保护、监测、评价和规划的研究成果展示,设计开发一套基于W eb G IS技术、JavaPortal技术的数字流域网络门户系统。描述了实现系统的关键技术、设计思想和系统结构,实现了从数据共享、数据交换层面到基于网络门户的数据服务集成的飞跃,网络门户的建设增强了信息化平台的功能和数据集成,也为生态环境建设的决策支持工作提供了良好环境。" 结合HPSS的非负矩阵音乐分离方法 为解决非负矩阵分解(NMF)在音乐分离中适应性差且过度依赖学习样本的问题,提出结合谐和与击打声源分离(HPSS)的非负矩阵音乐分离方法。在高分辨率下对音乐信号进行HPSS分离,保留谐和声源并利用灵活窗NMF对击打声源进行二次分离,对结合理想二元掩蔽模型(IBM)分离出的伴奏及歌声的频谱做相应的傅里叶逆变换。利用公开音乐数据集进行实验仿真,实验结果表明,与传统的分离方法或者其它改进分离方法相比,该方法在分离性能上有明显提高。 支持软硬件透明编程的可重构资源管理器的实现 设计并实现了一种支持可重构软硬件透明编程的操作系统资源管理器,它通过系统调用封装了底层硬件细节,让程序设计者以透明的方式开发应用程序.实验表明可以减少硬件函数的配置等待时间,提高软硬资源利用率和系统性能. 一种基于反向K近邻的孤立点检测改进算法 基于反向k近邻的孤立点检测算法能够从全局角度较好地检测孤立点,但是在初始阶段求数据点的k近邻时,基本算法需要O(KN2)次数据点间的距离计算,不适合大数据集。同时参数k值的选取对数据集中孤立点的确定产生很大的影响。为此采用自适应的方法确定参数k值,然后提出一种利用度量空间的三角不等式的快速挖掘算法提前剪枝,减少孤立点检测时数据点之间距离计算的次数。理论分析和实验结果证明了算法的可行性和高效性。 一种基于时间提前量TA的GSM网络覆盖优化方法 随着GSM移动网络规模的迅速扩大和城市建设的日新月异,基站小区的覆盖环境复杂易变并影响基站信号的覆盖,使得网络优化的工作量与难度越来越大。本文设计并实现了一种多网元数据采集方案,实时获取GSM网络中各网元的性能数据;提出使用快速增量更新算法来处理网络性能数据,提高了数据处理的效率;利用正态分布模型与马氏距离来分析小区的TA、上链路平衡均值以及输出功率等参数的变化,统计出异常数据与小区覆盖环境的变化,并根据数据分析的结果给出网络优化建议,实现了基于TA的小区覆盖环境分析系统,使网络优化工作更具针对性,提高了GSM网络优化的工作效率。 μC/OS-Ⅱ中断底半部机制的设计与实现 设计并实现一种应用于μC/OS-Ⅱ系统的中断底半部机制。该机制与内核本身提供的信号量、消息邮箱等机制位于同层,同时支持有优先级的中断底半部静态触发和无优先级的动态注册。在大型海洋资料浮标项目中的应用结果表明,该机制可有效提高系统的响应速度和稳定性。 基于BP神经网络的有限元应力修匀的研究 以四边形平面应力等参单元为例,以高斯积分点为样本点,基于BP神经网络分别考察了以下两种训练模型:以高斯积分点的坐标为输入,Mises应力为输出;以高斯积分点的坐标和位移同时为输入,Mises应力为输出。通过与传统的有限元整体应力修匀比较,经过BP神经网络学习得到的结点Mises应力,不论是单独以坐标为输入还是以坐标和位移同时作为输入得到的结点Mises应力精度都比有限元传统的整体应力修匀高,并且第二种BP神经网络训练模型得到的结点Mises应力比第一种的精度要高。 云制造环境下协同工作流控制模型研究 分析制造企业协同工作流管理的新需求,指出云制造模式下协同工作流管理存在自主、并发、协同、动态的特点。采用分层有限状态自动机对协同工作流控制过程建模,给出用于实现该模型的简化类结构设计,阐述模型中状态机的动态重构和行为控制过程。将该模型应用于某钢铁企业集团板材营销业务协同中,结果表明,该模型可提高业务协同效率及公司板材的接单能力。 基于图像特征的鲁棒水印算法研究 为提高图像盲水印抗几何攻击的能力,提出了一套基于图像特征的水印嵌入方案。首先利用具有几何不变性的Harris算子检测出图像特征点,然后在每块由特征点生成的Delaunay三角网上采用一种基于小波系数显著性差异调制量化方式进行水印的嵌入和检测。该嵌入水印算法的思想是通过比较每组小波系数的显著性差异值和所有组的平均显著性差异值的方法来量化每一组块的最大小波系数。实验结果表明,该方法不仅对压缩、滤波等常见攻击具有稳健性,同时对旋转、缩放、变形等几何攻击也具有较好的稳健性。 Gauss多峰函数在医疗图像增强中的应用 采用Gauss函数来构造多峰曲线,生成匹配化直方图,是一种更有效、实用的医疗图像增强方法。 一种新型的WSAN两层协作路由协议 在WSN网络中引入执行器节点构成WSAN网络,并依据WSAN网络特性提出一种新的协作路由协议———基于动态分簇的角度转发路由协议AFRPDC(Angle Forwarding Routing Protocol base on Dynamic Clustering)。AFRPDC协议由2部分算法组成:基于接收信号强度RSSI(Received Signal Strength Indication)的动态分簇算法BRCA(Based on RSSI Dynamic Clustering Algorithm)和角度转发路由协议AFRP(Angle Forwarding Routing Protocol)。BRCA算法保证传感器节点形成较为稳定的拓扑,实现传感器节点与簇头节点的协作;AFRP协议利用簇头节点的角度信息转发事件报告,实现簇头节点与执行器节点的协作通信。仿真结果表明,AFRPDC协议中节点分簇有较好的稳定性,同时AFRPDC较基于链路状态分簇的定向扩散协议DDLSC在降低平均时延和节点能耗方面有更好的表现,可满足WSAN网络对实时性、可靠性和低能耗的要求。 分布式协调服务的室内定位中间件研究 随着智能手机的普及,室内定位伴随着移动互联网浪潮开始在智能家居、智慧商城和公共安全应急响应等应用场景扮演着日益重要的角色.然而室内定位系统有着数据量大,并发性强,大数据分析等传统的单节点服务器无法支撑的需求,因此本文采用大型分布式架构的思想,提出一种基于组件的分布式室内定位中间件架构.该中间件内部耦合性低,可以根据具体的室内场景大小进行灵活的弹性伸缩,不仅能够支撑大规模、实时性强的数据吞吐,而且提供大数据处理的平台,此外内部还有分布式监控组件以保障中间件安全.实验证明相较于单节点服务器,该中间件的数据读写速率、请求并发性能和大数据任务处理效率都有显著的提升. 基于空域特征的无参考图像质量评价算法 针对现有无参考图像质量评价算法通用性差,且存在高计算复杂度的问题,提出一种基于图像空域统计特征的无参考图像质量评价算法。该算法引入图像对数统计特性,通过度量失真图像与自然图像统计特征之间的偏差,实现无参考图像质量评价。仿真测试结果表明,与现有无参考技术相比,提出算法简单有效,适用于多种失真类型,且与人类主观感知具有较好一致性。 一种基于Shapelets的懒惰式时间序列分类算法 近些年,时间序列分类问题研究受到了越来越多的关注.基于shapelets的时间序列分类技术是一种有效的方法.然而,其在提取最优shapelet的过程中要建立包含大量冗余元素的候选shapelets集合,一般所获得的shapelets只在平均意义上具有某种鉴别性;与此同时,普通模型往往忽略了待分类实例所具有的局部特征.为此,我们提出了一种依据待分类实例显著局部特征的懒惰式分类模型.这种模型为每个待分类实例构建各自的数据驱动的懒惰式shapelets分类模型,从而逐步缩小了与其分类相关的时间序列搜索空间,使得所获得的shapelets能够直接反映待分类实例的显著局部特征.实验结果表明该文提出的模型具有较高的准确率和更强的可解释性. 混合属性数据流的两阶段入侵检测算法 以KDDCUP99-10%网络入侵数据集作为数据流,提出一种混合属性数据流的两阶段入侵检测算法。通过增量聚类提取数据流的代表信息,根据提出的加权模糊簇特征对增量聚类结果做模糊聚类,簇数可动态改变。理论分析和实验结果表明,该算法可以有效检测数据流入侵。 基于SQLite的LOD模式海量影像数据管理系统的设计与实现 如何实现海量影像数据的合理化存储、高效的访问是视景仿真领域的一个瓶颈问题。本文提出了一种运用SQLite数据库实现基于嵌入式数据库的集中式数据管理方法,将海量影像数据以数据库的形式进行管理,同时又以类似文件操作的方式实现快速访问,为解决基于LOD模式海量影像数据难以管理维护的问题提供了一种可行方案。本文方法有效而稳定地实现了海量影像数据的管理和调度,具有良好的实际应用价值。 基于流程的Web服务发现 支持基于流程的Web服务发现是提高企业集成度和灵活性的一个重要问题.由于Web服务的松散耦合环境,发现的Web服务流程未必完全相容.提出一个能够反映Web服务流程控制特点的流程模型tFSM,基于tFSM模型定义了Web服务流程的相容性和相容度,并给出一个计算Web服务流程相容度的算法.利用这些核心工作,可以实现一种基于流程的Web服务发现方法. 便携式多生理参数网络化监测研究与设计 随着社会的人口老龄化,对于便携式的人体多生理参数远程实时监测也变得越来越重要和广泛。为了实现老年人在家中能够实时采集到自己的生理参数并能够远程发送至监护中心,文中采用PPG和ECG信号进行心率与血压的无伤检测,基于GS1010核心处理器对心电和脉搏信号进行同时采集,根据脉搏波、心电与血压之间的关系,计算出人体的心率值和血压值,并实现多生理参数的微型化和网络化的实时监测。实验结果表明了该检测方法的正确性和可行性,监测精度较好,工作性能稳定;而且该系统能够适用于老人在户外进行实时监测。 作业车间调度的空闲时间邻域搜索遗传算法 针对最小化最大完工时间的作业车间调度优化问题,提出一种基于空闲时间的邻域搜索遗传算法。通过对不同解码方式的分析,设计了一种基于空闲时间的邻域结构及其邻域搜索方法;分析了同一机器上相邻两工序间的空闲时间,给出最大限度查找关键工序相关机器空闲时间的方法;查找关键工序前后的机器空闲时间,在保证可行解的条件下,移动关键工序到空闲时间位置实现邻域搜索;给出同一台机器上任意两个工序位置相对移动时保证可行解的工序移动条件及证明。将基于机器空闲时间的邻域搜索方法融入遗传算法,采用典型算例进行测试,与文献中不同算法的求解结果进行比较,验证了所提方法的有效性。 小样本条件下的人脸特征提取算法 "传统的""特征脸""和""Fisher脸""对于小样本的识别非常不理想。提出了基于小波变换的梯度方向直方图结合线性判别分析的特征提取算法,对原图像进行小波变换,对近似部分进行HOG+LDA处理,对高频部分用均方差处理,分别对这两部分特征进行欧氏距离判断,进行加权识别。实验数据表明该方法能够克服LDA对小样本敏感问题,提高识别率的同时降低了耗时。" 飞机辅助动力装置电起动系统模型设计及仿真 飞机辅助动力装置的电起动系统由多个功能模块组成,各模块的数学模型计算及模块间的工作响应分析是系统设计研究及后期实验的关键技术难点。逐一计算三相同步起动/发电机的起动模式数学模型、起动电源模块数学模型、起动逆变模块分别供电起动/发电机定子和励磁机励磁定子的数学模型,并通过仿真软件搭建系统模型。仿真计算起动机脱开前的动态响应基本符合实测曲线。研究机载蓄电池电起动APU技术可为后期多电飞机主发动机电起动系统模型设计及其仿真建模研究提供参考。 基于规划单元的连续微小直线段在线规划算法 为提高数控系统中连续微小直线段的规划效率/解决跨段插补问题,提出规划单元的概念,通过将诸多微小直线段划分为规划单元进行速度规划,根据规划单元内部各控制点的加速度约束、加工误差约束、跨段插补约束和指令速度约束等速度约束条件,计算出规划单元的进给速度和终点速度。为了实现微小直线段加工的连续变速过程,提出线速度前瞻算法,并结合规划单元定义给出前瞻规划单元的最小理论长度,建立前瞻缓存区,通过速度回溯算法实现缓存区内部规划单元速度规划过程。结合口腔修复体数控机床的加工代码轨迹对基于规划单元的连续微小直线段速度规划算法进行验证,结果表明该算法能够满足口腔修复体高速连续加工。 颜色传感器在油水界面检测中的应用与控制系统的设计 为了对油水界面进行有效检测,提出了由PC机和单片机组成的步进电机控制系统控制颜色传感器对油水界面进行检测的设计方案,包括硬件设计,软件设计和界面程序,详细介绍了利用颜色传感器检测油水界面的原理和方法,在PC机上用C++Builder6.0开发了系统的应用软件,能够通过串口向单片机发送数据产生控制信号,实现利用步进电机控制颜色传感器检测出油水界面;试验结果表明,整个系统成本低,运行平稳,可靠性好。 基于DSP平台的嵌入式电力参数测量系统的设计 设计实现了一种新型的基于双处理器、主控软件运行WinCE5.0操作系统的高准确度电力参数测量系统,该系统分为两个模块:数据采集模块和系统主控模块。数据采集模块使用TI公司的数字信号处理芯片TMS320VC5409和ADI公司的16位高速模数转换芯片作为其核心,完成采集和处理数据,并把处理后的结果数据传送到系统主控模块;主控模块使用三星公司的具有ARM9核的S3C2440A作为其核心,采用WinCE5.0作为主控模块的操作系统,并实现具有对数据采集模块的操作控制、数据显示和管理测量数据的功能。经试验证明文章设计的参数测量系统已达到预期精度。 爆轰驱动动力学计算程序的并行计算研究 本文针对自行研制的二维爆轰驱动动力学计算程序LSFC2D的结构化网格特点,采用递归对分的区域剖分技术以及全局重分和局部微调相结合的动态负载平衡技术,实现了欧拉网格间的物理量传递,使得程序能够在高性能并行计算机上运行,解决了计算物理模型的计算规模和计算时间问题.在计算集群上进行了并行程序的正确性验证和并行性能测试,结果表明,150万网格量时并行计算效率达到了50%以上. 基于RS-LMBP算法的故障智能诊断系统 文中提出了基于粗糙集(RS)理论与BP神经网络相结合的故障智能诊断技术.首先对大型设备大量复杂的数据,利用粗糙集理论进行前期数据的预处理,约简原始数据的属性,再将处理过的数据用LM算法改进的BP神经网络技术来进行分析,最终实现故障智能诊断.建立了基于RS-LMBP算法的故障诊断模型,并通过实例验证了方法的可行性和高效性. 基于图着色的密集D2D网络资源分配算法 蜂窝设备到设备(D2D)网络通过D2D用户与蜂窝用户的上行链路复用,可大幅提高网络的频谱效率,但当D2D用户数大于蜂窝用户数时,即在D2D密集部署场景下,传统的资源复用算法不能满足D2D用户的频谱资源需求。针对该问题,提出基于图着色理论的D2D网络干扰协调频谱资源分配算法,可实现信道资源在多个D2D用户对间的多重复用,从而提升网络负载能力,改善网络吞吐量。在此基础上,给出一种改进的资源分配算法,以提高D2D用户的公平性。仿真结果表明,该算法在降低D2D用户获取信道资源“饥饿”概率的同时,能够提升网络信道资源的空间复用率及系统吞吐量。 机场噪声监测点的异常发现 机场噪声中的异常情况拥有很大价值,利用它能够及时完善飞机和机场的设备.结合机场噪声监测点的分布特点以及噪声数据特点,提出一种机场噪声监测点的异常检测方法.首先采用神经网络集成组合预测方法对某一监测点上的数据进行预测,然后将预测数据与该监测点上的实测数据进行相似性度量,最后实现异常的检测.采用2010年国内某机场噪声监测点的数据进行了实验和分析,在监测点预测和异常检测上都取得了比较满意的实验结果. 蚁群优化和能量管理的ZigBee网络路由 延长ZigBee网络的寿命是设计ZigBee路由协议的一个重要目的,通过对ZigBee网络协议的研究提出一种基于能量管理的ACO-AODV路由协议,能降低网络延时并延长ZigBee网络寿命。仿真结果表明,ACO-AODV协议的方法是可行的和节能的,可以在保持较低数据分组的平均端到端时延的同时降低能量开销,达到了低开销、低时延的设计目标。 基于DSP控制的煤矿井下中压电力桥集器研究 利用正交频分复用技术(OFDM)作为煤矿井下中压电力桥集器的核心技术,并相应提出了一种基于实数值遗传算法的改进部分传输序列(PTS)算法和基于SC算法的定时同步改进算法来加强通信的实时性与准确性,对中压电力桥集器的硬件系统的实现做了初步设计。经仿真结果证明,同其他优化算法相比,收敛速度更快,定时估计精度更高,系统性能得到明显的改善。 字母连写笔画跟踪方法 针对目前笔画跟踪算法不能直接跟踪分析拉丁文字母连写笔画的问题,以英文二连字母笔画为例,提出基于关键点进行笔段分割与最小二乘法拟合的字母连写笔画跟踪方法。在图域、时域获取模板笔画各类笔迹关键点向量,依据关键点提取笔段,利用最小二乘法将笔段转换成拟合曲线的系数向量模板;将实写笔画的各类关键点与系数向量重构的拟合曲线进行贴近度分析从而实现笔迹跟踪。范类拟合曲线选择二次曲线、正弦曲线及直线。实验与分析结果表明,该方法对字母连写笔画跟踪效果具有较强客观性、鲁棒性,其原理同样适用于多于二字母的连写笔画跟踪,也可推广到其他拉丁文文种。 基于标准欧氏距离的燃油流量缺失数据填补算法 为减小数据缺失对飞机油耗统计推断精度带来的负面影响,针对基于传统欧氏距离、马氏距离以及精简关联度的最近邻填补算法的不足,提出了一种基于标准欧氏距离的填补算法来估计QAR(Quick Access Recorder)数据中部分燃油流量数值的缺失。该算法通过QAR数据样本之间的标准欧氏距离选择最近邻样本,并利用熵值赋权法计算最近邻的加权系数,基于最近邻样本中燃油流量的加权平均即可得到缺失燃油流量的估计值。实验结果表明,标准欧氏距离能够有效度量样本相似性,所提出的算法优于常规填补算法,是处理飞机油耗数据缺失的一种有效方法。 一种母婴安全监护系统数据库的设计和实现 近年来,无线射频识别(RFID)技术得到了迅速发展并且广泛应用在各个领域中。SYRIS玺瑞股份有限公司开发的内含温度和运动传感器的RFID标签已经被应用于一种工作在C/S(客户端/服务器)架构下的母婴安全监护MISS(Maternal-infant Safe-ty and Surveillance System)系统。数据库是一个非常重要的后台控制程序,负责在实时工作情况下接收和发送不同类型的数据流。介绍数据库的设计以及实施,利用SQL Server数据库解决实际的需求,例如数据存储、分类、搜索以及实时访问操作。数据库的访问采用数据缓存技术,以提高拥挤的数据条件下数据库的效率和性能。 社交网络的传播测量与时间序列聚类分析 对社交网络的信息传播进行时间序列聚类是研究其规律非常有效的方法.目前,相关的工作特别是针对国内社交网络的时间序列聚类研究,还不够深入.对时间序列聚类算法K-SC算法进行了针对性的改进,提出的T-SC算法借鉴了凝聚层次聚类的思想解决了聚类个数设置的难题.对人人网、腾讯微博和百度贴吧三个国内非常有代表性的社交网络进行了大量的测量和分析工作,并运用T-SC算法对测量数据进行了聚类分析.研究发现了不同社交网络典型而又互不相同的传播模式:人人网的视频分享呈现明显的周期性,每个周期内的分享传播存在一个主流的模式,该模式与一天之中不同时段人人网的在线人数变化趋势非常相近;腾讯微博的转发传播呈现爆发性,绝大多数的转发出现在微博发出后的48小时之内,其主流的传播模式是微博发出后大量传播并迅速消失;百度贴吧帖子的生命期很长,但是没有一个占主导地位的传播模式.本文创新性的将聚类分析的结果应用于信息传播的预测,根据已知的传播时间序列,得到未来信息传播行为在聚类层面的预测,为解决传播预测的难题提供了新的思路. 固体火箭发动机质心计算机测量研究 提出了一种建立在计算机测量系统架构之上的固体火箭发动机质心测量系统,并从硬件与软件两部分给出了系统的设计方案;为提高系统的测试精度,除了采用高精度的元器件之外,结合实验结果对传感器的输出信号提出了一种基于曲线拟合的误差修正方案,降低了相对误差;经实际检验,该测量系统达到了要求的测量技术指标。 联合字典鲁棒稀疏表示的多聚焦图像融合 针对多聚焦图像融合中源图像未精确配准的问题,本文提出一种基于联合字典的鲁棒稀疏表示和形态学滤波相结合的多聚焦图像融合方法.该方法首先从源图像中分别得到不同的子字典,并将不同的子字典拼接成一个联合字典;接着使用联合字典对源图像进行鲁棒稀疏表示,分别得到稀疏系数X与重构误差E,并将两者的加权二范数和作为判别依据,以此得到初始的融合决策图;为了减少源图像未精确配准带来的融合误差,提高融合的鲁棒性,本文提出使用形态学滤波与高斯滤波对初始的融合决策图进行处理,从而获得最终的融合决策图;最后根据该融合决策图对源图像进行加权融合,获得融合图像.实验结果表明,该方法的融合结果无论从主观视觉效果还是客观定量评价,都优于一些主流的多聚焦图像融合方法. 面向多峰函数优化的Lamarck免疫网络算法 为改善免疫网络算法在多峰函数优化方面存在局部收敛的不足,提出一种Lamarck免疫网络算法(LM-aiNet)。依据Lamarck进化理论思想,设计基于方向的局部搜索机制和自适应的网络抑制阈值,提高了算法对于不同类型多峰函数优化的适应能力。对算法的复杂度和收敛性进行分析,重点讨论了算法主要参数对求解性能的影响,确定合适的参数取值范围。实验结果表明,算法能够有效地解决经典算法的局部收敛问题,其求解能力优于被比较的其他算法。 基于独立成分分析的多目标分布估计算法 针对复杂的强耦合、非线性连续多目标优化问题,提出了一种基于独立成分分析方法(ICA)的多目标分布估计算法。假设其概率图模型为非高斯的,采用ICA进行分离产生独立的各分量,接着采用基于拥挤距离排序和NSGA-Ⅱ的非支配排序,选择出优秀个体作为新的种群。与多目标ICA-UMDA和vbICA-MM的比较实验表明,该算法在测试函数ZDT2-2、ZDT4-2、ZDT6-2和F5上获得的Pareto解集具有较好的收敛性与多样性,且数据没有服从高斯分布的限制。 一种两层加权融合的排序算法 当前排序学习算法在学习时将样本集中的所有查询及其相关文档等同对待,忽略了查询之间以及其相关文档之间的差异性,影响了排序模型的性能。对查询之间的差异进行分析,同时考虑文档排序位置造成的资料被检视概率不同的差异特性,提出了一种两层加权融合的排序方法。该方法为每一个查询及其相关文档建立一个子排序模型,在此过程中,对文档赋予非对称权重,然后通过建立新的损失函数作为优化目标,利用损失函数调节不同查询产生损失之间的权重,最终实现多查询相关排序模型的加权融合。在标准数据集LETOR OHSUMED上的实验结果表明,所提方法在排序性能上有较大提升。 基于基因表达谱特征分布的SOM聚类算法研究 针对SOM算法中欧氏距离无法根据特征的重要性来衡量相似度、易引入无关特征干扰的缺点,提出了一种基于基因表达谱特征分布的SOM聚类算法。算法通过衡量特征对同类基因的凝聚能力和对异类基因的区分能力,对不同的特征赋予不同的权值,将此权值引入到基因数据与神经元的相似度计算中,并利用改进的粒子群优化算法调整获胜神经元及邻接神经元的权值。实验结果表明,该算法有效增强了聚类结果的类内凝聚度和类间区分度,提高了聚类准确率。 基于路由队列资源自适应的非线性随机早期检测算法 针对随机早期检测(RED)算法在网络拥塞控制中的缺点和复杂性,提出了基于路由队列资源(缓冲)自适应的新算法(ND-RED)。该算法采用非线性丢包策略和动态调整算法参数的方法,使得路由队列长度稳定在参考值附近,从而有效控制了网络拥塞,高效地利用了资源。最后实验结果表明,ND-RED算法具有良好的稳定性,在队列控制和丢包率控制方面优于RED算法。 基于RSA算法的智能锁设计 提出了一种可通过手机远程分享钥匙的智能锁模型,并提供了一套能使系统完整运行的硬件模型.在如今的智能锁领域,普遍只是将指纹、密码、刷卡、蓝牙开锁功能相结合,却少有钥匙分享功能.为了保障钥匙分享过程中钥匙的保密性和时效性,RSA算法可以较好的满足要求.为了保证系统的完整性,对硬件系统(指纹、刷卡解锁)也提出了一套基于STM32F103型低功耗单片机为控制器的可执行设计方案.实验结果表明系统可以较好的实现钥匙分享的功能. 基于光照分类的可变光照下人脸识别方法 "针对人脸识别中的光照变化问题,借鉴""分而治之""的思想,提出通过光照分类来提高不同光照情况下人脸的识别率。根据人脸图像灰度随光照变化的分布特点,将图像划分为三类:无偏光类、左偏光类和右偏光类,分别在不同的光照子集中对人脸图像进行处理与识别,并在YALEB人脸库上完成实验验证。结果表明,该方法不需要进行光照归一化处理,有效减弱了光照不均匀对人脸识别的影响,在提高识别率的同时降低了运算量,识别率可从未分类前的86.7%提高到99.6%,对于可变光照下的人脸识别有一定的应用前景。" 基于泛灰数的结构疲劳寿命区间估计方法 针对利用区间算法求解含有不确定性参数结构的疲劳寿命值精度低问题,提出一种泛灰求解方法。泛灰数运算不仅可以有效处理结构不确定参数,且能解决区间运算扩张问题。首先将不确定性设计变量用区间数来表征,不考虑变量的具体分布情况;其次利用泛灰数特性,将区间变量转化为泛灰数,利用等效泛灰数计算结构疲劳寿命上下界;最后通过两个数值算例,求得的结构疲劳寿命范围比传统区间算法得到的范围更小,且与改进的截断法的结果相近,同时还利用Monte Carlo方法验证了方法的有效性。泛灰方法利用较少的信息量得到较精确的疲劳寿命估计结果,一定程度上解决了工程实际结构参数疲劳寿命估计过于保守问题,节约了设计成本。 基于切削成形能力模型的加工特征识别方法 为了实现CAD与CAPP系统的有效集成,提出了以切削成形能力模型为基础的加工特征识别方法.通过引入成形函数揭示了切削成形过程的核心要素;据此提出切削模式概念,构建了以切削模式为核心的切削成形能力模型,实现加工表面到加工方法的映射.该模型应用于加工特征识别,为零件表面生成可行的加工方法集合;在此基础上,以提高零件的加工效率为目标建立优化模型,为每一个表面筛选出合适的加工方法,并将同一次装夹下使用同一把刀具加工的表面聚集为一个加工特征,从而实现加工特征的识别.最后通过实例测试验证了文中方法的正确性和有效性.该方法能有效地解决现有特征识别中存在的鲁棒性较差、相交特征识别困难等问题. 云环境下SDN的流量异常检测性能分析 随着复杂的混合云网络逐渐成为云计算发展的瓶颈,软件定义网络(SDN)技术近年来成为学术界和工业界关注的热点。在网络安全领域,对于应用SDN来解决网络攻击的研究尚处于起步阶段,SDN是否能够高效检测来自内部的网络攻击尚无定论。针对该问题,在分析SDN技术框架的基础上,设计基于Open Stack的云环境实验方案。在传统云环境网络和SDN环境下同时测试2种流量异常检测算法,模拟Flood攻击和端口扫描攻击,分析SDN在检测攻击时的精确度和资源使用率。结果表明,在云环境下利用SDN检测内部威胁时比传统网络环境占用更少的物理内存而不影响精确度,但直接在SDN控制器上部署安全应用的方式也存在性能瓶颈。 粗糙集和支持向量机的表具识别算法研究 针对量子粒子群算法具有陷入局部值缺点,提出了一种基于改进量子粒子群算法优化的粗糙集和支持向量机相结合的表具识别算法,引入人工蜂群算法和免疫算法,来提高算法搜索空间、收敛速度。首先通过改进量子粒子群算法优化的粗糙集对得到的特征向量进行属性约简,然后经过改进量子粒子群算法优化支持向量机参数。最后通过实验仿真表明,改进的算法能有效地减少决策属性的个数,提高了粗糙集属性约简能力,优化了支持向量机的参数,算法收敛速度快,识别准确率高。 UEFI Bootkit模型与分析 分析了UEFI Bootkit的工作原理和关键技术;在Harold木马模型的基础上,给出了UEFI Bootkit的形式化描述;分析了UEFI Bootkit和木马在隐蔽技术方面的差异,建立了UEFI Bootkit协同隐藏的形式化模型;给出了模型的一个应用实例,理论证明了在操作系统内核启动前检测Bootkit比在操作系统启动完成后检测具有更好的效果;开发了一套在操作系统内核加载前就开始检测的UEFI Bootkit检测系统;使用检测系统进行了实际的测试,结果表明,UEFI Bootkit检测系统具有较好的检测效果,有效地验证了模型的准确性。 改进ORB算法的图像匹配 针对ORB算法在图像匹配中基本不具备尺度不变性和匹配准确率低的问题,利用SURF算法和BBF算法的思想,提出了改进的ORB算法。通过SURF算法提取出具有尺度不变性的特征点,接着使用ORB算子对特征点进行描述,从而使改进后的ORB算法具备尺度不变性;采用BBF算法寻找特征点的最近邻点及次近邻点,进行匹配得到初匹配点对;利用RANSAC算法消除误匹配点,完成图像匹配。实验结果表明,算法弥补了ORB算法不具备尺度不变性的不足,并有效地提高了图像发生尺度变化时匹配速度和准确率,在图像模糊、视场变化、光照变化、JPEG压缩比变化等多种复杂情况下,算法具有更强的鲁棒性。 基于复合超混沌系统且与明文相关联的图像加密 为了提高加密系统的抗攻击能力和加密安全性,分析了现有图像加密的方法,提出一种改进的图像加密方法。对Clifford超混沌系统和Logistic混沌系统进行嵌套组合,产生了具有更好特性的Cli-Log混沌系统,用于图像的位置置乱;在Chua超混沌系统的龙格库塔解法中插入参数,生成混沌序列与图像像素值进行异或操作,实现加密。该加密算法不但生成随机性较好的序列,而且增大了密钥空间,最重要的是这些插入的参数来自于前面用于置乱的混沌序列,实现了密文反馈。计算机仿真结果表明,该加密算法具有良好的加密效果,克服了大量加密算法只与混沌系统及密钥有关而与明文无关的缺点,使加密安全性进一步提高。 基于《知网》的词语相似度计算方法 词语相似度计算中常用的一种方法是基于某种语义词典的计算.首先介绍《知网》中的基本概念和层次体系结构,借鉴刘群、李素建在词语相似度方面的基础理论,利用《知网》的义原层次体系结构计算出其中的义原相似度,再计算出概念的相似度,最后得到词语的相似度.还对其中的计算方法做出适当的改进调整,使其计算出的结果更加符合实际情况. 强稳健的高透明水印技术 提出了一种拥有强稳健性同时可以兼顾高透明性的数字水印技术。它通过对水印嵌入方式的巧妙修改,实现了水印的稳健性和透明性这对原本相互矛盾的性质彼此兼容存在。水印的嵌入算法基于人类视觉模型基础之上,利用人眼对图像细微变化的无法察觉性,采用了巧妙的嵌入算法在保证了一定嵌入信息量的基础上有效地降低了对图像数据的实际修改率。这就使得水印不仅拥有了强稳健性,而且使由水印嵌入所带来的图像质量损失降到了最低,从而有力地保证了水印的透明性。这一算法不仅可以有效地应对各种常规的信号处理攻击,而且还可以防止大部分的恶意水印攻击。同时算法的抽取和检测不需要原始水印的参与,是一种半盲水印算法。最后的实验部分证实了该算法相比于其他多种同类型水印算法,稳健性和透明性都有明显提高。 密集型传感器网络中移动sink的路径选择机制 针对密集型无线传感器网络,以最大化网络寿命为优化目标,将网络转换成相应的网络流图,利用网络最大流问题估算sink采用不同路径下的网络寿命并以此为标准进行路径选择,提出了一种基于网络流理论的高效sink路径选择机制。仿真结果表明,本方案同采用固定路径采集及类似解决方案相比,能有效均匀网络能耗,延长网络寿命,取得了较好的网络性能。 基于流量特征的OpenFlow南向接口开销优化技术 软件定义网络(software defined networking,SDN)分离的数据平面和控制平面,给网络管理带来了开放性和灵活性.但同时控制器与交换机之间的接口(控制器南向接口)需要更频繁的交互各种消息以实现对网络的控制.一方面,数据平面触发Table-Miss的数据包需要通过Packet-In消息往返于交换机与控制器之间,时延增大的同时也给控制器南向接口带来繁重的通信开销,数据平面和控制平面之间的交互容易成为网络性能的瓶颈.另一方面,控制器在下发新的流表项时,由于缺乏新表项对应的数据流特征信息,易出现已有的大流表项被下发的小流表项替换的情况,造成冗余的Flow-Mod消息(流表更新消息)和Packet-In消息,进一步加重了南向接口的通信开销,降低了网络的整体性能.提出一种基于流量特征的OpenFlow南向接口开销优化技术uFlow,在控制器上通过对Packet-In消息中数据流量特征的识别以及对小流的直接转发,达到消除南向接口冗余开销的目的.对uFlow的原型系统进行了实现,并通过真实网络中的流量对uFlow优化效果进行了验证.实验结果显示:与传统的OpenFlow网络处理方式相比,uFlow消除了冗余的交换机流表项更新,显著地降低了OpenFlow南向接口的交互开销:在不同的网络负载和流表容量的情况下,uFlow平均能减少70%以上的Flow-Mod消息. 基于量子单向函数的身份认证和密钥分配协议 研究量子单向函数和信息分割原理,提出一种身份认证和密钥分配协议。使用可信服务器为示证者提供随机身份信息,以完成认证过程的确认,在密钥分配的同时进行认证,利用量子单向函数提高认证的安全性。实验结果表明,该协议涉及的量子通信技术易于实现,能提高密钥的分配效率。 格蕴涵代数的(∈,∈∨q(λ,μ))-模糊素滤子 将((∈,∈∨q(λ,μ)))-模糊代数应用于格蕴涵代数,提出了点态化((∈,∈∨q(λ,μ)))-模糊素滤子和(λμ)-模糊素滤子的概念,并从以下几个方面对((∈,∈∨q(λ,μ)))-模糊素滤子进行了详细的研究:讨论了((∈,∈∨q(λ,μ)))-模糊素滤子和(λμ)-模糊素滤子的等价关系;研究了((∈,∈∨q(λ,μ)))-模糊素滤子的相关性质;得到了特定条件下((∈,∈∨q(λ,μ)))-模糊素滤子的若干等价刻画,建立了((∈,∈∨q(λ,μ)))-模糊素滤子的扩张定理;探讨了((∈,∈∨q(λ,μ)))-模糊素滤子的同态像与同态原像。 基于支持向量机的低质量文档图像二值化 针对低质量文档图像受墨迹浸润、页面污渍、背景纹理或光照不均等因素的影响,提出一种基于支持向量机(SVM)的低质量文档图像二值化方法。该方法对文档图像进行分块,并增强每个图像块的局部对比度;利用SVM将这些图像块分成三类,对不同图像类采用不同的阈值处理,实现粗略分割;通过笔画宽度估计确定邻域窗尺寸,从而实现局部精细二值化。实验结果表明,该算法无论从二值化图像质量,还是各种评估参数,较其他经典文档二值化方法都具有明显优势。 一种改进的基于压缩感知的差分关联成像方法 "基于压缩感知的差分关联成像虽然能够以较少的采样次数高质量地恢复出物体的信息,但在图像重构过程中存在矩阵过大,占用计算机内存大,重构时间长的问题。为此提出了有数据预处理的改进压缩感知差分关联成像方案,首先鉴于光强涨落特性得到部分测量数据构造出初始字典D0,然后通过学习得到字典D作为传感矩阵,最后通过正交匹配追踪恢复出物体的信息。实测""单缝""实验数据成像结果表明,与传统的压缩感知差分关联成像相比,该方案以更少的测量数据恢复出高清晰的像,成像效率和质量都得到了提高,降低了对系统硬件的过高要求,缩短了图像重构时间,从而将有助于加快量子成像技术向实用化转化的步伐。" 基于区域分割的三角网格模型相似性比较 为了在工程应用中检索已有的三角网格模型,以便重用相应零件的设计信息,节省设计和加工成本,提出一种基于区域分割技术的三角网格模型相似性比较算法。依据三角网格模型的球面图像将模型分割为若干个区域;对每个分割得到的区域用一个10维向量表达其形状的几何特征和拓扑特征,一个三角网格模型的特征即可通过各分割区域所对应的10维向量组成的向量组表达;将该向量组作为三角网格模型的形状描述子,两个三角网格模型的相似性可通过相对应的形状描述子间的相似性表达。将描述子中的每个向量看成是一个带有属性的节点,通过两组节点组成的完全二分图的最优匹配进行两个形状描述子之间的相似性比较,实现两个三角网格模型之间的相似性比较。实验结果表明,该算法有效可行。 新型多学科设计优化算法研究 研究多学科系统优化问题,针对工程系统的复杂性,通过多学科设计优化算法框架协同优化算法的研究,根据现有协同优化算法由于系统级一致性约束的存在计算量大,容易发散等缺点,提出了一种新的多学科设计优化算法框架。算法通过对设计变量的重新分配,简化了耦合变量的解耦过程,从而达到简化优化过程的目的,解决了协同算法的上述缺点。采用iSIGHT软件,对具体算例进行仿真,并与协同优化算法进行对比,验证了该算法的有效性。 基于异步信息的匿名移动数据集的用户身份识别 为了保护用户的隐私,大部分公开数据集都采用隐藏真实ID和引入噪声信息的方法来进行匿名处理。这些匿名处理即使在异步参考信息的攻击下也是脆弱的:即使只有部分位置信息暴露给攻击者并且暴露信息和公开数据集的收集过程不在同一时段内,攻击者依然能够识别出节点在公开数据集中的身份。首先,实验证明已有算法在异步信息情况下不适用;然后,提出针对异步信息的热点矩阵算法。采用3个真实移动数据集验证了识别算法的准确率。实验证明,热点矩阵法在异步信息条件下能够取得远高于已有方法的准确率。 跨组织协同中基于局部服务质量优化的Web服务组合方法 为提高基于服务质量的Web服务组合效率,提出一种基于局部服务质量优化的Web服务组合方法。通过局部服务质量优化缩小Web服务组合的候选服务空间,以局部服务质量优化后的Web服务作为服务组合的新候选服务空间,以Web服务组合方案的聚合效用值作为最大化目标函数,以用户提出的全局服务质量约束作为约束条件,将基于服务质量的Web服务组合问题建模为一个整数规划问题。最后,求解该整数规划问题,得到一个近似服务质量最优的Web服务组合方案,将该Web服务组合方案绑定到服务组合引擎,参与跨组织业务流程的具体执行。通过仿真实验验证了所提方法的有效性。 增量的动态社会网络匿名化技术 随着社会网络的快速发展和普及,如何保护社会网络中的敏感信息已成为当前数据隐私保护研究领域的热点问题.对此,近年来出现了多种社会网络匿名化技术.现有的匿名技术大多把社会网络抽象成简单图,然而实际生活中存在大量增量变化的社会网络,例如email通信网络,简单图并不能很好地刻画这种增量变化,因此,将社会网络抽象成增量序列具有现实意义.同时,在实际生活中大部分网络是带有权重信息的,即很多社会网络以加权图的形式出现,加权图与简单图相比携带了更多社会网络中的信息,也会带来更多的隐私泄露.将增量的动态社会网络抽象成一个加权图的增量序列.为了匿名加权图增量序列,提出了加权图增量序列k-匿名隐私保护模型,并设计了基于权重链表的baseline匿名算法WLKA和基于超图的匿名算法HVKA来防止基于结点标签和权重链表的攻击.最后,通过在真实数据集上的大量测试,证明了WLKA算法能够保证加权图增量序列隐私保护的有效性,HVKA算法则在WLKA的基础上更好地保留了原图的结构性质并提高了权重信息的可用性,同时还降低了匿名过程的时间代价. 基于改进的约束四叉树LOD全球地形实时绘制 "由于大规模三维地形可视化的数据量大、组织结构复杂等特点,对大规模地形数据的分块、调度和组织已成为研究重点。传统的四叉树结构存在节点重复储存、大地形绘制效率不高、T形裂缝等问题,论文提出了一种改进的约束四叉树多细节层次绘制算法,减少了储存冗余。在全球地形实时绘制的时候,采用了改进的细节层次细分评价函数和简单的""裙边""裂缝处理方法,并且使用了四种绘制优化策略。通过实验结果可以看出,计算机储存减少,计算量降低,大地形的实时绘制效果、效率很好。对虚拟城市、数字地球的构建和应用有参考价值。" 基于圆角曲面重构的模型简化方法 模型简化对提高有限元网格生成速度及质量具有重要意义.圆角特征是几何造型的常见特征,现有的简化方法是将圆角特征从模型中直接删除,虽然实现了模型特征的简化,但是会破坏模型原有的外部形状.提出一种基于圆角曲面重构的模型简化方法,利用中轴线将圆角曲面进行分解,然后将分解后的圆角曲面与相应邻面进行重构,实现模型简化的目的.同其他方法相比,该方法可以在不改变模型外部形状的前提下减少构成模型的曲面数,达到模型简化的效果.采用同样的网格生成算法和同样的控制参数,简化后的模型生成的有限元网格数量比原模型的更少,实验结果证明了方法的可行性和有效性. 基于PCA与OLPP混合方法的化工过程故障检测 对于复杂的工业过程,采集到的过程数据能反映出生产过程的内在变化和运行状况。本文提出一种新的多变量统计过程监测策略,数据建模过程包含主元分析(Principal Component Aanlysis,PCA)与正交局部保持投影(Orthogonal Locality PreservingProjection,OLPP)两步。首先利用PCA在不丢失任何信息的前提下将原始数据旋转成不相关的潜变量,然后再作OLPP以提取能表征过程正常数据内在局部近邻结构的特征用于故障检测。利用T~2和SPE(或Q)统计量以及核密度估计方法确定的控制限进行化工过程的在线监测,TE过程仿真实验验证了该混合方法的有效性和优越性。 挖掘滑动时间衰减窗口中网络流频繁项集 网络流数据频繁项集挖掘是网络流量分析的重要基础。提出一种新颖的基于字典顺序前缀树LOP-Tree的频繁项集挖掘算法STFWFI,该算法采用更符合网络流特点的滑动时间衰减窗口模型,有效降低了挖掘频繁项集的时间和空间复杂度;在该树结构上提出一种新的基于统计分布的节点权值计算方法SDNW代替传统的统计方法,提高了网络流节点估值的精确度。实验结果表明,该算法在网络流频繁项集挖掘过程中获得了良好的效果。 数据库内外网非法访问信息还原优化仿真研究 对数据库内外网非法访问信息还原优化的研究,能有效提升网络数据库安全性。对非法访问信息精确还原,需要对已知数据精准采集,并对其进行反复的核化迭代,促使已知访问数据呈线性分布状态,完成信息还原。传统方法将数据挖掘引入到对访问数据的过滤过程中,但处理后的信息数据线性度差,导致还原效果不好。提出多核复合的内外网非法访问信息采集和精确还原方法。设置非法信息采集间隔时间,计算出数据库非法访问信息的地址,采用SSL加密机制对采集的信息进行加密传输,对同类非法访问信息中的已知数据进行反复的核化迭代,促使已知同类非法访问信息在超高维欧氏空间中呈线性分布状态,融合于KRR组建回归方程,完成非法访问信息采集和精确还原。仿真结果表明,所提方法具有较强的鲁棒性,可以提升数据库内外网信息的安全性。 基于Struts+Spring的动态概率选课系统设计 分析当前学院的.NET平台的选修课系统存在的问题:选课系统采用先到先服务的算法,当学生大量选课时会导致服务器负载加重,产生拒绝服务的现象。在分析多志愿分级处理算法、基于神经网络选课系统的特点和学院自身特点的基础上,提出以动态概率实时抽签算法解决学生选课公平性问题,选课系统采用J2EE平台的Struts框架和Spring技术,这种MVC系统结构的采用不仅实现功能模块和显示模块的分离,同时它还提高应用系统的可扩展性、可移植性和组件的可复用性。采用UML面向对象分析与建模的思想,实现本校选课系统的优化,提升系统运行的效率,并实现良好的选课管理的功能。 用于EBG优化设计的基于粗网格的KBNN 在采用知识神经网络对微波器件进行建模优化时,先验知识大部分源于经验公式,而经验公式的推导十分繁杂,为避免先验知识获取困难,提出了一种将电磁仿真计算中精确网格剖分的计算模型作为教师信号,将粗糙网格剖分计算模型作为先验知识并运用粒子群算法对神经网络进行训练的方法,构建了相应的知识神经网络模型。对双层电磁带隙结构建模并进行优化设计,建模结果和优化效果均十分理想。说明了知识神经网络可以替代优化过程中的精确模型,减少优化所需的时间,证明所述方法的可行性与优越性。 基于DSP Builder的PMSM控制算法 针对永磁同步电机的控制策略,构建基于空间适量脉宽调制算法的电流、速度双闭环系统。在Altera公司的DSP Builder软件开发环境下,给出一种设计方法。该方法包括扇区判断、矢量作用时间、开通时间计算、开通时间分配及6路PWM信号生成、Park变换及逆变换、Clarke变换、PI控制等模块,实现基于FPGA的全数字化控制系统。仿真结果表明,该系统具有较好的静态和动态特性,可用于永磁同步电机控制系统的SoC设计。 一种可信的服务组合QoS保障机制 针对目前服务计算模型中对应用的可信性支持不足,提出并设计了一种基于虚拟服务的服务可信性保障机制.组合服务中的各个任务环节由虚拟原子服务完成,通过对应用的QoS规划、服务组合以及资源调度方法以满足虚拟原子服务可信需求,进而保障组合服务的可信特性.经过实验验证,该机制可有效保障组合服务的可信QoS要求. 基于航电总线的分布式实时监控系统同步算法 随着航空技术、计算机软硬件技术的高速发展,航电综合系统的高精度时钟同步越来越重要.针对多总线分布式实时监控系统要求各设备高度时间同步的问题,本文在分析国内外已有时钟同步算法的基础之上综合考虑了传输时延、时钟偏移误差及网络排队时延,并将实时监控流量带来的网络抖动现象作为重要因素引入到同步算法中,设计了基于TSC的高精度软时钟服务机制,提出一种基于航电总线的分布式实时监控系统时钟同步算法CSA-RTMS,并详细分析了误差范围等性能.实验结果表明,与传统的NTP同步协议相比,该同步方法精度更高,同步效率快,而且受网络抖动影响小. 基于ATE的FPGA亚稳态的激发与验证 在FPGA电路设计中,信号间常发生跨时钟域的传输,亚稳态问题成为影响系统可靠性的关键因素;目前的测试方法不能满足对亚稳态的测试,如何有效地激发亚稳态及验证亚稳态保护措施的有效性,成为FPGA测试的关键问题;文章详细分析了FPGA中亚稳态产生的根源,基于ATE测试系统,提出了一种有效的多时钟域的测试方法,通过设计输入信号间的时序偏差,激发亚稳态,并验证电路相应的保护措施是否有效;在此基础上,设计了基于ATE的测试系统,调整时钟间隔,成功的激发出亚稳态,并验证了经过两级同步后的电路有效地避免了亚稳态的传播。 Ad hoc网络邻居节点表自适应构建与维护算法 在Ad hoc网络贪婪地理路由协议中,传统的邻居节点表自适应构建与维护采用周期性信标交换算法,在移动环境下会导致通信暂盲现象。在分析节点移动对网络连通性影响的基础上,提出一种基于链路断开概率的自适应信标交换算法来实现邻居节点表自适应构建与维护。仿真结果表明,该算法不但提高了数据分组传送成功率,而且还降低了控制开销,因此该算法适用于移动Ad hoc网络。 浏览器取证技术 随着信息时代的来临,一些不法分子在实施犯罪之前往往会上网查询信息,他们所用的浏览器便成了司法机关取证的关键.能否提取有效的犯罪线索或证据,取决于浏览器取证方法的好坏,本文介绍了目前主流的火狐浏览器、IE浏览器的取证技术,概述了IE缓存文件和基于SQLite数据库的火狐浏览器历史系统的日志文件结构,提出了信息恢复方法.通过对已删除日志文件或缓存文件信息提取,来达到获取证据的目的,分析用户的行为. 无人机空中通信数据库信息盲检索系统设计 对无人机空中通信数据库信息盲检索系统进行设计,能够有效解决传统盲检索系统存在的数据召回率低、细粒度差、检索准确度低及实时性差等问题;先给出无人机空中通信数据库信息盲检索系统的总体架构设计,通过对存储器结构进行改进,实现系统硬件部分的优化;采用Java语言和嵌入式开发库设计可视化检索页面,选取检索信息,增设中间件搜索功能,通过盲检索功能的实现,完成系统软件部分的开发,从而设计出无人机空中通信数据库信息盲检索系统;实验结果表明,该系统数据召回率高,细粒度强,检索准确度高,实时性好。 一种安全的语义Web服务模型研究 语义Web作为一个新兴的研究方向发展迅速,语义Web服务技术也越来越受重视,同时安全问题也成为语义Web服务技术中不容忽视的研究课题。分析了Web服务的安全现状,根据语义Web服务的主要安全需求提出了一种安全的语义Web服务模型,并详细介绍了模型的构成。模型应用安全本体并结合原有的安全基础设施,使用SSL/TLS协议保证传输层的数据安全,并使用XML数字签名规范、XML加密规范与SOAP消息相结合保证数据机密性、完整性和不可否认性,能够比较全面地为语义Web服务提供安全保障。 欧美将联手打造首个100Gbps跨大西洋网络 [正文]DANTE全称为欧洲先进网络技术交付(Delivery of Advanced Network Technology to Europe),该组织与欧洲国家研究和教育网络(NREN)合作,计划为研究和教育机构建立和运行先进网络.虽然目前尚未建成,但如果建成后这将是有史以来第一个横跨北大西洋每秒100Gbps的管道,用以连接美国和欧洲研究和 异构环境下自适应reduce任务调度算法的研究 异构环境下的Hadoop平台对reduce任务的调度存在随机性,在分配任务时既没有考虑数据本地性,也没有考虑计算节点对当前任务的计算能力。针对以上问题,提出一种异构环境下自适应reduce任务调度算法(SARS)。算法根据reduce任务的输入数据分布选择所含数据量最大的机架,在选择计算节点的过程中,结合节点所含任务的数据量、节点的计算能力和当前节点的忙碌状态来选出任务的执行节点。实验结果表明,SARS算法减少了reduce任务执行时的网络开销,同时也减少了reduce任务的执行时间。 超导磁共振仪无源匀场测试系统的设计与开发 超导磁共振仪作为目前主流的医疗成像设备,在临床诊断和患者检查方面作用突出,其成像质量直接取决于主磁场中心球形成像区域(DSV)的均匀性;匀场技术作为一种实现DSV磁场均匀化的技术就显得尤为重要;针对磁共振成像系统DSV磁场测量的传统方法中探头位置移动不准确、数据记录繁琐、操作过程复杂等缺点,设计了基于LabVIEW的新型DSV磁场测量系统:通过设计LabVIEW控制程序对高斯计进行仪器控制,划分DSV球形表面的测量点,通过调节测量装置来精确移动探头位置,编写串口通信、数据采集程序,完善人机交互界面,实现DSV表面测量点磁场数据的实时采集,无需手动记录,可通过导出数据到TXT文件的方式保存磁场数据至本地;经工程实际应用,操作便捷,实测DSV均匀度为416.0506ppm,通过线性规划算法匀场后均匀度为:16.0383ppm,提升超过96%。 基于傅里叶-梅林矩的抗几何攻击图像水印算法 如何有效抵抗几何攻击是数字图像水印研究领域的热点问题之一,一个微弱不可觉察的几何攻击就可能使绝大多数水印算法失效。以不变矩理论为基础,提出了一种基于正交傅里叶-梅林矩的可有效抵抗几何攻击的图像水印新算法。结合傅里叶-梅林矩的几何不变特性,计算出原始图像的傅里叶-梅林矩;根据稳定矩的选取规则选取部分稳定的傅里叶-梅林矩,采用量化调制策略将水印信息嵌入到所选矩的幅值中;将傅里叶-梅林矩修改前后的重构差值图像叠加到原始载体图像中,得到含水印图像。仿真实验表明,该算法不仅具有较好的不可感知性,而且对常规信号处理和几何攻击均具有较好的鲁棒性。 基于广义随机Petri网的装配可靠性建模 为了在装配环节保障产品的可靠性,提出可靠性驱动的装配技术的概念,并用广义随机Petri网对该技术进行了建模,通过同构的Markov链对模型进行定量分析。在可靠性驱动的装配技术建模分析中,采用结构分析和设计技术对产品进行功能分析并建立起完整的功能分析模型;将功能分析的结构分析和设计技术模型转化为相应的具有动态分析和定量研究能力的广义随机Petri网模型;为获得可信准确的分析模型,利用三角模糊数中的α-截集对同构的Markov链进行优化;针对装配产品的可靠性特征,用装配可靠度指标对产品进行评价。以某加工中心的分度工作台为例,验证了该建模与分析方法的有效性。 采用多阶势能的二值文本图像隐写分析 数字图像隐写与分析是当前信息安全领域研究的热点.在二值文本图像隐写分析方面,如何检测并定位隐秘信息是一个难题.根据多阶势能对像素点变化的敏感性,提出一种基于多阶势能的隐写分析新方法.文中首先分析和论证了多阶势能应用于二值文本图像隐写分析的相关理论基础;然后,通过对图像进行分块预处理,采集模块的势能序列,利用多阶势能对二值文本图像中的隐秘信息进行位置分析,实现对隐秘信息的检测;最后,通过实验和数据分析表明该算法不仅可以判断隐秘信息的存在性,而且还能够确定隐秘信息的位置. Oracle数据库中存储过程开发研究 任何一个设计良好的数据库应用程序都应该用到存储过程。Oracle将存储过程作为数据库对象存储在数据库中。从Orac1e 8i开始,不仅可以使用原有的PL/SQL开发存储过程,还可以使用Java语言来开发存储过程。以最新的Oracle版本为例,叙述存储程序的概念、分类及其优点,通过实例详细阐述Oracle数据库存储过程的开发过程,分析不同存储过程开发语言的优缺点,提出开发建议。 2个聚合签名方案的密码学分析与改进 分析Zhou-Du方案和Zhao方案,指出这2个基于身份的聚合签名方案是不安全的。在Zhou-Du方案中,单个签名和聚合签名是可伪造的;在Zhao方案中,攻击者可利用单个签名获得签名者的私钥,进而实现任何攻击。对2个方案进行改进,改进的Zhou-Du方案满足不可伪造性,改进的Zhao方案可避免私钥泄露。同时,改进的Zhou-Du方案效率优于原方案,改进的Zhao方案的效率与原方案相同。 关于柔性作业车间调度问题的仿真研究 研究柔性作业车间调度优化问题。由于传统的一些方法在解决柔性作业车间调度问题时,面临着早熟、精度低等缺点,导致调度性能降低。针对上述难题,提出了一种改进的量子粒子群算法,结合反向学习策略和边界变异策略的优势,在增加种群多样性的同时避免了陷入边界最优。经过5个标准测试函数和一个柔性作业车间调度优化模型的仿真测试,结果表明改进的算法可增强全局寻优能力,提高收敛精度,避免搜索过程过早陷入局部最优,在解决调度问题中可获得较小的加工完工时间,具有优良的调度优化性能。 藏文问答系统中问句的分类方法研究 问句分类在问答系统领域有着重要的研究意义和应用价值。为了提高自动问句分类识别效率,本文提出了基于藏文疑问虚词的问句分类方法。该方法首先提取问句中的藏文虚词;然后根据所得的虚词进行问句分类;其次,进一步将问句细分为问题大类和小类;最后,给问题贴上分类标签。这种方法,既缩小了检索空间又提高了答案的准确性。最后对各种藏文问句分类的准确性进行了测试。结果表明基于藏文虚词问句的分类方法具有可行性和实用性。 基于加权贝叶斯网络的隐私数据发布方法 数据发布中的隐私保护问题是目前信息安全领域的一个研究热点.如何有效地防止敏感隐私信息泄露已成为信息安全领域的重要课题.差分隐私保护技术是最新发展起来的隐私保护技术,它的最大优点是不对攻击者的背景知识做任何特定假设,该技术不但能为隐私数据发布提供强有力的安全防护,而且在实践中也得到了广泛应用.现有的差分隐私保护技术并不能全面有效地处理高维隐私数据的发布问题,虽然基于贝叶斯网络的隐私数据发布方法(PrivBayes)有效地处理了高维数据集转化为低维数据集的发布问题,但这种方法也存在一定的缺陷和不足.基于对贝叶斯网络的隐私数据发布方法的分析研究和改进优化,建立了加权贝叶斯网络隐私数据发布方法(加权PrivBayes),通过理论分析和实验评估,该方法不仅能保证原始隐私发布数据集的隐私安全性,同时又能大幅提升原始隐私发布数据集的数据精确性. 应急演练方案动态推演系统 应急救援指挥部门制定应急救援方案后,使用仿真方法进行评估已成为验证方案可行性的重要手段.目前,国内对于应急演练方案推演系统的构建与研究还不是太多,围绕应急模拟演练系统中的方案推理过程,提出并设计了一种基于Delta3D三维仿真引擎的方案推演系统.详细探讨了该系统的基本体系结构,关键模块以及关键算法步骤的设计.利用该系统能够很好的扩展构建各种用途的模拟演练推演系统,有效提高指挥及救援人员的对方案定量分析的能力和救援效率. 嵌入式单片机系统软件安全漏洞自动检测仿真 嵌入式单片机系统软件安全漏洞检测效果的优劣不仅影响计算机的基本功能发挥,还会损害用户的切身利益。针对当前方法忽略了无关特征和冗余特征对嵌入式单片机系统软件安全漏洞检测的影响,导致检测结果不佳,提出一种基于朴素贝叶斯的软件安全漏洞自动检测方法,利用蚁群算法的搜索性能,将系统软件安全漏洞特征提取问题转化为路径寻优问题,计算蚂蚁的转移概率和适应度函数值,并对系统各个路径上的信息素浓度进行实时更新。采用额外附加激励的方式,强化蚂蚁对最优路径的选择影响。设置蚁群算法的终止条件,将搜索获得的软件安全漏洞特征输出。采用特征向量来描述输出的系统软件安全漏洞特征样本,根据嵌入式单片机系统软件安全漏洞特征的先验概率计算出其后验概率,能够使得后验概率获得最大值的类即为该安全漏洞特征对象所属的类。仿真测试结果表明,所提方法能够实现系统软件安全漏洞的分类检测,具有较高的检测率和较低的误报率,同时漏洞覆盖率评价指标最高可以达到97.7%,远远高于对比方法。 基于MAS的时序数据集成管理模型设计 在模式挖掘应用于工业生产辅助决策过程中,为降低时序数据复杂度,提高数据预处理效率,以时序数据模型(SC模型)为基础,结合业务管理流程及工作特点,设计时序案例样本结构(SCSM结构);采用SCSM结构,引入MAS技术,从Agent单体结构、基于时序数据体的MAS框架,管理策略等方面深入研究,借鉴Center-Round中控管理模式,完成基于MAS的时序数据集成管理模型(DM-MAS模型)设计。以此解决时序数据运算量大,数据转化处理效率低及数据可直用性差等问题,达到提高系统鲁棒性和数据精细化处理程度的目的。最后结合油田生产作业过程,利用DM-MAS模型实现油田生产作业数据集成管理系统的设计,同时通过实例测试和效果对比分析验证了该模型的可行性。 一种基于MAD的鲁棒性分形维数计算方法及图像识别应用 传统鲁棒差分盒计数法(RDBC)已成功用于高斯噪声图像的分形维估计,但由于对椒盐噪声较敏感,因此不再适用于椒盐噪声图像的分形维估计和图像分类。本文提出一种基于中值绝对偏差(MAD)的分形维数计算方法(MAD-DBC)。该方法利用MAD进行差分盒计数,对椒盐噪声具有很好的鲁棒性特点。实验结果表明,利用小波多分辨率的DBC、RDBC和MAD-DBC对椒盐噪声的16种Brodatz纹理图像进行分类,MAD-DBC具有更高的识别率和更好的噪声鲁棒性。 基于攻击效果的WSN路由安全评估模型 "为提高无线传感器网络(Wireless Sensor Networks,WSN)的路由安全评估能力,提出了一种基于攻击效果的评估模型。根据路由攻击前后网络安全性能的变化,提出了""网络安全熵""的概念,选取并简化能客观真实地反映安全性的安全评估指标,分析了网络安全熵的计算方法。其次利用Monte Carlo方法进行统计,确定节点的安全度,对安全指标进行归一化处理,通过模型观察攻击效果,评估网络的安全性,并进行安全态势预测,从而提升了WSN网络安全评估的能力,且能够为制定反击敌方的恶意攻击的策略提供依据。经过应用实例的仿真计算与分析,表明该模型能够比较合理地评估网络安全。" 数据中心中TCP连接建立过程的优化方法 针对数据中心中由于SYN包丢失而引起的TCP连接被延迟从而错过任务时间限制的问题,在无需更换现有设备以及无需修改应用和TCP的前提下,提出一种基于加权随机早期检测(WRED)协议的TCP连接初始化的优化方法。该方法解决了连接优化的三个关键问题:如何识别和标记SYN包,如何在交换机上为SYN包预留空间以及需要预留多少空间。与原TCP相比,优化后TCP连接建立的时间极大地减少。实验表明TCP连接初始化优化方法可以解决任务错过规定时间限制的问题。 两种新搜索策略对K-medoids聚类算法建模 针对传统K-medoids聚类算法对初始化敏感,中心点盲目搜索更新和聚类准确率不够高等缺点,提出新的改进算法.新算法首先对原始粒计算进行改进,利用改进的粒计算有效初始化K个中心点;其次以K个初始中心点为基点,提出两种中心点搜索更新策略,以提高中心点更新效率;同时采用簇内距离和簇间距离改进传统准则函数,以提高聚类精度.实验结果表明:新算法在UCI中Iris、Wine标准数据集中进行测试,获取了理想的初始中心点,在较少的迭代次数内寻找到最优解,并且大幅度提高了聚类准确率. 基于斜率改正比的遥感图像拼接缝消除算法 针对在遥感图像镶嵌中所产生拼接缝的问题,分析了现有的拼接缝消除方法,提出了直方图迭代匹配结合斜率改正比的消除方法。通过直方图的迭代匹配增强了图像的反差,在镶嵌的遥感影像中用斜率改正比的方法消除拼接缝实现图像的光滑过渡。实验结果表明,该算法具有很好的拼接缝消除效果并且减少了两幅图像的灰度差值和标准值差值,改善了图像的质量。 基于人工鱼群算法的贝叶斯网络参数学习方法 研究算法改进,提高计算性能,贝叶斯网络是解决不确定性问题的一种有效方法,在很多领域得到了广泛应用。参数学习是贝叶斯网络构建的重要环节,但含隐变量、连续变量的参数学习是非常困难的。为解决上述问题,提出了一种人工鱼群算法的贝叶斯网络参数学习方法,并进一步通过调整人工鱼随机移动速度的方法提高了算法的收敛性能和速度。最后,将参数学习方法在由Noisy-Or和Noisy-And节点组成的贝叶斯网络中进行了仿真,仿真结果表明了参数学习方法,特别是改进后方法的可行性和优越性。 新型电机的定子与转子结构优化设计 在提高双凸极电机性能的研究中,针对电机的定转子结构优化能够使电机的性能得到有效提高。由于新型轴承磁通双凸极永磁电机具有内外定转子结构,传统的结构优化方法具有一定的局限性。通过磁路法,得到对磁链和定位力矩其关于磁阻和磁密的表达式,提出内外定转子不等高优化策略。并采用有限元法对以上优化策略进行分析与验证。再对优化后的电机电磁特性进行有限元仿真分析。仿真结果表明,内外定转子不等高优化方法能够有效的提高电机电磁特性,为轴向磁通电机提供了一种新的优化思路。 结合马尔可夫高斯模型的双邻域模糊聚类分割算法 针对传统模糊C均值(FCM)算法采用欧几里得测度描述像素与聚类间的非相似性对噪声和异常值敏感的问题,提出基于马尔可夫-高斯模型、且包含特征场和标号场双邻域的模糊聚类分割算法.首先根据马尔可夫模型能够结合邻域像素作用的特点在标号场上建立与邻域像素相关联的能量函数,确保相同邻域系统内的像素属于相同类别的概率较之不在相同邻域系统内的像素更大,最终实现标号场邻域系统的建立;而后在特征场上利用Gaussian模型描述像素与聚类间的非相似性测度,并结合相邻像素对非相似性的影响构建特征场邻域模型,即利用中心像素和邻域像素特征与聚类均值矢量的差异代替传统像素特征与均值矢量的差异构建Gaussian模型;最后结合标号场和特征场邻域构建包含双邻域的模糊聚类分割模型,实现高精度模糊聚类分割.通过与现有多种典型FCM算法对模拟影像和真实彩色影像的实验以及分割结果的对比分析,证明了文中算法的有效性. 基于Potts模型的隐式曲面上的图像分割方法 针对隐式曲面上多相图像分割的问题,基于曲面的隐式表达、隐式曲面上的内蕴梯度等概念,将用于平面图像分割的Potts模型推广。首先对于隐式封闭曲面和隐式开放曲面,分别给出Potts模型的推广形式。然后对于传统梯度降方法计算效率低的问题,为曲面上的Potts模型设计了Split Bregman算法和对偶方法,并在对偶方法的基础上提出了一种改进的快速算法。多个数值实验结果表明,所提出的曲面上的Potts模型能有效地分割闭/开曲面上的分段常值图像,并且新的改进对偶方法在计算效率方面优于其他两种方法。 基于SOA的继续教育信息综合平台的研究 介绍基于SOA架构的继续教育信息综合平台的开发方法和关键技术。它采用基于服务的架构整合网上各处的教育资源,有利于提高资源的利用率,减少开发成本。构建平台的关键是统一、开放的Web Service标准的采用,同时也有利于系统的扩充和第三方应用开发。该平台与云计算技术的融合将进一步扩大这种优势。 声波清灰技术的理论研究与仿真 研究声波清灰优化控制除灰效果,能量的控制和频率的选择是目前声波清灰技术研究过程中存在的主要问题。针对声波清灰技术在使用时,声强主要受到声波频率和气体温度因素的影响。为了改善声波清灰技术的效率,对声波清灰技术进行了数学建模和理论研究分析,取得了声强最佳时的气体温度值和声波发生器频率值。在Matlab上仿真结果表明:在20-200Hz范围内2,00Hz是声强最大的频率,在0-100℃下,气体温度为0℃时,声强达到最大值。从能量仿真分析结果表明,最佳声频会使声波清灰效果最好。 MATLAB环境中HLA联邦成员开发技术研究 MATLAB具有强大的数据处理能力和建模仿真功能,并提供了大量友好的接口,但是并未绑定HLA,无法直接参与HLA仿真。在MATLAB与java相互调用和prti1516的java API基础上,综合比较现存的MATLAB用于HLA仿真的各种方法的优劣,以及MATLAB与java相互调用方法的优劣,设计了MATLAB-HLA Toolbox,并详细介绍了工具包实现过程中的关键技术。通过工具包,可以方便地实现在MATLAB环境中开发HLA联邦成员,从而实现MATLAB用于HLA仿真,实现MATLAB和HLA优势的结合。工具包的研究开发对于其他仿真软件用于HLA仿真具有一定的参考价值。 EDF调度算法在直通式交换以太网上的实现 提出了一种FTT(Flexible Time-Triggered communication paradigm)的改进调度模型.实现了周期性硬实时消息在基于成熟商用组件技术(COTS)的交换式以太网上的实时传输.并针对周期性实时消息在直通式交换以太网上传输提出了一种基于EDF的实时性调度算法.仿真实验表明该算法在提高网络的聚合带宽方面具有明显的优点. 基于多GPU集群的编程框架 现如今,GPU作为一种低功耗高性能图形处理器单元,被广泛应用于高度并行化的应用程序中。其线程和内存的层次结构在诸多成功的多线程应用和科学研究中表现出巨大的优势。为了简化多GPU集群的编程模式以及更好地利用GPU的计算性能,设计并实现了一个新的基于多GPU的MapReduce并行编程框架。使用了并行虚拟文件系统(PVFS)来存储数据,考虑了动态的负载平衡和GPU相关的权重要素以达到优化系统的效率、透明性以及系统的可伸缩性的目的。在文中,将演示使用该编程模式解决地质应用的一个典型的偏移应用-叠前时间偏移(PKTM),并给出实验结果。 基于双子代数理论的网络建模与分析 本文研究了双子代数尤其是极大代数理论在计算机网络建模与性能分析中的应用。采用极大代数分析了令牌桶的输入输出特性,得到了(b,ρ)令牌桶在极大代数下的状态空间方程组与传输矩阵;提出了基于极大代数的网络演算,定义了极大到达曲线与极大服务曲线,利用这两个概念得出了极大代数下有关延迟以及输出流突发性的定理。最后采用基于极大代数的网络演算对非抢占优先级多路复用以及保证速率服务器两个模型进行了分析,得出了两种模型各自在极大代数网络演算下的服务曲线。本文还把基于极大代数的网络分析方法与基于极小代数的分析方法进行了比较,阐明了基于极大代数方法的优点与适用场合。 基于PSVM的主动学习肿块检测方法 肿块区域通常形态各异、差异性较大,并且与正常组织相比没有明显的区别,严重影响了肿块自动检测系统的性能.为了能够有效地提高乳腺X线图像中肿块的检测灵敏度,通过引入包含了样本间相互制约关系的具有成对约束的SVM (PSVM)算法,提出了一种基于PSVM 的主动学习机制.其中,由系统根据样本的不确定性和相互之间的特征匹配距离,主动选择应该反馈给训练集的成对样本.实验结果表明,这种基于PSVM的主动学习方法,能够充分利用样本所包含的信息,使得检测方法具有更好的推广能力和检测性能. 基于规则集划分的多决策树报文分类算法 为克服决策树算法处理高速网络、大容量规则集下的报文分类问题时内存使用量大的弊端,提出一种基于规则集划分的多决策树报文分类算法。在保证规则子集数量可控的前提下,采用启发式算法将规则集划分为有限个规则子集,最大限度分离交叠规则;提出两级级联决策树结构,降低决策树深度以减少规则查找时间。理论分析表明,该算法空间复杂度较传统单决策树算法大幅降低。仿真结果表明,该算法的内存使用量比目前空间性能最好的EffiCuts算法减少了30%,且维度可扩展性更好。 基于UML类图的模糊时空数据建模 模糊性广泛存在于时空应用领域,现有的时空数据模型缺乏描述和表达模糊时空对象内在机制和语义关系的能力。通过研究模糊时空数据语义,给出了模糊时空数据模型的形式化定义,在此基础上对UML类图进行扩展,提出一种模糊时空UML数据模型,并用例子说明了模糊时空数据模型的可用性。 基于P2020的SPI FLASH模式的研究与实现 PowerPC P2020是Freescale公司一款性能优良的处理器,在电信、军事、网络等领域都有着广泛的应用。SPIFLASH作为一种重要的启动方式,是用户在进行硬件设计时经常采用的方法之一。它不仅可以丰富用户对于启动方式的选择,同时也可以作为备份启动模式,提高系统的稳定性。鉴于SPI FLASH启动方式的重要性,文中以P2020为硬件平台,对SPI FLASH启动方式的实现进行了研究。首先,描述了P2020处理器上电之后SPI FLASH两个阶段的启动过程;其次,介绍了SPI FLASH启动镜像的制作过程;再次,针对实际操作SPI FLASH中遇到的一些问题,文中进行了有效地解决;最后,在完成uboot及linux的移植后,最终成功实现了SPI FLASH启动方式。系统上电之后,可成功启动uboot并加载linux,且可以稳定运行。 基于逻辑密钥树的组密钥更新方案 在分析现有组密钥更新机制的基础上,提出一种基于逻辑密钥树的密钥更新方案,该方案使用单向函数、XOR运算和伪随机函数等降低存储复杂度和计算量。使用基于时间序列的组密钥增强了安全性,并引入2个变量将密钥的更新过程分为必要更新与辅助更新2个部分,减少逻辑密钥更新的次数。分析结果表明,该方案适用范围广,具有较好的鲁棒性和可扩展性。 粒子滤波目标跟踪算法综述 随着人工智能科学的发展,目标跟踪成为中外学者研究的热点,近年来很多目标跟踪算法相继被提出,其中,经典的卡尔曼滤波算法常被用于目标跟踪领域。然而,在实际情况中,目标跟踪过程常涉及到非线性非高斯问题,由于粒子滤波算法在非线性非高斯系统中有较好的性能,因此将其引入目标跟踪研究领域。针对粒子滤波算法存在的跟踪精度差、实时性不高等问题,近年来国内外学者提出很多改进方法。从特征融合、算法融合和自适应粒子滤波三个方面介绍了相关改进方法的基本思想,展望了粒子滤波算法在目标跟踪领域的发展方向。 基于数据源依赖关系的信息评价方法研究 当前很多的数据管理应用都需要从多个数据源集成数据,每个数据源都会提供一组值,并且不同的数据源常常提供相互冲突的数据值.为了提供给用户高质量的数据值,关键是数据集成系统能够解决数据冲突问题,提取出正确的数据值.文中对已有的真值发现算法进行了分析与总结,通过考虑处理同一个值的不同表现形式和改进的选票算法,作者对现有方法给出了改进,改进后的方法可以更有效地在众多冲突数据中找出正确的数据值. 铁路轨道电路补偿电容故障定位的仿真研究 研究优化测试补偿电容故障,保证信号传输安全,由于补偿电容易受环境,天气、浪涌电压等因素影响,经常发生故障,常规的检查补偿电容故障的方法耗时长且劳动强度大。为了更加快速准确地定位故障补偿电容,提出了一种新的故障定位方法,即基于均匀传输线理论,运用补偿电容四端网级联结构的无绝缘轨道电路模型,重点仿真研究了不同位置的补偿电容分别发生故障时,分路电流和从输入端看往接收端输入电阻受到影响的规律特征。仿真结果表明,故障定位方法快速准确,为及时发现和定位补偿电容故障,保证信号传输安全提供了参考依据。 基于递推最小二乘的吊舱推进无人水面艇建模与辨识研究 为了研究吊舱推进无人水面艇的建模问题,以响应型数学模型为研究重点,应用系统辨识的方法确定其模型参数;根据MMG分离建模的理论建立吊舱推进无人艇的三自由度平面运动数学模型,然后对作用在艇体的力与推进器推力进行分析与假设,将平面运动数学模型化简为响应型数学模型;在得到响应型模型的基础上,通过实船进行回转实验和Z型实验采集相应数据,然后利用递推最小二乘以及数据拟合的方法对模型参数加以辨识;为了验证辨识结果的正确性,对辨识出的响应模型进行模拟仿真并与实际数据进行比较,结果表明:仿真结果与实际数据的误差在可信范围内,由此证明了系统建模与辨识结果的正确性。 微藻培养装置的温度控制算法研究 微藻培养装置是一个时变、非线性、纯滞后的复杂系统,传统温度控制算法难以获得良好的控制效果。为解决超调较大、振荡和控制精度较低等问题,提出了粒子群寻优的模糊-PID预估控制算法。首先利用系统辨识得到较为准确的系统模型;然后采用粒子群算法对PID的三个参数进行寻优,提高系统的稳态精度;最后将模糊-PID控制与Smith预估结合,减小时延对系统影响,提高系统自适应性。仿真结果表明,提出的控制策略具有响应快速、超调量小、鲁棒性强、控制精度高等优点,为微藻自动培养装置的温度智能控制设计提供了参考依据。 工业生产过程锅炉温度控制仿真 温度控制系统是工业电阻炉的重要组成部分。由于温度测量元件和电阻炉温度传导产生的延时,使得电阻炉温度控制存在超调量大,温度控制精度不高,调节时间过长等问题。传统的PID控制以及基于模糊控制理论整定的PID控制方法在温度控制中适应性较差、效果不理想,而人工神经网络作为智能控制的一个重要领域,具有较强自学习和自适应的能力,因此在此基础上提出模糊径向基(RBF)神经网络的PID算法,将模糊PID算法和神经网络相结合,可根据温度的偏差及变化对PID三个参数进行优化,达到最佳组合的PID控制,并利用MATLAB的Simulink工具箱做了常规PID、模糊PID以及模糊RBF神经网络PID的仿真对比实验。仿真表明,在添加了RBF神经网络模块之后,系统响应的超调量和稳态误差大大减小,具有较高的稳定性。 基于证据自动机的软件回归验证 为了在多版本程序验证中利用邻近版本之间的共享信息,提取并重用之前版本证据自动机中的循环不变式,提出基于证据自动机的软件回归验证。首先通过证据预处理生成适用于新版程序的证据文件,然后在辅助不变式增强的k-归纳方法的基础上实现了检验新证据文件及验证新版程序的回归验证过程,最后通过对比实验比较了不使用不变式信息的直接验证与结合或不结合数据流分析的三种回归验证的验证性能。与直接验证相比,不结合与结合数据流分析的回归验证的验证耗时分别减少了49%与75%,而内存消耗分别减少了18%与50%。实验结果表明,当程序满足其验证属性时,基于证据自动机的回归验证能极大地提高验证效率,而将证据自动机与数据流分析相结合的验证方式能得到更好的验证效果。 永磁同步电机电流动态特性优化控制仿真 在永磁同步电机的电流优化控制中,通常采用传统的PI控制器,由于电机运行中参数是不断变化的,所以传统PI控制的动态性能不足,针对上述问题设计了一种改进的模型预测控制器。首先对永磁同步电机的电流状态方程离散化得到预测模型,并通过简化预测模型,使之成为线性的,以减小模型预测控制算法的计算量。其次为了提高电流控制的的鲁棒性和准确性,设计了分数阶积分补偿器,作为电流环控制的补偿。仿真的结果表明,采用改进的模型预测控制算法,永磁同步电机电流的动态特性得到了优化,响应速度更快,鲁棒性更强,抗干扰性能更好。说明了提出的永磁电机的控制方法是有效性和可行性。 软件工程专业综合实训教学探索——以肇庆学院软件学院为例 分析当前软件人才培养过程中存在的问题,针对这些问题并结合肇庆学院软件学院的实际情况提出一种实训教学方案。本文对方案的各阶段实训内容进行详细阐述。首先进行软件开发理论指导,深入了解企业开发流程和相关软件工程标准;其次是知识强化和项目实训,在导师指导下,以多种形式进行理论实践,弥补在校所学知识的不足,然后给学生提供一些前沿的和紧贴市场的行业热点项目进行仿真开发;最后是企业实习,安排学生到企业中实习,实现与企业生产的无缝对接。该方案是对软件工程专业课堂教学的有力补充,着眼于提高学生的职业素养和工程能力,致力于缩小软件工程专业学生就业和软件开发企业人才需求之间的差距。 快速成型中一种改进的轮廓线生成算法 在对STL模型分层求交线过程中,针对三角面片的边与切平面很接近时,浮点运算引起的精度损失可能导致的错误交线问题,提出一种基于STL模型局部拓扑的分层算法。将所有可能引起错误交线的三角面片提取出来,建立拓扑结构,以接近切平面的边在切平面的投影替代交线,消除了由于浮点运算误差导致的轮廓线缺边和重边的错误。实验结果表明,该算法在计算出交线段集后不需要再进行修复,简单连接后就能得出正确的切片轮廓线。 基于CRC和可逆数字水印的无线传感器网络认证算法 针对无线传感器网络中的数据完整性认证问题,提出了一种新的基于循环冗余校验CRC和可逆数字水印的认证算法。算法提出由传感节点负责对数据流进行分组和水印嵌入处理,由汇聚节点实施对收到的数据组进行验证和恢复。为了尽可能减小传感节点的计算复杂度,水印通过计算数据组的CRC码获得,同时,采用基于奇偶不变性的可逆数字水印方法嵌入。算法的安全性由计算CRC码时选取的除数多项式的参数决定,减小了额外的传输代价。实验结果证明了该算法具有较好的认证成功率、较低的传输负载和更高的时间效率,适合于在实时性要求较高的传感器网络中进行数据认证。 基于启发式算法的集装箱预翻箱问题仿真研究 关于根据已知的集装箱配载图对堆场的集装箱进行预翻箱速度优化问题,为减少倒箱量,缩短船舶在港时间,以集装箱预翻箱过程为研究对象,对其进行仿真研究,达到提高集装箱码头装船效率的目的,提出了相应的启发式算法,并通过算例验证了算法的有效性。利用e M-plant软件分别建立了单贝位和多贝位的预翻箱仿真模型,通过对预翻箱过程仿真时间的统计验证了仿真模型的有效性,并与现有的启发式算法效能进行了比较,效果更好。仿真结果表明,改进方法可为解决目前集装箱堆场预翻箱问题提供了更有效的决策依据。 基于大数据的时间序列异常点检测研究 针对传统时间序列异常点检测方法在处理大量数据时检测精度与效率低下的缺陷,文中提出一种基于大数据技术的全新时间序列异常点检测方法。首先介绍了传统时间序列异常点检测方法并分析了其缺陷。其次介绍了基于大数据方法的理论推导,包括特征提取、奇异点检测及异常点判别,具体为采用大数据方法将海量序列分解为周期分量、趋势分量、随机误差分量及突发分量四个不同分量,对不同分量进行特征提取并根据特征提取结果进行奇异点检测,并在此基础上利用序列特点判别奇异点是否为异常点。最后通过实验分析对比验证大数据方法的可行性与效率。实验结果表明,基于大数据方法的时间序列异常点检测相比于传统的方法具有更高的检测精度与更快的检测速率。 基于Silverlight的富数据输入研究 针对站点中经常需要用户输入各类数据的现状,讨论了实现开发RIA应用的Silverlight技术以及ASP.NET/SilverlightWeb应用程序的N层逻辑设计,并在此基础上利用Silverlight技术较好地实现了电子商务RIA平台中一个富数据输入界面功能,提高了用户的体验。 基于模糊预测控制的高速列车速度控制研究 为了提高高速列车速度控制的跟踪精度,文章在分析了列车牵引制动系统的基础上,以CRH2-300数据为例计算了列车牵引制动的理想运行曲线,并对模糊控制、预测控制,经典的PID控制以及模糊预测控制的阶跃响应作了对比分析,将模糊控制的快速响应能力并能尽快的达到稳态的特点和预测控制能够提前预测的优点相结合设计了适合高速列车的模糊预测控制器,控制高速列车跟踪理想运行曲线;仿真结果表明,该控制器能够很好的跟踪目标曲线,满足误差小、精度高的要求。 具有交货期约束带准备时间的平行机分批调度 研究一类集成批量计划和平行机调度的问题,该问题具有订单交货期、到达时间和加工准备时间等约束。建立单个数学模型描述集成问题,以降低单元加工费用和订单拖期惩罚费用为目标,提出了一种带启发式规则的遗传模拟退火两阶段算法。算法引入启发式规则生成的基础批,可以有效缩短染色体长度,加快搜索速度。用遗传算法对基础批进行全局搜索,在批量确定的情况下,用模拟退火算法进行局部搜索,得到当前分批情况下的优值。最后选取五种不同规模的生产实例进行数值仿真,分别采用该算法和一种经典算法在相同的计算时间内进行求解。计算结果对比分析表明,随着任务规模的增大,该算法的优势更加明显,从而说明了该模型和算法针对这一类特殊问题的有效性和可行性。 多频带谱减法用于生态环境声音分类 基于人类听觉特性的Mel频率倒谱系数广泛用于声音识别,然而在生态环境中噪声的出现导致其识别率剧减。提出一种在噪声背景下生态环境声音分类方法。利用非线性多频带谱减法对声音功率谱进行去噪处理并提取改进Mel频率倒谱系数,有效削弱不同频率段噪声功率谱干扰。利用支持向量机良好的鲁棒性和抗噪能力对含有噪声的生态环境声音进行分类。实验表明该方法能有效在噪声背景下对生态环境声音准确分类。 空间近距操作视景仿真系统研究 在空间近距操作仿真中,根据航天器飞行轨迹和外部环境因素的影响实时的仿真控制航天器的位置、姿态与特效是关键点。通过对航天器飞行轨迹的数字仿真数据和飞行过程中特效的研究,采用数字仿真数据作为飞行状态数据库,基于软件平台Creator和Vega开发出空间近距操作视景仿真系统。对该系统的设计和实现进行研究,通过系统总体框架和场景的几何建模及动力学建模,实现了追踪航天器从最初机动到最终逼近目标航天器以及完成操作的精确仿真,较好地解决了飞行中航天器的位置、姿态控制和各飞行阶段特效的生成等问题,具有较好的实时性。 基因选择的0-1规划模型和算法 基因选择是基因表达数据分析中的重点问题.然而现有的方法没有综合考虑样本不平衡和基因间的相互作用。借鉴聚类的验证技术提出了基因选择的0-1规划模型,同时考虑了样本不平衡和基因间的相互作用。进一步根据0-1规划模型的特点,给出了基于贪心思想的启发式算法来求解所提出的优化问题。在3个真实的基因表达数据上对提出的方法进行测试并与两个对照的方法比较,结果表明所提出模型和算法是有效的且稳健的。 应用于ETCS超低功耗唤醒接收机的设计 基于DSRC标准,介绍了唤醒接收机在ETCS中的应用,通过采用提出的设计方案,设计一款低功耗,高灵敏度唤醒接收机.基于0.13μm CMOS工艺,实现了偏置电路,放大电路,比较器,电流基准源和驱动等电路模块.采用优化的电路结构,使得运放有较低功耗和高增益.为了减小噪声和比较器自身失调对比较器输出的影响,采用带迟滞功能的比较器.仿真结果表明,唤醒接收机可工作在2~3.3V电源电压,-40~80度下,典型情况下基带的检测灵敏度为-68.3dBm,直流电流为6.97μA. 基于干扰噪声矩阵重构的自适应波束形成算法 针对在自适应波束形成中,当采样次数较少或期望信号导向矢量存在误差以及训练数据中含有期望信号成分时导致波束输出信干噪比(SINR)下降的问题,提出了一种重构干扰噪声协方差矩阵并且估计期望信号导向矢量的稳健自适应波束形成方法。在期望信号波达方向的角度范围已知的条件下,首先利用多重信号分类(MUSIC)空间谱在不含期望信号的区域重构出干扰噪声协方差矩阵;然后推导了避免期望信号的导向矢量的估计值收敛到任一干扰的导向矢量或它们的线性组合的约束条件;进而以此约束条件和阵列输出功率最大化条件建立了期望信号导向矢量估计的优化问题,并使用凸优化软件估计出最优的期望信号导向矢量。讨论了该方法的计算复杂度并通过仿真实验验证了其有效性和优越性。仿真结果表明,当期望信号和干扰源存在随机指向误差和局部散射的情况下,所提方法在很大的输入信噪比范围内的输出信干噪比仍接近理论值,优于其他自适应波束形成方法。 基于UML技术的空间基础数据库设计与实现 设计合理的空间基础数据库不仅能提高整个空间数据操作时的性能和效率,而且还可以减少后期的维护修复工作,使整个空间基础数据运行的更加快捷,需要对空间基础数据建立数据库;当前的空间基础数据库设计方法采用ArcSDE数据引擎对空间基础数据进行不断地更新调整,再利用多源空间数据格式转换的技术对空间基础数据库进行设计,存在空间基础数据运行时速度缓慢,计算精度低的问题;为此,提出了一种基于UML技术的空间基础数据库设计方法;该方法首先在空间基础数据库设计中建立空间基础数据索引结构,利用R-树族构建空间基础数据索引树,依据空间基础数据索引树,扫描索引空间基础数据,过滤掉不满足查询条件的空间基础数据对象,使空间数据查询结果可以在额定时间内获得,然后采用空间基础数据点、数据线、以及由数据线组成的区域、一组区域、空间基础数据网络的详细存储方式对空间基础数据进行存储,利用MongoDB驱动程序对矢量空间数据进行存储存储,最后通过对空间基础数据库索引、查询、存储等设计实现了空间基础数据库的建立;仿真实验结果证明,所提方法提高了空间基础数据的建库速度,减少了数据运行的时间,提升了空间基础数据的利用率。 云计算环境下可信服务的个性化推荐框架 针对当前云计算环境下服务选择中服务推荐技术的不足,提出一种面向可信云服务的个性化推荐框架(PerReF),该框架基于独立、开放的云评价中心和云推荐中心架构,以可信云服务的多属性分析和概率统计分析为基础,使用模糊综合方法集成消费者对云服务可信属性的历史评价,并结合潜在用户在不同应用场景下的个性化需求,从可信属性权重、可信度期望、成本期望角度出发,对云服务进行过滤,再通过多次迭代的模糊相似度计算,找出最适合用户个性化特征的云服务.仿真实验分析表明,PerReF能够适应复杂的云计算环境,提供具有较高用户满意度的个性化推荐结果. 基于依存句法分析的病理报告结构化处理方法 病理检查报告中的文本通常为非结构化数据,不利于计算机自动分析和处理.目前文本结构化主要采用信息关系抽取方法,然而病理检查报告所具有的语义特殊性,给中文信息关系抽取带来了挑战.为解决上述问题,设计了一种针对病理检查报告的结构化方法,首先通过神经网络语言模型获得病理报告中的同义词表,合并一义多词现象;在此基础上,生成病理检查报告文本的依存关系树,并提出切分短句和信息标注的剪裁策略,以简化初始生成的依存关系树结构,从而使语法关系更加清晰,提高结构化结果的准确度;进而,利用依存句法分析结果从中文检查报告中提取指标及对应指标值,并自动生成结构化模板.实验采用医生真实使用的医疗病理检查报告进行验证,其结果表明:该方法在指标词和对应指标值提取任务中的准确率可以分别达到82.91%和79.11%,为相关研究打下了基础. 基于本体的知识融合框架 为了提高融合知识的语义规范性和准确性,提出一个包含元知识集构建、知识测度指标确定、知识融合算法设计和融合知识后处理等功能模块的知识融合框架.根据知识元素在领域本体中的关系分析知识融合的模式,提出知识融合过程的元知识集构建方法;运用最大熵模型分析知识元素的语义相关性,并综合知识单元间的关系强度及其权重构建融合知识测度,给出了知识融合算法的规则、退火遗传融合算法的关键操作和基于信息扩散原理的知识评价机制.最后通过实例证明,文中的知识融合框架有利于控制知识融合结果的规模,提高了知识的语义相关性和准确度. 基于改进Canny算子的LED晶片边缘检测 为了提高全自动固晶机视觉系统中发光二极管(LED)晶片边缘特征的提取精度,提出了一种基于改进非极大值抑制(NMS)过程和双阈值求取方法的Canny边缘检测算子。传统的非极大值抑制过程,直接将中心像素点与梯度方向周围邻近的2个像素点进行比较,易导致边缘信息不准确。针对该问题,结合中心像素点本身及其梯度方向周围的3个像素点,沿着梯度方向进行双线性插值,从而实现改进的非极大值抑制过程;另外,通过对LED晶片图像灰度直方图的分析,根据其特有的三峰特性,改进了传统的最大类间方差法,采用Otsu双阈值法及新的评价函数求取高低阈值,从而避免传统Canny算法中阈值的人工调整。实验结果表明,这种方法适合LED晶片的边缘提取,并且能够获得良好的晶片边缘及两极轮廓。 基于用户主题精确感知大数据群体计算任务分配算法 针对大数据任务中海量数据分析需求、复杂认知推理挑战和传统计算中随机分配任务算法的低效性以及互联网用户的虚拟性、不确定性等问题,提出了一种基于用户主题精准感知的迭代式任务分配算法。首先,通过基于自适应模糊聚类与主题提取模型相结合的方法提取已发布群体任务的主题,然后构建特定任务模型和用户模型计算各关联度,再利用已提交高质量答案的历史任务迭代地检测新用户的真实主题并计算初始准确率;其次,通过逻辑回归(LR)方法预测用户能参与到某类任务的可能性并得到参与用户候选序列,在充分了解用户真实主题和对应主题上的准确率以及用户诚信度的情况下进行精准分配。通过与随机算法在模拟实验中对准确率进行比较,实验结果表明所提算法准确率比随机算法高20个百分点以上,并随着训练数据量的增加而提高,在相似任务上的准确率更是接近100%。实验验证所提算法更精准、尤其适用于大数据环境,并一定程度上节约了随机算法需多次重复分配确保准确率的花销。 大规模标签图中的动态Top-K兴趣子图查询 针对传统算法由于时间或空间复杂度过高而难以实现规模大且动态变化情况下标签图的Top-K子图查询问题,提出一种适用于大规模标签图的动态Top-K兴趣子图查询方法 DISQtop-K。该方法建立了包括节点拓扑结构特性(NTF)索引和边特性(EF)索引的图拓扑结构特性(GTSF)索引,利用该索引可有效剪枝过滤不满足限制条件的无效节点及边;基于GTSF索引提出了多因素候选集过滤策略,通过对查询图候选集进一步剪枝以获得较少的候选集;考虑到图的动态变化可能对匹配结果产生影响,提出了Top-K兴趣子图匹配验证方法——DISQtop-K,将匹配验证过程分为初始匹配和动态修正两个阶段,以尽可能保证查询结果的实时、准确。大量实验结果表明,相比RAM、RWM算法,DISQtop-K方法的索引创建时间较短且占用空间较少,能有效处理大规模标签图中的动态Top-K兴趣子图查询。 基于潜在语义分析的构件聚类改进方法 针对基于向量空间模型的构件聚类方法存在高维稀疏、无法解决同义词等问题,采用基于潜在语义分析模型对构件进行聚类分析。从用户关注点出发,通过引入等级策略提出一种基于潜在语义分析的构件聚类改进算法。实验结果表明,该方法能够提高构件聚类质量,使构件聚类结果更符合用户需求和更加人性化,提高构件检索效率和准确性。 软件定义网络安全研究 随着网络规模的扩大及业务的多样化,原有的网络架构难以满足未来发展需求,软件定义网络(software defined networking,SDN)作为一种新型网络架构被提出。将控制平面从数据平面中分离出来,控制平面的集中管控简化了网络配置管理,实现了灵活部署,提高了网络性能。利用SDN的集中获取信息的特性可对网络中的安全威胁进行监督检测,提高网络安全性。然而SDN在带来便利的同时也带来了新的安全问题。文中从SDN的各层及接口对网络安全问题进行分析,并对现有的解决方案进行了分类,分别从提升SDN控制器安全性、DoS/DDoS攻击防御、流规则一致性、提升应用程序安全性、北向接口标准化这5个方面进行了探讨,进而得出结论,并对未来进行展望。 基于HLA的光电场景建模技术研究 研究HLA标准的光电场景仿真过程,针对在进行光电场景建模过程中,会遇到模型移动调控、粒子移动调控等问题,提出了相应的解决方案。利用HLA平台建造光电场景模型,并且使所建模型符合HLA标准,在HLA开发平台和Vega开发平台的基础上,建立了一系列的光电状态模型功能模块,对高精密的光子数据和光电子图像进行了相关处理,使用功能模块完成复杂情况下的光电场景仿真,形成一套高效的光电场景建模方法。实验证明,这种基于HLA和Vega平台建造的光电场景模型能够准确、快速、完整地描述光电场景,取得了不错的效果。 具有资源约束的自适应聚类算法 为有效考虑大数据流环境中设备节点的内存、计算处理能力、电池电量等资源有限的问题,分析在资源约束的情况下,快速有效挖掘抽取知识的方法,并在K-means算法的基础上提出DRA-Kmeans聚类算法。结合基于资源约束的自适应聚类算法框架RA-Cluster算法,引入自适应聚类方法,对数据流聚类算法CluStream进行改进。该算法在资源受限时优化聚类有效范围,加大聚类精确度;增大聚类半径阈值,抑制新聚类的生成,减少有限资源消耗。 基于掩膜的加权卡尔曼滤波相位解缠算法 卡尔曼滤波将相位解缠转化为状态估计问题,同时实现相位解缠与噪声消除。由于原始雷达信号以及后处理过程中产生的诸多误差,造成相位数据不连续产生局部误差传递,使得解缠结果不准确。提出一种基于掩膜的加权卡尔曼滤波相位解缠算法。该算法通过对包缠数据中的低质量区域进行掩膜处理,对掩膜后的高质量区域进行卡尔曼滤波相位解缠,再对掩膜区域实施加权卡尔曼滤波相位解缠,得到了较为可靠的相位解缠结果。采用仿真数据和ALOS卫星的山东兖矿地区干涉SAR数据进行实验,验证了算法的有效性和可靠性。 一种改进的Mean-shift算法在运动目标跟踪系统中的应用 为解决复杂背景下运动目标的跟踪,提出一种新的基于Mean-shift的目标跟踪算法,该方法首先通过运动检测方法分割出跟踪目标区域,然后通过卡尔曼滤波算法预测下一帧跟踪窗口的起点,在此基础上采用Mean-Shift算法跟踪目标区域;实验结果表明在有干扰的条件下算法仍能实时有效地进行跟踪,与传统方法相比具有更好的自适应性、稳定性、鲁棒性以及更高的识别率。 基于基图像提取的二维经验模式分解方法 "在图像处理中,传统经验模式分解(BEMD)方法存在图像边缘处的跳跃特性而造成的原图像细节丢失,以及分解图像中存在的严重""灰度斑""现象和原图像边缘信息无法保留在分量中等问题。提出一种先利用加权最小二乘估计提取基图像后进行BEMD分解的方法用来克服上述问题。实验证明,这种方法可以很好地保留细节和边缘信息,并极大减弱了""灰度斑""现象。" 基于维护效率的设备多目标预防维护优化建模 为提高维护资源的利用效率,提出一种基于投入产出比的多目标预防性维护模型。该模型以维护直接成本为投入,以涵盖设备开动率和产品合格率的多目标维护效果为产出,通过引入质量相关部件衰退对设备故障率和产品质量的影响机制,构建了基于比例故障率的设备开动率指标和基于响应模型的产品合格率指标,进而以各指标单位变动所影响的产品数量为基准分配指标权重,建立了多目标维护效率函数与设备预防维护模型,以实现设备单位维护成本的产出最大化,从而为企业的设备维护管理提供一种新的建模与决策思路。 面向服务的电子运维统一接口平台设计与实现 基于SOA架构的电子运维系统是实现电信系统服务保障和运维管理信息化。针对该系统是利用闭环流程来辅助障碍处理系统的问题,提出一种统一接口平台的设计方案。根据周边系统和数据交互特点和要求,采取Web Service、中间表等技术实现,以达到流程处理自动化、信息共享的目的。 一种索玛立方体方块匹配的图像置乱算法 针对图像已有置乱算法普遍存在的不足,提出了一种新的索玛立方体方块匹配的图像置乱算法。算法先将原始图像的位平面进行交换以改变图像的像素灰度值,然后按照索玛立方体将变换的图像进行分块,选择索玛立方体的任意两种拼接方式,其中一种看作是原始图像元素的拼接方式,另一种是置乱图像的拼接方式,将两种拼接方式对应转换,从而实现图像的置乱。置乱后的图像表现为白噪声,不存在周期性恢复的安全性问题,置乱较为稳定,能很快达到理想的置乱效果,并且置乱算法对图像尺寸没有要求。实验结果表明,算法能有效地实现对灰度图像的置乱,并且有良好的视觉效果和定量评价结果,能抵抗一定的几何攻击。 基于动态社会行为和用户背景的协同推荐方法 针对传统协同过滤推荐算法推荐精度低及冷启动的问题,提出了一种基于动态社会行为和用户背景的协同推荐方法。作为用户标注行为的结果,变化的标签体现了用户行为的动态性。该方法首先根据动态社会化标签得出用户的动态兴趣偏好相似度,然后根据用户背景信息计算出用户相似度,最后计算基于时间权重的用户评分相似度,并集成上述3个相似度找出最近邻居集,以为目标用户提供更加准确的个性化推荐。实验结果证明,该方法不仅能较好地解决数据稀疏和冷启动的问题,还能有效提高推荐算法的精确度。 云环境下高机密性数据分离销毁优化仿真研究 云环境下对高机密性数据的分离销毁过程进行优化,可有效提升云环境下用户存储数据信息的安全性。对数据分离销毁,需要对数据等级属性特征进行分类,利用决策函数完成对机密性数据的分离销毁。传统方法利用数据时间可预测性实现划其分离,但忽略了对数据进行合理分类,导致销毁效果不理想。提出基于支持向量机理论的云环境下高机密性数据分离销毁方法。获取高机密性数据属性向量集合,得到高机密性数据属性向量映射函数,组建高机密性数据判定模型,计算出高机密性数据在某时段内的最小判定误差能量,结合支持向量机理论对等级属性特征进行分类,得到支持向量机决策函数,依据上述函数完成对云环境下不同等级的高机密性数据分离销毁。实验结果表明,所提方法进行云环境下高机密性数据分离离销毁效果较高。 基于l1范数最小化的非流形曲线族重构 从散乱点集重构曲线族在计算机视觉、逆向工程和医学图像处理等方面有着广泛的应用,非流形曲线族重构是其中的难点问题.文中在压缩传感理论基础上,提出一种基于l1范数最小化的非流形曲线族重构方法.该方法首先将散乱点集的法矢和位置信号表示为稀疏形式,通过l1范数优化方法,重建法矢信号和位置信号;之后,根据重建的法矢和位置计算点集的双边权,在此基础上构建最小生成树(Minimum Spanning Tree,MST)来重构曲线族;最后通过后处理过程,完成对重构曲线族的开闭处理.实验表明,该算法能处理包含开、闭曲线,流形、非流形曲线,以及具有尖锐特征的曲线等复杂情况的曲线族,并且对噪声较鲁棒. 基于光纤通信技术的数据单向传输设备研究 基于光纤通信技术的数据单向传输设备通过光电单向转换的物理原理,实现了真正意义上的单向传输,将失泄密隐患降低至零。该篇文章通过对单向传输设备的技术研究,详细剖析了软硬件实现的技术细节。通过实测数据证明了设备的高效性、实用性和可靠性。 基于块特性的高效去块滤波算法 在深入分析去块滤波算法的基础上,针对其滤波器比较复杂,实现成本较高,提出一种基于块特性的高效去块滤波算法。该算法以8×8块为单位将图像分为平坦区域、纹理区域及边缘区域3类,平坦区域进行两个方向的滤波,纹理区域进行单方向的滤波,边缘区域不进行滤波。对于帧内模式的滤波强度判决,整个宏块边界点上的像素值一致,帧间模式则基于8×8块进行滤波强度值的判决。实验结果表明,在信噪比减少可以忽略不计的情况下,降低了运算复杂度,同时大大提高了编码速度。 基于主动学习的图半监督分类算法 为抑制噪声数据对分类结果的影响,将噪声处理算法与高斯随机域算法相结合,提出一种带噪声系数的高斯随机域学习算法;针对样本集不平衡性数据分类问题,考虑主动学习在样本不平衡问题中的应用,将主动学习与图半监督算法相结合,提出一种鲁棒性强的主动学习图半监督分类算法。利用基于样本划分的主动学习方法,对正类的近邻样本集中样本与特定类样本形成的新样本集做总体散度排序,筛选出能使新样本集中总体散度最小的样本,代替正类的近邻样本集中所有样本,形成平衡类。在UCI标准数据集上的实验结果表明,与标准的图半监督算法相比,该算法的分类精度更高、泛化能力更强。 基于TMS320VC5402的温控系统的设计 以数字信号处理器TMS320VC5402为开发平台,利用温度传感器DS18B20特性与工作原理,设计温控开关系统。以DS18B20的硬件描述为研究基础,论述系统的设计思路,由C语言实现温度监测及开关控制服务,在TMS320VC5402实验平台上进行电路的模拟、仿真。 面向Java程序包的代码概要自动生成技术研究 程序理解是从软件程序中获得抽象在程序中的功能和知识的过程,对软件维护有着重要的意义。研究表明,软件维护消耗了软件预算的50%到80%,而其中大概47%到62%的维护时间用于对软件系统的理解上。提出了一种面向Java程序的包概要方法,尝试从软件的语义层次出发,利用信息索引领域的潜在语义分析和数据挖掘领域的聚类算法对软件程序中的语义信息进行提取分析。对相似词汇的代码文件进行聚类,并从中提取话题对Java程序中的包进行刻画;对这些话题进行语义恢复,并利用MiniPar,一个英文词法分析器,来辅助生成程序中包的概要信息。实验结果表明该方法能够改进程序理解的效率。 “嵌入式系统课程设计”合作式实践教学的探索 分组实验是课程实验教学的典型模式,但随机性分组、分组始终保持不变等做法不利于提高实验效果。以“嵌入式系统课程设计”实验课程为案例,在分析常见分组策略存在问题的基础上,基于课前调查实践了结合学生分组意愿和学生实验能力的综合型分组策略,面向课程阶段性实验的特点实践了动态调整的分组策略。结合信息化手段,通过实验日志和线下答疑等环节对实验过程进行控制,设计了一种组内自评、组间互评、教师点评的实验效果形成性评价机制。实践表明,这些方法手段对于提升合作式实践教学具有促进作用。 针对自同步HBB算法的改进差分攻击 在证明Joux方法对自同步HBB算法的差分攻击成功率约为0.31的基础上,分析恢复密钥所需的数据复杂度与成功率的关系,提出改进的差分攻击方法。利用HBB算法中SPS结构重量为2的输入差分的分布规律,将攻击成功率提高至0.6,数据复杂度由2KB降为1.3KB。 工作流仿真结果分析 基于扩展信牌驱动模型的工作流仿真包括模型静态检查和动态仿真,研究通过动态仿真手段对流程进行测试与分析,从而获得仿真结果的性能指标和结构功能指标。对路径覆盖指标及缺陷指标进行研究。利用白盒与黑盒2种测试方法对被测流程进行高覆盖度仿真,通过仿真结果反映缺陷的类型、分布与走势,并形成仿真报表。 优先数字出版通告 [正文]为加速学术研究成果的传播,缩短出刊周期,《计算机集成制造系统》编辑部近期已经开展录用稿件的数字优先出版工作。所有录用稿件经作者授权后一周内均进行单篇优先数字出版(等同纸质出版),发布在中国知网优先数 多路径高斯核模糊C均值聚类算法 聚类算法单一迭代路径限制了参数优值的搜索。提出一种多路径高斯核模糊C均值聚类算法(MGKFCMs),MGKFCMs算法首先取核目标函数及模糊隶属度函数中的核函数为高斯核函数;然后利用梯度法得到聚类中心迭代公式,并基于该迭代公式和粒子群算法作聚类中心的并行参数迭代,在每一次聚类迭代时,选择聚类目标函数值小的路径作为参数迭代最终路径。对比分析了MGKFCMs算法的相关性质,通过仿真实验验证了所提算法的有效性。 基于用户信任的动态多级访问控制模型 在角色访问控制的基础上,增加用户动态信任级别和静态信任级别,建立一种基于用户信任的动态多级访问控制模型。该模型通过用户的静态信任值和角色,获得静态权限,判断用户获得权限的资格,通过用户的动态信任值,判断用户的行为可信性,决定用户在实际访问操作中的具体权限。给出应用实例及模型安全性分析,结果表明该模型能实现动态授权,且满足最小特权原则。 基于化工仿真培训器专家评分系统的研究和开发 讨论了仿真培训专家评分系统的设计及实现。介绍了仿真培训系统评分方法的结构和实现方法,在现有基础上开发了的专家评分系统,并较详细的论述了专家评分系统的结构和功能。在装置的培训过程中该专家评分系统能够记录操作失误并提出建议,该系统的知识库可以根据不足及时获取知识,不断增加诊断能力,满足了工厂对工人培训和提高技能的需求。 无线多媒体传感器网络通信流特性分析与建模 近年来对网络通信流的测量研究颠覆了传统的以柏松(Poisson)理论为基础的通信模型;许多文献报告了现代数据通信流具有自相似特性;然而,对无线多媒体传感器网络通信流的统计特性和自相似现象还少有研究;文章通过数学分析和仿真的方法深入分析和研究无线多媒体传感器网络通信流的主要特性,包含概率统计特性和自相似特性等;在此基础上,提出一种Rayleigh小波模型,用于刻画无线多媒体传感器网络通信流,并通过仿真数据和模型数据的概率特性和自相关特性的分析,证实Rayleigh小波模型能很好地反映无线多媒体传感器网络环境下通信流特性;这些研究成果为研究、设计在无线多媒体传感器网络环境下高效的协议机制和建立通信模型奠定了基础。 自适应步长学习指数星载AIS信号盲分离 针对传统等变自适应分解(EASI)算法解决星载AIS复信号盲分离收敛速度慢的问题,提出了一种自适应步长学习指数EASI算法。算法利用信号的非高斯性引出步长学习指数,使EASI算法的步长随步长学习指数的增大呈指数衰减。初始阶段步长较大加快了算法收敛,随着步长的减小,产生较小的稳态误差。对上述算法的批处理和自适应处理两种方式分别进行仿真,结果表明,改进算法较传统EASI算法收敛速度有所提高,且算法的自适应处理的稳态误差较传统EASI算法的自适应处理稳态误差也有所减小。 一种用于AFS的半实物仿真平台 为了给自适应前照灯系统(Adaptive Front-Lighting System,AFS)提供一个控制算法验证平台,开发了一套基于汽车驾驶模拟器的AFS半实物硬件仿真平台。在该仿真平台中,PC机采集驾驶模拟器的档位、油门、离合、刹车、方向盘等驾驶信息,由车辆动力学模型模拟实际车辆行为,采用AFS控制模型计算车灯转角控制量,并发送至电机驱动模块进而控制车灯转动,同时将车灯转角信息反馈至PC机。实验表明,该系统能实时记录和显示AFS工作过程中的多种参数,便于进行实验观察和数据分析,从而为AFS系统的控制算法提供一个检验和修正的平台。 电子装备层次化故障诊断技术研究 针对电子装备维修保障需求,结合以雷达、通信、精确制导为代表的电子装备故障模式特点,提出了电子装备故障模式分析方法,即采用多信号流图模型建模,以及基于故障模型进行故障仿真的故障模式分析思路,并基于电子装备故障模式分析及装备故障的复杂性和耦合性特点构建装备层次模型,建立装备层次与故障层次映射关系,最后给出了电子装备层次故障诊断策略。 SIFT改进算法及其在遥感中的应用 针对SIFT算法结果中存在错误匹配的现象,分析错误产生的原因后,提出一种SIFT改进算法。利用SIFT提取特征点并进行初始匹配,基于封闭图形进行仿射变换时面积比不变性,从初始匹配中提取若干个局部最优匹配,构建局部最优匹配中特征点与其它待研究匹配中特征点之间的几何关系并进行分析,利用分析结果判断该匹配的优劣,剔除判断为错误的匹配,提高匹配精度,即正确匹配数量占总匹配数量的比例。实验结果表明,该方法有效提高了SIFT的匹配精度,改善了匹配效果。 基于单向S-粗集对偶的知识堆垒与知识垛识别 利用单向S-粗集对偶(dual of singular rough sets),给出知识堆垒及其生成的知识垛的概念,知识垛具有动态特性;给出粗知识垛的生成,提出粗知识垛生成原理及粗知识垛的还原定理,提出粗知识垛分辨尺度及识别准则与识别定理,给出应用。 需求依赖库存且短缺量部分拖后的促销商品库存模型 促销商品是商场吸引顾客前往购买消费的一种重要手段,它可以有效带动其他商品的销售从而提高商场销售收入。考虑促销商品在缺货期间价格和时间对顾客等待行为的影响,构造了一个与销售价和等待时间相关的短缺量拖后率,建立了多次订货下两阶段存货影响需求和顾客等待的促销商品库存模型,并利用仿真方法分析价格和时间敏感因子、存货影响需求临界点、销售价格对销售商订货策略和系统总利润的影响。结果表明:价格和时间敏感因子对各周期服务水平影响显著,存货影响需求临界点对订货次数影响较大;当销售价在一定范围时,销售商只需调整各周期服务水平,而当销售价过高或过低时,销售商则需同时调整各周期服务水平和订货次数。 结合ADS-B的航管监视数据融合关键技术 航管多雷达数据和ADS-B数据各有优缺点,在分析了两类数据异同基础上指出了ADS-B数据引入航管多雷达数据处理中需要解决的关键技术难题。基于此,从探测时序和处理周期两方面给出了时间对准方法,研究了空间对准中的坐标转换及其误差配准技术,提出以当前模型和无迹卡尔曼滤波应对目标机动,给出了波门设定、最近邻相关以及融合算法的完整数据融合方案。实际应用中两类数据实现了有效利用和深度融合,提高了航管监视数据处理系统的精度和稳定性,是一种有效的航管监视数据处理方法。 基于产品机制的环境数据重用方法研究 综合自然环境建模与仿真是当前军事建模与仿真领域研究的热点与难点,而在不同应用领域的SNE数据的重用则是一个发展趋势。首先,从综合环境数据层次入手,研究了基于数据产品机制的数据重用方法的基本原理和处理方法,并分析设计了该数据产品机制的仿真实现方式;然后,通过具体分析CDB(Common DataBases),来阐述了上述方法的可行性与实用性;最后,根据CDB在应用上的不足,分析了数据产品机制今后的发展方向,为建模与仿真领域的数据重用提供了一些新的见解。 漏洞利用工具研发框架研究 目前已有的漏洞利用平台支持的二进制漏洞种类不多,并且这些平台的灵活性和开发效率较低,缺少专门针对二进制漏洞利用工具的研发和生成系统。为此,提出一种新的二进制漏洞利用工具自动化生成框架。把漏洞利用过程模块化,通过多种模块组合的方式快速灵活地进行漏洞利用工具的研发和自动化生成。针对每个模块的不同特点,采用不同的设计方案,以实现更短的开发周期和更高的开发效率。实验结果表明,该框架简单易用,具有较高的灵活性和扩展性。 基于Snake模型的图像分割新算法 针对目前基于Snake模型的图像分割算法普遍存在噪声鲁棒性差、适用范围受限、易发生弱边缘泄露以及轮廓曲线难以收敛到细小深凹边界的缺陷,提出了一种基于Snake模型的图像分割新算法。首先,选取新的扩散项代替具有各向同性光滑作用的拉普拉斯算子;其次,引入p-拉普拉斯泛函到平滑能量项中强化法线方向外力;最后,利用边缘保护项使外力场方向与边缘方向一致,以防止弱边缘泄漏并促使轮廓线收敛到细小深凹边界。实验结果表明,所提模型不仅克服了现有基于Snake模型的图像分割算法的缺陷,具有更好的分割效果,明显提高了抗噪性能和角点定位精度,而且耗时更少,适用于噪声图像、医学图像以及含有很多弱边缘的自然图像分割。 新闻推荐的多维兴趣模型与传播分析 如何将合适的信息推荐给合适的用户以满足用户的个性化需求,是推荐系统的基本问题。新兴的社会化推荐系统(social recommender system)通过兴趣相似的用户之间分享信息而达到个性化推荐的目的。使用多维兴趣向量刻画用户的兴趣,采用多智能体模型(multi-agent model)模拟,并引入用户和新闻的质量,分析了用户网络的结构特征以及质量因素对新闻推荐和传播的影响。实验结果表明:不同社区的主题不同,社区的中心用户兴趣专一,与社区的主题一致。此外,推荐中引入质量因素可以加快系统在高推荐成功率上的收敛速度,更能区分不同质量用户的粉丝数和不同质量新闻的传播深度与广度,增强了高质量用户和新闻的影响力,提高了系统中新闻推荐的专业水平。 守时原子钟数据交互系统 异地原子钟数据的比对与同步是原子时标准确性和稳定性的基础保障.介绍了GPS共视法计算异地原子钟钟差数据的基本原理,讨论了基于FTP文件传输协议实现异地原子钟数据交互方案的设计和实现. 基于信息矩阵和神经网络的振动陀螺动态建模 为了分析半球谐振陀螺的动态响应过程、得到陀螺的动态性能参数,推进半球陀螺的实用化水平,首先分析了反馈式半球谐振陀螺的工作原理。然后构造了由输入输出量组成的信息矩阵,给出了模型系数估计的最优目标函数,对信息矩阵进行Household变换求解最优目标函数并得到了动态响应模型系数;使用神经网络搜索最优目标的思想,以函数拟合度为评判标准确定了动态模型阶次,最终得到了三阶的传递函数作为该陀螺的动态响应函数。函数可以很好地反映陀螺的动态响应过程。 支持向量机算法在MOOC课程答疑系统中的研究 随着互联网技术和近期MOOC课程的发展,智能答疑系统也受到了更多的关注,应用它能够及时给学生提供学生疑惑的问题答案.智能答疑系统通常包括问句理解、信息检索、答案抽取和选择三个主要部分,且问句分类是问句理解的关键,因为它的准确性将直接影响到最后答案的准确性.以高校计算机基础课程为实际背景,在已有基于支持向量机算法基础上,对该方法进行了改进,并通过训练集和测试集进行了验证.从实验结果看,该方法在高校计算机基础智能答疑系统中有比较好的应用效果. 并行分区拣货系统储位优化设计 主要讨论配送中心并行分区拣货系统的特性,在各分区拣货员拣货速度不同的情况下,提出储位指派算法,通过对品项在各分区间储位的安排以平衡各分区拣货员的作业量;根据拣货作业规则和优化目标,对相关模型及算法进行模拟测试以证明其有效性,为方法的选择与应用提供了依据。 中国计算机学会学科前沿讲习班 [正文]CCF ADL第97期2018年11月16日-18日武汉万物皆关联。作为表达和处理关联关系的最佳方式,图和图计算已经成为人们的关注重点和研究热点,广泛应用于金融分析、社交分析、智慧交通等诸多领域。作为大数据处理的一种典型模式,图计算不仅对计算机体系结构提出了严峻的挑战,也对系统软件、数据管理和处理模式提出了重大挑战。当前,图计算还是国家重点研发计划的资助重点。本期CCF学科前沿讲习班邀请了多位学术界和工业界的著名学者,将围绕大图处理的系统结构、数据管理、分布式计算、优化算法等方面进行介 动态事件序列制导的Android应用漏洞验证技术 目前Android应用漏洞检测方法分为静态分析和动态分析。其中,静态分析存在误报率较高的问题,动态分析降低了误报率,但是存在运行效率和覆盖率较低的问题。针对动态分析存在的问题,首次提出了动态事件序列制导的Android应用漏洞验证技术,该技术使用自动化UI触发的方法生成Activity跳转关系图,然后对漏洞嫌疑路径进行精确制导,最后对漏洞触发嫌疑路径是否执行进行验证。经过对10 122个应用进行自动化漏洞分析,结果为召回率96.12%,误报率2.66%。实验结果表明,动态事件序列制导的Android应用漏洞验证技术对于自动化分析应用漏洞有很好的效果。 多媒体监控视频图像显示真实性优化仿真 对多媒体视频图像显示真实性进行优化,能够有效提高监控视频画面的清晰度。对监控视频图像显示的真实性优化,需要模糊化处理视频图像低频子带,对模糊的隶属度函数进行优化,完成多媒体监控视频图像显示的真实性。传统方法将待增强彩色图像进行转换至色彩空间中,增强色彩饱和度的分量,但忽略了求取其隶属度函数,导致对视频图像的真实度优化效果不理想。提出基于最大模糊熵的监控视频图像显示真实性优化方法。提取视频画面信息,建立保持流形结构近邻图,求解视频画面特征值获取重构权值,得出最优投影;对含有亮度信息的低频子带进行模糊化处理,利用遗传算法对模糊化的隶属度函数进行优化,实现对视频图像亮度的调节,完成对多媒体监控视频图像显示的优化。实验结果表明,利用所提方法能有效提高监控视频图像显示的清晰度,且优化后的视频画面色彩不会产生失真。 基于遗传算法的蛋白质复合物识别算法 蛋白质互作用网络是一种典型的复杂网络,呈现了明显的社区结构。网络中的社区对应于功能模块,通常被看作蛋白质复合物。蛋白质复合物识别对预测蛋白质功能,解释特定生物进程具有重要作用。基于种子节点扩展的图聚类方法在蛋白质复合物识别中应用广泛。针对此类算法最终结果受种子节点的影响较大,并且在簇的形成过程中搜索空间有限等问题,提出了一种基于遗传算法的蛋白质复合物识别算法GAGC(genetic algorithm based graph clustering),其中个体表示聚类结果(类别之间可能存在重叠节点),以F-measure值作为种群进化的目标函数。算法采用IPCA(improvement development clustering algorithm)算法产生初始种群;针对初始种群,设计了染色体对齐方式以进行交叉操作产生下一代种群。通过与DPClus、MCODE、IPCA、Cluster One、HC-PIN、CFinder等经典算法的对比实验表明,GAGC算法能够扩大图聚类算法的搜索空间,提高解的多样性,进而提高蛋白质复合物检测的性能。 基于CRFs和MPCP特征的和弦识别研究 和弦识别是音乐调式分析和自动标注的基础,同时在分析音乐的结构和旋律方面有着非常重要的作用。结合音乐理论和信号处理知识,提出一种基于MPCP(Mel Pitch Class Profile)特征和CRFs(Conditional Random Fields)模型的和弦识别方法。利用短时傅里叶变换(STFT)对音乐信号进行时频变换,定义了一种新的MPCP特征,最后用CRFs对和弦进行识别。实验结果表明,提出的方法在识别率上优于其他方法,具有一定的潜力。 电力信息系统身份鉴别技术的研究 电力信息系统为电力的生产、输送、变电和分配提供了极大的帮助,是电力自动化系统的重要组成部分。电力信息系统的安全涉及到人民的切身利益和国家安全,其安全性必须得到保证。信息系统的等级保护为电力信息系统的安全提供了有力的保障,分析电力信息系统中身份鉴别技术的现状和特点,针对电力信息系统的特点,提出一种基于SM2算法的身份鉴别方法。SM2算法相对于RSA算法具有运算速度快、安全性高等优点,目前已经成为国家密码管理局推荐的算法。首先介绍基于SM2算法实现身份鉴别的原理,阐述了在电力信息系统中基于SM2算法的身份鉴别系统的实现,最后给出了基于SM2算法的身份鉴别技术的结论。基于SM2算法的身份鉴别系统能够很好地解决电力系统身份认证的问题,并为系统用户行为的审计提供支持,具有很强的应用性。 增强MRA及超声在TIA血管病变中的价值 目的:探讨增强MRA及超声对短暂性脑缺血发作(TIA)病人颈部动脉血管病变的诊断价值。方法:对48例TIA患者分别应用血管彩超、增强MRA检查颈部动脉血管,对检出病变部位、数量进行分析。结果:超声对椎动脉、颈总动脉、颈内动脉的病变检出率分别是27.1%、88.5%、17.7%。3DTRICKS对椎动脉、颈总动脉、颈内动脉的病变检出率分别是77.1%、20.8%、19.8%。应用χ2检验,对椎动脉和颈总动脉病变的检出率,增强MRA和超声之间有显著差异(P均小于0.001);对颈内动脉病变的检出率,增强MRA和超声之间无统计学差异(P>0.05)。结论:对于TIA患者,超声可以作为初筛检查。超声与增强MRA联合检查,可以更全面、准确的评价血管病变,指导临床治疗。 基于云模型的Web服务QoS预测 随着近年来Web服务数量的快速增长,用户-服务QoS(Quality of Service)记录矩阵变得日益稀疏。为了解决传统协同过滤算法在稀疏数据集上预测精确性不高的问题,利用欧几里得距离对数值敏感的特点,将云模型特征向量的欧几里得距离转换成云模型的相似度。对基于云模型的协同过滤算法改进,并将改进算法用于Web服务QoS的动态预测。考虑用户调用同一服务提供商的不同服务有相似体验,再结合云模型的相似度对QoS记录矩阵进行填充,在预测用户调用某服务的QoS时使用填充后的QoS记录矩阵进行计算,从而有利于解决稀疏矩阵下预测准确度难以提高的问题。实验表明,使用该算法的预测结果相较于传统的协同过滤算法有更低的平均绝对误差(MAE),能获得更高的推荐质量。 分散农户变量施肥配方系统设计与实现 针对当前国内农户分散经营模式下存在肥料偏施、施肥方式不科学等问题,基于地理信息系统(GIS)设计实现了一种适用于分散农户的变量施肥配方系统。系统采用关系型数据库SQL Server 2008作为内置数据库,实现土壤变异信息、作物历年产量信息、施肥配方信息等多种相关信息的存储、更新与查询。系统实现了空间插值、栅矢转换、数据融合以及叠加分析等功能,可以对土壤属性信息进行统计分析。同时,结合产量模型、施肥模型等知识模型自动生成分散农户具体条田施肥配方,施肥配方信息可通过指令传递给SMC6480控制器进行肥料的自动配比。通过实例应用,系统稳定可靠,能够很好地实现各功能模块。 基于两层神经网络的基音检测算法 传统基音检测方法中当信噪比较低时,会出现清浊音检测效果差、算法精度低、鲁棒性差的缺点。为了克服这些缺点,提出了一种基于两层神经网络的基音检测算法。该方法采用BP人工神经网络进行端点检测,再采用第二层BP神经网络进行清浊音分离,最后通过动态验证得到基音频率。实验结果证明,与传统的自相关法相比,该方法减少了倍频及半频的误差提取,提高了基音频率的提取精度。 一种具有遥控功能的液体点滴速度监控装置的设计 围绕液体滴速度的检测与控制技术,介绍一种具有遥控功能的液体点滴速度监控系统;该系统具有动态检测与显示点滴速度功能;可实现多种方式设置液体点滴速度并使用步进电机和偏心轮完成速度控制;网络实现远程监控,并具有报警功能,点滴速度控制精度可达1%±1;该系统操作简单、可靠,使用方便,能够代替护士监控病人。 基于组合积分过程的自适应控制算法研究 组合积分系统广泛存在于钢铁、石油化工、食品加工、打叶复烤、矿物处理等现代工业生产过程中,传统将被控对象简单通过一阶Pade近似设计控制器的方法已无法满足更高精度的控制要求,且基于组合积分控制器的设计仍停留在非自适应控制的阶段;研究根据组合积分系统对象特性,利用带遗忘因子的递推最小二乘算法、相关性分析算法以及粒子群优化算法首次提出并设计了组合积分自适应控制器,并通过系统仿真验证了组合积分自适应控制算法的非线性在线跟踪能力、抗干扰特性以及鲁棒性,取得了理想的控制效果;组合积分自适应控制器的设计思想也可应用于其他低阶时滞系统的自适应控制过程中,只需将广义目标过程G0按组合积分模型设计即可实现;因此,组合积分自适应控制器对于提高传统时滞系统自适应控制器的控制精度有着广泛的意义,并且在带有时滞环节的工业过程自适应控制研究中有极大的应用价值。 带边缘补偿的分数阶积分图像去噪算法 针对分数阶积分的图像去噪算法容易丢失图像细节特征的问题,提出了一种带边缘补偿的分数阶积分图像去噪算法。介绍了分数阶积分算子具有尖锐的低通性能,将分数阶Cauchy公式引入到数字图像去噪中,并利用斜坡法来近似计算分数阶积分的数值解。在迭代去噪的过程中,该算法在图像信噪比(SNR)上升阶段,设定较高微小积分阶次来构建去噪掩模;在图像信噪比开始下降阶段,设定较低微小积分阶次来构建去噪掩模,并采用边缘补偿机制来部分恢复图像的细节信息。由仿真实验可知,提出的图像去噪算法由于在迭代去噪的过程中采用了不同的分数阶积分阶次和边缘补偿机制,与已有的降噪算法相比,可以在去除噪声的同时适当恢复原始图像的细节信息,由此获得更高的信噪比和更佳的视觉效果。 不可靠测试条件下测试点选择算法研究 对测试选择优化算法进行了深入的研究,提出了一种基于改进的启发式遗传算法进行不可靠测试点选择优化方法,用检测度、隔离度和可靠度来定义启发式函数,将启发式函数与遗传算法的选择函数结合,以概率的方式进行迭代优化,算法能有效准确的找到最优集合;随后用实例证明了这两种算法解决测试选择优化问题是可行的。 Linux内核伙伴系统分析 本文针对Linux内核实现的伙伴系统进行了抽象分析,并通过实例演示了算法的执行过程.分析了用于物理地址空间管理的三级数据结构及其关系.在此基础上,详细描述了用于分配和回收页框的伙伴算法.对于待回收的内存块而言,计算其伙伴的索引及合并内存块的索引是回收操作的关键,讨论了相关计算方法的几条结论并予以证明. 高密度直流SSPC组的设计 现今大多数数字式固态功率控制器(SSPC)组都基于DSP,形成了控制集中,密度较高的SSPC组;介绍了一种高密度直流SSPC组的设计方案,并与基于DSP的SSPC组进行了比较;此设计基于C8051F单片机,每一通道独享一个CPU,各通道在电气上完全隔离并且在任务上完全独立,各通道的状态与电流值通过两根485总线及时上传到中心CPU,中心CPU完成与SSPC组和上位机的通信工作;本SSPC组处理性能优越,并且在密度和容错性能方面有很大提升。 基于石油领域本体的多源信息融合框架 对多源石油数据的分析是一个很复杂的过程,容易产生语义和语法上的冲突.通过利用本体在知识表达和自动推理上的优势,构建了一个基于本体的石油领域多源信息融合框架,并在该框架的基础上提出基于本体的元素的相似度算法及融合规则,经实验分析,能够提高多源石油数据分析的效率. Biba改进模型在安全操作系统中的应用 经典Biba模型的严格完整性策略在应用中未考虑主客体操作的复杂性,且信息向操作系统安全子系统(SSOOS)范围外传输时存在完整性问题。针对上述问题,根据GB/T 20272-2006中结构化保护级标准对安全操作系统完整性的要求,在主客体完整性的灵活性、操作复杂性、SSOOS之外完整性标签等方面对Biba模型进行改进。理论分析结果证明,该模型在确保经典Biba模型完整性的基础上,大幅提升了系统的可用性。 基于窗口斜率表示法的心电波形相似性分析 针对心电波形形态相似度高和分类困难的问题,提出一种新的心电波形特征表示方法———窗口斜率法。该方法对心电波形在平面内进行窗口划分,以每个窗口内最大最小幅值差与窗口宽度的比值作为心电波形的特征信息,进行相似性分析。实验结果表明,在基于距离的分类方法中,这种特征表示方法在降低维度同时,能够减小同类波形之间的差距,扩大不同类波形之间的差距。将此方法用于心电波形的分类,可以提高分类的准确性和效率,以及分类灵敏度和特异度的稳定性。 ARP欺骗研究综述 近年来,ARP欺骗已成为网络安全的首要威胁,ARP欺骗的相关研究已成为网络安全领域的热点课题。本文分析ARP协议的工作原理以及ARP欺骗原理,阐述ARP欺骗的主要类型:欺骗主机、欺骗网关和双向欺骗,并在此基础上,研究近几年来ARP欺骗主要的检测和防御方法,如IP与MAC地址匹配方法、SACT检测方法、改进或扩展ARP协议和S_UARP协议等,分析这些方法的工作原理及其优缺点。改进和完善ARP协议将成为ARP欺骗防御的发展趋势。 一种基于用户行为的兴趣度模型 个性化推荐技术在电子商务系统中得到了广泛应用。针对现有的用户模型不能根据用户自身兴趣实现推荐的问题,提出了一种基于用户行为的兴趣度模型,分析用户的行为模式,结合用户的浏览内容,发现用户兴趣。在此基础上采用期望最大化算法实现用户聚类,将用户划分到对应的簇,创建用户的兴趣度模型,从而向用户进行个性化推荐。实验对比结果表明,该模型能更好地发现用户当前的购买兴趣,从而进一步提高个性化推荐精度和用户满意度。 改进的基于位平面的图像加密算法 在对已有图像加密算法进行分析的基础上,给出一种改进的基于位平面的图像加密算法。对原始图像采用基于位平面的排序处理,在此基础上,给出一种作用于各位平面的置乱处理方法。置乱过程不仅使用了传统置乱处理中的扩散方式,而且将各位平面划分出的若干块在扩散的同时各自按照独立的排序方法进行置乱,其中具体的排序方法采用混沌序列来控制。仿真结果表明,该方法具有较好的密钥空间及敏感性,同时具有较好的抗剪切攻击能力。 一种基于Android平台的图像加密方案 智能手机等移动终端在现实生活中已经被广泛使用,由其引发的图像安全性问题也越来越突出,保护智能手机等移动平台中的图像信息安全迫在眉睫。传统计算机平台下的图像加密技术已经得到了广泛的研究和应用,但移动平台受限于当前的硬件架构,无法直接继承传统平台的安全性技术。针对智能手机等移动平台中的图像信息安全问题,提出了一种基于Android移动平台的图像加密方案,该方案创新了灰度变换和图像置乱的结合方法。实验研究表明,所提出的方案在图像加密上具有更高的效率,能有效保护移动平台中图像信息的安全性,具有广泛的应用价值。 双混沌和广义Gray码相融合的图像加密算法 针对部分现有图像加密算法加密效率与安全性的不足,提出了一种新的图像加密算法。算法利用Kent映射对图像进行分块重排列,然后再重新进行全局位置置乱。接下来利用Logistic映射构造下标序列,利用下标序列对置乱图像的像素点进行异或。最后将异或后的像素值进行广义Gray码变换。实验表明,该算法加密后的图像满足如下特点:足够大的密钥空间、均匀分布的灰度直方图、弱相关性等。该算法具有良好的安全性和加密效果。 [0,∞)值柔性逻辑中平均运算模型的研究 在柔性逻辑中,不仅命题真值的连续可变性对命题连接词运算模型有影响,而且命题间关系的连续可变性对命题连接词运算模型也有影响。柔性逻辑中的逻辑算子是在其定义域上随广义自相关系数k和广义相关系数h连续变化的算子簇。详细研究了柔性逻辑平均算子,定义了[0,∞)值零级和一级柔性逻辑平均运算模型。为保证逻辑运算模型的零级完整性,该模型在其定义域内,从最大算子经过概率算子和中心算子,到最小算子单调连续变化,证明了该区间上的4个特殊算子形式。 VHE下移动终端业务迁移的研究 在移动终端的业务实现过程中,存在业务的迁移问题,即如何在拜访网络不支持归属网络的某项业务时,为用户迁移并提供该业务。将业务按常用性分类并应用性迁移,可以在节省网络流量的同时有效降低业务响应时间。将此策略与目前已存在的用户入网后立即迁移业务和发起业务时再迁移两种策略进行了仿真测试比较,结果表明在用户集中或者分散发起业务时,这种应用性迁移方案均能有效缩短业务响应时间,对于业务迁移问题是行之有效的。 基于Memetic算法的带时间窗车辆路径问题研究 提出一种模拟文化进化的Memetic算法求解带时间窗的车辆路径问题。设计了一种实数编码方案,将离散的问题转为连续优化问题。采用邻域搜索帮助具备一定学习能力的个体提高寻优速度;采用禁忌搜索帮助部分个体跳出局部最优点,增强全局寻优性能。实验结果表明,该算法可以更有效地求出优化解,是带时间窗车辆路径问题的一种有效求解算法。 项目组合风险决策优化仿真研究 复杂性是现代化项目组合及其风险决策优化中的突出问题,而项目间交互关系是决定其系统复杂性的重要基础。研究将交互关系纳入项目组合风险研究框架,分析项目组合风险决策系统复杂性特征,运用扩展的Lotka-Volterra方程构建交互效应下项目组合风险协同演化的非线性模型,对比研究竞争、共生和偏利偏害等交互效应下的单项目到项目组合的风险传递机制和集结效应,并通过数值仿真方法对系统的稳定域、分岔和混沌进行分析。研究表明,项目组合风险动态演化的复杂性和稳定性依赖于系统内在交互关系作用,项目组合决策优化的关键在于避免过分竞争,改善协作效率,以系统整体为先优化配置比例。 物联网中的隐私保护问题研究 在物联网中的认证和密钥协商过程中,如果用户的身份信息以明文的形式传输,攻击者可能追踪用户的行动轨迹,从而造成信息泄漏。针对大多数基于身份的认证和密钥协商协议不能保护用户隐私的问题,提出一个基于身份的匿名认证和密钥协商协议。在设计的认证和密钥协商方案中,用户的身份信息以密文的形式传输,解决了用户的隐私问题。 《微电子学与计算机》编辑部搬迁启事 [正文]因发展需要,《微电子学与计算机》已于2013年11月18日搬迁至新的办公地点,所有来稿、来函和交流期刊请寄至新的通信地址,原地址和电话作废。新的联系方式如下:通信地址:西安市雁塔区太白南路198号《微电子学与计算机》编辑部 一种含谐波源电费模型的研究 谐波的存在导致目前的全能量计量方式存在不合理之处,即线性用户吸收了谐波将多支付电费,而非线性用户则少支付了电费,从而引起电能计量数据精度下降。因此探索更为合理的电能计量方式是必要的,提出一种新的谐波电费模型。通过潮流图从理论上分析谐波源对电能计量的影响,认为不能将基波功率和谐波功率等价,提出了一种谐波电费计量模型。使用Matlab/Simulink软件对某些具体电路进行仿真,验证改进电费模型的正确性,并推导出谐波电费模型的系数,结果表明改进电费模型能够提高电能计量及电费计算的精度,通过对非线性负载惩罚和线性负载补偿,为修正用户所交电费的准确性提供了依据。 基于hash运算的RFID认证协议分析和改进 针对已有基于hash运算的RFID认证协议所面临的安全问题,提出了一种新的适合低成本RFID的双向认证协议,并与已有的协议进行安全性分析和性能比较。结果表明该协议仅使用hash函数和异或操作,就达到机密性、完整性和防追踪性的安全目标,并运用时间戳作为标签查询标志,提高认证过程的执行性能。因此该协议与先前工作相比,更适合低成本的RFID系统。 一种双目视觉传感器的快速自标定方法 为克服传统的立体视觉传感器标定过程繁琐、不适应现场操作等弱点,提出一种基于本质矩阵求解的双目视觉快速自标定方法。该方法离线标定摄像机的内部参数,通过双目匹配的对应点,线性求解双目视觉的本质矩阵,快速地得到双目视觉传感器的各个外部参数。实验结果表明,该方法在精度上与传统标定方法相当,且标定过程快捷、方便,适合现场标定操作。 最优加权组合法在电能短期负荷预测中的应用 通过把灰色系统GM(1,1)、SVM(支持向量机)和人工神经网络预测法进行最优加权组合,引入到电能短期负荷预测系统中,实现企业电能数据缺失的补缺功能。通过对斯洛伐克东部电力中心的历史数据进行试验分析,表明了该算法在电能短期负荷预测方面的有效性。 一种改进的无线传感器网络分簇路由算法 在无线传感器节能优化的研究中,能量约束问题是无线传感器网络网络协议设计最重要的问题。网络设计目标是要高效地使用传感器节点的能量,延长网络的存活时间。针对LEACH协议能耗大,为解决网络存活时间短等缺点,提高可靠性,提出了一种基于能耗均衡的分簇路由算法(IWA)。IAW首先对LEACH协议的簇头选举过程进行了改进,把节点剩余能量作为簇头选举的依据,然后簇的形成根据簇所在层次和距离基站的距离实现,从而达到了能量均衡。仿真结果表明,IWA算法有效地节省了簇首的能量消耗,平衡了簇内节点能耗,延长了无线传感器网络的存活时间,使得到的监测结果准确可靠。 动态微粒群算法及其在群体动画中的应用 针对现有微粒群算法在动态优化问题中容易陷入局部极值问题,提出了一种改进的动态微粒群算法——AVPSO。AVPSO用所有微粒局部最优值的平均值来代替全局最优值,通过有目的的重新初始化部分微粒扩大种群搜索范围,在感知到环境发生变化时迅速、准确地实现对目标的跟踪。实验结果表明,在求解动态优化问题时,AVPSO表现出很好的性能。将AVPSO应用于群体动画中,实现了群体路径规划的自动化。 基于多通道Gabor滤波的手机按键识别方法 为实现手机按键的自动化检测,提出一种手机按键识别方法。利用多通道Gabor滤波器组对图像进行滤波,提取局部能量极值作为特征向量,再通过特征向量的匹配进行识别。采用基于按键边框长宽比估算目标图像旋转角度的方法,提高识别方法的效率。实验结果表明,该识别方法在各种干扰的情况下识别率仍能达到90%以上,具有一定的实用性。 研究开发动态 [正文]IEEE 宣布启动云计算标准制定工作从2009年起,全球学术界便开始呼吁云计算的标准化,并认为云计算有可能重塑未来的计算.除此之外,全球很多著名的大企业也都在相继推出重要的云计算计划,各类云服务正在被包括各国政府在内的终端用户所采用.为了促进云标准化的进展,IEEE正在筹建两个工作组,即P2301和P2302.P2301工作组将采用多种文件格式和接口标准,研究云迁移和云管理方面 基于Docker的混合云视频直播体系 移动终端有限的处理能力和无线带宽制约了高清视频应用的进一步发展.针对这一问题,提出基于Docker的混合云视频直播体系,减少直播流传输时延、转码开销和传输成本.该直播体系利用私有云的可定制性降低直播流初始转码的时延.同时,设计转码通道工作量预测模型、延迟预测模型和直播流分发算法.利用公有云弹性可扩展、分布范围广的特点,考虑多个移动终端转码通道重叠的部分,减少直播流转码开销和在多个数据中心之间传输时的成本.实验结果表明,该直播体系有效减少了直播流传输时延,转码开销和传输成本. 边界对齐的平滑三维对称标架场 为了把广泛应用于网格四边形化和纹理合成的二维表面标架场拓展到三维,提出一种生成三维对称标架场的方法.不同于表面对称标架场(四对称方向场),二维标架场的对称性能用一个切平面的旋转角度来表示,而三维对称标架场的对称性却不能这样简单地表示.为了解决这个问题,利用球面函数来获得一个对称性表述,该表述对于绕任意一个轴的π?2旋转以及它们的复合是不变的.基于球面函数的表示可以获得一个有效的标架场光顺程度的度量,并以球面调和分析进行加速计算;基于一组边界约束,可以通过极小化这个度量函数来获得一个光顺的标架场,该标架场在表面上能很好地对齐法线.最后通过表面投影、流线追踪和奇异点来可视化这个标架场,并将这个光顺的标架场用于六面体网格生成,且讨论了它在生成高质量纯六面体网格方面的潜力,其与表面标架场在生成四边形网格方面的潜力是一致的. UC安全的双向口令认证密钥协商协议 针对多数口令密钥协商(PAKE)协议不具备双向认证功能的问题,基于通用可组合(UC)模型,提出一种UC安全的双向口令认证密钥协商(MPAKE)协议。定义具有双向认证功能的PAKE协议理想函数,描述实体之间的双向认证关系,并利用联合状态UC模型构造实现该理想函数的协议,使协议实体之间可以使用共享参数。该协议基于口令实现了双向认证,并能够协商出会话密钥。通过构造仿真器及其执行的操作分析MPAKE的不可区分性,从而证明该协议是UC安全的,并且结构简单,可保证在任意多方环境中并行运行时的安全。 弧齿锥齿轮铣齿机的主动精度设计 为合理设计弧齿锥齿轮铣齿机数控运动轴的定位精度,提出一种面向零件加工精度要求的弧齿锥齿轮铣齿机主动精度设计方法。分析了数控弧齿锥齿轮铣齿机的结构和加工原理,通过坐标变换求得弧齿锥齿轮的齿面方程,选取齿距偏差为齿面误差检验项目,建立了机床数控轴运动误差和齿面加工误差之间的映射关系——齿面加工误差模型;用工序能力指数Cp和产品特性值总体标准差σw表示零件的加工精度要求,按4σ原则定义数控运动轴的重复定位精度,并结合齿面加工误差模型中数控轴运动误差的标准差σ,建立了零件加工精度要求和机床数控轴重复定位精度之间的映射关系——齿面加工精度模型;按照等作用误差分配原则,将弧齿锥齿轮的加工精度要求分解为弧齿锥齿轮铣齿机各数控运动轴的重复定位精度。对加工精度要求为6级的YK2275型弧齿锥齿轮铣齿机数控运动轴的重复定位精度进行实例设计,通过样机加工精度测试,验证了所提方法的合理性。 一种心脏运动补偿算法的GPU实现 在心肌灌注核磁共振(MR)图像中,病人的呼吸和心跳会使心脏的位置和形状发生改变,因此需要对心脏核磁共振(CMR)时间序列图像中的心肌图像位置进行运动补偿。针对医学图像特征较少的问题,利用马尔科夫随机场(MRF)模型,提出一种基于图像配准的心脏运动补偿算法。根据心动周期不同时间点图像像素块的邻域和灰度信息,计算心脏的运动向量,将最相似的像素块平移到图像的相近位置,对心跳产生的位移进行补偿。由于MRF模型的计算量较大,将CPU算法和GPU算法相结合,计算耗时部分使用GPU并行实现,以提高程序的运行速度。实验结果表明,该方法能有效地对心肌灌注MR图像中心脏的位移和弹性形变进行补偿,结合GPU算法能使运动补偿算法的计算性能提高400%,图像配准时间仅为CPU算法的1/3。 基于不变矩特征的图像区域复制粘贴篡改检测 针对一种常见的篡改手段——图像区域复制粘贴,提出了一种基于不变矩特征的检测方法。将图像分成多个重叠块,提取每块的不变矩特征与直方图特征,结合起来得到图像的特征矢量。利用字典排序,依照预定的相似性标准,确定图像中的复制粘贴区域。实验结果表明,该算法在抗旋转操作方面明显优于经典的PCA检测算法,能准确检测出90°和180°的旋转。 拥挤行人异常行为智能检测仿真 道路人群拥挤行人异常行为智能检测方法的研究影响行人异常行为模式的变化,在图像、视频和生活领域具有较好的发展前景。针对当前方法存在识别率不均衡的问题,提出了一种基于投影近似子空间估计的异常行为检测方法。对异常行为样本的置信度进行取值,计算人群拥挤行人异常行为的距离函数,利用异常行为抽样来衡量行人异常行为样本的多样性,并对样本间的余弦角距离进行计算,分析异常行为样本的多样性和不确定性,在对道路人群拥挤行人异常行为抽样的基础上,利用抽样得到的数据对行人异常行为进行数据最小化重构,参考和估计行人异常行为投影近似子空间,通过计算得到第一个异常行为投影近似基,继续进行下一个异常行为投影近似基的求解,对行为向量的异常程度进行判断。仿真结果表明,提出方法具有较好的识别率,提高了行人异常行为检测的可行性,为后续实现道路人群拥挤行人异常行为的检测奠定了良好基础。 嵌入式系统可信虚拟化技术的研究与应用 嵌入式系统在生活中的应用日益广泛,传统的安全增强手段已无法有效应对各种安全问题,增强嵌入式系统的安全性成为目前亟需解决的问题。为提高嵌入式系统及其应用程序的安全性,结合嵌入式系统的虚拟化技术与可信计算技术,设计并实现基于虚拟TCM的可信计算平台框架,实现了虚拟TCM和基于虚拟TCM的可信增强技术,提出并实现了一个基于虚拟TCM的会话认证方法,将信任链从硬件操作系统层扩展到了虚拟域的应用软件层。实验结果表明,虚拟TCM与物理TCM相结合能够有效保证嵌入式系统、虚拟域和应用程序的安全可信。 新一代天气雷达全过程自动监控系统设计 新一代天气雷达是中小尺度灾害性天气的新型监测工具,其数据获取的及时、准确和质量直接影响到定量气象业务应用的精度。通过对新一代天气雷达各个分系统的研究,根据其故障特征设计了与其相适应的监控系统,能够实时监测到各个分系统的故障情况并立即报警。报警平台设计了双套热备份短信平台,提高了发送报警的及时率。同时短信平台设计了授权机制,对非法客户端机器发来的故障信息拒绝发送,避免遭受黑客攻击。从投入业务试运行一年多来看,效果理想。 航空订票业务的Web服务建模及组合兼容性验证 Web服务通过组合基本服务为解决复杂问题提供了方法,于是近年来越来越受到关注。当前交互式下的Web服务在实际组合中还存在诸多问题,其中就包括Web服务组合验证问题。运用Pi演算对航空订票业务的Web服务进行形式化建模,改进基于Pi演算的推理,提供一种验证多个Web服务组合是否兼容的方法。为证实该验证方法,进一步采用MWB工具展示了Web服务组合兼容性的验证过程。 基于机会认知的类脑智能数据挖掘机制 由于类脑智能数据具有协同多种不同的认知能力,对复杂环境具备极强的自适应能力,需要组建类脑智能数据的挖掘机制。但是采用当前的算法建立挖掘机制时,难以精确地消除数据中存在的冗余性,存在数据挖掘误差大的问题。为此提出基于机会认知的类脑智能数据挖掘机制。上述方法融合于时间粒度先对原始数据的时间序列进行分割,完成对数据的预处理,依据马氏距离来调整类脑智能数据变量之间的相关性,通过协方差矩阵得到其特征值和特征向量,将离散化的智能数据在粗糙集理论基础上进行属性的约简,在不损失原有类脑智能数据信息的基础上消除表中的冗余片段,从而组建了基于机会认知的类脑智能数据挖掘机制。实验结果表明,所提方法执行类脑智能数据挖掘效果较好,且挖掘效率较快。 一种基于状态特征的航天发射故障诊断技术 "文中采用模糊聚类分析的方法,利用数据库所积累的历史故障数据,有效地实现了实时发生的故障与历史故障的聚类,在此基础上找出发生故障的仪器等部器件。主要做法是:首先建立了模糊聚类分析模型~G,及其μg(ui),ui∈U;其次给出了计算相似系数sij的欧几里得公式,并由此建立了模糊相似矩阵R,利用改进的模糊聚类方法进行关系演算,则可得到不同的""类"",对此,设计了一种基于状态特征的算法FJLA;最后可从与要诊断的故障相似的类中找出可能发生故障部器件。经实验分析,应用上述理论可将故障定位在较小的范围,往往是一两件部器件,可有效地辅助人们科学决策。对某型火箭测试应用,其有效率可达65%,对缩短航天发射时间及提高作战应急反应能力具有重要的理论和实用价值。" 基于博弈论的网络社区舆情传播模型 针对网络社区动态、交互的舆情传播问题,提出了基于博弈论的舆情传播模型(POBGT)。利用在动态概率条件下的博弈策略构成博弈树和收益矩阵,从网络社区内部与外部分别对动态舆情传播进行分析;构建的模型能够从动态和交互两方面描述传播过程,最终能够形成稳定的状态。仿真结果表明,网络社区中舆情传播在一般情况下具有初期传播平稳,后期倾向某一方形成具有一定影响的舆论。 一种基于CAVLC解码的快速码表查找算法 在分析和研究基于上下文的自适应可变长度编码(CAVLC)码表结构特点的基础上,结合码表统计规律,提出一种新的CAVLC解码码表查找算法。根据码字前缀0的个数和码字长度之间的关系共同决定输入码字后缀位数和数值,实现对输入码流的快速确定,对确定的输入码字,只需再查一次表便可以得到其对应的解码输出。测试结果表明,该优化算法在解码查表速度方面比原算法提高约20%。 过程约束信息在软件静态测试中的应用 为了在软件静态测试中检测上下文相关的过程间故障,提出一种应用过程约束信息的方法.首先通过约束模式状态机描述过程间故障模式,并在此基础上定义过程外部向量及约束向量;然后在相关数据流方程的基础上给出过程外部向量和约束向量的生成算法,以及约束向量的传播和使用算法;最后在现有实验环境软件缺陷检测系统(DTS)的基础上,对比应用过程约束信息前后对测试结果的影响.实验结果表明,过程约束信息可以在一定程度上提高软件静态测试的准确性. 基于博弈理论的经济网格资源配置研究 针对经济网格中,由于网格系统的复杂性和用户的私利性,使得网格用户在资源竞价过程中往往因相关信息的匮乏而导致资源竞价的盲目性问题,根据重复博弈分阶段执行的特点,将网格用户间对网格资源的竞争看作多阶段的重复博弈过程。用户依据前一阶段博弈的竞价值及竞价结果对当前阶段的竞价策略进行调整,通过有限次的阶段博弈达到均衡出价策略组合,实现用户最大效用下的资源分配。仿真表明,在不完全信息的网格环境中,该竞价模型可逐步改善网格用户的资源竞价策略,实现优化目标最大化下的网格资源分配。 基于遗传算法的粗糙集属性约简算法 针对目前粗糙集遗传约简算法不能确保得到约简的不足,分别提出基于二进制编码基因和符号编码基因的遗传约简算法.基于二进制编码基因的遗传算法加入修正算子以确保遗传算法在可行解的空间搜索.基于符号编码基因的遗传算法融合交叉算子和变异算子以降低遗传算法的复杂度.实验结果表明,两种编码方式的遗传约简算法都能确保得到约简. 一种基于LTE空口误包的下行跨层调度算法研究 基于LTE下行调度的空口误包场景,对现有的下行调度算法进行研究,提出一种新的调度算法。该算法主要通过PHY层和MAC层联合统计用户的空口误包率。在调度模块进行用户调度优先级计算和进行用户的MCS选择时,考虑该用户的误包因素,避免给已经出现误包的用户进行大量的资源分配,进而改善网络性能。系统仿真证明,该算法能够有效适应空口的变化,提高LTE网络的下行吞吐量和LTE网络资源的利用率。 FCM融合改进的GSA算法在医学图像分割中的研究 医学图像由于具有复杂性,在对其进行图像分割时存在很大的不确定性,为了提高模糊c均值聚类算法(FCM)在处理医学图像分割时的性能,提出一种新的混合方法进行图像分割。利用FCM算法将图像像素分成均匀的区域,融合引力搜索算法,将改进的引力搜索算法纳入模糊c均值聚类算法中,以找到最优聚类中心,使模糊c均值聚类的适应度函数值最小,从而提高分割效果。实验结果表明,相对于传统的聚类算法,所提算法在分割复杂的医学图像方面更具有效性。 基于QC-MDPC码的公钥密码方案设计 准循环低密度奇偶校验码(QC-LDPC)的校验矩阵通过循环移位生成,用于构造公钥密码体制,可减小公钥存储量,但易导致低重量码字搜索攻击。提出了一种基于准循环中密度奇偶校验码(QC-MDPC)的公钥密码体制,并且给出了一种快速比特翻转(BF)译码算法。该密码体制与基于Goppa码的Mc Eliece公钥密码体制相比,在相同的安全参数下,公钥存储量最大可减小93倍,且加/解密复杂度低,能抵抗对偶码和消息集译码攻击,安全性高。 甲醇内燃机变工况仿真研究 在各种不同工况下,内燃机性能和排放会有很大的不同,尤其是甲醇作为一种汽油的替代燃料,燃烧特点与汽油有很大不同。为研究甲醇内燃机在各种内燃机工况下的燃烧性能,为了提高燃烧效率,采用先进的KIVA-3V仿真平台,建立了三维内燃机燃烧模型,通过改变内燃机的点火提前角、负荷和转速的仿真试验,研究了这三种变工况下甲醇燃烧的动力性和排放指标。结果表明,增大点火提前角,缸内压力、温度升高,CO2、NOX的含量也随之增大;增大负荷,缸内压力、温度升高,使CO2、NOX的含量也随之升高,振动加剧;提高转速,温度、压力降低,CO2、NOX的含量也随之降低。仿真结果为设计提供了依据。 面向智能人机交互的鲁棒的实时多人脸检测 人脸检测在人机交互HRI(Human-Robot Interaction)过程中起着重要作用,它能够让智能教育机器人IER(Intelligent Educational Robot)识别用户或说话人。提出一种智能视觉算法,可以实时地从复杂的场景中检测出所出现的多个人脸,并过滤掉那些看起来像人脸但并不是人脸的图像。实时的人脸检测使用基于Adaboost的角点级联分类器[1,2,23,29],并对该检测算法进行了改进,以实现从单一人脸检测到多人脸检测。此外,改进的算法也考虑了各种可能的头部姿态,如俯仰、偏转、倾斜等。实验结果充分证明了所提出的机器人视觉算法进行人脸检测的鲁棒性和高效性。 基于嵌入式Linux的CMX865驱动程序研究与实现 CMX865是嵌入式系统中常用的调制解调芯片。本文首先分析CMX865硬件接口和特性,然后具体阐述如何在嵌入式Linux操作系统中实现CMX865的驱动程序,包括系统的初始化、中断的设计与处理、与应用程序接口等。 一种基于迁移学习及多表征的微博立场分析方法 立场分析旨在发现用户对特定目标对象所持的观点态度。针对现有方法往往难以克服标注数据匮乏及微博文本中大量未登录词等导致的分词误差的问题,提出了基于迁移学习及字、词特征混合的立场分析方法。首先,将字、词特征输入深度神经网络,级联两者隐藏层输出,复现由分词错误引起的缺失语义信息;然后,利用与立场相关话题的辅助数据训练话题分类模型(父模型),得到更为有效的句子特征表示;接着,以父模型参数初始化立场分析模型(子模型),从辅助数据(话题分类数据)迁移知识能加强句子的语义表示能力;最后,使用有标注数据微调子模型参数并训练分类器。在NLPCC-2016任务4的语料上进行实验,F1值达72.2%,优于参赛团队的最佳成绩。实验结果表明,该方法可提高立场分类性能,同时缓解分词误差带来的影响。 Holon制造系统的遗传蚁群算法求解 在市场环境的不确定性、市场竞争加剧的背景下,要求企业之间的远程服务紧密联系。因此,高可靠性的远程服务动态优化协调成为现代企业发展先进智能制造系统所要解决的重要问题。为此,将Holon理论引入到企业远程服务配置中,运用遗传蚁群混合算法的运用来提高Holon制造系统的健壮性,解决跨地域企业之间远程服务的配置和调度过程中的协商问题。 Boyer-Moore串匹配算法的改进 在分析Boyer-Moore(BM)算法的基础上,提出了BM算法的一个新的变形。其基本思想是在算法的预处理阶段,对扩展模式串Pa建立好后缀规则,其中:P是模式串,a是字母表中的任一字符,既加大了已匹配后缀的长度,同时隐含了Sunday算法的坏字符规则,从而获得更大的窗口跳跃距离。理论分析证明,该算法具有线性最差时间复杂度和亚线性平均时间复杂度,空间复杂度为O(m(σ+1))。实验结果表明,该算法的实际性能与BM算法相比有明显改善,尤其适合小字母表的情形。 免预设间隔约束的对比序列模式高效挖掘 对比序列模式在识别不同类别序列样本集合的特征上有着重要的作用.已有对比序列模式挖掘算法需要用户预设间隔约束.在不具备充分先验知识情况下,用户不易准确地预设恰当的间隔约束,进而导致不能发现有用的模式.对此,文中设计了带紧凑间隔约束的最小对比序列模式挖掘算法,实现免预设间隔约束,并对候选模式自动计算最适合的间隔约束.此外,设计了3种剪枝策略来提高算法的执行效率.通过蛋白质序列、DNA序列、行为序列数据集验证了提出的算法的有效性和高效率. 并行小生境粒子群优化的模糊聚类算法 针对模糊聚类算法对初始聚类中心敏感、容易陷入局部最优的问题,采用并行小生境粒子群优化算法对模糊聚类算法进行改进.通过山谷函数对小生境进行识别以形成互斥的多个子群,采用惩罚函数实现多子群并行搜索过程中的信息共享机制,引入混合聚类有效性函数获取最佳聚类数.仿真结果表明,该算法能提高模糊聚类算法的搜索效率以及分类精度. 一种基于PageRank的文献相似性搜索算法 在分析了PageRank算法基础上,提出了PageRank应用于科技文献相似性搜索的可行性,针对PageRank的不足提出了一种改进算法,该算法结合了对文献内容和文献间的引用关系的分析,综合计算文献间相似度,提高了搜索结果的准确率,并通过实验验证了算法的有效性和可行性。 抑制Kalman滤波发散的研究进展 在Kalman滤波算法的工程应用中,常常由于各种原因导致较大的状态估计误差,甚至造成滤波发散。滤波发散严重影响着滤波器在信号处理过程中的去噪作用。为了抑制滤波发散并提高滤波精度,对此现象进行了简单阐述,分析了Kalman滤波产生发散的主要原因,即模型误差和计算误差,总结出四类常用的抑制滤波发散的方法,分别为调节增益法、预测误差协方差加权法、限定记忆法、自适应Kalman算法,并对各个抑制发散的方法进行了仿真对比分析,证实其各有优劣。其中,调节增益法所需的计算量最少,自适应Kalman算法的计算精度最高,而预测误差协方差加权法则使得计算量少和计算精度高的要求达到了较好的平衡;限定记忆法的滤波效果与加权法相当,但计算略复杂。这对在工程实践中如何抑制滤波发散有实际指导意义。 基于VAPS设计的多功能显示器仿真 为了在航电试验中实现航空电子系统的综合和测试,开发了一套多功能显示器的仿真软件。首先采用VAPS(Virtual Application Prototyping system)技术设计多功能显示器的显示画面,并生成ActiveX控件;然后通过命名管道建立仿真软件同控制源间的数据通信;最后解析并处理管道消息,完成多功能显示器的画面驱动。该仿真软件实现了多功能显示器的画面生成及控制逻辑。 实时双向群组搜索及其在蚁群觅食动画中的应用 基于双向搜索和群组协作的研究,提出一种新颖的搜索算法--实时双向群组搜索(real-time bidirectional crowd search,简称RBCS).基于这个搜索算法所提出的蚁群觅食模型包含了有限状态机和一系列表示蚂蚁和环境交互的规则,具有在复杂动态环境下找到食物和巢穴之间最短路径的能力.2D/3D实验结果表明,算法的搜索能力具有可信性,将其扩展到人群在固定点之间的来回往复运动也获得了满意的效果;和传统基于信息素的蚁群觅食模型的仿真实验对比表明了算法的优越性. 一种嵌入式实时操作系统高可靠文件系统 提出了一种管理层数据热备份的高可靠文件系统.与传统的高可靠文件系统不同,提出的高可靠文件系统对管理层数据进行了热备份,能够避免因管理层数据损坏导致的文件系统崩溃,该文件系统能够动态检测管理层数据的完整性,当发生因单粒子翻转或物理器件损坏引起的管理层数据损坏时,实时切换到备份的管理层数据,能够保证高可靠文件系统的无缝切换和运行. 基于互文性度量的文本翻译索引 理清文本互文性对于理顺文本间的多维关联对提高文本理解和翻译的准确性具有重要作用。以典籍文本《茶经》和《续茶经》为试验对象,使用向量空间模型(VSM)度量文本互文性,在此基础上提出了改进方法,即扩展项之间的相似度计算和采用序列模型,并给出了基于文本互文性度量的文本翻译索引方法。实验结果表明,扩展项之间的相似度计算效果不够理想,而采用序列模型则可取得较好的结果,可为原文本的准确理解和译文本的翻译提供有益参考。 一种改进的多媒体信息发布系统框架 借鉴P2P的思想,提出了一种以代理节点为中心的A2P(Agent-to-Player)框架,由服务器、管理端、场馆代理端和播放终端等四部分组成,以场馆代理端为中心对播放终端进行分组管理,并改进了终端分组和文件下载算法,降低了系统管理的复杂度,提高文件的扩散速度;任务编排功能采用模板来管理,并且通过B/S模式发布,增加了任务管理和播放的灵活性.基于该框架设计了多媒体信息发布系统,取得了良好的效果. 前向安全无证书代理盲签名方案的分析与改进 通过对魏俊懿等人提出的一种前向安全的无证书代理盲签名方案进行安全性分析,发现该方案不能抵抗原始签名人的伪造攻击、不具有盲性。针对上述问题,提出一种改进的方案。通过对代理密钥生成过程以及盲签名过程的改进,克服了原方案存在的安全缺陷。利用将单向散列链嵌入签名的方法,保证了改进的方案具有后向安全性。而且,密钥生成中心与用户之间不需要建立可信的安全通道,节省了额外的开销。安全分析表明,改进的方案满足前向安全无证书代理盲签名方案的安全要求。 局部二元Haar特征Kadane多阈值AdaBoost面部分类识别 针对面部分类检测识别过程中,存在的纹理形状特征表征及分类识别算法精度不高的问题,提出一种基于局部二元Haar特征表示的Kadane优化多阈值AdaBoost面部分类识别算法.首先,利用图像局部二元模式对传统的Haar特征表达形式进行改进,提高图像模型的纹理形状特征表达能力;其次,针对单阈值弱学习算法不能充分利用局部二元Haar特征信息,造成分类精度较低的问题,提出基于Kadane优化的多阈值AdaBoost分类器,实现局部二元Haar特征表示下的面部高精度识别;最后,通过实验对比显示,所提算法的面部有效识别率可达90%以上,要优于选取的对比算法. 基于核心节点的复杂网络社区划分算法 针对全局社区发现方法计算复杂度过高,而局部社区发现方法社区发现质量偏低的不足,提出了一种快速有效的社区划分算法。算法预先探测网络中属于不同社区的核心节点,利用基于相似性传递的节点相似性度量方法度量核心节点与网络中其他节点之间的相似性,根据相似性度量结果对网络进行社区结构划分。在采自人人网的数据和公共的网络数据上进行了实验,并与经典算法进行比较,实验结果表明了该算法的可行性和有效性。 基于DES和MD5算法的安全注册系统 文章提出一种基于网络的软件保护方案,用户通过Internet连接至服务器端的注册机进行注册。方案中运用密码学中的MD5算法进行首轮加密并做循环移位处理,并用DES算法再次加密,最后以数据库的方式实现注册信息的管理。系统采用密钥验证机制,多个检查点调用验证函数来检查密钥的合法性。通过这一系列的手段使得软件能够比较有效地防止非法用户注册,达到软件保护的目的。 水产养殖水质异常优化预测仿真研究 对水产养殖水质的异常进行预测,能够有效提高水产养殖水质的质量。对水质异常的预测,需要计算出数据预测值,分析单整自回归移动平均模型特点,完成水质异常预测。传统方法对水产养殖水质参数的异常预测,是先对水质进行排除,预测异常,其过程复杂且预测精度偏低。提出基于滑动窗口的水产养殖水质参数异常预测算法,对原始数据进行异常点排除。构建高精确度的线性时间序列预测模型,对数据进行逐层训练,将实际输出与预期输出的误差逐层向后传播,计算出数据预测值,深入分析单整自回归移动平均模型与深度信念网络模型特点,建立一种水产养殖水质异常预测模型,并应用在水产养殖溶解氧的水产养殖水质预测中。完成对水产养殖水质参数的异常预测。实验结果表明,ARIMA-DBN组合模型的均方误差为0.0723,平均相对误差为0.0054,平均绝对误差为0.0354,验证了将ARIMA-DBN组合模型应用于水产养殖水产养殖水质预测的有效性。 一种改进的智能卡数据传输安全策略 针对传统智能卡进行数据传输时仅支持单一模式的缺点,提出一种改进的基于模式控制字的数据传输安全策略。此模式控制字支持多种数据传输模式,数据可以采用明文、密文、明文MAC、密文MAC中的任一种方式进行传输,并通过基本文件(包含透明文件、线性文件)的读、写模式控制字,来规定文件进行读、写操作需要满足的传输安全条件。同时,还提出使用禁止添加、或禁止更新这两种写属性来限制基本文件的写入方式。用户可以根据自己的需求,将同一文件的读命令,和写命令采用的传输方式设置成不一样,从而选择不同的数据传输方式,进而提高数据传输的灵活性和安全性。 不完备信息系统中基于限制容差关系的属性约简方法 决策表核属性的确定往往是信息约简的基础,然而以往的核属性约简方法大多是针对完备信息系统的。将完备信息系统中的属性核与属性序约简算法延伸至不完备系统,提出一种不完备信息系统中基于限制容差关系的属性约简方法。该方法通过构造限制容差关系下决策表的改进分辨矩阵来求得核属性,并将非核属性按直观影响分类质量的能力排序,能够保证得到的约简结果是相对最小约简。通过实验比较证明该方法可行、有效。 格拉斯曼流形降维及应用研究 视频人脸识别的核心问题是如何准确、高效地构建人脸模型并度量模型的相似性,为此提出一种维数约减的格拉斯曼流形鉴别分析方法以提高集合匹配的性能。首先通过子空间建模图像集合,引入投影映射将格拉斯曼流形上的基本元素表示成对应的投影矩阵。然后,为解决高维矩阵计算开销大以及在小样本条件下不能有效描述样本分布的缺陷,引入二维主成分分析方法对子空间的正交基矩阵降维。通过QR分解正则化降维后的矩阵,得到一个低维、紧致的格拉斯曼流形以获得图像集更好的表达。最后将其投影到高维核空间中进行分类。在公开的视频数据库中的实验结果证明,提出的方法在降低计算开销的同时能够获得较高的正确率,是一种有效的基于集合的对象匹配和人脸识别方法。 基于LDA主题模型的移动应用相似度构建方法 随着移动互联网的快速发展,如何从大量的移动应用中抽取有效的描述信息继而为移动用户提供有效准确的推荐策略变得尤为迫切。目前,移动应用市场对应用的推荐策略相对传统,大多是根据应用的单一属性进行推荐,如下载量、应用名称、应用分类等。针对推荐粒度过粗和推荐不准确的问题,提出了一种基于潜在狄利克雷分布(LDA)主题模型的移动应用相似度构建方法。该方法从应用的标签入手,构造应用的主题模型分布矩阵,利用该主题分布矩阵构建移动应用的相似度矩阵,同时提出了将移动应用相似度矩阵转化为可行的存储结构的方法。实验结果表明该方法是有效的,相比现有的360应用市场推荐的应用其相似度提升130%。该方法解决了移动应用推荐过程中推荐粒度过粗的问题,可使推荐结果更加准确。 基于模糊描述逻辑的模糊XML模型的表示与推理 通过分析描述逻辑与XML之间的关系,该文进一步研究了基于模糊描述逻辑的模糊XML模型的表示与推理.首先提出了模糊XML模型(包括模糊XML文档和模糊DTD)的形式化定义.然后针对模糊XML模型的特点和推理需求,提出了一种新的模糊描述逻辑f-ALCQwf-reg,给出了它的语法、语义、知识库以及推理算法.在此基础上,研究了基于f-ALCQwf-reg的模糊XML模型的表示、推理以及查询问题,具体包括:实现了从模糊XML模型到f-ALCQwf-reg知识库的转化;基于转化后得到的f-ALCQwf-reg知识库,进一步研究了如何利用f-ALCQwf-reg的推理机制对模糊XML模型的推理任务(如一致性、包含性、等价性以及不相交性)进行推理;最后简要讨论了如何利用上述转化和推理结果来更有效地支持模糊XML文档库的查询问题. 一种基于萤火虫算法的模糊聚类方法 针对模糊C-均值聚类对初始值敏感、容易陷入局部最优的缺陷,提出了一种基于萤火虫算法的模糊聚类方法。该方法结合萤火虫算法良好的全局寻优能力和模糊C-均值算法的较强的局部搜索特性,用萤火虫算法优化搜索FCM的聚类中心,利用FCM进行聚类,有效地克服了模糊C-均值聚类的不足,同时增强了萤火虫算法的局部搜索能力。实验结果表明,该算法具有很好的全局寻优能力和较快的收敛速度,能有效地收敛于全局最优解,具有较好的聚类效果。 一种FPGA抗辐射布线算法设计 随着集成密度的增大以及工作电压的降低,基于SRAM的FPGA芯片更加容易受到单粒子翻转的影响。提出了一种基于通用布局布线工具VPR的抗辐射布线算法,通过改变相关布线资源节点的成本函数,来减少因单粒子翻转引起的桥接错误,并与VPR比较下板测试结果。实验结果表明,该布线算法可以使芯片的容错性能提升20%左右,并且不需要增加额外的硬件资源或引入电路冗余。 基于边界扫描的混合电路系统机内测试研究 当今电子系统多由模数混合电路组成,随着电子技术的飞速发展,混合电路系统的集成度不断增加,其检测问题一直是测试领域的一个难点;针对混合电路系统的测试特点,以IEEE1149.4标准为研究基础,对某系统控制盒电路进行基于边界扫描的BIT(Builit-in Test机内测试)测试性设计和改造,并将改进后的被测系统进行测试性验证;实验结果表明,该电路系统通过74BCT8373与STA400边界扫描芯片的置换和置入,能够实现混合电路的互连测试与参数测试,且测量迅速,故障定位准确,可以有效提高电路系统的测试性。 超椭圆S形进气道的设计及气动性能研究 研究飞机机身外形及进气道优化问题,传统的超椭圆方法在设计S形进气道时,形状指数n的选取需要查表来确定,不仅耗时,而且精确度不高,容易产生面积突变,对进气道内流和出口截面的总压影响很大。将形状指数n和S形进气道的截面面积变化规律联系起来,为提高指数的准确性和效率,提出一种改进的超椭圆S形进气道设计方法,以自适应的方式确保任意截面指数n的准确性和唯一性。数值仿真表明:改进的方法给出的S形进气道总压恢复系数较高,畸变指数较小,气动性能良好,改进的自适应超椭圆S形进气道设计方法具有较高的工程实用价值。 基于数字图像的煤矿巷道三维模型重建 如何建立煤矿井下巷道的三维建模是构建煤矿虚拟环境的核心问题,针对现有的一些建模方法工作量大,无法实现快速巷道建模,研究了基于数字图像的三维重建方法,并将之应用到了巷道三维模型的快速建立上,通过角点检测和匹配获取巷道图像匹配点对,并计算出空间点坐标,最终由Delaunay三角剖分技术实现曲面恢复,给出了使用OpenCV实现的巷道三维重建系统的实验效果. 基于超球支持向量机的多主题文本分类算法 针对标准支持向量机多分类算法不能解决多主题文本分类问题,提出了一种基于超球支持向量机的多主题文本分类算法。该算法用超球支持向量机训练得到每个超球,计算待分类文本到每个超球球心的距离,依据距离得到隶属度向量,最后根据隶属度向量判定该文本所属的主题。实验结果表明,该算法具有更好的召回率、准确率和F1值。 随机Petri网模型到马尔可夫链的转换算法的证明 随机Petri网具有很强的模型描述能力,马尔可夫链是一种常用的性能分析模型.为了有效利用随机Petri网进行性能的定量分析,给出了一个详细完整的随机Petri网模型转换为同构马尔可夫链的算法.运用Floyd不变式断言法证明了算法的部分正确性,运用良序集法证明了算法的终止性.算法是部分正确的且是可终止的,这个证明结论说明算法是完全正确的.为了佐证证明结论,基于Java和SQL Server设计开发了一个软件平台,在此平台上实现了转换算法,测试实例的测试结果验证了算法的正确性.对算法时间复杂性的分析表明算法是有效的. 基于自动机理论的PDF文本内容抽取 现有的从PDF文档抽取文本内容的方法(如PDFBox类库采用的方法)处理速度较低,无法满足高速网络中内容分析的需求,也不能对网络中部分到达的PDF数据包进行流式的处理。为此,提出了基于自动机理论的PDF文本内容抽取方法。该方法通过建立具有层次的关键字自动机,可以快速地抽取完整PDF文档和不完整PDF文档中的文本内容。在中文和英文PDF文档数据集下的实验结果表明,基于自动机理论的PDF文本内容抽取方法耗时仅为PDFBox方法的17%~37%。 运用蒙特卡洛模拟法的数据流调度优化模型研究 传统的静态数据流任务调度方法,其任务执行时间是可预知的。但在实时流计算平台中,数据流的顺序与数据量的大小都是不确定的,导致任务的执行时间也是不确定的。论文提出一种运用蒙特卡洛模拟法的数据流任务调度方法,该方法利用随机数生成算法,在一定约束条件下大量模拟生成任务执行时间,通过经典的静态调度算法(HEFT)产生相应的预调度方案,经过综合比较最终得到一种最优的预调度方案。实验结果表明:论文提出的方法不仅大大缩短了任务的调度时间,而且具有非常强的通用性。 基于频域瞬时特征的跳频电台个体识别方法 通信电台发射的信号通常表现出一定的细微特征差异,针对这种细微特征差异,提出了基于最大Lyapunov指数和盒维数的跳频电台个体识别方法。基于改进的Prony算法,提取样本信号跳变时刻的瞬时频率,分离并定量计算其最大Lyapunov指数和盒维数等瞬时特征,采用基于构造型神经网络的分类方法实现不同跳频电台的个体识别。实际数据的实验结果验证了算法的有效性。 几何信息均分的B样条曲线节点设置 受每个节点区间应该具有相同建模能力的启发,提出一种基于几何信息均分的B样条曲线节点设置算法.首先放置少量节点,以每个节点区间具有相等的几何信息量准则来确定节点的位置;为了提高样条的建模能力,根据上一次迭代中的拟合误差确定加细节点区间并使新节点均分该节点区间的几何信息.该算法可以快速有效地得到用户指定精度的逼近曲线.通过对一些具有不同几何复杂度的实例进行实验的结果表明,文中算法是有效的;与现有的2种算法相比,该算法在相同控制顶点的情况下能够得到更高精度的逼近结果. 一种成对约束限制的半监督文本聚类算法 半监督聚类能利用少量标记数据来提高聚类算法性能,但大部分文本聚类算法无法直接应用成对约束等先验信息。针对文本数据高维稀疏的特点,提出了一种半监督文本聚类算法。将成对约束信息扩展后嵌入文档相似度矩阵,在此基础上根据已划分与未划分文档之间的统计信息逐步找出剩余未划分文本集合中密集的且与已划分聚类中心集合相似度较小的K个初始聚类中心集合,然后将剩余的相对较难区分的文档结合成对约束限制信息划分到K个初始聚类中心集合,最后通过融合成对约束违反惩罚的收敛准则函数对聚类结果进行进一步优化。算法在聚类过程中自动确定初始聚类中心集合,避免了K均值算法对初始聚类中心选择的敏感性。在几个中英文数据集上的实验结果表明,所提算法能有效地利用少量的成对约束先验信息提高聚类效果。 基于时间感知排序的云服务QoS预测方法研究 随着云计算理论和技术的成熟,越来越多的云服务得到了蓬勃发展,如何建立高质量的云服务成为了云计算研究领域的一个关键难题。服务质量QoS排序为用户从一系列功能相似的云服务候选者中挑选最优云服务提供了非常有价值的信息。为了获得云服务的QoS值,就需要调用真实的候选云服务。为了避免时间消耗和昂贵的资源浪费,提出了一种基于时间感知排序的云服务QoS预测方法。不同于传统的QoS值预测,基于QoS排序相似度的预测考虑为特定用户检测服务的排序。分时段按权计算出排序相似度,结合时间偏好合成相似度的前k位用户,用来提供信息支持QoS的缺失预测。在WSDream真实数据集进行的实验研究表明,基于时间感知排序的云服务QoS预测方法有更好的预测精度。 基于相似度的问答社区问答质量评价方法 "研究问答社区中回答质量的评价方法,针对具有多个答案的问题,提出一种基于相似度的问答社区中问答质量的评价方法。该方法利用问题与各答案之间的语义关系,通过计算每个答案和问题中语言""单位""之间的相似度和对应的权值,并引入HITS算法模型对权值进行调整,选取出最佳答案。实验结果表明,与仅基于文本特征的方法相比,该方法能够有效地提高回答质量的评估效果。" 小波系数扩散的多步图像去噪方法 为了研究小波和偏微分方程在图像去噪方面的相关性,对小波阈值去噪过程进行了分析,得到了基于小波变换的偏微分方程关系式.利用小波变换的模代替梯度算子的模检测边缘,能较好地实现对图像特征的平滑.在此基础上进一步研究了该关系式的解法,提出了小波系数扩散的多步图像去噪方法.该方法通过对小波系数归一化,把得到的状态权通过各向异性扩散后作用在原小波系数上,采用多步方法实现了图像去噪,达到了既保护边缘又去除噪声的目的.数值实验结果表明:该方法使峰值信噪比平均提高约1.9dB,视觉效果也有较大提高. 线性均衡和判决反馈均衡LMS算法仿真分析 介绍基于自适应最小均方线性均衡和判决反馈均衡算法的原理,并通过实验仿真比较两种算法在训练判决引导混合模式下的均衡性能,分析反馈滤波器长度对判决反馈均衡器性能的影响。结果表明:在训练阶段,最小均方线性均衡算法优于最小均方判决反馈均衡算法的性能;在判决阶段,良好信道条件下最小均方线性均衡具有比较理想的性能,当信道条件恶劣时,最小均方线性均衡算法性能变差,而最小均方判决反馈均衡算法随着反馈滤波器长度增加,均衡效果更优。 关于举办第五届全国计算机仿真大奖赛的通知 [正文]各有关院校、研究所、工厂、公司:为加强高等学校创新人才的培养,推动高等学校的教学改革工作,受教育部高等教育司委托(教高司函[2004]167号文),全国计算机仿真大奖赛组织委员 基于AHP权值计算的网络安全评估研究与仿真 研究多源网络事件的安全评估准确性问题,针对利用网络中众多安全设备组成的防御体系得到的多源安全评估数据,计算评估参数完成安全事件评估时,传统的方法将多源安全数据进行简单加权平均得到评估参数,造成网络事件安全评估准确率不高的问题。提出基于AHP权值计算的网络安全评估方法,通过构建三层递阶层次模型,依据准则层的计算原则,进行多源事件权值比重的层次化计算,并根据权重计算得到评估参数,避免了传统简单加权平均法计算评估参数导致的评估不准确的问题。实验表明,改进方法能够根据计算机网络的安全原则计算设定多源事件的权值,准确完成安全事件的评估,保证了网络事件的安全评估准确性。 基于PageRank的网络社区意见领袖发现算法 意见领袖是网络社区中积极的信息传播者和信息引导者,对其影响力的评估是社交网络分析的一项重要内容。针对现有算法对用户动态行为分析和动态内容影响考虑欠缺而不能客观反映真实情况的问题,提出一种基于用户影响力和PageRank的意见领袖发现算法,综合考虑用户自身影响力、用户动态行为影响度和用户行为给动态内容带来的真实影响。通过从知乎网络社区收集的大规模数据实验结果表明,该算法更具合理性并能有效地提高网络社区意见领袖的识别准确度。 基于嵌入式linux的杆塔倾斜度在线监测仪设计 为保障电网的安全稳定运行,减少因杆塔倾斜引起的停电事故,需要对杆塔的状态进行实时监测;没计开发了一种杆塔倾斜度在线监测装置;建立了杆塔倾斜度监测模型,根据重力加速度测量值计算倾斜角的原理,采用SCA100T-D01双轴倾角传感器进行数据采集,采用基于arm9的嵌入式linux系统进行数据的存储和计算,并通过以太网或GPRS网络传送数据,实现了对杆塔倾斜各状态量的在线监测;详述了该装置各个模块的软件设计;该装置支持配置不同地区的重力加速度,提高了测量精度;支持自动配置初始安装角度,大大提高了输电线路生产管理水平;详述了自动配置的规范,经工程实践,该装置在输电线路杆塔倾斜度的监测上取得了良好应用。 基于MapReduce的JP算法设计与实现 针对大规模文本聚类分析所面临的海量、高维、稀疏等难题,提出一种基于云计算的海量文本聚类解决方案。选择经典聚类算法Jarvis-Patrick(JP)作为案例,采用云计算平台的MapReduce编程模型对JP聚类算法进行并行化改造,利用搜狗实验室提供的语料库在Hadoop平台上进行实验验证。实验结果表明,JP算法并行化改造可行,且相对于单节点环境,该算法在处理大规模文本数据时具有更好的时间性能。 一种非合作目标的多传感器量化融合跟踪方法 针对非合作目标跟踪问题,为解决无线传感器网络有限带宽和相关噪声造成的精度影响,在集中式融合框架下提出了三种基于量化信息的目标跟踪算法。首先,局部传感器节点采用自适应的量化策略将观测值量化成消息,并发送到融合中心;然后,融合中心利用状态方程恒等变换和Cholesky分解技术解除任意噪声的相关性;最后,引入强跟踪滤波技术、矩阵求逆引理和顺序滤波技术设计融合方法。几个仿真实验表明,三种新方法的估计精度完全等价,新算法还具备应对目标状态突变等不确定因素的能力,增强了算法的鲁棒性。 基于位置服务的智能旅游地图导航系统研究 "开发了一款在移动设备上使用的智能地图导航系统,为自驾游等个性化旅游人群提供支持和帮助。该系统使用Eclipse和Android SDK开发工具包完成,通过Java语言的支持以及引入百度地图API,同时加入科大讯飞的语音支持,使用户直接通过语音方式输入文字,且快速获取百度公司提供的基本地图,最后能完成关键字搜索、用户位置精准定位、周边搜索、指定位置的经纬度查询、出行路线规划等功能。项目""吉林省邓小平广场""的搜索实测证明该系统响应速度快,安全性高,能满足个性化旅游用户的需求,能为个性化旅游市场提供技术保障。" 一种混沌惯性权重的简化粒子群算法 惯性权值作为粒子群算法的一个全局参数,能够方便地控制算法的搜索能力和收敛速度,在算法运行过程中具有重要的作用。在分析惯性权值的作用基础上提出了一种混沌惯性权重的简化粒子群优化算法,利用混沌序列的内在随机性、遍历性和规则性,提高算法的寻优能力。测试结果表明,新算法具有更快的收敛速度和更强的全局寻优能力。 基于Greenplum数据库的查询优化 针对分布式数据库查询效率随着数据规模的增大而降低的问题,以Greenplum分布式数据库为研究对象,从优化查询路径的角度提出一个基于代价的最优查询计划生成方法。首先,该方法设计一种有效的代价模型来估算查询代价;然后,采用并行最大最小蚁群算法来搜索具有最小查询代价的连接顺序,即最优连接顺序;最后,根据Greenplum数据库对查询计划中不同操作的默认最优选择得到最优查询计划。采用该方法在自主生成的数据集与事务处理性能理事会测试基准(TPC-H)的标准数据集上进行了多组实验。实验结果表明,所提出的优化方法能有效地搜索出最优解,获得最优的查询计划,从而提升Greenplum数据库的查询效率。 基于身份认证和电子签名的非煤矿山管理信息系统的设计 阐述了安全信息在矿山安全管理中的重要性,对比了传统和现代的安全信息管理模式。针对传统管理模式的各种弊端,开发设计了一种基于身份认证和电子签名的非煤矿山管理信息系统(MIS)。设计了系统的功能、系统平台、角色DC和权限分配,详细阐述了系统功能模块的设计。基于USB-Key的身份认证和电子签名技术的综合运用,有效保证了管理信息的完整性,可靠性和安全性,同时可防止身份冒用、操作抵赖等安全问题。提高了监管效率和监管精度,加大了监管力度和广度,规范了业务处理流程,是一款简单、高效、安全、科学的非煤矿山管理信息系统。 VANET中基于认知代理与回归聚集的低延迟数据处理算法 车载自组织网络(VANET)中有关安全的信息无疑具有最高优先级,应为其设计低延迟、高准确率的信息传递算法,基于此,提出一种基于认知代理的紧急数据处理算法。为每个簇分配三种代理,数据采集代理与数据传递代理独立、并行地工作,提高了整体处理的效率;紧急数据的聚集过程中,基于回归模型,过滤其中的冗余信息与重复信息,提高了数据紧凑度。实验结果表明,相较于其他仅针对数据传输的VANET路由算法,算法的端到端延迟与控制信息的开销较低。 基于自律计算的入侵容忍模型 针对当前入侵容忍系统缺乏自适应能力的问题,借鉴自律计算的思想,提出了一个自律容侵模型。利用自律反馈机制对网络连接的可信度进行实时分析,生成初始信度,并通过信度阈值的自主学习与调整实施动态信度优化,完成对可疑信息和可信信息的服务分流,实现对可疑连接的自律容忍。仿真结果表明,具有自律反馈机制的入侵容忍模型可以有效增强系统的自适应能力。 基于椭圆曲线的拟素数检验方法 素性检验对现代密码系统的安全性起着非常重要的作用。提出拟素数的定义,并且对Goldwasser-Kilian椭圆曲线素性检验方法进行延伸,增加一个附加条件,并将原有条件r>(1+n14)进行弱化,得到一种基于椭圆曲线的拟素数检测方法。 基于状态模式实现流媒体媒体订阅的状态转换 在计算机技术、网络技术高速发展的今天,随着电脑硬件的发展,多媒体应用已经进入千家万户,所以流媒体服务器的架构也成为当今IT研究者研究的热点。其中流媒体服务器的媒体订阅部分包含有大量的状态,如果按以前的IF-Else结构加Case结构编程的话,使得程序臃肿而庞大,整个工程难以维护。利用有限状态机来建模媒体订阅部分的状态,并用形式化验证工具UP-PAAL验证模型的正确性,最后使用状态模式编码实现了媒体订阅的状态转换。这样不仅在设计层保证了系统的正确性,而且在实现层保证了易扩展性和可维护性。 植物冠层光辐射分布的快速深度缓存算法 针对植物冠层内光分布计算过程中存在的计算复杂度大、耗时长等问题,提出一种快速深度缓存算法。采用动态选取光照投影平面和确定光照分布密度等方法,设计一套快速计算植物冠层光分布的模拟系统。应用该系统模拟水稻孕穗期冠层内的光分布情况,结果表明,该方法在保持原有算法精度的同时,能缩短计算时间。 无线传感网络节点定位中的导标动态移动策略 在基于移动导标的无线传感器网络节点定位中,导标的移动路径将直接影响到节点定位的效率和精度.考虑到无线传感器网络节点通信能力和计算能力有限性,着重研究一种基于网络局部拓扑信息的导标移动虚拟力修正模型.模型中,导标对邻居节点进行筛选,并进一步收集有效节点与导标的距离、有效节点拥有邻居节点数目等参数,在此基础上建立移动导标虚拟引力模型,该模型能使导标的移动具有较强的自适应性.更进一步,针对节点虚拟引力基本模型存在的导标无引力情况和冗余遍历情况,通过加入接近因子及已定位区域边界曲线拟合的方法,对基本模型进行修正.仿真实验表明,提出的路径规划算法与典型的传统路径算法相比较,导标遍历网络的路径长度缩短了20%~30%,较大程度节约了定位过程中导标节点遍历网络所需的能量开销. 结合Curvelet变换和LSWT的多聚焦图像融合算法 针对多聚焦图像,提出了一种结合二代Curvelet变换和提升静态小波变换LSWT的图像融合算法。首先将待融合的图像分别进行离散Curvelet分解变换,得到不同分解级数和方向下的细节尺度系数和粗尺度系数;其次对粗尺度系数分别进行LSWT变换,对变换得到的低频分量和高频分量分别采用不同的方法融合后进行LSWT逆变换,得到的系数作为Curvelet变换的粗尺度系数;对于Curvelet变换后得到的细节尺度系数采用局部平均能量方差的方法进行融合;最后进行Curvelet逆变换得到融合后的图像。实验结果显示,该方法融合效果较好,优于传统方法。 基于CAN总线和2.4G无线网络的RFID收费系统 针对传统基于RS-485总线的射频识别技术(RFID)收费系统具有实时性差和通讯效率低的缺点,提出了一种基于CAN总线和2.4G无线网络的新型RFID收费系统。该系统采用2.4G无线网络环境,使一个CAN节点能够控制六个RFID收费终端的数据传输。应用温度模块获取的数据的无线传输对该系统进行测试,测试结果表明该方案设计的正确性。 基于多示例学习的对象图像推荐算法 用户评分矩阵稀疏问题影响协同过滤的推荐性能。为此,提出一种基于多示例学习的对象图像推荐算法。将分割区域的视觉特征作为图像中的示例,利用多样性密度函数求得最大多样性密度点,使用正负图像内容评价不同用户间的相似性,将其与传统余弦相似性进行组合,从而实现推荐。实验结果表明,该算法提高了推荐性能。 潜油电泵智能选型系统的设计与实现 潜油电泵的智能选型对浅海油田的石油开采具有现实意义。在智能选型系统中建立相关的计算模型并完成电机表面流速、挂泵深度、井底流压等多项参数的计算。利用计算机进行数值计算、绘图及数据存储,将石油勘探、钻井、完井、采油过程中产生的数据有机地结合在一起,构建利用计算机平台处理的潜油电泵机组智能选型设计模型。从而提高工作效率、节省大量的资金,使浅海油田的石油开采从传统方式向智能化迈进一步。 基于FP-tree的快速构建算法 数据库的访问频度是影响关联规则挖掘性能的关键因素之一。通过研究FP-tree算法,提出了一种基于FP-tree的快速构建算法,使FP-tree的构建过程仅需一次数据库扫描。该算法通过动态调整项头表中各项的顺序,同时动态修正FP-tree中项的出现顺序与项头表中各项出现顺序不一致的节点。最后,通过对项头表中非频繁项的剔除与FP-tree中对应项节点的清理,完成FP-tree的构建过程。实验结果证明了该算法的有效性。 Cache动态插入策略模型研究 多核下,末级Cache容量、组相联数不断增大,传统Cache替换算法LRU会出现Cache死块增多、Cache有效利用率下降等问题。Cache动态插入策略可以有效地避免LRU算法中出现的这些问题,因而受到了广泛的关注。但是,目前对Cache插入策略的研究只停留在策略水平上,缺乏定量的模型研究。针对此问题,提出了一个Cache插入策略的解析模型,该模型以应用的重用信息为输入,使用状态概率递归计算的方法,可预测不同插入策略下Cache的失效率。SPEC2006模拟验证表明,模型的精度较高,最大绝对误差为15.6%,平均绝对误差为3.1%。 基于双向压力模型的多源应用层组播拥塞控制方案 相比传统组播模式,多源应用层组播能用更少的网络资源实现多方交互式应用.但组播特性、应用层环境以及多源属性均会使得多源应用层组播的拥塞问题变得更加严重.因此,提出一种基于双向压力模型的多源应用层组播拥塞控制方案,该方案采用正反压的方式来避免组播流在节点上产生拥塞,并同时采用基于权重的缓冲转移策略来保证同一组内所有数据源的组播流在共享节点上公平地占用缓冲和带宽资源,并进一步讨论了环形拥塞问题的严重性和解决办法.PlanetLab实验网评测结果表明,该方案在实现多源应用层组播拥塞控制的同时,能够协调不同组播流的流量,实现其公平性和可扩展性. 1394链路层控制器等时传输模式的设计 针对IEEE1394串行总线的链路层,完成了链路控制器等时传输模式的设计与实现;首先,在分析IEEE1394链路层功能的基础上,设计了等时传输模式链路控制器的总体结构以及等时传输的过程;其次,进行了模块划分,完成了先进先出存储器(FIFO)、接收器,循环计时器,循环监视器的逻辑设计方案;最后采用硬件描述语言对设计进行了可综合描述,并采用软件仿真和FPGA原形系统调试进行了功能验证,结果表明链路控制器运行稳定,可以高速地完成数据传输。 基于非平衡哈希树的平台完整性远程验证机制 为提高计算平台完整性度量的远程验证效率,提出一种基于非平衡哈希树的平台远程验证机制。平台可信实体的散列值以非平衡哈希树叶子节点的结构存储,远程验证时,查找度量实体对应的叶子节点,记录该叶子节点到根节点的验证路径,然后传递根节点和验证路径给验证方,最后根据验证路径重新计算根节点来验证度量值的有效性。实验结果表明,该机制能够有效降低散列值存储的空间和时间开销,完整性度量验证的时间复杂度为O(lb N)。 基于信号驱动的多批处理综合调度算法 针对以往综合调度中批处理调度算法只能处理2个工序的批量调度,进行批量调度的工序不能具有2个以上的紧前工序,使综合调度具有局限性问题,提出基于信号驱动的多批处理综合调度算法.该算法先建立设备和调度2个子系统,并通过相互间传递的信号驱动;为了预判断可批处理工序,将工序分为可调度工序和准可调度工序,采用组合策略将可批量处理的工序形成组合工序一同加工;当可调度工序超过设备批处理量时,按最大并行性选择策略选择调度;当准可调度工序成为批处理工序时,无需考虑前续工序对工序批处理的影响,即对批处理工序的紧前工序数无限制;循环以上可批处理工序判断,实现多批量处理. 基于语义规则的Web服务发现方法 语义Web服务发现问题研究的核心内容是服务描述与对应的服务发现方法。服务描述分为服务请求描述与服务发布描述,但目前的服务发现方法,并未将请求描述与发布描述分开,以比对服务请求描述与服务发布描述中对应部分作为匹配依据,导致服务请求描述构建困难以及发现结果不够理想。提出以语义规则刻画服务请求描述,以本体构建服务发布描述,进行有效的以语义规则驱动的Web服务发现。对语义规则添加影响因子使得服务匹配精度可以通过匹配度来度量,并按照给定的调节系数来决定最终匹配是否成功。最后以OWL-STCV2测试服务集合进行了对比实验,证实该方法有效地提高了查全率与查准率高,特别是Top-k查准率。 字符分布特征对带有通配符串匹配问题的影响 近年来,字符串匹配问题被不断扩展。其中,具有代表性的是在模式中引入可变长度的通配符,称之为PMWL问题。针对此问题,已有工作分析了在不同的模式特征下,匹配数Ω随文本长度增加呈指数级增长。同时考虑文本分布特征和模式特征,建立了期望模型E(Ω)=n Dπ(P),其中n为文本长度,D为模式中各通配符跨度的乘积,π(P)为基于字符分布的模式出现概率。实验部分,在人工随机数据和DNA真实数据上验证了E(Ω)的准确性,得到预测误差率分别为1.8%~3.2%和4.7%~7.8%;在不同字符分布中,分析了模式模长和通配符跨度对匹配数Ω的影响。E(Ω)模型揭示了Ω的增长趋势不一定呈指数级,而取决于π(P)和D的共同影响,且E(Ω)模型能够在线性时间内得到近似完备解。 一种基于特征点匹配的图像快速拼接方法 实现一种基于特征点匹配的图像拼接方法。将处理流程细分为特征点检测、特征点匹配、无效匹配的剔除、透视成像四个阶段,并针对四个阶段选取了适合的经典算法予以实现。其中对具有左右关系且基本平行的图像特征点匹配算法进行了改进,在匹配效果基本不变的前提下,缩短了匹配时间。经实验证明,算法改进后效率提升明显。 基于用户注意力与视觉注意力的社交图像描述 图像描述是机器学习和计算机视觉的重要研究领域,但现有方法对于视觉特征和模型架构之间存在的语义信息关联性探索还存在不足.本文提出了一种基于用户标签、视觉特征的注意力模型架构,能够有效地结合社交图像特征和图像中用户标签生成更加准确的描述.我们在MSCOCO数据集上进行了实验来验证算法性能,实验结果表明本文提出的基于用户标签、视觉特征的注意力模型与传统方法相比具有明显的优越性. 基于可控金字塔子带能量特征的文种识别方法 为了更精确地描述文本图像的纹理特征进行文种识别,提出了一种利用可控金字塔对图像进行分解并提取子带系数的特征量进行文种识别的方法。通过在两个不同质量图像库上进行的对比实验,证实了基于子带能量均值和标准差的方法具有更高的识别准确率,而基于广义高斯模型的方法对文本行倾斜更具有鲁棒性。 第八届上海磁共振新技术临床应用论坛通知 [正文]由上海生物医学工程学会放射医学工程专业委员会,《中国医学计算机成像杂志》,复旦大学附属华山医院放射科,复旦大学附属华山医院教育科共同主办,《中国医学计算机成像杂志》杂志社承办的第八届上海磁共振新技术临床应用论坛,将于2015年7月3日到7月5日在上海举行,本次论坛一如既往,将为广大影像医生、临床医生,特别是临床相关科室医生以及学者提供一次当面探讨的机会,一个学术交流的平台。本次论坛将邀请国内外从事磁共振研究和临床应用的著名专家学者,包括中华放射学 融合形状特征的MRG骨架树三维检索方法 提出一种基于MRG骨架树的三维模型检索方法。根据多分辨率Reeb图(MRG)的原理,提取反映模型拓扑特征的Reeb图骨架并且映射成树形结构,分析了节点的拓扑属性。针对拓扑属性在形状特征上的表达能力不足,在节点相应区域提取离散曲率和面积比例描绘局部的形状特征。有效地结合了模型的拓扑特征和形状特征计算模型的相似度。该方法突出了模型的整体拓扑特征和形状特征,实验结果表明了该方法的高效性和鲁棒性。 双视点3D视频文件的裸眼立体组合投影实时显示算法 基于Client/Server结构和sort-last并行绘制策略,提出双视点3D视频文件的裸眼立体组合投影实时显示算法.首先在服务端全屏播放左右或上下格式的3D视频文件,以不低于25帧/s的速率在线截屏并按JPEG格式压缩后转发给12个Client PC.每个Client PC接收每一帧截屏图像后,利用Fragment Shader和多渲染目标(MRT)通过一遍绘制完成2个单视点子图像的裁剪、缩放、奇偶条纹倾斜绘制,经几何和亮度校正,并将2个子图像交织后再向前投影到光栅显示屏幕.该屏幕的投影表面为3.6 m×1.6 m,单台投影仪分辨率为1024×768,投影系统的分辨率为3584×1536.实验结果表明,该算法的显示帧率≥24帧/s,且当条纹倾斜角度为10°时裸眼立体显示效果最好. MIMO系统预测控制及其Matlab与VC仿真实现 本文对一个多入多出耦合系统设计了一种基于隐式算法的广义预测控制器(GPC),此隐式算法利用与基于脉冲模型的预测控制(DMC)算法的等价性化简,避免求解Diophantine方程。同时对此多入多出系统设计了DMC控制器,并对二种算法和控制效果进行了比较。本文用Matlab编程实现两种控制算法,用VC++设计界面,在VC中调用M函数的动态链接库DLL实现Matlab和VC的混合编程。对此被控MIMO系统,最终即可通过在VC界面上实现控制方式和控制输出的设定得到控制输入输出的仿真图。此程序可以脱离Matlab环境运行。 一种无线传感器网络中DV-Hop定位的改进算法 针对DV-Hop算法中存在的误差问题,提出了一种基于平均每跳距离的改进方案.该算法利用误差修正值δ对估算的平均每跳距离值进行修正,以便减少估算的平均每跳距离与实际平均每跳距离之间的偏差.实验结果表明,改进算法有效的降低了节点的平均定位误差,提高了节点的定位精度,并且不需要增加额外的硬件. 无线传感网中延迟受限的生命周期最大的数据收集算法 "无融合数据收集是无线传感网络中最重要的技术之一.在持续实时的监测应用中,网络生命周期和网络传输延迟是衡量数据收集性能的两个重要指标.已有的研究大多侧重于某单一性能指标,而较少关注多性能的折衷优化.因此,本文研究了如何构造一棵延迟受限的生命周期最大的数据收集树,并将该构造问题形式化为一个整数规划问题,提出了有效的数据收集算法-EDG.该算法首先利用MITT方法构造生命周期近似最优的数据收集树,然后对""瓶颈节点""进行路径调整以使其满足延迟约束.仿真结果表明,与无延迟约束的MITT算法相比,EDG算法能在保证网络传输延迟的前提下,使其网络生命周期在大多数情况下达到MITT的90%以上." 基于简化ODP的用户兴趣模型 通过搜集搜索引擎用户的个人兴趣偏好,个性化搜索技术能够对搜索结果中的页面进行分析并与用户的兴趣进行比较,帮助用户从中找出更为感兴趣的结果,从而提高用户的搜索效率。通过利用简化的ODP目录层次结构进行训练以建立基本的用户兴趣树型结构,并在模型使用过程中通过用户的隐式操作反馈,对用户兴趣模型进行动态更新以反映用户不断变化的兴趣偏好。这一用户兴趣建模方法以简化的ODP结构为参考框架,并以用户个人的搜索行为作为模型修正和更新的依据,实现消除词条歧义并且表达用户个人兴趣偏好的目的。 一种用于CMMB的可变增益LNA的设计 采用0.13μm CMOS工艺设计了一种应用于CMMB的可变增益的低噪声放大器(low noise amplifier,LNA).LNA工作于2.635~2.66GHz,采用低增益和高增益两种控制模式,其中高增益为主要工作模式.设计使用cadence软件进行前仿真和后仿真,随后对其进行了流片和封装测试.测试结果显示,在高增益时,S21为9.25~9.42dB,NF为1.99~2.26dB,IIP3为1.25dB.使用1.2V电源供电,直流功耗为7.38mW.低增益时S21为-5.85dB到-5.70dB,NF为7.90dB到8.99dB,IIP3为14.19dB. 分组密码中P-置换的分支数研究 P-置换是分组密码轮函数的重要组成部分,好的P-置换能使得轮函数具有更强的抵抗线性和差分攻击能力,长期以来人们利用MDS码设计出了密码性质优良的P-置换,然而在有些情况下,P-置换本的密码学性质只要不低于一个指定的界限,就能方便地应用,因此本文决定利用BCH码和Goppa码来设计密码学指标不低于指定值的P-置换,同时设计了相应算法.因为MDS码的生成矩阵与分支数最大的P-置换之间有一一对应关系,本文利用范德蒙矩阵和柯西矩阵的特性生成了两类分支数达到最大P-置换,最后本文指出利用范德蒙矩阵设计的P-置换是利用Goppa码来设计P-置换的特例. 递归流分类算法研究与改进 流分类算法的性能直接影响防火墙、路由器等设备的处理速度。递归流分类(RFC)算法具有分类速度快的优点,但随着规则数目的增大,存储开销也随之增加。为此,通过对RFC算法进行分析,提出一种改进算法Optimize_RFC,对块的位数进行异或运算,压缩等价类表,减少内存消耗。实验结果表明,Optimize_RFC算法在保持相对较快分类速度的同时,可降低预处理阶段的内存占用。 国防科学技术大学计算机科学与技术学科简介 "[正文]本学科所在的国防科学技术大学计算机学院是由以学部委员慈云桂教授为首的老一辈计算机专家创建的。1958年设立计算机专业,1966年成立计算机系,1971年成立计算机系兼研究所,1999年成立计算机学院。本学科所属的二级学科""计算机系统结构" 基于复杂网络的供应链网络效率研究 "研究复杂供应链网络的效率和重要节点识别问题。由于现代供应链网络的复杂性,以节点度分布作为衡量供应链枢纽节点,并不符合供应链运行的实际情况。传统的网络效率计算方法只是简单地进行""去边""和""去点""计算。为了解决上述问题,首先建立了一个典型供应链模型,然后提出了在突发情况下新的对于供应链网络效率计算方法。仿真结果表明,方法可以有效衡量供应链的网络效率,并能识别供应链网络中最具影响力的节点,从而能够针对性地对供应链网络进行防护,提高运行效率。" 组合电路SET传播特性与软错误率分析 通过研究单粒子瞬态(Single Event Transient,SET)在逻辑链路中的传输,表明输入脉冲宽度对脉冲在传输过程中的展宽和衰减有重要影响.在辐照环境下,采用SET的传输模型和软错误率的分析模型评估软错误率.基于这两种模型,从门级角度对组合电路的软错误率分析进行了改善,使得软错误率评估方法得到改进.由于电气掩蔽效应对软错误分析具有重要的影响,在运用SET传输模型中考虑了电气掩蔽特性. 无传感器双馈异步风力发电机直接转矩控制 针对有传感器控制的若干缺点,提出一种新型无传感器控制方法,其采用基于模型参考自适应模块的闭环算法辨识双馈异步风力发电机的转速和转子位置;利用仿真工具Matlab7.1/simulink和S-Function构造仿真模型,仿真结果表明,其具有很好的动态和稳态性能,转速和转子位置观测精度较高,通过控制发电机的转矩、调节发电机转子转速,稳定了发电机输出电能的频率,达到了双馈异步风力发电机平滑稳定的调速目的,适合于双馈异步风力发电系统的变速恒频运行。 知识化制造软件系统自动生成的实现 研究了知识化制造系统应用到一个新方向——气象预测评估系统后,其软件系统的自动生成方法。为了实现软件的自动生成,首先,分析了知识化制造软件系统的特点和作用,并对其自动生成做出简单说明;然后,研究了知识网的数据存储方式,提出了层级数据结构的数据库表示形式,在此基础上实现了数据遍历以生成软件系统,并给出了软件系统自动生成的步骤;最后,通过实例对软件系统的生成方法进行说明和验证,表明了该方法的有效性和可行性。 面向浏览器不兼容性的自动化测试的研究 随着Web应用技术的快速发展及浏览器和平台数量的不断增长,跨浏览器的不兼容性问题显得越来越突出。尽管现有的浏览器不兼容性测试工具较多,但是大多数的测试工具是手工测试,耗时过长,容易出错,而且国内对于跨浏览器不兼容性的自动化测试问题缺乏系统性研究。因此基于爬虫工具生成应用程序的导航模型,以及等价性检查技术和自动化测试系统(ATS)提出一种检测跨浏览器不兼容性问题的方法,并结合已有的测试工具进行比较。实验结果表明,该方法能够有效地自动识别和测试跨浏览器不兼容性问题。 Contourlet变换域中基于矩特征的图像检索 提出一种Contourlet变换域中基于矩特征的图像检索方法。为了提高方法对于噪声的鲁棒性,对图像进行Contourlet变换后,对变换结果进行阈值滤波,计算各子带系数的一阶几何矩作为图像的特征向量,采用欧氏距离进行相似度度量。该方法提取的图像特征能反映子带系数的空间分布特征,无需任何前提假设。实验结果表明,该方法具有较高的查准率,对噪声的容忍度高于现有算法。 基于多变换域的彩色图像多功能水印算法 针对单水印存在功能单一的问题,提出一种基于离散小波变换(DWT)和四元数离散余弦变换(QDCT)的彩色图像多功能水印算法。首先,将彩色图像分通道置乱后进行DWT,选择低、中频子带作分块QDCT,利用部分实数系数构造系数矩阵,鲁棒性水印通过加法原则嵌入该系数矩阵的奇异值中;然后,将图像进行2×2分块的QDCT,特征脆弱水印利用QDCT的低频模值系数产生,并嵌入空域最低有效位(LSB)。实验结果表明,鲁棒性水印具有良好的抗JPEG压缩、噪声、对比度调节、剪切、旋转以及混合攻击的能力,脆弱水印对篡改敏感且具有精确的篡改定位功能。 一种结合最小熵信息度量和粒子群优化算法的基因选取方法 提出一种结合最小熵信息度量和粒子群优化算法进行基因选取的方法。将每个粒子所代表的基因组合的信息度值作为该粒子的适应度函数值,通过粒子群的进化来获取最优基因子集,从而有利于实现样本分类。实验结果表明,该方法能够获取低冗余的信息基因,并在该方法选出的基因子集上,获得优于经典方法的分类准确率。 《计算机科学与探索》投稿须知 "[正文]《计算机科学与探索》是由中华人民共和国工业和信息化部主管、华北计算技术研究所主办的国内外公开发行的计算机学报级高级学术期刊,中国计算机学会会刊,工业和信息化部优秀科技期刊,中国科技论文统计源期刊(中国科技核心期刊),中国科学引文数据库来源期刊,并被""万方数据--数字化期刊群""、""中国学术期刊网络出版总库""、""美国《剑桥科学文摘(CSA)》""、" 基于隐马尔可夫模型的硬件木马检测方法 硬件木马给集成电路芯片可靠性带来巨大威胁。为此,基于隐马尔可夫模型,提出一种新的木马检测方法。提取母本电路数据的特征参数,并对该参数进行训练得到正常模型,提取待测数据的特征参数,并计算该参数与上述模型的匹配程度,以进行分析识别。实验结果表明,该方法能对木马进行有效识别,且能检测出面积比为0.53%的硬件木马。 基于MTM总线的边界扫描控制器设计与实现 超大规模集成电路和组装工艺快速发展,电路板结构和功能日趋复杂,将边界扫描技术应用于系统级测试,对提高系统的可靠性和可维护性具有重要的实用意义;在深入研究IEEE1149.5和IEEE1149.1标准的基础上,对基于MTM总线的边界扫描控制器结构进行了研究,解决了IEEE1149.5与IEEE1149.1协议转换中的关键技术,设计实现了内嵌边界扫描功能的MTM总线从模块,使用SpartanⅡ器件实现了基于MTM总线的边界扫描控制器;仿真和实验结果表明,IEEE1149.5总线接口、IEEE1149.1端口和TAP控制器功能正确,符合系统层次化测试的实际要求。 基于改进YOLO的双模目标识别方法研究 针对多源图像自动目标识别的需求,对红外、可见光自动目标识别以及决策级融合方法进行了研究。针对目标所具有的变化尺度大、容易旋转、移动等特点,引入最新的YOLO卷积神经网络模型对目标进行深度学习和分类,并在GPU中完成目标识别,满足工程实时计算的需求。考虑到红外、可见光不同的成像特性,最后将红外、可见光目标反算定位对齐,并用DS证据理论对两模目标进行融合,给出融合结果,提高了系统对目标的识别能力。 对角线稀疏矩阵的SpMV自适应性能优化 "稀疏矩阵向量乘(SpMV)是科学计算中常用的内核之一,其运行速率跟非零元分布相关.针对对角线稀疏矩阵,提出了压缩行片段对角(compressed row segment diagonal,CRSD)存储格式.它利用""对角线格式""有效描述矩阵的对角线分布,区别于以往通用的计算方法,CRSD通过对给定应用的对角线稀疏矩阵采样再进行特定的优化.并且在软件安装阶段,通过自适应的方法选取适合具体运行平台的最优SpMV实现.在CPU端进行多线程并行化实现时,自适应调优过程中收集的信息还被用于线程间任务划分,以实现负载平衡.同时完成CRSD存储格式在GPU端的实现,并根据GPU端计算与访存的特点进行优化.实验结果表明:在Intel和AMD的多核平台使用相同线程数的情况下,与DIA相比,使用CRSD的加速比可以达到2.37X(平均1.7X);与CSR相比,可以达到4.6X(平均2.1X)." 人眼视觉特性与SIFT相结合的视频双水印算法 针对视频水印容易遭受几何攻击以及水印的鲁棒性与透明性的平衡问题,提出一种基于人眼视觉特性与尺度不变特征变换(SIFT)相结合的抗几何攻击视频双水印算法。首先获取视频序列中人眼视觉掩蔽阈值作为水印的最大嵌入强度。其次,将视频帧进行离散小波变换(DWT),对中高频子带系数提出基于视频运动信息的自适应水印算法;针对低频子带,提出基于小波低频系数统计特性的抗几何攻击视频水印算法。最后,以SIFT作为触发器判断视频帧是否遭受几何攻击,对遭受几何攻击的视频帧利用SIFT的尺度与方向不变性进行校正,并对校正后的视频帧提取水印信号;针对非几何攻击的视频帧,直接利用中高频提取算法。所提算法与实时性视频水印算法——基于小波域直方图的视频水印(VW-HDWT)算法比较,峰值性噪比值(PSNR)提高了7.5%;与基于特征区域的水印算法相比,水印嵌入容量提高约10倍。实验结果表明,在保证水印透明度较好的情况下,所提算法对常规几何攻击具有较强的鲁棒性。 基于存储过程的DataGrid控件的分页技术研究 在Web应用程序的开发中,为适应从数据库中读取海量数据的发展,对数据分页技术进行了研究。结合VS.NET中DataGrid控件的特点,给出了基于存储过程的DataGrid控件的自定义分页技术,并分析了该技术的机理流程。基于存储过程的自定义分页技术是提高Web数据访问性能的有效手段,通过在某公司的营业收费系统中的应用表明,其性能与响应速度相对传统分页技术有了很大的提高。 基于构件的一卡通清算系统设计与实现 软件复用技术已经成为软件系统开发的优先选择,一卡通清算系统已经在越来越多的城市得到了应用,而基于构件的软件开发能够很大程度地提高一卡通清算系统的开发速度和开发效率。对一卡通清算系统进行研究,分析系统的应用架构,提出其构件层次模型,将公共功能部分封装成为构件,并结合构件层次模型设计基于构件的系统开发流程。最后,给出一卡通清算系统中构件实例的设计与实现。 部分热集成变压精馏分离酚焦油裂解产物过程模拟与优化 由于酚焦油裂解产物中,苯酚-苯乙酮体系的共沸组成对压力变化敏感,提出了变压精馏分离苯酚-苯乙酮共沸体系的新工艺,选择UNIQUAC物性方法,根据变压精馏原理确定了高、低压塔间循环物流的流量,用Aspen Plus软件对该工艺流程进行了模拟,提出了ASPEN Plus中精馏塔参数优化的通用方法,从而获得了各塔的最优参数。通过对模拟结果的分析,提出以高压塔的塔顶蒸汽为低压塔的塔釜热源的热集成新工艺,并将该工艺所需能耗和年度总费用与常规的变压精馏工艺相对比,结果表明高压塔冷凝水用量节省近2万吨/年,低压塔年度投入总费用降低约30%。 多传感器融合改进的机器人定位决策 在复杂的不确定环境里,采用单一传感器对机器人进行定位时精度较低,并且易受干扰,可靠性较差。针对这一问题在粒子滤波器移动机器人SLAM算法的基础上,利用多传感器融合对算法进行改进,将观测信息进行特征级融合,充分利用各种传感器采集的冗余信息,并将融合后的观测信息分别用来估计机器人路径和环境特征的后验概率分布。仿真试验表明,改进后的算法在SLAM定位精度及可靠性上都有较大的提高,证明了该种方法的可行性。 液压泵试验台测控系统的设计与实现 为测试液压泵性能参数,设计了以工业计算机和PCI数据采集卡为核心,LabWindows/CVI为软件开发平台的液压泵试验台测控系统;以多线程技术设计程序,采用线程安全变量同步线程;采集、分析、显示系统参数,并利用滞环控制算法将油温控制在35~45℃之间,利用遇限削弱积分PID算法实现出油口、回油口压力控制;设计了人性化的报警功能;通过ODBC接口,每隔1s将系统运行参数存入数据库,以便分析故障;软件调试界面大大缩短现场调试时间;经过运行测试,系统满足设计要求。 复杂网络中基于采样的近似三角计数方法研究 复杂网络中的三角计数可以用于分析网络的同质性和传递性。为了提高复杂网络中三角计数的性能,提出了一种基于采样的近似三角计数方法。首先,以一定的采样概率对网络中的边进行采样从而得到一个子网络,并在该子网络中统计三角的个数。其次,依据采样的概率思想,应用子网络中的三角个数估计原网络中的三角个数。最后,对采样方法的均值和方差进行了理论分析,并给出了由采样方法得到的加速比。理论分析与实验表明,与传统的节点迭代方法相比,提出的方法在保证高准确性的前提下大大提高了算法的运行效率,因而更适用于大规模网络中基于三角计数的相关应用。 PKI跨域信任计算方法 针对PKI跨域方案中信任管理偏重身份信任的问题,对PKI下的信任计算进行探讨,为适应域间信任关系动态变化的特点,提出一种基于PKI安全域的跨域信任计算方法,该方法结合PKI的身份信任网络,能够使资源域在设置与外域根CA的信任关系,并监控跨域用户行为后,对外域各信任实体做出合理的信任评价。 基于因子图和联合消息传递的无线网络协作定位算法 针对现有基于消息传递算法的无线网络节点定位算法复杂度和通信开销过高的问题,提出一种基于测距的、低复杂度低协作开销的联合消息传递节点定位算法。所提算法考虑参考节点位置的不确定性以减少误差累积,并将消息约束为高斯函数以降低通信开销。首先,根据系统的概率模型和因子分解设计因子图;然后,根据状态转移模型和测距模型的特点,分别使用置信传播和平均场方法计算预测消息和协作消息;最后,在每次迭代过程中,通过非线性项的泰勒展开将非高斯置信消息近似为高斯函数。仿真分析表明,所提算法的定位性能与基于粒子的SPAWN算法接近,但节点间传输的信息由大量粒子变为均值向量和协方差矩阵,同时计算复杂度也大幅降低。 基于微博标签和LDA的微博主题提取算法 "论文根据微博文本所具有的特点,将微博文本具有的三种的特殊符号:""@""、""//""和""#""纳入微博主题提取的分析中。在传统的LDA模型的基础上,建立一种微博标签的LDA模型,该模型考虑到微博的主题、转发的微博、微博的评论等内容,增强对微博主题的提取的准确性。实验结果表明,论文提出的算法对于新浪微博的主题提取的效果良好。" 面向冶金废气监测的无线传感器网络系统 为对冶金工业环境监测提供良好的支持,设计一种面向冶金废气监测的无线传感器网络系统,该系统由多个可拓展传感器接口的监测节点组成,节点能够与后端数据中心进行无线通信,实现对冶金企业厂区内外大范围、灵活部署的实时监测。系统监测节点使用具有高可靠性和精度的电化学式传感器,通过可扩展传感器接口,对多种冶金废气和空气环境监测。实现结果表明,该系统具有可靠性高、监测时间长、范围广等优点,对冶金工业废气具有较好的监测效果。 基于IPv4选项包标记的路径重构策略 针对IP包头中带标记的ID字段在进行重组时存在的ID值不一致和ID字段容易被篡改等局限性,提出一种基于IPv4选项包标记的路径重构策略,用于对攻击路径的重构。同传统的基于ID字段进行标记的策略相比,采用该策略时不仅路由器节点的误报数较小,而且重构路径的复杂度有所降低,在路由器进行包处理的时间上具有明显的优势。 不相容决策表中一种新的属性约简算法 针对不相容决策表中一些属性约简算法的不足,结合粗糙集的代数观与信息观的优点,对差别矩阵加以改进,提出了一种新的属性约简算法,该算法在保证约简后决策表的正域和条件信息熵不变的情况下,降低了时间复杂度。通过实例说明了该算法的有效性和可行性。 基于GM-LSSVR机场噪声时间序列预测模型 随着我国民航事业的不断发展,机场噪声问题日益严重。针对机场噪声时间序列预测问题,在分析了噪声数据特点的基础上,提出了一种基于GM-LSSVR的噪声序列预测模型,即将机场噪声时间序列进行分解,并分别建立趋势项的GM(1,1)模型和剩余项的LSSVR模型,用于机场噪声预测值的计算。在某机场实测数据中的应用表明,该方法的准确度明显优于其他预测方法。 基于符号执行的二进制代码漏洞发现 软件漏洞是安全问题的根源之一,fuzzing(模糊测试)是目前漏洞发现的关键技术,但是它通过随机改变输入无法有效地构造出测试用例,也无法消除测试用例的冗余性。为了克服传统fuzzing测试的缺点、有效生成测试输入且无需分析输入格式,针对二进制程序设计并实现了基于符号执行的漏洞发现系统SEVE。将程序的输入符号化,利用动态插桩工具建立符号变量的传播关系;在分支语句处收集路径约束条件,最后用解析器求解之并将其作为新的测试用例。用mp3和pdf软件进行了实验,结果表明,该系统有效地提高了漏洞发现的效率与自动化程度。 基于备份的RAID6在线重构框架 "针对国内外现有研究一直解决不了重负载持续访问下RAID6重构性能急剧恶化的问题,提出""利用外部存放的备份数据来加速磁盘阵列RAID6在线重构""的思想。构建基于备份的RAID6在线重构框架,实现该框架的原型系统,并进行相应测试。此框架利用备份系统所提供的恢复带宽将处于最近一次备份时间点的版本数据整合热备盘;利用磁盘阵列RAID6所提供的重构带宽将自最近一次备份时间点之后已修改数据重构至热备盘;完成磁盘阵列RAID6重构过程,使得磁盘阵列RAID6恢复正常运行。测试结果说明了该框架相对于现有重构方法显著改善了磁盘阵列RAID6的重构性能和服务性能。" 基于金属多特征联合的硬币识别器设计 随着公共场合投币收费服务方式的流行,需要自动识别硬币设备的应用越来越多。为了提高硬币识别准确性、假币的拒识率以及增强识币设备对温度等外界因素影响的鲁棒性,基于金属的多种特征识别,设计了一种硬币识别器。方案首先通过大量的实验采集硬币的三种主要特性数据(电导率、磁导率和面积大小),然后通过实验数据分析建立硬币的联合概率空间,最后通过检测样本的特征,并计算特征参数是否处于硬币的联合概率空间内来判断样本的真伪和面值。对样本的判定算法进行优化,使之更适用于单片机处理。实验结果表明,所设计的识币器准确率较高,鲁棒性较强。 基于规则量和提取率的关联规则挖掘算法 基于规则量和提取率度量标准,提出一种使用并行克隆退火遗传策略的关联规则挖掘算法。该算法结合了遗传算法、模拟退火算法和免疫克隆算法的优点,采用克隆、变异和交叉操作获取问题的最优解。理论分析和仿真实验结果表明,该算法能高效、快速地解决关联规则挖掘问题。 基于半监督图聚类的项目主题模型构建方法 项目文档主题表征的好坏直接影响后续评审专家的推荐效果。为有效利用项目文档片段之间的关联关系进行项目主题分析,提出一种基于半监督图聚类的项目主题模型构建方法。该方法首先分析项目文档的结构特点,提取项目名称、项目关键字等能表征主题的结构信息,结合专家证据文档、专家主题关系网等能表征专家主题的外部资源,定义及提取项目文档片段之间的关联关系特征;然后,利用不同类型的关联关系计算项目文档片段之间的相关性,构建项目文档片段间的无向图模型;最后,利用已标记关联关系特征作为聚类的监督信息,采用半监督图聚类算法对项目文档片段进行聚类,从而实现项目主题的提取。项目主题提取对比实验结果验证了所提方法的有效性,项目文档结构化特征、专家证据文档以及专家主题关系网对项目主题模型的构建具有一定的指导作用。 智能型铅酸电池充电器设计与实现 针对矿用永磁操动机构馈电开关智能控制器采用的铅酸蓄电池在充电过程中存在充电过度、充电不足、电池过热和充电速度慢等诸多问题,设计了一种以atmega16单片机为核心的智能充电器。采用了基于sugeno推理的模糊PID控制算法,提高了充电器的充电速度,减少了电池损耗,实现了对铅酸蓄电池充电过程的智能化控制。 新型C MEX函数设计MMC整流器控制软件研究 常见的C MEX函数局限于对控制系统局部子函数的C代码验证,不能实现整体方案设计;并且与DSP芯片的软件语法不兼容,无法进行代码移植与实验。提出一种新型多文件结构的C MEX函数,将主函数、算法单元模块、头文件合理分配,通过主函数调用子函数实现控制系统的整体设计。该代码以MMC整流器的电流矢量控制算法为基础,高度模拟了定点DSP芯片的语法规则,可实现对DSP芯片的高效移植。仿真与实验测试结果表明所述C MEX函数实现了电流的解耦控制,提高了软件设计集成度与效率。 区域分割粒子群算法及多维背包问题求解 为克服离散粒子群算法早熟的缺陷,通过引入区域分割算法后,移除了解空间中一些无希望的点集,缩小了解的搜索空间,提高了找到最优解的概率,并通过贪心策略对产生的粒子进行了修复和改进,克服了离散粒子群算法收敛慢的缺点。对典型多维背包问题的仿真实验表明,区域分割粒子群算法寻优能力更强,收敛更快。 基于移动网络流量日志的城市时空行为分析 城市的空间组织和居民行为研究是城市地理学研究的重点,随着信息技术的快速发展,居民的时空行为对城市空间的组织和结构的影响呈现出日益增加的趋势,因此,对城市空间以及居民时空行为的深入分析具有很高的研究价值.通过采集杭州市区移动3G网络流量日志,首先采用空间点模式的分析方法研究了城市居民的聚集模式,并研究了居民移动的距离、方向等方面的特征;之后采用网格的方法对城市空间进行分块,并以区块为主体研究了热点区块出现的时空点、区块人流的更迭速率、工作日人流的潮汐效应;提出了区块差异指数的概念,并利用其对区块进行聚类,分析了区块间的相关性和区块间距离之间的关系.由于所研究的数据来源于移动3G网络流量日志,因此具有覆盖面广、数据量大等特点,非常适合从大时空尺度层面研究居民和城市空间活动. 一种具有采样保持功能的开关电容积分器 本文提出了一种开关电容积分器结构,运用增益提高技术的折叠式共源共栅放大器实现,可应用于具有采样保持功能的电路中.基于标准的65nm CMOS工艺,通过HSPICE仿真验证,结果表明,该积分器在采样相与积分相能保持相同电平,且对输入信号起到采样和保持作用,在输入信号的VPP=1.4V、频率为10kHz、采样频率为6.144MHz条件下,电路的THD为-112dB. 基于密度自适应距离的密度峰聚类 密度峰聚类是一种新的基于密度的聚类算法,该算法不需要预先指定聚类数目,能够发现非球形簇.针对基于欧氏距离的密度峰聚类算法无法有效处理复杂结构数据集的缺陷,提出了基于密度自适应距离的密度峰聚类算法:首先,基于欧氏距离和自适应相似度计算密度自适应距离,包括局部密度自适应距离和全局密度自适应距离,以更好地描述数据空间分布结构;其次,将密度自适应距离应用到密度峰聚类算法中,得到新算法.在人工数据集和UCI真实数据集上的实验表明,新算法不仅能够有效处理复杂结构数据集,而且具有更高的准确率. 基于EDCA协议的视频流自适应映射机制 为提高802.11无线局域网中视频传输的质量,802.11工作组引入增强的分布式信道接入协议,但是这个协议没有充分考虑视频数据的特点。在研究该网络协议的基础上,提出一个改进的跨层自适应映射策略。在传输控制的过程中考虑视频包的重要性和当前的网络状态,能提高802.11网络中视频传输的质量。将该算法仿真结果与其它算法进行比较,比较结果表明,该算法在802.11无线局域网中的视频传输质量优于其它算法。 求解约束优化问题的一种复合形遗传算法 研究约束优化问题是科学和工程应用领域经常会遇到的一类数学规划问题。现有的约束优化进化算法,通常的解决办法是将等式约束条件转化为成对的不等式约束条件来处理,转换会使得可行域的拓扑结构变化显著,直接影响了算法性能和解的精度。为解决上述问题,提出了一种改进的处理约束优化问题的新算法。新算法将约束优化问题转化为多目标优化问题,把复合形法嵌入到遗传算法中,通过将全局搜索和局部搜索机制有机地结合,利用遗传算法全局性好和复合形法快速高效的特点,以加快最优解的搜索进程。仿真结果表明,方法既有复合形法快速高效的特点,又有遗传算法全局性好的特点。与标准遗传算法相比,方法具有良好的求解约束优化性能和精度效果。 基于内存管理的多重查询调度算法 先前已经提出的CRP调度算法是应用连续读取特性,使查询的数据可以重组出某些连续关系使之具有连续读取特性,解决了现有算法中有部分系统资源闲置的问题。在此基础上,结合批处理调度有效运用内存的思想,提出一种更有效的多重查询调度算法——ACRP调度算法。该算法首先找到占用处理节点最多的查询组合,结合内存管理,尽量重复使用内存中已存在的数据,能提高系统性能和利用率。该算法经仿真实验验证切实有效可行,相对其它算法能明显提高系统性能和利用率。 WSN基于网络编码数据传输可靠性研究 网络编码是通信网络中信息处理与传输技术研究的重要热点。目前关于网络编码的研究多集中在提高网络吞吐量方面,在提高数据可靠性方面也逐渐得到重视。文中以提高数据传输可靠性为目的,以网络编码为基础,构造基本的对等设备之间数据传输模型,结合传感器网络特有的通信机制及无线链路广播传输的特性,理论分析了数据通过网络编码后能够正确传输的概率。通过仿真可以看出网络编码在信噪比较低的条件下可以明显提高数据传输可靠性。 多时隙树的RFID防碰撞算法 在RFID系统中,主要的问题来自于标签碰撞。针对目前传统算法中存在的延迟时间长、通信数据量大等问题,提出了多时隙树的RFID防碰撞算法。在算法中阅读器根据译码结果发送查询命令,标签通过查询命令选择自己所在的时隙,并在选择的时隙响应读写器。整个寻呼过程采用后退策略,即在识别出一个标签后,只需从命令堆栈中取出上一层分枝的查询命令。仿真结果表明,与传统的二叉树搜索防碰撞算法相比,RFID防碰撞算法具有较少的时间复杂度和通信复杂度,同时识别效率也得到了明显的提高。 工业IEEE802.15.4a标准无线网络性能测试设计与实现 基于IEEE 802.15.4a标准的短距离无线传输技术在工业中得到了广泛的应用,然而,针对IEEE802.15.4a协议工业无线网络的性能测试研究较少,评判工业无线控制系统是否符合工业场合的应用缺乏精确的描述;为评价基于IEEE 802.15.4a标准的工业无线网络的性能,搭建了基于该标准的无线网络测试平台,基于ARM处理器开发了工业无线网络性能测试装置,给出了测试装置的软硬件设计,通过测试获得工业无线网络控制系统的丢包率、吞吐率、协议转换时间等性能指标,测试结果表明该装置能够有效地实现对基于该标准的无线网络进行性能测试,为改善和优化工业无线网络的应用、保证系统的稳定运行提供了有力的依据。 移动机器人室内无源RFID定位方法及实现 为提高室内机器人的定位精度,提出一种无源RFID的室内移动机器人定位方法。RFID标签采用蜂窝排布模型,提高读卡器检测效率;对RSSI定位方法按工程实际提出了校正模型,同时针对多信标定位最优值寻优的问题,引入微分进化算法对位置坐标进行求解;在(4×4)m~2场地内进行了验证,实验结果表明,较极大似然估计具有更高的定位精度,绝对误差小于10.16 cm;较标准遗传算法能够在更少的迭代步内获得最优值,平均节省37%的计算时间。 机载400Hz电源品质测试系统研制 提出用计算机自动完成测试与分析,根据国军标和航标对电源品质的要求,系统采用凌华公司的PCI-9812数据采集卡进行数据采集。在Delphi开发环境下,结合合理的硬件设计和适当的控制算法实现对机载电源的电压、相位、三相不平衡度、频谱等相关参数的测试。 动态粗集理论在K-均值聚类中的应用 基于动态粗集理论,提出了一种改进的动态粗集K-均值算法。改进后的算法依据数据对象的迁移系数大小,被划分到某一类的膨胀上近似集或膨胀下近似集;在计算类的质心时采用其中数据对象集的迁移系数的平均值作为权值来衡量它对质心的贡献。在UCI机器学习数据库原始数据集及其噪音数据集上的实验结果表明,改进后的动态粗集K-均值算法提高了聚类的准确性,降低了迭代次数。 通用型模拟器需求分析 以飞行仿真装备为研究对象,基于价值工程(Value Engineering,VE)原理,通过某型飞行模拟器建立各功能级别体系,并为维修人员实装维修训练提出意见和方案。本文探索了飞行仿真装备在改变用途、结构变更等方面研究的一种新方法。 一种基于模式的故障诊断方法 "提出一种改进的基于模式的故障诊断方法。将故障定义为可以用正则表达式描述的模式,避免了传统的用""特殊""事件表示故障的局限性。将正则表达式转化成等价的确定性有限自动机,便于构造故障模式空间。故障模式空间包含系统所有的故障信息,可以描述单故障、故障序列、多故障、间歇性故障等多种故障形式。最后给出基于故障模式空间的可诊断性定义和诊断系统。" 基于多元性能退化量光纤陀螺贮存寿命综合评估 长期贮存,一次使用的军事应用需求使得光纤陀螺的贮存寿命越来越受到关注,采用基于多元性能退化量的方法来综合评估光纤陀螺的贮存寿命;首先分析了光纤陀螺主要性能退化参数,其次采用Wiener过程对光纤陀螺的退化进行建模,并给出参数估计和可靠度函数,接着介绍了利用协方差矩阵进行可靠度函数融合的具体方法,最后利用前述方法对光纤陀螺贮存可靠性进行综合评估;光纤陀螺在55℃进行贮存时,同时考虑零偏、零漂和标度因数之间的相关性时,贮存寿命为38 100 h,假设各参数之间相互独立,贮存寿命为16 000 h;试验结果表明,当产品具有多个性能退化量时,采用基于多元性能退化量的综合评估方法对产品的评估更加全面、合理。 汽车薄钢板不均匀拉伸试验和仿真研究 研究汽车覆盖件拉伸优化问题,针对汽车覆盖件容易出现起皱,传统研究方法没有考虑其成形过程中与模具接触,预测精度不高的现状。根据起皱预测理论,研究单面接触对起皱的影响。提出了高强度薄钢板的对角拉伸试验仿真。首先建立了标准的YBT(Yoshida Buckling Test)仿真模型,在有限元软件LS_DYNA上验证了仿真模型的正确性。进一步考虑冲压过程中板料与模具的接触状况,建立了带有单面接触的YBT仿真模型。结果表明,标准YBT试验中的起皱的高度较大,起皱原因是压应力导致的失稳。单面接触情况下压应力的释放得到抑制,起皱高度明显减小,明确了起皱的原因是卸载回弹,为设计提供了依据。 GPU构网的GeoMipMap地形无缝绘制算法 针对传统CPU实时构网算法和预处理阶段构网算法速度较慢问题,提出一种GPU构网的GeoMipMap地形渲染算法。算法的构网阶段由GPU实现,将CPU从繁重的构网工作中解放出来,并大幅度减少CPU向GPU传输的数据量,提高地形的渲染速度。整个地形分成大小相等的若干地形块,每个地形块又分为内部及四条边共五部分,对这五部分按分辨率不同分成多个细节层次,为每个细节层次计算空间误差,渲染时各部分根据屏幕投影误差选择细节层次,所构网格更加符合地形表面特征。考虑到GPU构网算法的高度并行性,采用一种新的裂缝处理方式,四条边的屏幕投影误差以边上顶点的空间误差计算,使得相邻块对于共享边的细节层次的计算结果相同,从而保证相邻块间无裂缝,且网格连续。实验结果表明该方法能够以较高的质量完成大规模地形的实时平滑漫游。 基于汉语拼音首字母索引的混合分词算法 中文自动分词是web文本挖掘以及其它中文信息处理应用领域的基础.蓬勃发展的中文信息处理应用对分词技术提出了更高的要求.提出了一种新的分词算法FPLS,该算法用拼音首字母作为词语表一级索引,词语的字数为二级索引构造分词词典,采用双向匹配方法,并引入规则解决歧义切分问题.与现有的快速分词算法比较,该算法分词效率高且正确率高. 基于自适应调度的网格容错机制研究 在研究现有网格计算容错技术的基础上,结合蚂蚁算法的自适应优势改进现有容错技术Migol的任务调度策略,构建一个效率更高的网格计算自适应容错框架.通过仿真实验,验证了该模型在保证系统稳定性的同时能提高系统资源利用率. 基于潜在语义的双层图像-文本多模态检索语义网络 为提高多模态检索中相似性匹配的准确度,同时保持检索结果的可解释性,构建一种双层的多模态语义网络。对每个单模态的数据分别建立一个子语义网络,把子语义网络中的节点聚类成不同的分组。将子语义网络的分组作为节点,依据语义关系建立多模态语义网络,并进一步聚类成不同的分组。在进行信息检索时,按照与构建多模态语义网络相反的顺序即可检索到相关的信息。实验结果表明,与基于哈希索引、低秩矩阵嵌入和深度神经网络的检索方法相比,所提方法具有更高的检索准确性。 基于协同过滤的可信Web服务推荐方法 为了实现对可信Web服务的推荐,在分析了Web服务推荐技术与电子商务推荐技术的不同的基础上,提出了一种基于协同过滤的可信Web服务推荐方法。首先,根据已有成果对待组装应用的可信需求进行评估,根据此需求对相似用户进行首次筛选;然后在首次筛选的用户中,根据用户使用服务后的评分数据和用户信息来对相似用户进行二次筛选,经过两次筛选得到最终推荐用户。在根据用户对服务的评分数据计算用户之间的相似性时,考虑了不同服务对于用户间相似性的贡献值;在根据用户信息计算用户之间的相似性时,考虑到用户信息之间非线性的特点,引入了欧几里得距离公式来计算其相似值;在产生推荐的过程中还考虑了不诚实用户和用户数不足的问题。模拟实验结果表明该方法能够有效地对可信Web服务进行推荐。 基于图论的装配尺寸链自动生成技术 针对装配尺寸链自动生成难题,提出一种基于特征有向图表达的装配尺寸链自动生成方法,该方法首先建立四层结构的装配精度信息模型,并对公差信息的进行规范化处理和约束信息转化,在此基础上获得几何公差特征矩阵和装配特征关联矩阵,然后采用图论建立装配体有向图模型并剔除与装配精度无关的有向图的顶点和边,最后利用最小路径原理实现了装配尺寸链的自动生成。借助ACIS和HOOPS工具,开发了原型系统并进行了实例验证,证明了该算法有效缩小了装配尺寸链的搜索范围,同时保证了装配尺寸链自动生成的准确性。 JPEG图像的信息隐藏方法 对JPEG图像编码过程进行分析,并对JPEG图像的信息隐藏方法进行研究,提出一种基于人类视觉系统的JPEG图像的信息隐藏方法。以人类视觉系统的Watson模型生成的量化表为基础,通过修改JPEG图像交换格式所包含的量化表的系数,在不超过人类视觉系统模型生成的量化表系数的情况下,直接将信息隐藏于量化表中。该方法可以单独使用进行信息隐藏,也可与其他方法结合达到更好的隐藏效果。由于没有直接修改图像内容,其隐蔽性较好,并且对嵌入的信息提取方便。实验结果表明,该方法隐藏的信息容量较大,隐藏信息后图片的质量好。 CMS实验中关系数据库的精确关键词查询 在大型强子对撞机(LHC)上紧凑型缪子螺线管探测器(CMS)实验的复杂数据环境下,有多个关系型数据源记录了关于数据组织和分布的信息。为实现数据查询系统的精确关键词查询功能,通过分析数据库模式图的方法,将关键词查询语言动态翻译成SQL语言,设计并实现一个跨数据库平台的关键词查询系统。针对动态翻译过程中存在的二义性问题,提出基于查询实体的模式图分析算法,以及基于最小权重树查找的动态连接算法。实验结果表明,该动态连接算法能为关键词查询正确生成所需数据库表的连接方式,使关键词查询系统具有较高的查询效率,以满足用户实时、精确查询的需求。 黑液蒸发过程能量系统模拟及其节能优化应用 黑液蒸发浓缩过程是制浆造纸厂主要能耗工序之一,约占总能耗的24%~30%。为缩小我国黑液蒸发过程能耗与国际先进水平的差距,本文针对具体示例展开了节能降耗的研究分析。文中应用WinGEMS模拟软件,蒸汽效率、(?)分析为分析方法,以黑液蒸发工厂实际过程为案件,进行了蒸发过程节能优化前后的模拟研究。结果表明应用WinGEMS软件能方便准确地进行黑液蒸发过程的能量和物料流的模拟和优化设计;文内提出的蒸发过程节能优化方案,在保证安全生产的同时,蒸汽效率、(?)能利用率皆有提高,提高百分点分别为12%、14%,且新蒸汽用量比优化前降低了23%,出效黑液浓度比优化前提高了4.2%。示例的成功实施,对相关产业的节能项目提供重要的指导及借鉴意义。 单发螺旋桨飞机平飞角度控制模型的仿真分析 单发螺旋桨飞机平飞角度控制是提高单发螺旋桨飞行效率,保证飞行安全的关键。单发螺旋桨结构的飞机飞行中,动力控制不像双桨飞机容易控制平衡,飞行过程不可避免的发生侧滑。运用传统的方法进行平飞角度控制的过程中,在侧滑的情况下,螺旋桨上的受力符合会发生不均匀分布,导致控制精度下降。为提高控制精度,提出采用控制反馈补偿算法的单发螺旋桨飞机平飞角度控制方法。采用伪攻角和姿态角相结合的反馈形式进行平飞角度速率反馈,建立平飞角度控制模型。在模型的参数选取过程中,充分考虑到主观因素造成的偏差,对偏差进行补偿,获取满足单发螺旋桨飞机平飞角度控制稳定性需求的参数,从而实现了平飞角度的精确控制。实验结果表明,利用改进算法进行单发螺旋桨飞机平飞角度控制,能够有效提高控制的精确度。 两跳中继系统中调度算法的改进及性能比较 提出了一种改进的最大载干比和比例公平(EmaxC/I&EPF)调度算法。首先根据到基站的距离,划分BS和RS域,然后分别在BS和RS域内采用改进的最大载干比(EmaxC/I)算法和改进的比例公平(EPF)算法。将提出的改进算法(EmaxC/I&EPF)分别与部分比例公平(PPF)算法、两跳比例公平(THPF)算法以及改进前的算法进行了比较。仿真结果证明,该改进算法(EmaxC/I&EPF)在系统频谱效率性能与公平性能之间达到了较好的权衡。 基于物联网的多Agent温室控制系统的设计 文章基于物联网技术,利用传感器、无线通信设备和终端设备等,实现了温室环境参数的远程监测与控制器设备的远程控制,通过设计多Agent系统,建立知识规则、通信交流、协同工作,实现任务处理,输出更优化的控制逻辑方案,实现温室系统的自动化、智能化控制,节省了人工的操作和保证操作的准确性。 无线传感器网络覆盖问题的研究进展 分析了无线传感器网络的网络特征以及影响网络覆盖的重要因素,总结和评估了近年来提出的覆盖机制,同时对该领域尚存问题以及发展趋势进行了讨论。 基于面向服务架构的模型可移植性规范建模仿真框架 为满足复杂系统的建模与仿真需求,提出了基于面向服务架构的模型可移植性规范建模仿真框架。结合面向服务架构思想,提出了面向服务的模型可移植性规范仿真体系结构,在该体系结构的元模型规范中加入了仿真模型服务描述元素,重新定义了相关的模型可移植性规范组件接口,并探讨了面向服务架构下模型可移植性规范的建模仿真过程。针对面向服务架构的网络特征,提出了一个基于图的混合时间同步策略,并给出了模型交互图构建方法、模型并行性评价算法以及混合时间同步策略确定方法。最后设计实现了一个基于面向服务架构的模型可移植性规范建模仿真环境,并以导航系统服务性能分析仿真为例,验证了方法的可行性。 基于PID的四旋翼飞行器姿态控制系统 飞行控制系统很大程度上决定了四旋翼飞行器的飞行性能。分析飞行器模型,并在此基础上设计实现一种基于PID(Proportion,Integration,Differentiation)控制方法的飞行器姿态控制系统。整个控制系统包括第1阶段的四元数姿态解算(PI)和第2阶段的油门计算(PID)。通过PI方法融合加速度和角速度传感器的输出,计算出飞行器当前的姿态角;通过PID方法融合当前姿态和目标姿态控制电机油门输出。上位机通过蓝牙获取飞行器数据,结果显示该系统能很好地保持飞行器的稳定姿态。 基于子空间集成的概念漂移数据流分类算法 具有概念漂移的复杂结构数据流分类问题已成为数据挖掘领域研究的热点之一。提出了一种新颖的子空间分类算法,并采用层次结构将其构成集成分类器用于解决带概念漂移的数据流的分类问题。在将数据流划分为数据块后,在每个数据块上利用子空间分类算法建立若干个底层分类器,然后由这几个底层分类器组成集成分类模型的基分类器。同时,引入数理统计中的参数估计方法检测概念漂移,动态调整模型。实验结果表明:该子空间集成算法不但能够提高分类模型对复杂类别结构数据流的分类精度,而且还能够快速适应概念漂移的情况。 案例教学下嵌入式系统课程的改革与实践 嵌入式系统是广泛应用于制造业的常见控制与运行系统,当前国内各高校和高职院校中已经普遍开展了这门专业课程的教学。然而,现实中存在的种种局限影响了这门实践性极强的专业课程的教学,造成教学和实际应用严重脱节,学生不能学以致用。引入案例教学法不失为解决当前教育困境的一种创新型教学改革实践。介绍了嵌入式系统课程的教学现状,分析了案例教学下嵌入式系统课程的改革和实践,以期为相关专业教师和学生提供参考。 基于信道状态的WiMAX系统实时调度算法 介绍了M-LWDF、EXP和CD-EDD三种经典的实时调度算法,并在此基础上提出一种基于信道状态的WiMAX系统的实时调度算法CBRTS(channel-based real-time scheduling)。该算法核心思想是在数据链路层中考察物理层信道的传输条件,从而进一步将有限的无线资源更加合理地分配给用户。仿真结果表明,提出的算法具有更高的吞吐量、更小的时延和丢包率,能满足实时业务的QoS要求。 基于局部信息融合的正交稀疏保留投影分析 模式识别领域对于样本分类判别的准则有很多,近期运用比较多的是将原始数据样本的稀疏重构关系保持到投影变换后的样本空间中,从而增加分类的准确性。稀疏保留投影算法(SPP)就是基于该思想发展起来的典型算法。该算法在寻找最佳投影变换时是从样本的全局角度出发,没有考虑到样本总体呈现非线性而局部线性的空间结构,样本间的局部信息对识别率同样有很大的提升作用,同时SPP算法获取的投影变化是非正交的,特征变换之间存在冗余信息,特征信息之间存在冗余的情况对于样本分类过程存在很大的干扰项。基于以上不足之处,提出基于局部信息融合的正交稀疏保留投影,将正交性以及样本间的局部结构信息融入SPP算法之中,同时在AR以及CAS-PEAL人脸库上对所提算法进行验证。 基于SQP局部搜索的多子群果蝇优化算法 针对基本果蝇优化算法在寻优过程中种群多样性降低导致算法易陷入早熟收敛的问题,提出了基于序列二次规划(SQP)局部搜索的多子群果蝇优化算法(MFOA-SQP)。新算法将果蝇种群均匀划分为多个子群,并引入粒子群算法中的惯性权重和学习因子,协同调节果蝇移动方向和步长;每隔一定迭代次数重新划分子群,避免种群单一化,使算法更易跳出局部最优;对子群最优个体进行SQP搜索,提高局部寻优性能。通过6个测试函数和优化广义回归神经网络对银行客户进行分类的实验结果表明,算法在寻优精度和速度方面性能优越,能够有效提高广义回归神经网络的分类准确率。 基于运动学和动力学的关节空间轨迹规划 在机器人轨迹优化设计的研究中,轨迹规划是实现机器人高速、高精度运行的核心,合理的轨迹规划不仅要满足机器人的运动学要求,而且要满足机器人的动力学要求,在驱动电机不变的情况下,增加机器人的速度和载荷是轨迹规划的难点。利用先进的python软件对机器人的运行轨迹进行深入的分析,在关节空间内采用五次插值多项式进行运动轨迹拟合,并将动力学模型加入到轨迹规划中。生成的拟合曲线表明,机器人在关节空间和工作空间内的位移、速度、加速度、加加速度曲线连续可导,各时间段的峰值力矩、峰值功率趋于同一数值,能有效的提高运动部件的速度和寿命。 可配置远程温度监控SoPC系统设计与实现 介绍了一种基于现场可编程逻辑门阵列(FPGA)的可配置远程监控系统的设计与实现,详细阐述该监控系统的工作原理和软件与硬件设计。设计实现的监控系统可以实时调整温度传感器的工作模式和相关参数,并通过IPv4通信协议传送到监控上位机PC端。采用基于SoPC的软硬件协同设计的系统设计方案,具有很高的灵活性和稳定性。根据实测结果表明,系统性能稳定,效率好,参数可实时重配置,与传统的温度传感监控系统相比具有一定的优势。 面向方面程序的简化动态依赖图切片方法 程序切片是一种重要的程序分析技术,广泛应用于程序的调试、测试与维护等领域。面向方面程序设计作为一种新的软件开发范型,能够实现横切关注点的模块化,其特有的语言元素和功能为切片增加了难度。从静态切片和动态切片两种类型,讨论了面向方面程序切片技术。在此基础上,提出了一种基于简化动态依赖图的面向方面程序切片方法,可以减少动态依赖图中节点和边的数量,生成准确的面向方面程序的动态切片,从而有助于人们更好地对面向方面程序进行分析和理解。 形式语言与自动机中关于ε的一些问题 讨论了形式语言与自动机理论中关于空串ε的一些问题。分析了ε产生式对文法和语言分类的影响;从文法和有限状态自动机的角度讨论了开始符号S和开始状态q0的作用;提出了语言增加或减少ε句子的简单方法;研究了ε-NFA的ε状态转换函数的本质;提出了ε-NFA转换为NFA的新方法,即先将ε-NFA转换为文法形式,消除ε产生式和单产生式后得到正则文法,再将正则文法转换为NFA。并用实际例子进行了验证。 基于改进蚁群算法高速采集图像模糊区域分割 在对图像模糊区域分割时,由于受到高速运动环境的影响,使得采集图像的像素梯度与领域特征产生关联性低。传统的图像模糊区域分割算法,由于这种低关联性空间约束场不能对模糊区域分割施加约束,易出现错分现象,导致图像分割不清晰,误分率大的问题。提出改进型蚁群的AMFCM算法。不考虑高速采集图像像素与其领域内其它像素关系,利用蚁群算法的全局性在高速图像采集过程中克服模糊聚类对初始参数的敏感,结合空间约束场对模糊区域分割施加约束,增强算法的鲁棒性。仿真结果表明,结合蚁群的AMFCM算法较为准确的将高速采集图像中的模糊区域分割出来,显著降低了误分率。 基于签名方案的多密钥协商协议 多密钥协商协议可以在一次会话中协商出多个会话密钥,大大降低了密钥协商的成本,因此受到研究者们的关注。提出了一种新的基于签名的多密钥协商协议,新协议利用传统的签名方案实现参与者之间信息的隐藏,并通过引入Hash函数来加强协议的安全性。对新协议的安全性和计算量进行了讨论,结果表明,新协议在减少计算量的前提下实现了协议双方的安全密钥协商。 基于差异化信息融合的语义信息检索模型 研究语义信息检索方法,提高检索的效率.差异化信息由于特征差异过大,在检索过程中存在较大排异现象,传统的语义信息检索模型针对大差异信息检索过程中,以多轮次检测为主,效率很低.为此,提出一种基于决策树算法的语义信息检索方法.根据多层次解析融合相关理论,计算窗口函数,并且根据窗口函数进行不同层次数据的融合,得到差异信息融合结果.根据上述结果,建立决策树,实现语义信息的检索.实验结果表明,利用改进算法进行语义信息检索,能够提高检索的效率. 一种运动搜索算法的优化模型设计 为了减少运动估计中全搜索过程的运算量,提出了一种运动搜索算法的优化模型。通过对运动搜索区域和搜索顺序的优化,缩小了全搜索范围并提高了匹配块搜索的速度。实验结果表明,在图像质量得到优化的前提下,较全搜索算法,该模型在不同频率的视频序列中搜索速度有了大幅的提高。 傅里叶频谱径角特征的植物相似性 提出一种基于图像傅里叶频谱径角变换的纹理特征提取方法,对藤茎类植物的显微图像进行了相似性度量测试.与常见的两种纹理特征提取方法进行比较,能更准确的定义和描述该类植物图像的纹理特征和进行相似性度量. WSANs中基于六边形分区的多中心编址服务发现算法 针对WSANs中的服务发现问题,提出了一种基于六边形分区的多中心编址服务发现算法MASD。算法综合考虑了节点的通信开销和存储负担,设计了一种多中心的编址方案,此方案采用局部计算,拥有计算量小的优点。Sensor节点可按地址或者采用局部搜索的算法寻找到达附近actor节点的下一跳节点。通过实验发现,与现有的imesh算法相比,本算法具有更短的搜索距离和更少的通信开销。 自适应蚁群差分进化算法 针对传统差分进化算法在求解高维复杂问题时存在通用性差、鲁棒性低、收敛速度慢和求解精度低等问题,提出一种基于蚁群算法的自适应多模式差分变异策略。算法在每代进化中,个体根据各变异进化模式上的信息素大小,采用轮盘赌选择策略选择变异算子,并根据各变异算子对优化所做贡献的大小对信息素进行动态更新,贡献大的变异算子可以获得更多被选择的机会,使得各变异算子发挥其最大性能,从而提高算法的收敛速度和通用性。对5个高维的benchmark函数进行算法验证,实验结果表明,该算法很好的提高了差分进化算法的通用性和鲁棒性,有效地克服了收敛速度慢和早熟等问题。 原发纵隔内胚窦瘤的CT诊断 目的:提高对纵隔内胚窦瘤CT表现的认识。方法:回顾性分析11例经病理学证实的纵隔内胚窦瘤的临床和CT资料。结果:11例病灶CT平扫均表现为实性肿块,8例肿块表现为不均质密度,3例表现为均质密度。9例增强CT扫描表现为中-高度不均匀强化,其中5例表现为周边部的不规则线状及网状强化。结论:纵隔内胚窦瘤的CT表现虽具有恶性肿瘤的特点,但缺乏特异性,确诊有赖于病理学检查。 预测控制模型失配的系统诊断算法 针对模型预测控制系统中经常发生的模型失配问题,提出一种模型预测控制性能评估与模型失配诊断的系统框架,为工业系统的后期维护提供帮助。对系统的整体性能进行监控,根据已有的历史性能基准的预测控制性能评估方法,提出一种用户自定义选取历史基准数据的方法,弥补其需要专家知识的缺陷;在检测到系统整体性能下降后,采用数据驱动的思想,利用一种模型失配指标算法对可能引起系统性能变差的模型失配这一因素进行分析,包括过程模型失配和干扰模型失配,诊断模型的失配情况,完成对系统恶化源的初步定位。用Wood-Berry模型对该算法进行仿真验证,结果验证了其有效性。 SAR图像的MMSE降斑算法研究 合成孔径雷达(SAR)图像由于相干成像方式产生斑点,严重干扰人们对图像的解译。所提系统总结了基于最小均方误差(MMSE)准则的SAR图像降斑算法,包括Lee滤波、Kuan滤波和Frost滤波,分析了它们在斑点抑制和边缘保持两方面的特性。仿真图像和真实SAR图像的降斑实验表明,Frost滤波算法同时考虑滑动窗内的统计特性和结构信息,因此优于其它滤波算法。 面向服务组合的覆盖网络构造问题研究 根据服务组合的特点,以自治域为基本单位,通过征集网络中大量可用的内容服务器,并部署少量的组合代理服务器,构建覆盖网络。由于组合代理的数量和位置直接影响覆盖网络的性能,因此将组合代理部署代价以及组合代理到征用节点的路由代价作为优化目标,建立数学优化模型,利用局部算法和自适应遗传算法进行求解。实验结果表明,组合代理部署模型能在满足服务组合应用需求的基础上,使覆盖网络的路由性能达到最优。 一种有效防御虫洞攻击的方法 由于无线传感器网络节点位置信息对网络的应用起着重要的作用,且传感器网络的资源有限,因此,针对DV-Hop定位算法的安全性能较差,定位过程中极易受到破坏性极大的虫洞攻击等缺点,提出了一种有效防御DV-Hop中的虫洞攻击的方法,在DV-Hop算法中引入了检测虫洞攻击及有效防御虫洞攻击的EPWDV-Hop算法,通过Matlab仿真软件进行模拟仿真。仿真结果表明,修改后的算法不仅提高了定位精度,而且很好地预防了算法中的虫洞攻击。 一种新型的免疫多目标优化算法 免疫算法借鉴了生物免疫系统独有的自适应、自组织、多样性、免疫记忆等优良特性,是智能计算领域中继人工神经网络和进化计算之后的又一个研究热点.提出一种新型的基于聚类的免疫多目标优化算法(CMOIA),借鉴了免疫算法的亲和度定义,由此亲和度定义的免疫变异操作子使得算法产生的抗体群体能够逐渐向精英群体变异,结合进化算法在局部搜索中维持解个体多样性的能力对免疫变异产生的抗体群进行交叉变异操作,采用一种基于聚类的克隆选择算子来保持免疫算法在探测新解和加强局部搜索之间的平衡.选取了8个通用的多目标优化问题对3个广泛采用的性能指标进行了测试.与现有两个经典的进化优化算法相比较,算法所产生的解集在收敛性、多样性等方面显示了相当的独创性和先进性. 基于异质网络的长非编码RNA和蛋白质相互作用的预测算法研究 长非编码RNA在生物过程中扮演着非常重要的角色,长非编码RNA可以与多种蛋白质结合发挥其生物功能,预测长非编码RNA和蛋白质的相互作用也成为了研究长非编码RNA功能的途径之一。由于长非编码RNA的低保守性,通过提取特征和用机器学习算法预测它和蛋白质之间的相互作用将会不太合适。LPHeteSim算法是一种基于对称路径随机游走的方法,它可以衡量异质长非编码RNA和蛋白质相互作用网络中两者的相关性。在导质网络中,LPHeteSim算法可以有效地预测两者的相互作用,实验结果验证了算法的有效性。 基于情景演算的动态访问控制模型 访问控制模型定义了安全系统访问控制的整体框架。现有的访问控制模型大多是静态授权模型,尽管可以通过扩展来实现局部动态性(比如可以通过定义条件来实现角色的临时激活等),但在应用时受到了扩展元素的限制,并且已有的大部分模型无法描述授权的动态变化过程。针对以上问题,提出了一种基于情景演算的动态访问控制模型(SCDAC)。SCDAC用逻辑事实和规则来描述访问控制属性和策略,把授权在某一时刻的状态(逻辑事实和规则集合)看作一个情景,通过动作来实现情景的变化,同时刻画了动作执行的前提条件和后续状态的变化情况。最后通过一个实例说明了用SCDAC来描述授权状态的动态变化是可行的。 宽带系统中载波频偏与采样频偏的联合估计 提出一种针对多波带正交频分复用系统中载波频偏与采样频偏的联合估计算法。为了在频偏较小时获得对噪声干扰足够的鲁棒性,用预偏转技术把频偏预旋转到一个较大的值作估计。该算法在频域处理3个连续相同的前导符,它对频率相关性I/Q失配和频率无关性I/Q失配都具有很好的鲁棒性。基于多波带正交频分复用超宽带无线传输系统的仿真结果,验证了提出的载波频偏和采样频偏联合估计算法的有效性。 基于中间件的仪器代理服务平台的研究 "随着互联网的快速发展与广泛应用,测试任务的执行正在由传统单点朝着多点网络化方向发展,而测试系统的构建也朝着虚拟网络化方向发展;通过对现有网络化测控系统进行研究发现其主要关注于采用集成软件体系架构提高系统的测试性能,对于网络化管理方面则涉及较少;基于此,将仪器仪表纳入网络管理的范畴,提出了基于中间件的仪器代理服务平台的概念;同时为了解决各种仪器仪表设备的统一化管理,提出了统一网管接口——仪器代理服务平台系统三级体系结构模型;实践证明,该网管接口具有良好的扩展性,极大地方便了后期的开发工作,节省了大量人力物力;因此,对仪器代理服务平台的研究不仅是互联网技术研究领域中的一个重要分支和热点,而且是物联网上""物""与""物""之间的互连对话平台。" 基于本体的工作流知识管理系统设计 针对工作流系统应用在企业知识管理时面临着流程数据整合不易、文件内容词汇关系不明确与存取控制不便等问题,提出一种基于本体的工作流知识管理系统架构。该系统采用XML作为流程文件交换与存储格式,利用本体论的方法将不同知识领域包含的概念及关联特性整合进工作流程中,设计基于角色继承的授权管理机制进行精确的文件存取控制。介绍工作流系统的体系架构,并分析工作流引擎的设计及实现机制。该系统架构为工作流程中的知识管理提供一种新的管理及控制模式,利用该架构开发的工程设计检验系统运作良好。 地震监测系统AETA的数据处理设计与实现 介绍了多分量地震监测系统AETA。该系统由地声传感探头、电磁传感探头和数据处理终端以及云服务器组成,是一种低成本、可密集布设的地震监测系统。数据处理要求用可以接受的传输带宽和存储空间,获得尽可能多的信息,用于地震监测预测分析。为此,数据处理终端将全频原始数据和低频原始数据进行时间片的抽样截取后直接发往云服务器,服务器对该数据基于不同的算法进行特征值提取,包括均值、振铃计数、峰值频率。原始数据和特征值均保存至数据库,供数据分析人员实时查询和分析,整个数据处理的算法均在Linux系统下利用C++编程语言实现。同时还介绍了数据处理终端完善的远程监控和维护机制。 基于自适应手指分割与判别的静态手势识别 由于动态手势可以看作是多帧静态手势的融合,研究静态手势成为解决手势识别问题的重点。针对静态手势,提出一种自适应手指分割与判别的手势识别算法。首先,运用YCb Cr颜色空间的肤色聚类特性对手势图像进行分割,并采用质心点漂移的理念确定手指方向并作旋转归一化处理;其次,针对手势轮廓点的梯度方向和跨度确定手指的候选区域,并结合形态学的方法重建出单一手指的二值化形态;最后,选取恰当的形状特征,运用SVM分类器对其形状特征进行分类。实验表明该方法具有较好的识别率。 分形拓扑变幻的随机密钥生成算法研究 针对现有随机密钥生成方法随机性低与运算复杂的缺点,引入一种拓扑群对象的分形变幻运算思维进行改进,并构造新的简单高效的分形变幻环运算机制,在此基础上提出了一种简单高效的拓扑群分形变幻随机密钥生成算法。该算法首先将图像数据进行集合划分,将划分的子集进行散列运算之后作为随机密钥生成的输入,然后进行分形变幻环运算,得到环运算后的子集合点的坐标值,最后输出整个伪随机序列。实验结果表明,该算法高效可行,随机性强,算法的时间复杂度低。 基于覆盖图优化的多播路由算法 在P2P网络中,传统的多播路由算法是基于覆盖图的优化的,这些算法存在着覆盖图的结构在全局上不是最优的、资源的利用率较低的缺点,同时叶子结点不能贡献任何带宽给多播树中的其他结点。设计了一种基于覆盖图优化的多播路由算法AOO,它在覆盖图优化的基础上,利用历史连接信息,使参与多播的结点能够使用最近的邻居来保证流媒体服务的质量,在多播组成员之间来均衡负载,从而提高P2P网络的全局资源的利用率。仿真实验表明,该算法能够有效地提高P2P网络的性能。 基于词汇树的图片搜索 针对基于内容的图片搜索存在召回率低及匹配速度较慢的问题,在词汇树的基础上,利用模糊量化加以解决。把从图像中抽取到的SIFT特征利用词汇树模糊量化到单词中,从而将图片转为用向量表示,同时用向量间的比较测量图片相似度。实验结果表明,该方法可以有效缩短响应时间,提高搜索结果的召回率。 超密集网中基于分簇的功率优化控制方案 超密集网(UDN)的组建可增加热点地区的系统容量,但由于网络中存在严重区间干扰,限制了UDN的部署。为此,提出一种基于小区分簇的功率优化控制方案。通过预先设定的系统平均干扰阈值进行分簇,将系统中干扰较大的小区分到一个簇中,当簇中的小区对其他小区用户的干扰低于设定的阈值时,将此小区从这个簇中去除。该方案的功率控制由控制器根据簇内基站所占的权重值为各个基站分配相应的功率值,从而达到控制目的。仿真结果表明,该方案能够有效抑制网络中的干扰,提高系统吞吐量。 分子动力学在小型SMP集群中的并行计算 为提高分子动力学的模拟运算效率,在Linux环境下以MPICH技术构建的小型SMP集群系统上,对模拟体系采用改进的原子分解算法进行并行计算。将要模拟的原子平均分配给各个计算节点进行计算,节点间通过MPI进行通信。对进程间的接收和发送进行捆绑操作并采取非阻塞通信取代原有的阻塞通信,从而避免了死锁情况。实验结果表明:优化后的并行算法可以有效地利用计算机资源,提高运算效率,解决了实际测试中出现的死锁问题,在该集群系统上获得3倍以上的加速比。 服务组件架构的框架元模型及实现扩展点研究 软件框架为特定领域内的软件重用带来了极大的便利,然而随着业务系统的发展,传统的基于组件的软件框架已经越来越难以满足业务系统发展的需要.面向服务架构作为新型的软件架构,具有极大的灵活性和扩展能力来支持业务系统的发展需要,在没有具体的指导规范下,SOA开发是相当困难的。服务组件架构作为目前SOA最佳的编程模型,对其深入研究和理解,将有助于快速开发基于SOA的应用。从SCA的基本概念出发,分析了SCA的组成结构,在此基础上给出了SCA框架元模型,分析研究了实现扩展类型,并给出了具体应用示例。 基于Unity的数字化车间改进资源动态调度算法 针对基于Unity引擎的车间仿真系统加载过程中内存占用大,导致系统在运行时存在卡顿、不流畅的现象,从模型资源加载角度设计一种资源动态调度算法.首先通过四叉树算法将场景递归的分割成多个叶子节点进行存储,然后结合资源动态调度算法以摄像机位置为中心对周边节点的资源进行预设实例化和预设销毁完成内存的管理.最后根据Unity的内存管理机制,设计并实现了对场景资源的动态调度和内存优化,实验结果表明资源动态管理算法有效控制某时刻内存中加载的数据量相对稳定降低了IO总量,避免了漫游移动时造成的内存颠簸,使系统的运行更加流畅. 无人机三维实时航迹规划仿真平台 无人机航迹规划是任务规划中的关键技术,为得到一条既安全可靠又全局代价最优的三维航迹。针对实现实时动态规划最佳航迹,利用图形用户界面开发环境,规则网格的数字高程地图模型建立了基于地形因素的多种威胁源模型,采用改进型概率地图法(probabilistic roadmap method,PRM)与最短路径搜索—Dijkstra算法相结合的方法规划了三维航迹。最终设计的平台能够实现多种航迹规划算法的搜索,二维与三维、实时与非实时、单机与多机的航迹规划仿真。通过平台的实际运行,证明了改进PRM算法的高效性,同时验证了该平台的有效性与实用性。 基于NAS的私有云存储平台的设计与实现 随着大数据时代的到来与高速网络建设的快速推进,数据化网络资源共享已渗透到人们的日常工作、学习、生活当中;数据网络化储存、多人资源共享成为现代信息传播与保存的重要方式;但是,网络储存平台的安全性一直令使用者担忧;因此,各种各样的私有云储存平台孕育而生,为使用者提供相对独立的个人使用空间;经过长期的使用发现,传统的私有云存储平台虽然可以达到一定的安全性,但是,安全性只相对公共开放网盘而言;同时,存在多用户瞬时访问下协议拥堵、大数据交互节点回馈延迟高的问题;针对传统私有云的架构特点与问题产生原因,提出基于NAS的私有云存储平台的设计与实现方法;采用基于NAS的协议加密技术、多路访问优化单元、数据压缩单元对传统私有云存在的问题进行针对性解决;通过仿真实验证明,提出的基于NAS的私有云存储平台的设计与实现方法,具有数据储存安全性高、峰值状态下访问点网络畅通性好、数据网络传输交互率高、延迟小等优点。 基于子图显著性剖面的软件超家族 研究了开源软件的网络结构中3节点子图的显著性,发现软件规模越大局部结构的网络化趋势越显著.树型的3节点子图呈现下降趋势,在封闭的3节点子图中,除部分趋势不显著外,大都呈现上升趋势.根据3节点子图的显著性剖面,软件网络大致可以分为3类,与已发现的4个有向复杂网络超级族中的3个基本一致,大部分软件网络的局部结构与生物网络相似.网络的规模可能是影响子图显著性差异的原因之一,随着软件规模的增加,3节点子图的显著性趋于一致. 基于CK810处理器的汇编链接时优化 提出基于CK810处理器的16/32位混编指令集汇编链接时优化技术。利用汇编输出二进制文件,根据CK810处理器的16/32位混编指令集中指令及操作数的特征,动态选择指令的编码方式,实现对指令relax,最大程度地提高了程序的代码密度。对于在汇编时不能确定编码方式的指令,通过留出重定位的方式,由链接时完成优化。在链接时,利用信息的确定性,实现对整个程序的压缩和指令的替换,使得程序执行效率更高,代码占用空间更小。汇编链接时优化技术克服了传统编译器只限于一个模块优化的缺点,把优化范围扩展到整个程序,实现了跨模块的优化,使得基于CK810处理器的程序代码密度平均提高7.52%,性能平均提升7.91%。 基于高斯的大气污染评价模型 研究城市防治问题,采用大气污染的经典高斯扩散模型,结合气象因素对污染物浓度的影响,分别估算由污染源排放所造成的大气污染物SO2、NOx和颗粒物的浓度值,根据国家标准,分析各污染物之间的数量关系,建立模糊评价矩阵,最终划分该地区的大气污染等级。结果表明,两种方法的有效结合能够准确地得出某一污染源对该地区大气污染情况影响的综合评价。测试结果表明,符合实际情况,对大气监测有实际意义。 集装箱码头场桥协同调度研究 针对集装箱港口场桥调度过程中场桥移动路径具有冲突性的特点,提出了将集中决策和多agent建模相结合的优化方法,充分发挥集中决策的高效性和多agent建模的灵活性。通过数值实验和以往的调度方法进行了比较,结果显示得到的调度结果具有良好的可行性。 一种改进的K-means蚁群聚类算法 现有的K-means蚁群聚类算法,首先进行K-means聚类算法操作,快速、粗略地确定初始聚类中心,接着根据上一步获得的聚类中心再进行蚁群算法聚类操作,有效地解决蚁群聚类算法收敛速度过慢的问题。研究发现,现有的Kmeans蚁群聚类算法并没有改善算法在迭代后期易出现收敛于非全局最优的缺陷。针对这一问题,提出一种改进的Kmeans蚁群聚类算法。每次迭代结束时,随机选择一个或多个簇,再从选中的簇里选择含有信息素最小的节点进行变异操作,把选中的节点变异到其他簇,计算评价值判断变异是否进行。仿真实验结果表明,用F值表示的平均值和最差结果都比原有的算法较好,有效解决了原有算法易收敛于非全局最优及早熟问题,但由于变异操作使算法运行时间相对较长。 噪声水平不一致性的图像拼接区域检测方法 针对图像拼接篡改检测与篡改定位技术进行研究,提出了一种噪声水平不一致性的图像拼接篡改定位方法.该方法利用改进的拉普拉斯算子对噪声具有双倍加强作用的特点,结合奇异值分解,提取非重叠图像块的局部图像梯度矩阵和噪声特征,然后利用基于聚类的阈值算法,对得到的特征进行分类并定位出篡改区域.与现有的基于噪声的图像拼接区域检测方法相比,所提出的方法不仅能够检测拼篡改区域,而且当拼接区域与原始区域之间的噪声差异较小时依然有效,并且对于内容保持的图像处理操作如JPEG压缩、高斯模糊、伽玛校正、下采样等是鲁棒的. 改进的LB图像修复模型及其算法 针对格子波尔兹曼(LB)模型模拟图像修复过程,提出了一种基于P-Laplace算子的改进LB图像修复算法。该方法利用P-Laplace算子的非线性各向异性扩散性来填补受损区域,并且忽略了梯度对修复过程的影响。实验结果表明,改进后的LB修复模型,其修复效果比TV和CDD模型好;与LB模型具有相似的修复效果,却比它具有更快的修复速率,适用于苹果采摘机器人视觉系统中对树枝遮挡部分的修复、老照片修复,以及文字移除等领域。 基于广义高斯随机混沌算法的AFSA在WSN覆盖中的研究 如何能够进行更好的覆盖优化是目前无线传感器网络研究的热点。针对目前的传感覆盖模型没有考虑覆盖范围、成本和连通性的问题进行改进,在人工鱼群算法(AFSA)的基础上,对初始阶段对人工鱼群采用广义高斯分布,在觅食阶段采用混沌算法,使得个体分布更加合理,有效减少了聚群行为的时间。仿真结果表明,改进后的人工鱼群能够有效地提高节点的覆盖率,降低了网络消耗和成本,为传感覆盖优化提供了一种新的方法。 主从模式下通信协议策略及优化算法研究 针对主从通信数据流冗余、实时性差问题,分析传统通信协议特点,提出块、离散、混合三种协议策略。通过建立地址集合通信延迟协议性能描述模型,分别证明动态规划、贪心算法求解块、离散策略最优地址组帧解的完备性,并用二元任务分优先级的通信架构解决了遗传算法在求解混合协议时实时性差的瓶颈。通过实验,分析三种策略随读写内存地址分布的适用情况,表明混合协议策略具普适性。 前馈神经网络在水泥胶结识别中的应用 为了解决石油测井中水泥胶结质量识别误差较大的问题,采用八扇区水泥胶结测井仪进行声幅测量。仪器灵敏度变化以及泥浆对声信号的衰减所引起的误差可以综合利用首波幅度信息对其消除。通过对非线性连接权的神经网络方法的研究和阐述,克服了传统的BP学习算法过程中难以跳出局部极小值与收敛速度慢的缺点,使其具有3层BP网络的功能且提高了运行速度,优于统计识别方法。实验表明,前馈神经网络方法的应用可识别水泥胶结质量,识别正确率远高于相对幅度法,效果显著。 运动估计算法预测搜索起始点 高精度的预测搜索起始点方法可以减少运动估计算法的搜索点数,提高搜索速度和精度。对中值,均值,左块,SAD比较等方法进行了理论介绍和实验分析,提出一种新的预测搜索起始点方法。该方法是利用相邻块运动矢量的相关性和运动矢量的偏向分布特征给相邻块分配不同的权重来预测搜索起始点。实验结果表明对不同种类的标准测试序列新方法比其它方法能够减少更多的搜索点数,减少搜索点数的总数达到29.24且PSNR提高1.71dB。 一种数据辅助的OFDM符号定时同步算法 针对正交频分复用系统的同步偏差敏感性,提出了一种新的符号定时同步算法。该算法通过新设计的训练序列结构,得到新的符号定时偏移估计函数表达式。仿真结果表明,该算法有效地克服了Schmidl、Minn和Park算法中的符号定时缺陷,并且在低信噪比下能实现更准确的同步;另外,该算法在同等条件下符号定时偏移估计的均方误差更低。 IP网络环境下基于PI控制的实时视频流连续播放控制算法 为了克服IP网络环境下网络扰动对流媒体连续播放的影响,从接收端的角度来解决流媒体连续播放问题,提出一种基于PI控制的实时视频流连续播放控制算法.该方法在接收端使用根轨迹法设计PI控制器,通过控制播放速率,调节缓冲区的长度,实现了媒体的连续播放.为了真实地反映网络传输对接收端播放的影响,本文构建了一种基于TFRC协议的实时视频流传输控制框架.NS2仿真实验表明该方法与其他方法相比,播放质量明显提高,缓冲区出现欠载和溢出的机率明显降低,视频帧端到端平均时延明显缩小,实时性能明显增强. 基于单目视觉几何构建的三维扫描系统 为构建基于单目视觉的快速便捷式三维扫描系统,提出一种高精度的单目视觉几何投影的场景建模方法,并开发一种低成本高精度的三维扫描系统。首先,获取平面标定点的图像坐标,经投影变换将其转换为摄像坐标系下的三维空间位置坐标,分别建立平移台面和底座的三维空间平面方程;其次,通过移动平移台面求取同名标定点的空间坐标,求解平移台面的平移向量,并通过落在平移台面和底座上的激光线条求解激光平面;最后,提取图像中的激光光条中心点并将其变换为物体表面的三维点云数据。实验结果表明,投影变换求得的平面方程误差小于0.2%,扫描结果误差低于0.05 mm。 社交网络项目推荐模型及应用研究 将社交网络中目标用户和朋友之间相同兴趣产生的原因解释为潜在因子空间中的潜在因子,对社交网络中目标用户和朋友用户共同兴趣进行潜在因子分析,构建基于用户朋友关系的社交网络项目推荐模型,预测社交网络目标用户喜欢的项目。将基于社交网络项目推荐模型应用于实际应用场景中,研究表明与基于协同过滤技术的推荐方法相比较,该模型能够显著提高推荐质量,并具有良好的可扩展性。 多核密码处理器数据缓存机制研究 对多核密码处理器的数据缓存特点进行了研究,提出了一种基于双RAM与硬件队列的多核密码处理器数据缓存机制,并设计了相应的多核数据分配控制器.最后与现有的数据缓存方式在多核密码处理器中的应用性能进行了对比,结果证明提出的多核数据缓存机制具有更高的吞吐率与核心利用率,从而提高了多核密码处理性能. 基于控制流分析的隐藏代码动态捕获方法 为获取并分析采用加壳、控制流混淆技术所产生的隐藏代码,提出一种新的隐藏代码动态捕获方法。利用静态控制流分析算法提取动态捕获点,采用动态二进制插桩技术插入监控代码,在程序的执行过程中实现隐藏代码的执行前分析。实验结果证明,该方法能够减少程序插桩点,有效获取并分析可执行程序中的隐藏代码。 合取范式3可满足问题的局部搜索近似算法 合取范式最大可满足问题是理论计算机科学的核心问题.局部搜索被许多求解实践证明是解答合取范式最大可满足问题十分有效的方法,但未见关于局部搜索算法解答该问题性能分析的结果.文中讨论最大3可满足问题(Max-(3)-Sat)的局部搜索算法并分析算法性能.证明Max-(3)-Sat问题的一位跳变局部搜索算法的近似性能比为4/3;证明一位跳变局部搜索后跟有条件全体跳变算法,解答Max-(3)-Sat问题的近似性能比为5/4.设计一位跳变加全体跳变的新局部搜索算法,证明新算法解答Max-(3)-Sat问题的近似性能比为8/7.将8/7-近似局部搜索算法推广为解答Max-(k)-Sat问题的局部搜索算法,证明算法的近似性能比为(2k+2)/(2k+1),k4.设计解答Max-(k)-Sat问题的两位跳变局部搜索算法,证明两位跳变局部搜索算法的近似性能比为1+1/(2k+1+k(k-1)/(n-k)),k4.局部搜索算法经多次运行可进一步提高求解性能.文中结果显示,局部搜索算法在合取范式最大可满足问题求解实践中表现出高性能,有其必然性. 基于Spark与词语相关度的KNN文本分类算法 针对K-最近邻(KNN)分类算法在当前大数据背景下分类效率降低、分类效果不理想的问题,提出了一种基于Spark框架与词语相关度优化的高效KNN文本分类算法。在相似度计算过程中,采用词语相关度将文本词语间的关系考虑在内,对分类算法相似度计算进行优化,从而提高文本分类的准确度;依托Spark计算框架的内存处理机制,实现文本分类的并行化,从而提高KNN文本分类算法的处理效率,同时在并行化过程中建立类别-距离向量,以进一步加快文本分类的处理速度。实验结果表明,Spark框架下基于词语相关度的KNN文本分类算法在保证分类效果的基础上大大提高了分类效率,较Hadoop平台有较好的加速比,可有效地对大数据进行分类处理。 基于压缩域水印的AVS视频认证 为了提高压缩域视频水印的安全性,提出一种基于压缩域的AVS视频认证水印新算法。它从视频空间的三维特性出发,利用I帧分块能量关系、GOP结构信息、帧结构信息等构造特征码。在嵌入算法上,将特征码同时嵌入到中频DCT系数和运动矢量中,在认证端将重建特征值和提取的双水印进行比较。仿真实验结果表明,该算法具有较好的窜改认证能力。 基于形态学滤波的相关跟踪算法研究 相关匹配算法是光电跟踪领域的经典算法之一。首先利用基于梯度调整的平均灰度法进行图像分割,得到二值化图像,其次对该图像利用数学形态学进行图像滤波,在此基础上设计出一种新的相关匹配算法进行电视红外图像跟踪,实验结果表明该方法具有很强的抗干扰性,可用于复杂背景下的目标跟踪,可用于实时图像处理中。 多车场物流协同运输调度问题研究 物流协同运输是现代物流模式的发展趋势,而利润则是驱动物流协同的关键因素。针对多车场物流协同运输中的调度问题,基于汽车配件物流运输的相关约束,建立了由利润驱动的配送任务模型。通过模糊聚类建立基于集货中心点的遗传种群,通过遗传算法的交叉、变异操作进行迭代进化,在一定的迭代次数内得到一个最优解。通过一个实例说明了该模型的求解结果可以使得不同物流企业的运输车辆之间发生协同的同时达到增加物流企业运输利润的目标,从而验证了该模型的正确性和合理性。 基于0-1规划的异构传感器网络任务分配策略 为了减少无线传感器网络节点处理任务的总体能耗、均衡网络节点的剩余能量以及减少网络中任务的调度时间,提出一种三目标任务分配算法。利用0-1非线性规划理论建立问题的代价函数,用能量方差表征节点处理任务后的剩余能量均衡度,并结合离散粒子群优化算法(DPSO),以最小化代价函数为优化目的,从而得出经优化的任务分配策略。仿真实验表明基于0-1规划的任务分配策略能有效地减少网络总体能耗,均衡网络节点剩余能量(延长网络寿命)以及减少任务调度时间。 工业甲基萘中喹啉和吲哚的研究 以工业甲基萘为原料,提取β-甲基萘、α-甲基萘的过程中,需要首先脱除喹啉、异喹啉,同时尽量避免吲哚损失。考察了不同酸类型、酸浓度、酸过量系数、反应时间及反应温度等主要因素对喹啉、异喹啉脱除率和吲哚保留率的影响情况。结果表明,硫酸是最合适的酸洗剂;在适宜的工艺条件下使用硫酸作为酸洗剂,可以将工业甲基萘中绝大部分的喹啉、异喹啉去除,脱除率大于98%,且吲哚保留率大于95%,洗涤后的工业甲基萘中喹啉含量低于0.2%。 基于惩罚的SVM和集成学习的非平衡数据分类算法研究 利用各类算法对非平衡数据进行处理已成为数据挖掘领域研究的热问题。针对非平衡数据的特点,在研究支持向量机的相关理论及K-SVM算法基础上,提出基于惩罚机制的PFKSVM(K-SVMbased on penalty factor)算法,克服K-SVM在最优分类面附近易发生错分的问题;并提出由重构采样层、基本训练层和综合判定层组成的集成学习模型。利用UCI公共数据集的实验验证了PFKSVM算法及集成模型在处理非平衡数据分类时的优势。 Windows 7遍历PspCidTable表检测隐藏进程 PspCidTable表保存着所有进程和线程对象的指针,遍历PspCidTable表可以枚举所有进程包括隐藏进程。分析了windows 7的PspCidTable表的结构,论述了windows 7的PspCidTable表的内存地址获取方法,遍历PspCidTable表的算法,最后给出自动检测的实现步骤及方法。在windows 7操作系统上实验表明可高效枚举所有进程,包括通过挂钩枚举进程的函数或进入内核空间直接修改内核数据来达到隐藏自身目的的进程。 自适应JITL-PID控制器设计方法(英文) 本文直接利用即时学习法(JITL)提出了一种新的自适应PID控制器的设计方法。该方法利用开环数据和闭环参考模型建立了参考数据库,并利用JITL的自适应特性以及良好的预测能力,直接利用JITL从参考数据库中选取相关数据集获得自适应PID控制器的参数,不需要对化工过程建立数学模型。仿真结果表明所提出自适应PID控制设计方法相较于虚拟参考反馈整定法(VRFT)具有更好的控制性能。 公平的电子合同签署协议的博弈分析与改进 为了克服传统时序逻辑以封闭系统方式分析协议的缺点,根据电子合同签署协议的特点引入一种基于博弈的ATL逻辑形式化分析方法。利用该方法分析了一个公平的电子合同签署协议,发现该协议存在不满足公平性和时限性的缺陷。通过向协议中添加额外的时间控制信息和Abort子协议对该协议进行了改进,并使用Mocha模型检测工具以ATL公式和In-variant Checking相结合的方式对改进协议的公平性和时限性进行有效地验证。实验结果表明,改进后的协议满足公平性和时限性。 民用飞机多级健康状态评估技术研究 保障飞机安全高效地运行,不断提高飞机派遣可靠度是每一家航空公司需担负的责任和不懈追求的目标;民用飞机多级健康状态评估技术将充分利用各类工程数据、技术数据和可靠性分析数据,建立飞机技术派遣量化评估方法,甄选影响飞机技术派遣的主要参数,并通过对各参数进行权重分配,建立综合量化分析模型,针对专机、VIP及其他特殊航线运行要求,精准高效地为飞机的技术派遣提供决策依据,保证特殊运行航班的安全性以及签派可靠度;在航空公司机队的实际应用中表明,基于飞机多级健康状态的评估系统能够有效地提高机队的派遣可靠度和日利用率,具有重要的工程应用价值。 不确定两层冲突环境的建模与分析 论文是在简单对策模型的基础上建立了两层超对策模型.并运用这个模型解决两层协商中的冲突问题。通过合理的假设,建立了一个典型的超对策模型,通过引入一种理性行为共生的概念作为其两层超均衡解,并讨论了两层超均衡解存在的条件。 输卵管阻塞不孕症的介入治疗回顾性分析 目的:探讨选择性输卵管造影和输卵管再通术治疗输卵管阻塞不孕症的临床疗效以及临床价值。方法:对4260例输卵管阻塞的患者进行选择性输卵管造影和再通术,采用球茎端导管应用微导管导丝对输卵管阻塞进行治疗,回顾性分析其再通率和受孕率。结果:4260例患者中,完全性输卵管阻塞者再通率为58.41%,其中近端、壶腹部和伞端阻塞的再通率分别为58.41%、64.41%、52.50%。输卵管不完全阻塞者再通率为96.17%。其中不畅和极不畅的再通率100.00%、90.04%。完全性输卵管阻塞者的妊娠率为29.78%,不完全性输卵管阻塞者妊娠率60.01%。结论:选择性输卵管造影和输卵管再通术成本低,操作简便、安全、损伤小、无明显并发症,其疗效高,在门诊即可治疗,适合基层医院的推广使用。 针对IDEA加密算法的差分功耗攻击 研究分析国际数据加密算法IDEA的特点,采用差分功耗分析攻击方式进行密钥破解,针对IDEA算法提出一种基于汉明距离的差分功耗攻击方法。该攻击方法是一种典型的加密芯片旁路攻击方式,其理论基础为集成电路中门电路在实现加密算法时的物理特性、功耗模型及数据功耗相关性。详细介绍了针对IDEA加密系统进行差分功耗攻击的设计与实现,开发了相应的仿真实验平台,实验成功破解了IDEA加密算法的密钥,从而给IDEA加密算法研究者提供了有益的安全设计参考。实验表明,未加防护措施的IDEA加密系统难以抵御差分功耗的攻击。 社交媒体用户行为的时间模式隐私攻击方法 网络社交媒体用户个人隐私信息的保护具有极其重要的意义。现有的有关隐私保护的研究集中于一般关系型数据、位置和轨迹信息、社交网络关系等数据类型的隐私保护,而社交媒体数据结构的复杂性使得隐私泄露的情况变得更加隐蔽,现有针对其他类型数据的隐私泄露的判定方式和隐私保护方法难于直接用于社交媒体用户行为的时间模式分析。为了发现社交媒体复杂时间数据中存在的潜在隐私泄露问题,给出针对网络论坛用户的行为时间模式的隐私泄露挖掘方法,设计并实现了多方法、多选择的聚类攻击者模型,实验通过攻击社交媒体用户的行为模式数据集,发现了用户行为时间模式的特异性和网络论坛中广泛存在着的用户隐私泄露的问题,应当引起充分重视。 移动终端匿名认证方案的分析与设计 针对当前移动端用户在使用移动互联网时不断提升的对匿名认证和保密通信服务的需求,提出一种基于哈希函数、伪随机数发生器的匿名身份认证及密钥协商方案.本方案使用哈希函数、伪随机数发生器代替公钥密码体制和时间同步系统,提高了系统性能;并且在用户设备以及服务器上设定不同的保存加密信息的方法,实现不同形式的匿名认证,最终协商出安全的会话密钥.通过安全性分析、功能和性能的比较表明该方案具有较好的安全性,灵活和实用性,同时能够保持良好的通信效率. 结合暗原色图像参考的单幅图像去雾 针对在暗原色先验理论下对天空区域的透射率估计总是过于偏小的问题,提出了一种基于暗原色图像的透射率纠正方法。以暗原色图像为参考,提取其天空等明亮区域的灰度归一化值替换生成新的透射率图。该方式提高了天空区域的透射率值,使其更接近于真实值。实验结果表明,对于各类雾化图像,在无须调整各参数值的情况下,该算法均能很好地避免天空区域出现色差失真问题;同时对于不含天空区域的图像,去雾仍然有效。此外,算法执行时无须进行复杂的天空区域识别及提取等操作。算法在实际去雾应用中实现了无参化,自动化程度高;对于分辨率为640×480的彩色图像,速度可达1.5 fps。 一种新型跟踪离散1/f噪声信号递归RLS算法 给出了一个对离散1/f噪声信号进行跟踪简单修正的RLS算法。正规RLS算法或快速RLS算法在有限运算精度条件下的收敛性和失调性没有本质区别,它们在有限迭代次数后必定会导致RLS滤波器权系数发散,特别是在跟踪非平稳信号时更是如此。鉴于此,通过引入一个非线性函数对RLS滤波器输入数据的逆自相关阵予以修正。实验表明该算法具有良好的跟踪非平稳信号以及具有混沌特性的1/f噪声信号的能力,能有效降低跟踪的平均误差以及方差,且能根据输入数据的变化快速调整滤波器系数,性能比正规RLS算法好。对于跟踪fBm噪声过程如何动态调节记忆因子的问题,推导了记忆因子与输入信号的自相关矩阵特征值之间的一个关系表达式,这为采用RLS算法动态调整记忆因子来跟踪fBm过程提供了理论依据。 网络质量智能分析督办系统的设计与实现 "用户对电信服务质量要求的不断提高和市场竞争的日益激烈,迫使电信网络的质量管理工作由粗放式运维向精细式控制转变,网络质量管理的粒度从""网络级""求精到""网元级""甚至""板卡级"",管理的环节从""一级自主管理""拓展到""多级闭环管理"",使得网络质量管理工作的难度越来越大。为解决这些问题,介绍一个网络质量智能分析督办系统的设计及其实现。系统为每个被管对象建立健康度模型,从负荷、业务量、业务质量、告警、待办工单等多维度综合评价网元的健康度,达到阈值即触发督办动作,将任务推送给相关责任人,实现对大批量、高复杂的网络质量问题的智能化督办处理。该系统能够显著提高电信网络质量管理工作的精细化水平,并显著降低工作成本。对系统的架构、主要功能部件的实现进行了详细的介绍,对系统的应用进行了举例说明。" 基于空时置信关系的运动检测方法 为了提高运动目标检测的准确度和精度,提出一种基于空时置信关系的运动检测方法。该方法利用快速核密度估计对图像像素点与其邻域像素点的空时关系进行建模,并根据样本值的离散度为背景模型分配对应的权重,最后依据像素值的背景隶属度权重均值,判断当前像素点属于运动前景还是背景。实验结果表明该方法对复杂场景具有更好的鲁棒性。 基于特征草图和分形插值的可控真实感地形合成 三维地形在各种虚拟室外场景设计与制作中有着广泛的应用,为实现地形合成结果的可预测性和可控制性,提出一种基于特征草图绘制和独立山体拼合的真实感地形合成算法。首先在四叉树结构细分下借助改进的分形插值方法生成多个不同形状和地表特征的独立山体;然后,在用户绘制的期望地形特征曲线的控制下将一定数量的独立山体自然拼合生成最终的三维地形模型。实验结果表明,算法不仅能有效控制地形合成结果,同时支持多种类型的真实感虚拟地形的合成。 基于本体的LVC仿真联邦构建技术 分析了概念互操作层次模型,提出了基于本体的LVC仿真联邦构建过程。在给出仿真任务本体、仿真资源本体详细定义的基础上,构建了相关知识库,以形式化的方式为仿真资源和仿真任务增加了语义信息。研究了OWL到Prolog的映射、推理规则的确定,基于Protégé和XSB Prolog实现了自动化构建仿真联邦的推理引擎。合成营仿真联邦构建平台的实际应用结果表明,该方法实现了分布式异构仿真资源的语义互操作,提高了大规模复杂仿真联邦的构建效率和稳定性。 汽车维修数据库中关键故障数据查询模型仿真 在大型汽车维修数据库中,关键故障数据查询关系到汽车的维修速度。随着汽车种类与复杂程度的增加,维修数据库故障数据属性种类逐渐增加,且具有无序性。传统的汽车故障数据查询方法需要根据故障属性建立横向的查询数据结构,属性之间在数据结构上是并列且相互独立,一旦属性过多,造成数据结构横向过于复杂,故障数据查询耗时长且查询准确率低。提出采用模糊粗糙集算法的大型汽车维修数据库中关键故障数据查询方法,建立故障数据查询模型,为数据分类提供完备的数据支持,依据模糊粗糙集相关理论对数据进行详细分类,计算数据属性的匹配模糊度值,并进行排序,以获取分类结果,实现大型汽车维修数据库中关键故障数据的查询。实验结果表明,利用改进算法进行大型汽车维修数据库中关键故障数据查询,能够提高关键故障查询的准确性和查询效率、缩短查询耗时。 基于应用π演算的可信平台模块的安全性形式化分析 可信平台模块(trusted platform module,TPM)是信息安全领域热点研究方向可信计算的关键部件,其安全性直接影响整个可信计算平台的安全性,需要对其进行安全性验证.针对已有工作对TPM规范中多类安全性问题进行形式化建模与验证过程中所存在的不足,从分析TPM和使用者的交互过程出发,使用应用π演算对TPM进行形式化建模,把TPM规范中定义的各实体行为特性抽象成为进程的并发安全性问题,在讨论并发进程中机密性、认证性和弱机密性的基础上,对交互模型进行安全性论证,提出并使用自动定理证明工具验证了对应安全属性的改进方案. 一种改进的寻的制导信息估计方法研究 在精确制导的研究中,针对精确目标探测,研究了视线角可测情况下改进的CB观测器(Cost-Based Observer)的制导信息估计问题。在进行平面拦截中,根据非线性拦截运动学和一阶马尔可夫目标机动模型,建立了制导信息滤波的非线性状态方程。为了解决CB观测器不可观问题和提高目标信息估计的精度,提出了一种多状态相关系数矩阵加权组合方案,从而保证了观测器的可观性。采用比例控制制导系统进行仿真研究表明,改进的观测器制导信息估计精度提高,脱靶量明显降低,能够满足制导系统的状态估值性能要求。 精简指令集计算机协处理器设计 针对AES与SHA-3候选算法中Gr stl软件运算速度慢的问题,提出一种通过精简指令集计算机(RISC)协处理器来加速算法运算的设计方案。该协处理器复用片上高速缓存充当查找表来加速运算,并在RISC处理器的基本指令集架构中增加特殊指令。实验结果表明,与传统基于并行查找表的方案相比,该方案能够以较小的硬件代价加速AES与Gr stl运算。 三相电机驱动系统EMI滤波器的研究 电机驱动系统在现代工业领域中应用十分广泛。但是,由于功率变换器控制策略的原因,将不可避免地形成传导共模电磁干扰。为了保护电机系统的正常运行,因此要对电机驱动系统进行电磁干扰的抑制。针对这种问题提出了一种基于阻抗平衡的三相电机驱动系统电磁干扰抑制方法,阻抗平衡的方法采用了改变变换器拓扑结构的技术,将噪声源放置在惠斯通电桥的中心来减小输入共模电流,通过使用无源元件建立电磁干扰抑制系统模型。最后,通过仿真及实验对理论分析的正确性及所述方法的有效性进行验证。结果表明该方法可以有效地阻止电磁干扰从电机驱动系统中传播出去。 基于C-V模型和MRF的脑部MRI分割 为准确分割脑部磁共振图像(MRI)的灰质、白质和背景,提出一种基于C-V模型和马尔可夫随机场的全自动分割方法。采用C-V模型与形态学相结合的方法对脑MRI进行预处理,去除多余脑组织,获得待分割图像。引入灰度场局部熵的思想对惩罚因子进行估计,利用马尔可夫随机场模型建模实现脑灰白质的分割,并运用形态学方法获得最终分割结果。对96幅IBSR图像和46幅临床图像进行实验,结果表明,该方法能够实现脑部MRI灰白质的全自动分割,且具有较好的分割精度和较快的处理速度。 无线传感器网络溯源定位方法研究 通过对现有无线传感器网络溯源定位方案的分析,提出了改进的基于层次式混合概率包标记的溯源定位解决方案。结合无线传感器网络的分簇结构,通过扩大节点标记概率之间的差值,增加上游节点标记的到达概率,提高算法收敛性,降低节点负担和算法复杂度,从概率包标记算法、节点的标记方法、路径重构算法3个方面对方案的实现过程进行详细说明。性能分析和仿真结果表明,该方案与现有方案相比,在收敛性和节点负担等性能指标上有明显改善,是在WSN资源约束严格条件下的一种整体优化。 双网融合可视电话的业务寻址与交换技术 针对双网融合(PSTN+ADSL/IP)可视电话的技术特点、电信运营业务要求及其应用推广面临的问题,提出适合电信区域划分与并网运营的双网融合通信业务寻址与交换设计方案,其基于双网融合的特点及PSTN号码业务定位,采用区域链路传播策略,利用PSTN线路进行认证的软交换。实践证明该交换体系安全可控,支持灵活的电信运营模式。 基于中心环绕滤波器检测的图像特征点匹配算法 针对传统图像匹配算法特征点检测稳定性和准确性差的问题,提出一种尺度不变性的基于中心环绕滤波器检测(SCFD)的图像特征点匹配算法。首先,构建多尺度空间,利用中心环绕滤波器检测图像在不同尺度下的特征点,采用Harris方法和亚像素插值获得稳定的特征点;其次,联合快速定向旋转二进制稳健基元独立特征(BRIEF)(ORB)算法确定特征点的主方向,构建特征点描述算子;最后,采用汉明距离完成匹配,通过最小平方中值(LMed S)定理和最大似然(ML)估计剔除误匹配点。实验结果表明,在尺度变化时,所提算法的匹配精度达到96.6%,是ORB算法的2倍;其运行时间是尺度不变特征变换(SIFT)的19.8%,加速鲁棒性特征(SURF)的28.3%。所提算法能够有效提高特征点检测的稳定性和准确性,在视角、尺度缩放、旋转、亮度等变化的情况下具有较好的匹配效果。 移动计算环境下路网上移动对象的位置更新 针对移动网络环境下移动对象的位置更新、断连检测和处理的问题,提出了现有位置更新策略的改进算法。采用固定时限策略进行断连检测,虽然能够获取快速而准确的断连检测,但是直接增加了位置更新的次数,降低了原有位置更新策略的性能。为了改正该缺点,提出了自适应策略,即根据移动对象的位置估计一个最晚的更新时限,实现强制更新。此外,还提出了群组更新策略中断连的处理算法。实验仿真结果表明,新算法既能减少位置更新次数,又能实现有效合理的网络处理。 基于数据中心流量特征的端到端流量估计算法 数据中心是云计算等大型分布式计算服务的基础,有效地设计与管理数据中心需要遵循数据中心网络的端到端流量特征。然而直接地测量网络的端到端流量需要耗费巨大的软件成本和硬件成本,并且由于数据中心网络结构的特殊性,传统的计算机网络采用的流量估计方法也无法适用于现有的数据中心网络。为解决以上问题,首先依据数据中心的资源分配和链路利用率情况提取出网络的粗粒度流量特征,在此基础上提出一种基于重力模型和网络层析技术的数据中心端到端流量估计算法。与现有的流量推理算法Tomogravity和ELIA在NS3搭建的不同规模的数据中心网络中进行性能对比,实验结果表明,所提算法能有效地利用提取出的粗粒度流量特征,在保证计算效率的前提下将计算准确度大幅提升,可满足当前数据中心网络实时获取端到端流量数据的需求。 抑制孤立簇的软件模块化优化算法 针对传统软件模块化指标在解决软件模块化问题时容易导致孤立簇的问题,提出改进型软件模块化指标IMQ作为进化算法的适应函数以有效抑制孤立簇现象,并以IMQ最大化为目标建立软件模块化的数学规划模型,设计符合问题特点的基于相似度竞争和选择机制的改进遗传算法(IGA)求解该模型。首先,运用边收缩方法的启发式策略生成高质量的初始解,并将其作为种子植入到初始种群中;然后,利用IGA对模型进行求解,在提升搜索效率的同时进一步提高解的质量;最后,运用真实数据和仿真数据进行对比实验。实验结果表明IMQ指标能有效减少孤立簇的数目,而IGA比传统的多点爬山算法(IHC)和基于分组编码(GNE)的遗传算法具有更强的寻优能力和鲁棒性。 经编机布匹瑕疵的在线视觉检测 为实现经编机织布过程中布匹瑕疵的实时检测,提出了一种基于机器视觉的实时检测方法。离线训练时分别学习有瑕疵和无瑕疵纹理布匹图像,自动求取纹理基元周期和纹理方向,用以构建实用的两方向Gabor滤波器组,进而提取有和无瑕疵图像特征。在线检测时,以离线所构建的Gabor滤波器组分解图像,以离线所求取的参数窗口化Gabor子图,进而提取子图特征并采用特征变化率来代替原始特征的方法以消除光照不均影响。实验表明,该方法可以适应不同纹理布匹检测需求,消除光照影响,布匹检测准确率高达99%,检测一帧(54 pixel×600 pixel)的平均时间为100 ms,实时性和准确性高,可实现经编机布匹瑕疵的在线实时检测。 浅析螺旋CT在诊断胡桃夹综合征中的应用 目的:探讨螺旋CT(SCT)三维重建技术诊断胡桃夹综合征的价值。方法:运用螺旋CT对22例胡桃夹综合征患者泌尿系统进行多期增强扫描,同时用自带软件进行必要的后处理。结果:22例病例均可见肠系膜上动脉(SMA)压迫左肾静脉(LRV)的征象。螺旋CT多平面重建(MPR)技术可直观地显示腹主动脉(AO)与SMA之间的夹角(α)15.23°~28.45°,平均19.90°。左肾静脉受压前管腔直径与受压处管径之比为2.48 1~8.3 21.6例腹主动脉前壁见有弧形压迹,7例左肾静脉上方肠系膜上动脉可见弓形隆起,9例左肾静脉上下径拉长,最长达18.98mm。15例增强扫描肾实质期(后期)显示双肾实质密度相差20HU以上。结论:SCT在胡桃夹综合征诊断中具有明显的优势。 一种基于子群变异的粒子群优化算法 为克服粒子群优化算法早熟收敛,提出一种基于子群变异的改进粒子群优化算法(Ss MPSO)。该算法提出一种具有随机定向振荡式搜索的子群对主群的全局最优位置进行变异,改变了完全随机的变异方式,为算法提供局部深度的搜索以及跳出局部最优;为增强算法的全局探索能力,对适应度值差的粒子进行动态变异,以此达到增大种群潜在搜索空间的目的。最后通过高维的benchmark函数测试改进算法性能。通过仿真结果对比,表明改进算法能有效防止早熟问题,对于多模态函数的优化能够很好地跳出局部极值点,收敛性和收敛精度等方面得到大幅度提升。 基于Hough变换的多频分量的LFM信号的检测 LFM信号是一种典型的非平稳信号,其Wigner—Ville时频分布表现出明显的线状分布特征。Hough变换是一种直线积分投影变换,利用Hough变换可以对WV变换的结果进行线积分,从而实现抑制噪声检测信号的目的。计算机仿真试验表明Hough变换可以在一定信噪比情况下实现多分量的LFM信号检测,对两分量的LFM信号,可以作到0dB高斯白噪声下的准确检测。 粗糙分类器的多模型软测量建模方法 根据多模型可以改善模型估计精度,提高泛化性的思想,提出了1种粗糙分类器的多模型软测量建模方法。该方法采用聚类、分类相结合的方式对数据进行分组训练,在一定程度上消除了矛盾样本点可能对模型精度造成的影响。对各组样本利用支持向量回归机建立回归子模型,得到多模型软测量系统。同时,通过向粗糙集引入相似度作为评价样本间相似性的指标,解决了传统粗糙集无法识别训练样本集中未出现过的模式的问题。通过引入概率测度,利用概率公式作为粗糙集分类的决策规则,简化了算法。基于上述理论构造的粗糙分类器,有效地提高了分类器的分类精度,确保了各子模型的估计精度。将该方法应用于双酚A生产过程的质量指标软测量建模,仿真结果表明了该算法的有效性。 引入行为特征概念的海量图像数据分类法研究 研究海量图像数据的准确分类问题。图像数据中,图像需要表达的信息过多,形成海量特征,无法找到单一特征对单个图像进行约束。传统的图像数据分类方法通过多特征约束,运用多个特征对图像唯一性进行表达,表达特征过多,影响了分类的效率。为此,提出一种基于行为特征的海量数据分类方法。利用主成分分析方法,对采集的海量图像中的行为特征进行有效的识别,将识别的结果作为图像数据分类的依据,从而缩小图像数据分类的范围。利用人工免疫方法,根据上述行为特征,完成海量图像的数据分类。实验结果表明,利用改进算法进行海量图像数据分类,能够有效提高分类的精确度,从而为不同领域的图像应用提供保障。 元数据驱动ETL的研究 对原始数据的提取、转换、加载(ETL)是数据仓库开发的重要步骤。一个稳定而可靠的ETL系统取决于它的总体设计。在原有ETL基础上,提出了元数据驱动ETL的思想,利用包引擎和SQL引擎以及相关算法,对传统ETL的方法进行改进,目的是提高ETL系统的稳定性和可靠性,使用户通过对元数据的维护来控制ETL的执行过程。 实时界定雷达电磁互扰区域的三维可视化方法 为了便于多方位、多角度观察和分析舰艇编队中雷达电磁互扰的区域,利用等值面的分界特性,通过使用能流密度和干扰余量来表现舰艇编队中雷达电磁互扰的程度,提出使用递进式栅格采样的极值八叉树快速重构等值面的方法,还提出使用等值面差别显示的方法建立实时交互的三维显示图和俯视、前视、侧视的动态切面图,来动态显示舰艇编队雷达电磁互扰的仿真结果。通过对6艘舰艇共16部单脉冲雷达的仿真,实验结果表明该方法可行、有效。该方法还可以应用到其他电磁环境的实时三维可视化中,也可以应用在研究和预防无人机被雷达电磁干扰的三维仿真中。 基于自适应Tent混沌搜索的粒子群优化算法 为解决粒子群优化算法易于陷入局部最优问题,提出基于自适应Tent混沌搜索的粒子群优化算法。应用Tent映射初始化均匀分布的粒群,并以当前整个粒子群迄今为止搜索到的最优位置为基础产生Tent混沌序列,混沌序列的搜索范围采用自适应调整方法。该方法可以有效避免计算的盲目性,还能够快速搜寻到最优解。实验表明该算法在多个标准测试函数下都超越了同类改进算法。 面向曲面分段建造的双龙门吊车协同调度技术 针对曲面分段建造过程中双龙门吊车吊运缺乏计划协同的问题,提出一种面向曲面分段生产的综合吊运任务排序和吊运任务平衡分配双龙门吊车协同调度技术。通过分析吊车吊运作业调度问题和吊运目标,提出基于时间轴的吊车吊运堆排序技术与吊运任务动态平衡分配技术相结合的面向曲面分段生产的双龙门吊车协同调度技术框架,形成了基于启发式的最大堆构建规则和基于任务平衡协同的动态任务分配规则。以双吊车配合吊运为核心,综合形成了优先级与时间协调判定规则和吊车任务平衡分配机制,实现了多约束规则下双龙门吊车的协同平衡调度。结合所提算法开发了双龙门吊车协同仿真优化系统,并通过实例验证了其有效性。 基于惯性冲击原理的升力装置设计与仿真 惯性冲击系统目前已广泛应用于精密驱动与定位、扫描探针显微术及管道机器人等领域,但其实现方式仍然是通过控制摩擦力与惯性力关系,达到被连接件运动效果的不同,进而得到位移。上述结构需要依赖摩擦力,必须依附在已有的结构上,从而限制了其应用。而利用空气阻力实现升力的惯性冲击系统,以空气阻力代替摩擦力,可以脱离固有结构进行独立运动,在飞行领域具有一定研究价值。设计了垂直方向惯性系统结构,并通过实验验证了通过空气阻力实现被连接件运动差异的可行性。建立了系统的模型,并进行了仿真。仿真结果表明,系统在给定参数下可以产生垂直位移。 基于镜像样机的交互式实车装配平台实现 针对传统装甲车辆研发过程中样车研制周期长、问题追溯困难等不足,在虚拟样机的基础上提出镜像样机的定义,将样车总装过程纳入镜像样机框架,开发了实物在回路的交互式实车装配平台,缩短了装甲车辆总装研发周期,提高了总装质量,实现了总装相关问题的快速追溯。在虚拟样机定义的基础上,给出了镜像样机的定义;在虚拟样机体系框架的基础上,搭建了镜像样机的体系框架;基于镜像样机的体系框架,提出交互式实车装配平台的框架结构,给出了交互式实车装配平台的功能架构和运行机制;按照平台的理论模型,基于Microsoft C#.Net开发环境给出了平台原型实现,达到了预期效果。 WLAN中基于接入点的快速切换方案 移动终端在接入点(AP)之间切换产生的时延和抖动严重影响实时业务的质量。为解决该问题,提出一种基于接入点的快速切换方案,采用两级触发机制减轻移动终端和AP的工作量,利用改进的先应式邻居缓存机制避免频繁切换导致的AP缓存溢出问题,通过邻居AP信息表和切换决策算法找到最佳目标AP。实验结果表明,该方案具有较高的安全性及较低的网络吞吐量和功耗,可以减少77.1%的切换时延。 基于视觉的车辆与轨道相对振动状态测量方法研究 车辆与轨道相对振动状态对轨道线形测量有重要影响;分析了传统检测车辆与轨道相对振动状态测量方法的缺陷,提出一种基于视觉的车轨相对振动状态测量方法,以轨道建立轨道坐标系,以车体建立车体坐标系;考虑相机镜头畸变,建立相机非线性模型,基于机器人手眼方法标定相机与车体,求解相机内外参数;依据车体运动姿态特征,推导基于双目机器视觉的车辆运动姿态偏移补偿计算方法;运用实验平台设计验证实验,通过计算所得的车体振动位移与真实值高度吻合,随着车速增加振动位移误差也随之增大,验证了该方法的正确性和可行性;提供一种车辆与轨道相对振动状态测量方法。 基于高斯过程回归的网络流量预测模型 针对传统网络流量预测精度低难题,为了获得理想的网络流量预测结果,提出一种基于高斯过程回归(GPR)的网络流量预测模型。该模型首先计算延迟时间和嵌入维数,构建高斯过程回归的学习样本;然后采用高斯过程回归对网络流训练集进行学习,并采用入侵杂草优化对高斯过程回归的参数进行优化;最后采用经典的网络流量测试集对该模型性能进行实验测试。实验结果表明,高斯过程回归模型提高了网络流量的预测精度。 基于周期项方法选择的季节性时序预测 根据每个单步预测序列各自具有的特征,通过周期项重构把多步预测转化为单步预测,提出一种预测方法选择策略。为每个单步预测序列选择一个最合适的预测方法,利用选择的方法建模预测周期项,结合灰色预测模型对趋势项的预测值,建立季节性时间序列整体预测模型。实验结果表明,该模型能克服周期项多步预测的缺点,具有较高的预测精度。 基于比例公平的多用户MIMO-OFDM系统自适应资源分配算法 针对传统多用户MIMO-OFDM系统中自适应资源分配算法计算复杂度较高、实时性不强、无法保证用户间公平性等问题,提出了一种低复杂度的自适应子载波、比特及功率分配算法。在子载波分配上,该算法能够在兼顾比例速率约束的前提下使系统发射功率达到最小化;在比特及功率分配上,该算法将非线性优化问题转换为线性优化问题,在保证系统性能的同时显著降低计算量。仿真结果表明,该算法具有良好的性能,能够有效降低计算量,并使系统容量在用户间分配得更加公平和合理。 新型脉冲电子围栏网络化系统设计 为了实现对前端脉冲电子围栏系统的远程管理,设计了一种基于网络接口芯片W5200的电子围栏管理系统。前端围栏系统模块主要包括微控器C8051F340、高压脉冲产生、反馈信号接收、网络通信和声光报警等;通过网络使围栏系统与控制中心管理主机进行数据交换,实现管理机对围栏系统工作模式设置和对反馈的报警信息进行声光语音报警、报警显示及数据存储管理,以达到远程监控与管理的目的;通过系统试验运行,效果良好,管理系统稳定可靠。 精密注塑成型制品的收缩率预测分析 注塑行业的制品收缩率的控制是控制产品质量的主要因素。本文针对目前的注塑成型收缩率预测方法及模具设计时收缩率计算的不足,应用CAE模拟技术及Moldflow等软件工具,提出了基于Moldflow的注塑制品收缩规律的模拟实验研究。本文塑件对2个支脚和孔的位置和形状精度要求较高,因此,对于注塑过程中的收缩范围,要有一个比较精确的控制。塑料供应商所提供的收缩率的取值范围收缩率最小值0.004,最大值为0.0065。通过分析注塑成品的收缩机理,掌握注塑成型制品的收缩规律,结合塑料供应商所提供的注塑材料平均收缩率,对模具表面温度、熔体温度、保压时间3个工艺参数分别进行设置。调整后的体积收缩率由25.21%变为13.70%,减少将近一半。从关键尺寸图可以看到,调整后的关键尺寸代号21338的预期值几乎等于希望值,再结合报告查看尺寸在X方向上的收缩值,发现制品的希望值为111.60而预期值为112.40。预期值和希望值相差很小,可以满足要求。而预测错误图的预期值和希望值相差有些加大,但也在允许范围内。预测注塑成型制品各点收缩率定量值的方法,解决了目前数值模拟方法所存在的各材料常数难以获取的问题。在注塑材料的收缩率范围由塑料供应商提供的条件下,注塑成型制品的收缩率根据模具成型结构通过数值模拟在理想注塑工艺条件下的注塑成型过程而得到。得到的结论与实际情况非常接近。使塑件在注塑成型过程中所产生的收缩率最理想。 基于复杂网络节点重要性的链路预测算法 提升链路预测精度是复杂网络研究的基础问题之一,现有的基于节点相似的链路预测指标没有充分利用网络节点的重要性,即节点在网络中的影响力。针对以上问题提出基于节点重要性的链路预测算法。该算法在基于局部相似性链路预测算法的共同邻居(CN)、Adamic-Adar(AA)、Resource Allocation(RA)相似性指标的基础上,充分利用了节点度中心性、接近中心性及介数中心性的信息,提出考虑节点重要性的CN、AA、RA链路预测相似性指标。在4个真实数据集上进行仿真实验,以AUC值作为链路预测精度评价指标,实验结果表明,改进的算法在4个数据集上的链路预测精度均高于共同邻居等对比算法,能够对复杂网络结构产生更精确的分析预测。 对一种VMSS方案的分析与改进 多秘密共享方案是指一次可共享多个秘密的秘密共享方案。Massoud Hadian Dehkordi和Samaneh Mashhadi提出了一个有效的可验证多秘密共享方案(DM-VMSS)。该方案无需安全通道,且各参与者自己选择各自的秘密份额,故无需验证秘密分发者的诚实性。对DM-VMSS方案进行了安全性分析,首先指出该方案的一个安全缺陷,即:秘密分发者虽无法通过伪造各参与者的秘密份额进行欺骗,但可通过公开无效的函数值使得参与者恢复无效的秘密,而对秘密分发者的这种行为参与者无法察觉。然后对原方案进行改进,在验证阶段加入可检验出秘密分发者的欺骗行为的验证方程,以避免上述安全缺陷和攻击。 机电产品概念设计系统模型与几何模型转换方法研究 机电产品系统模型和几何模型所表达的内容各有侧重,分别从不同角度对机电系统进行描述。对于如何在系统模型的基础上实现几何模型快速生成的问题,提出一种基于Sys ML的几何建模方法和一种基于XML/STEP转化的模型转换方法。通过研究Sys ML系统建模方法,构建机电产品系统模型并通过兼容XML的系统获得对应的XML文档。应用Java开发XML/STEP模型转换系统,解析XML文档获取有效几何信息。根据有效信息生成STEP格式的CAD文件格,实现系统模型向几何模型的快速转换。以雨刮器的设计为实例进行验证。 基于半监督聚类集成的未知网络协议识别方法 针对训练集中出现未知网络协议样本的识别问题,提出一种基于半监督聚类集成的识别方法.该方法利用流的相关性实现对标记样本的扩展,提高标记样本比例;引入集成学习辅助半监督聚类对扩展后训练集进行聚类分析,实现对未知协议样本的识别,最后对得到的混合未知协议样本集进行细分类.通过实际网络数据集进行仿真实验,结果表明该方法在样本标记比例较小情况下,能够有效地识别未知协议数据并实现细分类,提高聚类结果的稳定性. 基于径向基函数神经网络的织物疵点分类 对径向基函数神经网络在疵点分类中的应用进行了研究;提出了一种应用于模式识别的RBF训练算法,提取织物疵点的特征参数如均值、方差和熵,再利用神经网络进行疵点类别的判别,精确度高达百分之九十多,准确地反映了每一类瑕疵特征的真实分布情况;然后分析了另一种神经网络--学习矢量量化网络LVQ对疵点分类的效果,将它们的训练速度和分类精度进行了比较;实验结果表明,采用RBF神经网络比LVQ神经网络的分类速度更快、精度更高,更有效地被应用于织物疵点分类中。 基于多维伪随机序列的高级包标记策略算法 高级包标记策略(AMS)是对分布式拒绝服务(DDo S)攻击进行IP追踪的有效算法,但是,由于使用哈希函数实现边地址的压缩,AMS算法存在复杂度高、保密性差、误报率高等缺陷。为了提高追踪效率,设计了一种基于多维伪随机序列的AMS算法:一方面,在路由器上,以全硬件实现的边采样矩阵代替原有的哈希函数,完成IP地址的压缩编码;另一方面,在受害者端,结合边地址压缩码和边的权重计算过程,实现攻击路径图的输出。仿真实验中,基于多维伪随机序列的AMS算法与原始算法性能基本一致,但能有效减少误判的发生和快速判断伪造路径。实验结果表明,所提算法保密性能高,计算速度快,抗攻击能力强。 基于优化SVM模型的网络负面信息分类方法研究 提出一种基于优化SVM模型的网络负面信息分类方法.该方法根据SVM建立的网络负面信息分类模型,针对模型中相关参数难以确定的问题,利用人工鱼群算法对SVM进行优化,利用优化的SVM模型对网络负面信息进行分类,实现对网络负面信息分类.实验结果表明,利用改进算法进行网络负面信息分类,能够提高网络负面信息分类的准确性和实时性,效果令人满意. 玻璃体内木质异物的3.0T磁共振成像实验研究 目的:探讨兔眼玻璃体内木质异物的MRI常规序列及磁敏感加权成像(SWI)的表现特点。方法:于48只实验动物的右眼玻璃体内分别植入1枚直径0.2mm、长2mm的木质异物,以不植入异物的左眼为对照,于术后2h行T1WI、T2WI及SWI序列检查。结果:对于48枚兔眼玻璃体内木质异物,T1WI、T2WI、SWI分别检出8、48、48枚,检出的异物呈点状或条状,除在SWI序列的相位图上呈高信号或低信号外其余均呈低信号。T1WI检出异物直径较异物实际直径小;T2WI检出异物直径接近异物实际直径;SWI检出异物直径较异物实际直径大。结论:T2WI和SWI是诊断兔眼玻璃体内木质异物的有效检查方法,且SWI具有放大效应,有利于微小木质异物的检出,是MRI常规检查的重要补充。 面向不确定感知数据的频繁项查询算法 随着计算机网络技术的快速发展,无线传感器网络产生了大量的感知数据流.同时,传感器自身的特点使得感知数据具有不确定的特征,因此需要对传感器网络中不确定感知数据流处理技术进行研究.在传感器网络中,频繁项查询在环境监控和关联规则挖掘等方面具有重要意义.文中首先提出了基本算法,用以连续维护传感器网络中的概率阈值频繁项查询结果.针对基本算法需要维护所有元素的问题,又提出了一种优化算法,算法在两方面进行了优化:(1)设计了一种通过预测元素概率上界的方法进行候选集的构造,仅维护必要信息从而提高查询效率;(2)设计了一种新的cp-list结构,可以压缩不同窗口候选集中的重复元素,降低存储开销.实验结果表明文中提出的算法可以减少连续维护传感器网络中频繁项查询的计算代价和存储空间. 一个新的无证书多重无链接签名方案 基于无证书密码体制,提出一个新的多重无链接签名方案。新方案允许用户在不同的应用场合中使用不同的身份信息,并要求由这些身份所导出的不同公钥对应的签名密钥是唯一的,不同公钥的使用可以保证公钥的无链接性,从而更好地保护用户的隐私。在随机预言模型下,新方案可以抵抗适应性选择消息攻击。 基于规则和词共现的中文电子病历否定检出 对于中文电子病历文本中的否定术语的检出,目前有很多方法,基于规则的否定检出是比较常用的一种算法。但是该方法无法解决由于标点录入错误造成假阳性的问题。因此,在基于规则算法的基础上,提出一种基于词共现的否定检出算法,通过收集200份中文电子病历约150 865个汉字字符进行实验,新方法的阴性预测值比基于规则的算法提高了7.85%。所以,基于规则和词共现的否定检出算法能够很好地降低由于标点录入错误而出现假阳性术语的概率。 一种对高维混沌图像加密算法的攻击方法 分析基于Lorenz三维超混沌系统的图像加密算法在已知图像条件下的安全性,给出原算法的一个等效加密算法,并在此基础上,提出一个等效密钥求解算法。该密钥求解算法同样适用于原算法的等效密钥求解,对比穷举攻击方法,其计算复杂度大幅减小。实验结果表明,该算法能够对原算法进行已知图像攻击。 新型的多分布式用户单点登录模型 通过分析现有单点登录模型中存在的不足,提出了一种新型的多分布式用户单点登录模型。该模型基于SOA的思想,通过服务注册中心将应用服务集成在一起,通过中央认证服务器对用户进行统一认证授权,同时采用基于角色的授权机制将用户和企业中的职务身份联系在一起。通过对该模型的评估证明了模型具有良好的可实施性、管理性、易用性和安全性。 一种支持信息感知的功耗管理总线 "随着集成电路设计过程功耗问题日益严重,低功耗技术备受关注.对于现有SOC架构标准总线中没有针对功耗管理实现方法,设计了一种支持信息感知的功耗管理总线,支持通过信息感知方法降低系统能耗.总线从接口实现了电源,门控技术可以关断IP时钟甚至电源以此来降低系统动态功耗和静态功耗,且支持不同""睡眠""深度.为了降低功耗管理总线引入的开销,设计了轻量级功耗管理总线,支持简单的功耗管理通信协议,灵活的功耗管理操作,与传统总线不同可以支持对多个IP并行开关.实验结果表明,功耗管理总线是一款低开销、协议简单且兼容性好的轻量级总线." QCS:一种OLAP预防多维推理方法的研究 针对目前多数联机分析处理(OLAP)推理控制方法计算复杂性高、实用性不强的问题,在前人研究基础上,提出一种改进的基于查询单元集QCS(Query Cells Set)的OLAP预防多维推理方法。该方法把OLAP查询的多维推理威胁预防检测放在查询涉及到的底层不相交的单元集(即QCS),而不是单个单元上,从而降低了推理威胁检测算法的计算复杂性,这更符合OLAP的查询处理要求。同时给出QCS方法的有效性证明和算法的实现,并用实例进行说明。与以往的推理控制方法相比,QCS方法不仅可有效保护OLAP系统的隐私信息,而且具有较高的计算效率,能满足OLAP系统的实用性要求。 基于NoSQL的RDF数据存储与查询技术综述 随着语义网的发展和RDF(resource description framework,资源描述框架)数据量的快速增长,利用NoSQL数据库存储和管理大规模RDF数据已经成为了当前的研究热点。介绍了No SQL数据库的种类划分和各类型特点,阐述了RDF数据在各类No SQL数据库中存储结构设计和并行查询算法的研究现状,分析比较了不同方法的优缺点。最后,讨论了利用No SQL数据库管理RDF的优势,总结了现有研究的不足之处,并展望了未来的研究方向。 一种多尺度彩色图像细节增强算法 针对彩色图像提出一种基于小波变换的细节增强算法,在可分离亮度色度的彩色空间内,保持图像的色度分量不变,对其亮度分量进行小波变换,然后按照分解后的各级近似图像对比度采用插值处理调整小波细节系数,同时适当地增强近似系数以提高彩色图像的平均亮度,在处理过程中不需要设定额外的调整参数.实验证明,本算法不但保留了图像较亮的细节,而且增强了较暗的细节,同时达到了图像色彩不失真的目的.此外,本算法直接在RGB彩色空间内处理彩色图像也获得了较好的效果. 海南气象信息传输实时监控系统的设计与实现 随着观测气象资料种类的不断增加,传输时效要求增高,且传输流程越来越复杂,对气象信息传输也提出了更高的要求。但是目前气象信息的釆集与传输还缺乏统一规划,且采集与传输平台分散,给业务人员进行有效监控带来了诸多困难。为了实时监控海南各气象台站气象资料并同时保证气象信息传输统计的及时性,设计并实现了海南气象信息传输实时监控系统。该系统基于SQL数据库,采用客户端/服务端(C/S)和浏览器/服务器(B/S)相结合的模式,保证上行气象资料的实时传输,并实现了对上行资料和全省网络状态的实时监控,同时还可实时掌握全省各市县台站的气象信息传输时效统计情况。该系统自投入业务应用以来,运行稳定,能及时提醒值班人员进行监控,保障了气象信息传输的可靠性,有效提高了工作效率和信息质量。 面向PSTP查询的高效处理算法 "在使用""不完全结构的约束查询(PSTP查询)""从XML文档中获取信息时,用户可以根据自身对XML文档结构的熟悉程度,在查询表达式中灵活地嵌入结构约束条件,从而满足完全不了解、完全了解及了解部分结构信息的各种用户的查询需求。提出一种基于扩展Dewey编码的查询处理算法,可以在仅扫描一遍元素的情况下,处理任意形式的PSTP查询。不同数据集上的实验结果表明,EDPS算法在处理twig查询、不包含""*""结点的PSTP查询及包含""*""结点的PSTP查询时,综合性能明显优于已有方法。" 基于特征选取和样本选择的网络入侵检测 为了获得更加理想的网络入侵检测结果,针对网络入侵特征选取和样本选择问题,提出一种基于特征选取和样本选择的网络入侵检测模型.首先提取网络入侵特征,并进行归一化处理,然后采用核主成分分析选择入侵特征,并对样本进行选择,最后采用极限学习机建立网络入侵检测分类器,并采用KDD Cup99数据集进行仿真实验.仿真结果表明,本文模型得到了理想的网络入侵检测结果,检测率超过95%以上,入侵检测效率可以满足网络安全实际应用要求. 基于词性及词性依存的句子结构相似度计算 为提高句子相似度的准确率,从结构相似度出发,提出基于词性及词性依存关系的句子结构相似度计算方法。该方法从正向和逆向比较句子的词性序列,获得2个句子词性及词性依存关系的最优匹配,从而计算句子结构相似度。实验结果表明,该方法能使句子结构相似度计算更合理。 面向探索式服务组合场景的即时服务推荐方法研究 探索式服务组合是针对复杂问题进行业务构造的一种服务计算模式,在这种半自动的服务组合环境下,准确高效的服务推荐技术是提升用户业务构造体验的重要方法.然而现有的主流服务推荐技术虽然对初始服务推荐具有很好的效果,但不适用于后继服务的推荐.鉴于后继服务的准确推荐对于用户进行业务构造具有的重要影响,提出一种适用于后继服务推荐的即时推荐方法,该方法首先利用Jaccard相似度算法和物质扩散算法对服务关联度进行计算,然后基于关联度来进行后继服务推荐,并在此基础上设计了单步和多步后继服务推荐策略.最后,基于Programmable Web网站的真实数据实验表明,本文提出的即时服务推荐方法能够比较有效的应用于探索式服务组合场景. 基于改进光流法的运动目标检测 该文为了实现对运动目标的检测,重点研究了基于梯度的Horn&Schunck光流算法,然后提出一种高斯金字塔的改进光流法,并结合最大类间方差的图像分割法和形态学滤波中的开、闭运算,完成运动区域的提取。实验仿真结果和数据表明改进的光流算法能准确获取运动目标区域,并更加省时。 回卷恢复模型下容错实时系统的可调度性分析 实时任务的超时完成将会导致灾难性后果,因此实时系统必须具备严格的实时性及可靠性保障.考虑实时系统的容错需求,本文基于回卷恢复模型,对容错实时系统的可调度性分析进行研究.在基于任务最坏响应时间的可调度性分析方法中,容错优先级配置是决定系统可调度性的关键.为了改进系统的可调度性,提出一种容错优先级可降低的配置策略,并推导出此策略下任务最坏响应时间的计算公式,以判断系统的可调度性.降低策略下低优先级任务可挪用高优先级任务的空闲时间来满足自身的截止时限要求.仿真实验表明,降低任务的容错优先级可以有效提升系统的容错能力. 基于主从结构的多水下机器人协同路径规划 关于多水下机器人协同路径规划问题,是多水下机器人协同控制的重要研究内容之一,是一种典型的含多个约束条件的组合优化问题。针对多机器人协同路径规划因约束条件多导致算法复杂度高、耗时、求解困难等问题,提出了一种主从结构的并行多水下机器人协同路径规划算法。进化过程的每一代,子层结构应用粒子群并行算法,生成各架机器人当前的最优路径,同时,主层结构应用微分进化算法实时给出当前考虑机器人与障碍物、机器人与机器人之间避碰情况下,总系统运行时间最短的路径组合方案。上述结构将多约束分解到不同层面,有效地降低了单层结构因过多的约束条件计算时间过长以及不易实现等困难。仿真结果表明,上述算法不仅能在静态环境下生成可行的、优化的组合路径,而且在当障碍物随时间随机移动的动态环境下,也表现出可行的、良好的效果,为求解多水下机器人协同路径规划问题提供了一个高效的解决方案。 Web服务调用特征模式的DBSCAN提取算法 随着网络上完成相同功能的Web服务数量不断增长,服务使用者在选择服务之前,通常需要根据服务的历史使用信息对未使用过的服务质量进行预测.而服务质量主要受到调用时刻用户输入、网络环境及服务运行环境的影响,一组输入、网络状态和服务器状态可能形成一个相对固定的服务调用模式,在该模式下各服务的执行质量保持相对稳定的范围内.为此本文提出了服务调用特征模式的概念,并对服务历史执行信息进行处理,改进DBSCAN算法从历史执行信息中挖掘出服务调用特征模式,在模式下服务的执行QoS稳定在一定范围内,从而为服务QoS预测提供方便. 基于Struts与Hibernate的大坝混凝土施工温度监测平台的设计和实现 大坝混凝土温度是坝体热状态的表征。由于大坝混凝土温度具有种类多、信息量大、数据来源复杂等特点,因此大坝混凝土温度监测一直是大坝施工监测项目中的难题。本文提出基于Struts和Hibernate框架的大坝混凝土监测平台方案。本平台开发基于J2EE,借助经典MVC设计模式,采用Java为主要开发语言,利用Web系统开发中流行的Struts与Hibernate框架,提高了开发速度和系统的鲁棒性。该平台为用户提供实时查找编辑温度数据,选择性输出数据文件和温度图表等功能,在实际应用中降低了传统模式下人工操作的风险,提高了温度监测的工作效率,成为了数字大坝不可缺少的一部分。 协作V-BLAST结构的MC-CDMA编解码技术研究 提出了一种旋转不变联合空频编解码方法。该方法对发端各节点的数据以多载波码分多址方式编码,并进行贴标发射。数据融合点无需了解信道状态信息即可直接解码。研究结果表明,该方法能够解决接收节点数必须大于发射节点数的问题,从而使协作V-BLAST结构可推广到任意发射、接收节点数的情况。 Dynamo架构中全局状态表维护算法研究 针对现有Dynamo式云存储架构中,节点间全局状态表一致性维护算法收敛时间长、通信代价高的问题,文中提出了一种基于种子节点的Gossip(Gossip Based on Seed nodes,GBS)算法。该算法采用分层思想,将节点分为种子节点层和普通节点层,通过分层,缩小了Gossip传播随机域,减少收敛时间;通过普通节点定期向随机的种子节点报告策略,解决了Gossip传播后期由于随机带来的大量重复交互问题。通过仿真实验,证明了GBS算法在降低收敛时间的同时,有效地降低了通信开销,提高了维护效率,具有很高的稳定性和可靠性。 异构平台上多维线性哈希的研究 目前多维数据广泛应用于多个领域,但其复杂性影响了多维数据的操作效率。为提高对多维数据的处理能力,提出一种在CPU/GPU异构平台上的多维线性哈希并行计算方案。该方案通过对传统线性哈希表数据结构的扩展,可实现对哈希表的快速创建和查询。同时,在多个处理器平台上进行的实验对提出的方案的有效性进行了验证。实验结果表明,当处理的数据规模较大时,提出的方案由于充分利用了GPU强大的并行处理能力,在创建哈希表和查询数据上,比传统的CPU方案性能分别提高了约25倍和38倍,充分显示出提出的方案在处理多维数据时的优势。 发布/订阅系统中的缓存副本一致性研究 针对发布/订阅系统中缓存副本一致性维护问题,首先,对原有基于谣言的一致性维护算法进行改进,提出一种基于轨迹标签的谣言一致性维护算法。该算法通过在更新消息报文中添加节点轨迹信息,避免向已更新节点发送冗余更新消息。其次,为提高系统消息传播的可靠性,设计一种基于轨迹标签的层次化反馈恢复机制,结合发布/订阅系统推拉传输模式,减少反馈消息数目,避免反馈爆炸。模拟实验结果证明,改进的一致性维护算法降低了一致性维护消息开销和时间开销,提高了系统的可靠性和可扩展性。 并发程序切片原型系统的设计与实现 并发程序切片是并发程序分析的一种重要手段。针对多线程共享变量通信机制,在通过程序分析工具CodeSurfer获取程序基本信息的基础上构造程序可达图,生成以程序状态和语句二元组为节点的并发程序依赖图,实现了基于程序可达图的并发程序切片原型系统。初步实验结果表明,与传统的切片方法相比,采用基于程序可达图的并发程序切片方法,可有效地解决依赖关系不可传递问题,获得高精度的并发程序切片。 五帧差分和边缘检测的运动目标检测 为了改进传统三帧差分的运动目标检测方法易出现的空洞和与虚假边缘的现象,提出了一种基于五帧差分和边缘检测相融合的运动目标检测方法.该算法首先利用优化的Canny边缘检测算法快速提取五帧连续图像的边缘图像,然后对五帧连续的边缘图像进图像的五帧差分运算,最后通过阈值分割和形态学处理完成对目标的提取.该方法计算简单,实验结果表明,该算法准确率高,连通性好,能满足实时性检测的要求. 主成分聚类分析在战储器材轮换中的应用 针对传统轮换方法在战储器材轮换上的缺陷和不足,从器材重要度的角度,提出一种基于主成分聚类分析的战储航材轮换方法。在综合考虑传统方法的不足和航材管理特性的基础上,构建战储器材轮换指标体系。首先根据指标集运用主成分分析法进行降维处理,然后运用SPSS软件的系统聚类法对其聚类分析,最后根据分析结果采取相应的轮换。实例结果表明该方法是合理、有效的。 基于TDMA的远距离无线网络MAC层访问控制 近年来,随着移动终端的普及,人们希望无线网络能够更加方便、更加高效。如今的无线网络致力于提供高效、公平以及服务质量等特性,而在远距离的无线网络环境中,这些特性却很难得到满足。提出控制终端的上行传输机制,将远距离的终端和近距离的终端同时传输,以提高基站的带宽利用率。主要解决了以下几个问题,首先,如何取得终端的距离;其次,如何调度各个终端的发送,使得带宽利用率提高。 时间触发以太网时钟同步的容错方法分析 为揭示高完整性和标准完整性配置下时钟同步容错方法的有效性,对时间触发以太网(time-triggered Ethernet,TTE)网络标准中时钟同步服务描述进行协议分析,还原时钟同步算法的理论模型。通过分析容错机制对应的失效模式,对TTE网络时钟同步算法在单同步域下对抗失效的有效性进行仿真验证,仿真结果表明了高完整性配置下的TTE网络时钟具备对抗单点随意失效的能力。 基于跨事件理论的新闻事件时序关系识别方法 针对TempEval-2010会议所提供中文语料中的时序关系识别任务,采用基于条件随机场的方法自动识别获得信号词,并融入跨事件理论,利用基于最大熵模型的分类算法对信号词与其他语言特征进行时序关系识别,同时使用约束传播的推理方法解决语料稀疏问题。实验结果表明,基于条件随机场的方法信号词自动识别准确率为69.21%,融入跨事件理论的时序关系识别准确率达到84.7%,表明所提方法可有效改善识别效果。 基于PSODE的网格任务调度算法 针对网格计算中任务在各个资源之间的调度问题,提出了一种网格环境下PSODE的任务调度算法。该算法实现了计算资源、存储资源、带宽资源、数据资源的利用率最高化和代价最低化。对基本粒子群算法和差分进化算法进行了分析,通过构造算法函数、适应值函数和权重公式,建立了粒子群差分混合算法并对其进行优化,介绍了算法的实现过程。实验结果表明,该算法与其它调度算法比较,具有良好的性能。 一种新型多功能手持设备核心板的设计与实现 目前高档手持设备已经渗透到生活中的每个角落,针对当前存在的手持设备电源功耗问题及对高端手持设备精度的要求,结合PXA320芯片的功能和特点,设计了一款基于PXA320处理器可扩展嵌入式通用手持设备应用平台;介绍了整个系统的硬件平台,主要对各个硬件模块电路的优化设计及电源模块电路的智能管理,同时对核心板的各个硬件模块电路进行了相应数据及精度的测试,实验结果表明:在此基础上构建的核心板电源具有很好的可靠性、稳定性和可维护性,核心板数据精度可达到95%以上。 基于CAPTCHA的计算平台研究 设计开发了一个计算平台,利用全自动区分计算机和人类的测试(CAPTCHA)技术,将网络中分散的人脑计算资源集成起来。该平台将多种应用集成在一个平台中,使得每次CAPTCHA测试可以发挥多个功效。给出了计算平台的架构,设计了平台的主要交互过程,重点设计了平台中CAPTCHA服务的交互过程。对品牌的定向推广、OCR精确性问题、CAPTCHA服务与衍生计算服务的悖论问题等给出了解决方案,对未来在图像语义理解及检索、软件评测等方面的拓展应用进行了分析。 基于本体语义映射的数据集成机制研究 数据集成的难点是如何解决数据之间的语义异构问题,本文利用本体在语义集成上的优点,提出了一种基于本体语义映射的数据集成框架。根据本体概念的定义及其结构,给出了一种本体语义映射算法,该算法通过属性集合间的比较确定概念语义关系,在计算概念相似度时,考虑了概念名称、概念属性集合和相关概念的语义信息。最后通过概念的属性集映射算法和概念映射算法实现了本体语义映射,从而重点解决了数据集成中的语义映射问题。 一种改进的BM模式匹配算法 针对BM模式匹配算法的效率问题,提出其改进算法。分析BM模式匹配算法的原理,若文本串中连续的几个字符不在模式字符串中出现,则不需要被比对,以此改变模式字符串的匹配顺序,提高算法的匹配效率。实验结果表明,改进的BM模式匹配算法可以有效地减少字符串的匹配次数和比对次数,能获得良好的字符串匹配效率。 结构-纹理字典学习的图像分解模型与算法 为了分离出图像中具有不同特征的成分,结合变分与字典学习方法,提出一种图像分解模型和结构-纹理字典学习算法.首先在模型中引入字典约束项,使得结构-纹理学习字典互不相关,增强了2个字典的独立性;然后使用投影梯度下降算法给出一种带有字典约束的交替字典学习算法.实验结果表明,采用该算法学习得到的自适应字典可以有效地刻画图像的不同成分,不仅很好地分开了图像的结构和纹理,并且能去除噪声,最终得到高质量的图像分解结果. 机器人导航与目标捕获 论文介绍了一个机器人导航系统,该系统根据规定的任务,将规划路径分解成若干个子任务目标,并以此进行机器人移动。在目标捕获子任务阶段,通过寻找球、驶向球、夹住球这三个动作状态的相互转换实现目标捕获。实验表明,该系统结构能够完成预期的导航任务。 双点辅助定位的移动终端掌纹识别系统 移动终端掌纹识别中难以控制手掌摆放位置和姿态,并且受到复杂场景和差异光照的干扰以及硬件资源的限制。针对上述技术挑战,本文在Android平台上自主设计和实现双点辅助定位的新型掌纹识别系统,并解决整个工程实现中的多个技术难题。拍摄时由辅助点和辅助框共同限定手部位置和姿态,确定食指和中指之间以及无名指和小指之间的2个指间谷底点为关键点,旋转掌纹图像使两点连线与坐标系横轴平行,最终裁剪感兴趣区域用于特征提取和识别。本文提出的辅助定位方案增强了掌纹预处理抵御干扰因素的稳健性和系统实时性。 基于云模型的信任评估方法研究 复杂的网络环境下存在的随机性、模糊性和不可预测性等不确定性因素给网络交易带来了诸多安全问题,而在网络交易中,信任是交易能够顺利进行的前提和关键.文中以复杂的网络环境为研究背景,通过对复杂的网络环境中信任、信任影响因素及信任机制等问题的研究,引入云模型理论,研究并提出了基于云模型的信任评估方法,实现了信任的定性与定量的转换,客观地反映了信任的随机性、模糊性和不可预测性;为了有效地防止不法分子的信用炒作和欺骗行为,文中提出了特殊属性评价方法和信任惩罚方法.通过仿真实验,验证了文中研究的信任评估方法能够对复杂的网络环境中实体的信任做出合理的评价;通过防信用炒作实验和防周期行骗实验,进一步验证了基于云模型的信任评估方法的可行性和合理性,为复杂的网络环境中信任评估的研究提供了有价值的新思路. 融合独立分量分析与支持向量聚类的人脸表情识别方法 针对人脸表情特征提取及自动聚类问题,提出了融合独立分量分析(ICA)与支持向量聚类(SVC)的人脸表情识别方法。采用ICA方法进行人脸表情的特征提取,然后采用混合因子分析(MFA)的交互参数调整方法得到局部约束支持向量聚类(LCSVC)的半径,有效降低了表情类别聚类边缘的部分干扰,这比单独采用支持向量聚类(SVC)方法效果要好。测试样本时通过比较新旧半径的值进行判决,实验结果表明该方法是有效的。 CRSHE:基于同态加密的新型密文检索方案 针对文本密文存储与检索需求,提出一个检索与共享功能分离的云存储模型,在此基础上设计了一种同态加密算法,并给出一种新型同态密文检索方案CRSHE。可有效解决检索关键词隐私泄漏、不支持同态加密等问题,通过排序反映文档与关键词之间的相关程度,在多关键词检索时极大地提升检索性能。测试实验表明,该方案比线性密文检索方案效率高,具有较高的准确性。 基于JTAPI的调度服务器基本功能的设计与开发 随着IP化技术的发展、调度设备的更新,多媒体调度系统比传统调度系统更加直观与人性化。因此电力调度系统也引入了软交换来开发多媒体调度系统。在深入研究CTI技术及其JTAPI标准协议接口的基础上,结合电力通信调度系统的需求,提出了基于思科统一通信平台的电力多媒体调度系统架构。对于框架中的调度服务器的基本外呼、多方会议、强插、强拆等功能,给出了在JAVA平台上基于JTAPI标准接口开发的具体实现方法。 基于BB84协议的量子密钥分发系统研究 量子密码技术提出以后,得到了人们的普遍关注,它是目前唯一能够证明的绝对安全的保密通信方式。随着量子信息技术的发展,量子密钥分发技术开始从理论走向实际应用。为了研究在实际量子密钥分发系统中各部件对密钥分发效率的影响,提高密钥分发速率,对基于BB84协议的光纤量子密钥分发系统进行建模分析,研究了影响密钥分发效率的参数。数值仿真表明:准单光子源中平均光子数和光纤长度是影响最终密钥协商速率的关键参数。为进一步提高量子密钥分发系统的效率提供了参考。 基于物联网的公共安全云计算平台 将物联网技术引入到公共安全领域,重点研究了分布式计算和虚拟化存储及云计算的技术特点和应用,针对目前公共安全平台的不足,设计了5层的物联网公共安全平台架构,为以后警用物联网的建设提供了新的思路,同时结合该架构,提出了一种基于云计算的数据支撑平台,为该公共安全平台提供虚拟化的数据存储和管理,以实现各业务数据的共享和安全,提高了物联网应用的安全和计算能力。 一种解决防火墙规则冲突的混合型算法的研究 防火墙冲突检测算法是一种经典的解决防火墙过滤规则间冲突的优化算法,可有效地提高防火墙规则集的运行效率,减少规则间冲突的发生,从而节约大量的计算资源,保障网络通信的正常进行,但随着数据规模和用户数量的飞速增长,防火墙规则集也在日益扩大,原有的冲突检测算法已无法满足当前的网络通信需求,必须加以改进。论文提出了将默认规则与冲突检测算法相结合,在检测之前先执行预优化的改进策略,同时将默认规则进行解析处理,随后将其与原有规则集相合并,大大提高了防火墙的匹配效率,缩短了防火墙的处理时延。 短块移动排序算法研究 用短块移动操作对一个排列进行排序是一种染色体基因重排技术。怎样才能找出使用短块移动次数最少的排序算法是计算生物学等领域最热门的研究问题之一。给出了短块移动的最优解算法,对近似算法进行了修改。实验验证了最优解算法和近似算法在实际运行过程中都有较好的表现。 基于ROS的溯源机器人系统 针对国内外对物联网和机器人相互结合,让机器人更好的服务于物联网展开的相关研究.本文提出了一种基于ROS与物联网的智能机器人系统.硬件上采用stm32传感器节点、树莓派、OpenWRT路由器、Rplidar雷达和C270罗技摄像头等;软件上使用ROS次级操作系统、Contiki、Tensorflow框架、Camshift算法和SLAM算法等;设计实现感知层的数据采集,基于SLAM的自动溯源,语音控制,机器人的物体追踪,物体自动识别以及web端的视频监控、反向控制和感知层数据实时显示等功能;而后搭建相关的试验环境,对系统与设备的相关功能进行测试,验证系统的可行性. 分数阶微分方程的一种细粒度数据级并行算法 在GPU上基于CUDA编程模型提出针对Riesz空间分数阶扩散方程显式有限差分法的细粒度数据级并行算法。对算术逻辑操作的基本CUDA核心的细节及网格点值的计算优化进行了描述。实验结果表明,本文提出的并行算法与精确解符合得很好,在NVIDIA Quadro FX 5800GPU上的运行速度超过多核Intel Xeon E5540CPU并行算法的运行速度四倍有余。 实时系统调度算法综述 在多道程序环境下,主存中有多个进程,其数目往往多于处理机数目。操作系统通过处理机调度程序,按照某种调度算法动态地把处理机分配给就绪队列中的一个进程,使之执行。处理机是重要的计算机资源,提高处理机的利用率及改善系统性能(吞吐量、响应时间),很大程度上取决于处理机调度性能的好坏,因而操作系统的调度算法是非常重要的。通过研究基本的操作系统作业(进程)调度算法,详尽分析和对比这些调度算法的优势和劣势。最后对新兴的实时系统研究现状进行介绍和展望,为以后实时系统调度算法研究提供了有效的参考价值。 基于可靠性度量排序的λ-广义球形解码算法 针对欠定多输入多输出(MIMO)系统中信道矩阵非满秩的问题,提出基于可靠性度量排序的λ-广义球形解码(λ-GSD)算法。该算法将信道矩阵直接转换成满秩矩阵,然后采用基于可靠性度量的排序策略,将排序后由最小均方误差算法得到的次优解作为λ-广义球形解码算法的初始值,减小了初始搜索半径,同时对球形解码算法搜索半径的收缩进行了指数收敛加速处理。仿真结果表明,所提算法同最大似然检测算法和原λ-GSD算法相比较,能获得相同的误符号率性能,而平均运算时间比原λ-GSD算法有明显降低。 案例教学方法在课程内容建设方面的应用研究 基于案例教学方法的研究,将案例教学思想运用在信息系统技术基础课程内容的建设上,并对其应用成果进行了阐述。 基于ESB的统一身份认证系统设计与实现 异构的信息系统由于具有各自独立的身份认证和用户管理模块,存在着用户身份不一致、信息重复,应用系统无法整合、安全性差等问题。为此提出了一种基于统一的数据交换标准和接口标准,将不同的用户管理模块和认证模块进行集成的方法,设计了系统模型、交互流程和认证协议,实现了基于企业服务总线(ESB)的统一身份认证系统。实验结果表明,系统能有效地避免身份认证逻辑的重复和数据的冗余,提高认证的效率和系统资源的利用率。 Cell组件/插件在财务决算管理系统中的应用 首先通过分析Cell的基本功能和定位,发现Cell只是一种财务类的报表工具,主要适用于有复杂公式的财务类报表展示、报表打印等工作,其次对财务决算管理系统的具体需求进行了分析。提出一种新的设计思想,将Cell模板既作为报表展示界面,又作为数据录入界面。客户端设计实现Cell解析器,服务器端设计实现XML解析器,客户端与服务器端采用基于XML标准的自定义格式进行数据交换,客户端与服务器端采用Ajax技术进行异步通信。展示了财务决算数据加载和更新的具体应用示例,同时提出了下一步改进计划。 考虑短板效应的一种度量模型及其在软件可信性中的应用 为了解决传统的软件可信性度量模型很少考虑用户主观需求对模型度量的影响,通过引入容忍阈值提出了考虑短板效应的一种度量模型;分析了参数的意义和选取,并应用于软件可信性的度量和评估中,反映了软件可信性中的主观性和客观性,同时解释了其中存在的短板效应。 基于高斯伪谱法的高超声速飞行器再入制导研究 针对高超声速飞行器再入标准轨迹制导方法中存在的制导准备周期长、弹上需存储标准轨迹参数、制导鲁棒性较差等缺点,提出一种基于高斯伪谱法与滚动时域控制技术相结合的高超声速飞行器再入预测-校正制导方案;其中,在线高斯伪谱法采用纵/侧向结合、全程一体化的制导算法思路,实现了对高超声速飞行器再入弹道的全程预测制导;同时结合滚动时域控制技术从工程上实现了高超声速飞行器再入制导中对开环制导信息的闭环应用,完成了飞行器预测-校正制导方案;通过对高超声速飞行器再入制导过程进行仿真分析,结果表明应用文章设计的基于高斯伪谱法与滚动时域控制技术相结合的高超声速飞行器再入预测-校正制导方案,飞行器再入过程中具有良好的制导性能。 含可调积分的模糊控制在主汽温系统中的应用 常规PID对于火电厂主汽温系统这种大迟延对象控制效果较差,难以达到满意的控制效果,模糊控制对于多干扰、多变对象具有较好的控制效果,但是缺乏自适应能力使其无法单独用于主汽温控制。为此提出了一种带积分作用的模糊控制,通过增加设定值和实际值偏差的积分作用改进常规模糊控制缺乏自适应能力的特点,并进行了阶跃扰动实验,和常规PID的控制效果进行了对比,对比结果表明带积分作用的模糊控制有更好的控制效果,使用最大隶属度法和加权平均法解模糊化,对比表明,在模糊集的隶属度函数对称时,加权平均法优于最大隶属度法;积分常数对算法影响较大,提出一种具有自适应作用的函数确定积分系数,达到了更好的控制效果,说明这是一种有效的改进方法。并将该算法投入电厂使用,达到了较好的控制效果。 一种基于用户长短期兴趣的微博推荐方法 针对为微博用户推荐符合其兴趣取向的个性化微博信息的问题,结合LDA主题模型,提出一种基于用户长短期兴趣(LSI)的微博推荐方法.LSI方法首先引入时间函数,推断出用户的稳定兴趣向量,通过对新发布的微博数据的内容进行聚类分组,以用户的稳定兴趣向量筛选与用户最匹配的分组,随后以网格索引的形式对选定的分组中微博进行查询,并与用户的近期兴趣关注点进行匹配和排序,最终形成推荐列表.实验验证了LSI方法较之传统方法更具有效性和高效性. 基于模糊C-均值的改进人工蜂群聚类算法 传统的模糊C-均值聚类算法存在对初始聚类中心选择与噪声数据敏感,容易使目标函数陷入局部最优的问题,以及标准人工蜂群算法局部搜索能力及开发能力不强的缺点。针对这些问题,引进差分进化的思想改进人工蜂群算法并对跟随蜂的搜索行为进行更准确的描述,结合模糊C-均值聚类算法具有收敛速度快、易于实现且局部搜索能力较强的优点,提出一种基于模糊C-均值的改进人工蜂群聚类算法以提高聚类的性能。实验结果表明,该算法相对于传统FCM聚类算法,其准确率和抗噪性有所提高,聚类效果更好。 多媒体统一处理平台产品化定制的设计与实现 给出了基于网络多媒体开放系统的多媒体统一处理平台的产品化定制设计需求以及软件实现方法,介绍了该定制方法承担的任务,重点介绍了如何定制所需要的各类产品。该方法设计合理,使用方便,具有很强的实用性,对于计算机行业中其他领域产品的定制也具有指导作用。 基于Hadoop的多关键字排序方法研究 在单机环境下按多关键字对大数据排序需要较长的执行时间,为了提高按多关键字对大数据排序的效率,根据Hadoop的Map Reduce模型,给出了两种基于Hadoop的多关键字排序方法。方法一在Reduce函数中使用链式基数排序算法按多关键字对大数据并行排序,利用多个节点的计算能力提高排序的效率。方法二通过定义组合键和比较器实现了对记录的多个关键字按字节比较,节省了将字节流反序列化为对象的时间。通过实验测试了两种方法的性能,实验结果表明,两种方法均能取得较高的排序效率和较好的可扩展性。 用8251A实现PC机通信与控制的研究 该文为拓广PC机基于8251A芯片的各种通信和控制方式,用逻辑代数和人类正确交互方式对8251A芯片的性能进行了深入研究,总结出了PC机针8251A芯片的多种可行的具体通信和控制方式,并结合一个通信实例设计了相关硬件的接口电路和软件的代码。 3.0T MR DTI技术对外周带前列腺癌的定量分析 目的:探讨扩散张量成像(DTI)对外周带前列腺癌(PCa)的诊断价值。方法:回顾性分析行常规MRI、DWI及DTI扫描,后经穿刺病理证实的25例外周带PCa患者(恶性组),40例良性前列腺增生(BPH)和/或慢性前列腺炎(CP)患者(良性组)影像学资料,采用ROC的曲线下面积(AUC)值比较ADC、FA值及两者联合诊断外周带PCa的诊断效能,并初步确定ADC、FA的诊断阈值。结果:前列腺外周带癌区与非癌区ADC值和FA值分别为(0.89±0.19)×10-3mm2/s,0.25±0.05和(1.46±0.23)×10-3mm2/s,0.17±0.04,两者差异均有统计学意义(t值分别为10.414和-7.789,P值均<0.05)。ADC阈值为1.135×10-3mm2/s,敏感度、特异度分别为96.0%和95.0%;FA阈值为0.196,敏感度、特异度分别为96.0%和85.0%。ROC曲线上,ADC值、FA值及两者联合的AUC分别为0.974,0.907和0.990,95%置信区间分别为0.9000.998,0.8090.965和0.9261.000。AUC的两两比较,ADC值与FA值、ADC值与两者联合,FA值与两者联合,z值分别为1.498、1.312、2.151,P值分别为0.134、0.190、0.032。结论:DTI评价参数ADC、FA值均可为外周带PCa的诊断及鉴别诊断提供有价值的信息,ADC值较FA值对外周带PCa的诊断效能高,两者联合的诊断效能较ADC值无统计学差异,较FA值差异有统计学意义。 快速最大熵多阈值图像分割算法 阈值方法是一种重要的图像分割方法,在图像分割中得到了广泛的应用。最大熵算法虽然是图像分割阈值法中较好的方法之一,但是,由于传统的最大熵算法通常用穷举法求解,使得处理多阈值问题时运算速度太慢,难以满足应用需求。为了快速有效地确定阈值,提出一种改进的最大熵算法。通过递推公式将穷举法求解过程中需要重复计算的变量,预先计算后存入二维表备用,使整体计算量减少了一个数量级。通过对测试图像的分割实验,表明该算法与传统的最大熵算法相比运算速度有非常显著的提高,能够满足一般的应用需求。 改进的手指静脉图像识别算法仿真研究 为了解决传统PCA方法和小波矩融合PCA方法在光照条件变化较大时不能有效抽取图像局部特征,导致识别率较低的问题,提出了一种直接基于子图像向量的线性鉴别分析方法,即模块PCA手指静脉特征提取算法。上述算法通过对图像进行分块,利用PCA对分块得到的子图进行鉴别分析。由于使用子图像矩阵,能有效地抽取图像的局部特征,避免使用奇异值分解理论,过程简便。实验结果表明,所提出的方法在识别性能上明显优于传统PCA算法和小波矩融合PCA方法,识别率可以分别提高5.6和4.1个百分点。 基于DMFC的上肢外骨骼人—机协调控制系统 上肢外骨骼人-机协调控制系统中的一个关键问题是直角坐标系中的力信号转换成联合坐标系中力矩,该过程中奇点几乎一直存在;为了解决这个问题,提出了一种基于动态模型力控制器(dynamic model of force control,DMFC)的控制系统;利用阻尼最小二乘法处理负载,转矩补偿负载质量,避免了奇异性;在外骨骼的末端执行器处安装力传感器用于测量外骨骼和负载之间的力,通过相互作用力自动反映使用者的运动意图;实验结果表明,提出的控制系统具有非常好的稳定性和可负载性,当施加负载补偿时,不附加任何物体与附加5公斤对象的外骨骼末端执行器位置之间的稳态误差可减小至0.01μm以下,完全满足起吊重物的上肢外骨骼需求。 二型模糊相似度及其应用 二型模糊集可以直接处理高度不确定性,并且具有很强的实际应用背景。基于二型模糊相似度的公理化定义,给出了新的二型模糊相似度计算公式。进一步,将二型模糊相似度与Yang-Shih方法相结合,用于二型模糊数据的聚类分析,聚类结果与Yang-Lin的结果进行了比较,实例表明新的相似度更合理。此外,基于二型模糊相似度,讨论了二型模糊信息系统的属性约简问题,给出了相应约简的分辨函数法,并通过实例说明了该方法的具体计算步骤。 基于改进傅里叶描绘子的CBIR系统 在现有的基于傅里叶描绘子的CBIR系统中,为了提高检索速度,一般需要舍去物体轮廓经傅里叶变换后的大部分高频分量。当物体轮廓在细节部分具有较高能量时,此方法不具备有效性。为尽可能保证检索准确率并兼顾检索速度,在原有傅里叶描绘子上进行扩展,避免直接舍去高频分量,引入Fisher判别分析法将描绘子映射到子空间进行降维,并保证了映射后子空间的类内样本距离最小化和类间样本距离最大化。基于此改进傅里叶描绘子的CBIR系统具有更高的准确率与检索速度。 基于多特征选择及粒子滤波的目标稳健跟踪 研究机器人视觉问题,目标跟踪是当前计算机视觉及图像处理领域研究的热点问题,具有广泛的应用价值。目标建模是目标跟踪中的关键技术,目标模型的好坏直接影响到跟踪算法的性能。在复杂的场景中,尤其是背景与目标区分度较低的情况下采用图像单一特征建模往往无法取得理想的跟踪效果。提出一种多特征选择及粒子滤波的目标跟踪方法,以粒子滤波为跟踪框架,采用颜色和纹理特征对目标进行建模以减弱复杂背景的影响;跟踪过程中,通过对数似然比方法在线选择能区分目标和背景的最佳特征来描述目标,并更新目标模型以适应目标周围背景的变化,并进行仿真。结果表明方法在复杂背景的情况下具有鲁棒性和快速性。 《’11全国仿真技术学术会议》闭幕词 [正文]尊敬的各位理事,尊敬的各位代表:《’11全国仿真技术学术会议》即将完成各项议程就要闭幕了。我建议大家用热烈的掌声庆祝咱们的会议圆满成功!建议大家用热烈的掌声庆祝第八届理事会的诞生!祝贺各位新当选的理事。 簇间非对称群组密钥协商协议 无线传感器网络中传感器节点资源受限,传感器节点的通信能力及范围限制了其协同操作的规模,该环境下的群组密钥协商往往以簇为单元,群组之间的安全信息交换也限制于簇内通信.针对传感器通信能力及计算能力的限制,提出一种簇间轻量级非对称群组密钥协商协议(inter-cluster lightweight asymmetric group key agreement,CL-AGKG),为簇间传感器节点间建立一条安全高效的群组通信信道.该协议首先建立簇头间的联盟共享密钥,以簇头为桥接节点,实现不同簇的传感器节点具有相同的群组密钥因子信息,进而实现跨簇非对群组密钥协商.全网节点都可以与群组内部节点共享其秘密信息,实现消息发送者不受群组约束的群组安全通信机制.通过非对称计算将更多传感器节点的计算与通信量迁移到能量较大的簇头节点,确保传感器节点的计算及通信开销轻量级性.并实现密钥自证实性,不需要额外的通信轮数,传感器节点可自证实其计算群组密钥的正确性.经分析并证明:该协议在安全及性能方面具有较高的优势. 机载多功能调压器的设计和实现 根据国内机载调压器的调压速度快、具备故障保护功能以及可配置的调压时机等需求特点,提出了机载多功能调压器设计和实现;首先介绍了快速调压功能的原理;其次,在快速调压功能的基础上,详细阐述机载多功能调压器中高相限制、过流保护、数字保护扩展接口和禁止调压等新增功能的设计;本机载多功能调压器已成功运用于飞机交流发电机的控制中;实践证明,本方案运行可靠,成本低廉,具有良好的经济效益。 体育电子政务平台软件方案理想解评价方法 建设体育电子政务平台是各级体育主管部门进行体育资源优化配置、拓展体育信息资源的开发利用以及提高体育事业发展水平的重要内容。针对平台软件方案难以选择的问题,文中运用理想解法对多个方案进行综合评价。首先,根据以前的研究成果简要说明了评价指标体系及权重;然后,构建理想解评价方法的数学模型,包括原始评价矩阵、定性指标定量化、无量纲化处理、加权评价矩阵、欧氏距离等方面;最后,按照理想解法的评价步骤给出了综合评价实例。运用文中的研究内容进行体育电子政务平台软件方案综合评价,可得出良好的可比性评价排序结果。 一种结合粒子群优化理论改进的郭涛算法及其应用 郭涛算法是目前求解TSP(traveling salesman problem)问题最为高效的进化算法之一。算法中提出一种求解TSP旅行商问题的高效Inver-over算子,该算子使基因序列以一定概率进行自适应的序列倒置,同时具有遗传算法中的变异算子以及杂交算子的特性。对Inver-over算子进行改进,使粒子编码得到更加充分的序列倒置;并引入粒子群优化算法的思想以加快算法收敛速度,提高了郭涛算法求解效率。将改进后的郭涛算法应用于钢卷自动优化组合堆垛问题,实验验证了改进郭涛算法的有效性。 支持用户追溯和轻量的共享云数据审计方案 在云计算中,数据通常由一组用户共享。由于第三方审计可以通过数据块的签名获取组成员的身份,为了保护群组成员的身份,现有对共享数据的公共审计方案都隐藏了组成员的身份。然而,身份的匿名性将导致一个组的成员可以恶意修改共享数据而不被发现,而且对于资源受限的设备,用户在产生签名的过程中计算量大。因此现有公共审计方案存在数据块身份的不可追溯、用户产生共享数据块签名的计算量大等问题。针对上述问题,提出了一种支持用户追溯和轻量的共享云数据审计方案(ASDA)。该方案利用安全中介者代替用户签名,保护了群组成员的身份,在签名的同时保存用户的信息,通过这些信息,可以追溯到数据块是由哪一个组成员修改,从而保证数据块身份可追溯性;而且利用新的数据块致盲技术,减少用户端计算量。实验结果表明,所提方案与利用第三方媒介存储共享云数据(SDVS)方案相比,减少了用户端计算时间,并且能够实现共享数据块身份的可追溯性。 半监督的局部保留投影降维方法 针对现有数据降维算法不能同时利用标记样本和无标记样本的问题,提出一种半监督局部保留投影降维方法。定义类间相似度和类内相似度,同时最大化类间分离度、最小化类内分离度,保持样本总体结构和局部结构,从而提高数据降维的效果。在人工数据集、UCI数据库和Olivetti人脸库中的测试结果表明,该方法的识别率较高。 一种支持密文转码的JPEG2000图像加密算法 针对异构化程度不断加剧的通信网络环境对码率转换能力的需求,提出了一种支持密文域转码的层次化加密算法CT-HEA。与以往基于JPEG2000图像的加密算法相比,CT-HEA针对率失真优化截断模型的特点,按照图像质量层和分辨率对压缩流重新进行截断与合并,对重组后的码流采用密码学算法进行分层加密。该算法支持对加密压缩流的透明码率转换。仿真实验结果表明,CT-HEA算法复杂度低、保密性好,具有灵活的安全转码特性和低的转码代价。 层次分析法在软件过程度量中的应用 为综合直观地度量软件过程,将层次分析法(analytic hierarchy process,AHP)与软件过程度量相结合,建立软件过程度量模型。在软件过程度量模型指标体系的研究基础上,采用因素成对比较法等手段,选择适合的权重值算法与一致性检验算法,辅以五分法和归一化算法,实现软件过程的定性和定量分析相结合、多目标综合度量的效果。将该方法应用于某软件集成方案的决策过程中,描述实际工作过程中该模型的使用方法,验证了该模型的适用性与有效性。 牙弓曲线约束的多水平集主次统计模型的Levelset算法 为了有效地从CT图像中分割下颌神经管,提出了牙弓曲线约束的多水平集主次统计模型的Levelset算法。该算法在分析目前下颌神经管分割算法存在的问题,利用多水平集主次统计模型设计回馈控制,并基于局部牙弓曲线约束分析方法提出。实验表明算法能有效提高下颌神经管分割的收敛速度与精度。 MANET网络中一种基于蚂蚁群体的路由算法 MANET网络是一种无线通讯的移动节点的集合。这种网络非常灵活,不需要固定的中央服务器。它适合于临时的通讯连接。这种网络的最大挑战是在通讯节点间寻找最佳的路径,路径不当会加重系统的负载。文章介绍一种新的按需多跳MANET网络的路由算法,该协议是基于智能蚁群,试图利用蚁群的数学及工程能力来解决MANET网络的路由算法问题。该协议具有高适应性、高效性及可扩展性,该路由算法可以大大地减少网络的路由开销。 一种提高神经网络泛化性能的罚项最优脑外科模型 最优脑外科过程是一种训练后网络剪枝算法,计算的复杂度非常高,通过把剪枝条件以惩罚项的形式纳入神经网络的训练目标函数中,把正则化方法的结构优化蕴涵于网络训练过程,构建面向最优脑外科过程的计算模型,实现网络训练过程和最优脑外科过程并行剪枝,既保持了最优脑外科过程的准确性,又具有正则化的高效性,提高了神经网络模型的泛化性能。该模型在理论上具有收敛性,其有效性和可行性通过给出的Leven-berg-Marquardt方案仿真实验也得到了说明。 一个高效的包处理入侵检测模型 提出了一个高效率的包处理入侵检测模型。包俘获装置俘获网络数据包就直接对其进行检测,而不是重组这些数据包后进行检测,这样就提高了检测的效率。现有的入侵检测产品为了降低系统的漏报率,均采用包重组机制。提出的模型将包重组机制和数据包关联处理进行结合,该模型在保证了检测攻击完整性的基础上提高了检测系统的效率。 实现无线传输能量效率最大化的功率控制新方法 能量效率是无线自组织网络中非常重要的性能指标。到目前为止,自组织网络的能量效率还没有一个普遍接受的定义,且得出的结论多数是渐近的或定性的,其实用性非常有限。将比特看作质量为1的物理粒子,假设其在传输过程中携带了一定的信息动能,并将能量效率定义为物理能量转化为信息能量的比率,然后从信息论的角度定量地研究了无线传输的能量效率。通过理论分析,得出如下结论:能量效率随发送功率的增加非单调变化,且存在一个最优发送功率,使得能量效率取得最大值;给出了相应的最优发送功率,这将为协议设计提供最直接的帮助。根据得出的理论结果,提出了一种基于参数估计的功率控制的方法,并在Micaz传感器节点上进行了相关的实验。实验结果证明了此功率控制方法的有效性。 改进的模板匹配算法 在图像消旋消抖处理中通常运用到模板匹配算法,但在战场目标抗抖动的运用中,由于装甲战车车载图像跟踪系统受到地形地物的影响,会产生剧烈扰动,会产生匹配错误。建立了图像运动模型,根据图像灰度特征,对模板匹配算法进行改进。根据几何距离约束特征点的性质,运用特征点校正去除伪特征点,较好地消除误匹配,达到战场图像消旋消抖的要求。 基于指代消解的汉语句群自动划分方法 汉语句群自动划分是将篇章划分成包含不同主题的文本片段,在信息提取、文摘生成、语篇理解及其他多个领域有着极为重要的应用。指代消解是识别篇章中先行词和照应词关联起来的过程,消解不同表达是自然语言理解的基础之一。针对目前的句群划分工作的重点在于划分出主题之间的边界而较少利用其本身指代关系来进行语言理解,或者因指代模糊而得到错误的划分结果的问题,提出了一种基于指代消解的句群自动划分方法。该方法从对篇章的指代情况消解出发,利用适合中文的多层过滤指代消解方法得到指代链信息,以消除不同名词代表相同实体、代词指代不明的问题。结合指代链信息,并同时考虑篇章衔接词因素,设计并进行了基于多元判别分析(Multiple Discriminate Analysis,MDA)的一组评价函数J评价句群划分验证实验。实验结果表明,所提出的方法能够有效地进行句群自动划分,统计正确分割平均Pμ提高了7%左右。 新的无证书代理盲签名方案 无证书公钥密码学既不存在传统的公钥密码系统的证书管理耗费,也不存在基于身份的密码系统中的密钥托管问题,安全且高效。研究了代理盲签名方案的构造和应用,发现现有的无证书代理盲签名方案较少,而在无证书密码系统中研究代理盲签名会更容易满足其在电子投票、电子银行等应用领域中对安全性和高效性的要求。基于双线性对知识和离散对数困难问题,提出了一种无证书代理盲签名方案,该方案满足盲性、不可伪造性、可鉴别性、不可否认性等性质。 基于Dymola软件及Modelica语言的飞机供电系统建模与仿真 飞机供电系统的布局设计与控制逻辑是飞机系统设计的重要环节,且其对系统的可靠性、容错供电和余度等特性具有一定影响;对飞机电源系统进行仿真建模研究,在支持各种物理系统建模的Dymola平台下搭建了数字仿真模型,包括交流发电机、变压整流器、电源系统接触器和过欠压、过欠频检测模块等部件模型,并利用各个部件模型搭建了供电系统模型,同时利用Modelica语言完成系统逻辑设计,对整个系统进行仿真;数字仿真结果表明电源系统模型基本能够完成自动配电、自动隔离故障,达到设计要求,为飞机系统设计提供了理论支持。 解大规模无约束优化的自适应过滤信赖域法 提出一种解大规模无约束优化问题的自适应过滤信赖域法。用目标函数的梯度及迭代点的信息来构造目标函数海赛矩阵的近似数量矩阵,引进了过滤技术和自适应技术,大大提高了计算效率。从理论上证明了新算法的全局收敛性,数值试验结果也表明了新算法的有效性。 窄带干扰环境下直扩信号伪码周期估计 研究扩频通信技术中信号优化问题,信道的干扰影响直接序列扩频信号(DSSS)传输的正确性,给直扩信号参数检测与估计带来了困难,需要一种有效的算法来增强抗干扰能力。为了解决上述问题,利用变化域的FFT重叠变换干扰抑制提供一种最有效的方法,可通过对DSSS信号加窗处理和重叠变换,使信号频谱泄露大为降低,而且使信号的损失也大为减小,使误码率可以降到很低。另外提出一种FFT重叠变换干扰抑制算法和信号功率谱二次处理的联合估计窄带干扰环境下DSSS信号的伪码(PN)周期并进行仿真。仿真结果表明,算法在较低的输入信噪比和低信干比条件下能准确地估计DSSS信号PN码周期,证明了FFT重叠变换干扰抑制算法的有效性。 MPI集群环境下图像语义分类算法的并行化设计 为缩小图像的底层特征相似和高层语义相关之间的差距,常利用良好拟合能力的BP神经网络进行图像的多种语义分类.针对单BP神经网络在处理大规模图像数据和复杂分类模式中存在的学习时间长和分类效率低的问题,提出并建立了图像语义分类的多BP神经网络并行集成模型.在MPI集群环境下采用主从对等混合并行算法、对图像样本数据按类预分组等方法实现训练与分类,有效地完成BP神经网络图像多语义分类.实验结果取得了较高的查全率和准确率,趋近线性的加速比验证了该并行算法的正确性和高效性. 基于C MEX S函数的实时视窗下硬件驱动 针对Matlab实时视窗仅支持某些国外知名厂商设备,而大量国产设备无法使用的情况,以研华板卡PCI1727U为硬件平台利用C MEX S函数编写了该卡的模拟量输出通道驱动程序。与xPC驱动程序不同,Matlab针对实时视窗没有提供相关硬件操作函数和驱动编写例程,实时视窗下驱动程序的编写大多依据摸索和测试。最后,以PCI1713作为辅助板卡对编写的驱动程序进行了测试,测试结果表明,实时视窗下编写的PCI1727U程序能把给定信号输出到试验系统,这为基于实时视窗的数据采集系统的进一步开发利用提供了理论基础和指导原则。 未来网络科学与工程若干问题综述 从网络计算理论、网络科学和网络设计、网络设计与工程、网络设计与社会价值等方面阐述了构建未来网络面临的若干问题,其中任何一个问题的解决都将极大地推动计算机网络的发展。 一种简单快速的相机标定新方法 本文提出了一种新的相机自标定方法,该方法要求摄像机在3个(或3个以上)不同方位摄取一个包含其内接正三角形的圆的新型标定模板的图像。首先,从模板图像中推导得到圆环点的像点坐标;然后通过得到的圆环点像点坐标,可线性求解摄像机内参数。与传统方法不同的是,该方法避免了复杂的椭圆拟合和直线拟合,降低了计算复杂度,提高了标定速度和精度,对噪声更加鲁棒。此外,该方法中的标定过程不需要模板的任何物理度量,也不要建立模板及其图像上点的对应,标定过程简单易于操作。基于模拟和真实图像的实验验证了该方法的有效性和鲁棒性。 基于热度分析的动态副本创建算法 在分布式文件系统(DFS)中,副本的数量通常预先配置,不能适应文件访问需求的动态变化。针对该问题,提出了基于热度分析的动态副本创建算法(DRCA),优化副本的管理方式。动态副本创建算法通过分析文件在给定时间内的访问频率,推算文件的访问热度,并综合考虑统计周期、文件大小、工作环境等多种因素,按需动态地调整文件副本的数量,以减少文件访问的平均响应时间,提高数据服务性能。基于Hadoop的分布式文件系统(HDFS)开发了DRCA模块,并进行了性能测试,结果表明DRCA提供数据服务的性能优于HDFS自带的副本创建算法。 《计算机集成制造系统》第五届编委会成立 [正文]在历届编委会的领导和大力支持下,自1995年创刊以来,《计算机集成制造系统》期刊已经走过了20年的发展历程,取得了长足的进步。编辑部全体同志向全体编委表示衷心的感谢和崇高的敬意!经请示有关领导,日前《计算机集成制造系统》编辑部开展了编委会换届工作,通过对编委会成员的微调成立了第五届编委会。本次微调增补了现任863计划先进制造技术领域五个专家组的部分专家,同时根据工作需要对个别编 基于图像处理的舰船目标识别研究 针对海战场图像信息的目标检测与识别问题,论文提出一种基于D-S(Dempster-Shafer)的海上舰船图像目标多特征信息融合识别方法。该方法包括三个主要内容:1)建立以舰船目标的几何特征为证据源的D-S融合识别模型,这些特征计算简单,容易获取,且在舰船目标识别时具有良好的区分性;2)针对不同舰船特征,获取每个特征的基本信度赋值;3)根据舰船目标识别模型的特点,分析不同的比例冲突分配规则对舰船目标识别的鲁棒性,采用适于舰船目标识别的比例冲突分配规则。实验结果表明在舰船目标的识别中,该方法具有较高的识别精度。 基于投影的高效用项集挖掘算法 随着高效用模式挖掘算法在实际应用中的重要性逐步显著,因而其得到了越来越多的关注和研究,但是已知的一些算法存在着多遍数据集扫描以及会产生大量候选项集、时效性不高等问题.这些问题使得高效用模式的挖掘效率大大降低,故本文提出一种新的基于投影的高效用项集挖掘算法HUPMP(high utility pattern mining on projection).采用基于投影的方式将项集的信息存储在数组中,能有效的减少前人基于树结构建树所产生的耗时.同时HUPMP算法将多次数据集扫描减少为只需要进行两次数据集的扫描便可挖掘出所有的高效用模式.另外,针对会产生大量候选项集的问题,提出了一种不同于闭包属性的新性质.通过与多个算法的实验比较可以看出,HUPMP算法表现优异. 电容劣化对DC-DC电源寿命影响仿真系统 在DC-DC电源中,滤波网络中铝电解电容的劣化是影响电源寿命的主要因素,因此可以通过监测电容的劣化来评估DC-DC的健康状况。基于前期对电容的主要劣化模式及其对DC-DC影响的研究工作建立了电容劣化对DC-DC寿命影响仿真系统。该系统以LabView为主设计完成,通过劣化注入的方式模拟电容劣化。系统测试结果:电容劣化引起DC-DC输出纹波电压变大,DC-DC寿命缩短,这与理论分析一致。该系统可以仿真电容劣化的过程并可研究电容劣化对DC-DC寿命的影响。 基于全局信息的人脸特征点精确定位 空间中物理位置的线性变化在图像空间的变化往往是非线性的,人脸特征点定位受到头部姿态较大的影响。提出一种改进的基于头部姿态估计的条件回归森林方法,该方法有效地将原非线性问题转换为分段线性问题。使用局部保持投影(LPP)得到全局的姿态信息标签,通过非线性回归(NLR)得出头部姿态,训练并使用条件回归森林对全局特征条件下的人脸特征点进行一个精确定位。实验结果表明,该方法有效地降低了头部偏转等图像空间中的非线性变化引起的特征估计误差,提高了人脸特征点定位的精确度。 基于IGES文件的舰船航行性能数值仿真模型 模型的主要目标是基于IGES文件利用OpenGL和有限元网格分别实现船体的智能建模和网格划分,并且针对大量数据样本的情况提出基于分类错误率的决策树方法,提取有效的数据实现高效率的网格计算,以便更高效地建立舰船航行性能数值仿真模型。 一种基于PCNN的医学图像边缘提取方法 边缘提取是图像处理的基础工作,如何精确、有效地提取边缘是图像处理领域相关学者讨论的热点问题,由此产生的各种边缘检测方法层出不穷并且得到了很好的应用,但这些方法都无法达到人眼识别物体边缘的精确程度。目前脉冲耦合神经网络(pulse coupled neural network,PCNN)是图像处理领域较为接近生物视觉进行图像处理的有力工具。改进基本的PCNN模型,提出了一种新的模拟生物视觉提取图像边缘的方法,该改进方法有效地利用了PCNN的特性。将该方法应用于医学图像的边缘提取,并与几种经典边缘检测算法、基本的PCNN方法进行比较,通过实验结果证明改进的方法提取的边缘更加完整、清晰,并且对椒盐噪声具有较强的抑制能力。 移动自组网病毒传播模型及稳定性分析 考虑移动自组网中节点的移动特性,基于平均场理论提出移动自组网中病毒传播模型,并对建立的方程组进行平衡点存在性和稳定性分析,得出病毒传播的阈值及消亡条件,从而研究节点移动速度、通信半径、免疫成功率和免疫失效率对移动自组网中病毒传播行为和传播临界特性的影响。结果表明:当病毒基本再生数R0<1时,网络全局渐近稳定在无病毒平衡点;当R0>1时,网络全局渐近稳定在地方病平衡点。最后通过数值仿真验证了该模型的正确性。 动态复杂供需网络局域演化模型的研究 为了深入理解供需网络的演化规律,研究了已有的复杂网络演化模型刻画供需网络生长过程的不足,提出了以星型网络表示初始网络,在局域世界中选择新增节点的连接节点,局域世界的选取,采用了依据节点之间的网络路径值作为选取局域世界的原则,同时定义了局域世界的规模动态增长,从而建立了复杂供需网络的动态演化模型,并给出了生成模型的算法。该模型在考虑网络动态增长的同时,也考虑到网络内部边的动态演化以及节点的退出,这与现实情况相吻合,因此更细致、真实地刻画了供需网络的动态演化特性。仿真结果表明,该模型所生成的网络模型具有无标度特性和小世界性。 不良行为在团队网络中传播的动力学仿真及实例分析 针对不良行为传播过程难以分析的问题,提出一种基于复杂网络理论和系统动力学的模拟演化方法。该方法将团队映射为复杂网络,建立具有易染态、不良态、改良态、移除态、离职态的SBIRD模型,并结合权值度和簇系数对模型中的传播率、消除率、改良率等参数以及系统初始值加以实例分析。实例仿真表明:该方法能够有效地对不良行为传播过程进行分析,并辅助企业对团队中不良行为实施管理与控制。 文物碎块虚拟拼接中的表面特征优化 为了有效地度量空间曲面相似性,针对噪声敏感、部分匹配的受损文物碎块模型,提出一种基于空间曲面特征优化的匹配算法.首先计算模型表面点体积积分不变量形成匹配约束簇,提取匹配约束簇特征,并结合曲面凹凸互补性得到初始匹配簇对;然后定义3类空间几何一致性约束,并采用最大独立集方法对非正确匹配对进行消除,求解粗匹配最优化问题;最后在粗匹配实验基础上,采用不变特征迭代最近点进行精确对齐.实验结果表明,该算法能较好地实现高噪声影响下存在部分匹配关系的受损文物虚拟拼接. 基于样本集提取的现场钢材计数研究 针对现有方法实现现场计数的不可行性,提出一种基于提取样本的方式来实现现场环境下钢管计数方法。首先采用GRA和Top-hat联合增强方式改善图像质量,然后通过采用形态学填充的方式寻找标准物体样本集,最后形成权值模板再对梯度图像进行检测、统计计数。实验结果表明,该方法可以很好地在钢管加工过程及出入库中检测出钢管数量,具有较强的实用性。 基于Apriori算法的确定指定精度矩阵聚类方法 矩阵聚类法是一种对于给定稀疏二值矩阵求其相关指定面积和密集度的方法。在客户关系管理领域里作为一种数据挖掘技术,矩阵聚类法可以将相关客户和信息聚集成簇。在Apriori算法基础上加以改进提出一种新的矩阵聚类算法来获取满足具体指定条件的所有子矩阵。结果表明新算法能够具体细节地对客户的采购信息加以分析。 目标造型意象约束的异质产品基因选择与优化方法研究 为了能够在目标造型意象约束下有效利用与目标产品有本质属性差异的异族产品的特征,提出异质产品概念,并建立了基于目标造型意象约束的异质产品基因选择与优化模型。用语义差异法获取目标造型意象,利用数量化一类理论确定异质产品基因,基于交互式遗传算法构建异质产品基因优化辅助系统,最终实现异质产品基因与目标产品基因的融合。通过手机壳造型设计验证了本方法的可行性。 无线传感器网络中基于距离变换的骨架提取 在无线传感器网络中,利用骨架信息可以提高路由性能,也可以用于网络定位、导航以及分割等。以往的骨架算法往往假定边界节点被全部识别,但在绝大多数网络中,尤其是低密度网络,边界节点往往很难被全部正确识别。提出了一种基于距离变换的低复杂度、分布式骨架提取算法,该算法不要求所有边界节点被识别。实验结果表明,该算法对于边界不准确时能够得到较好骨架,同时对于边界点缺失具有鲁棒性。 云计算中的数据隐私性保护与自我销毁 随着云计算等在线计算服务的普及,用户数据隐私保护正在成为一个重要挑战.当用户数据上传到了云服务器端,用户就不再能够保证数据是受到保护的,并且能够被彻底销毁.为了向用户提供一种可靠的数据保护与销毁的途径,我们借助可信计算技术作为硬件上的可信计算基础,借助虚拟机监控器作为软件上的可信计算基础.可信的虚拟机监控器负责保护用户的敏感数据,并按照用户命令对数据进行彻底销毁.即使云服务器的全权管理员也无法绕过保护机制得到受保护的敏感数据.提出了Dissolver系统的设计与实现.Dissolver保证了用户数据在服务器端整个生命周期中的隐私性,并且在用户指定时间彻底销毁.性能测试显示数据Dissolver原型系统的性能开销能够控制在合理范围之内. 基于RGB-D三维点云目标分割 三维点云的分割与分类是点云处理的关键步骤。针对点云模型分割出现的过分割和欠分割等分割不精确问题,提出一种基于RGB-D的背景点云目标分割方法,以提高点云模型的分割精度。利用Kinect相机对物体进行旋转拍摄可得到物体两帧背景点云和各角度的点云数据。算法利用背景帧根据深度信息对点云模型进行背景分割得到前景物体。结合图像分割和点云分割,利用Grab Cut算法对背景图像进行图像分割得到目标的RGB数据,随后对点云模型比较给定范围内的点的颜色信息和法向量进行点云数据的分割与合并,最后得到目标点云。实验结果表明,背景分割可以有效分割深度值小于背景的前景,结合图像分割有效地避免了过分割和欠分割问题。 一种基于最大值损失函数的快速偏标记学习算法 在弱监督信息条件下进行学习已成为大数据时代机器学习领域的研究热点,偏标记学习是最近提出的一种重要的弱监督学习框架,主要解决在只知道训练样本的真实标记属于某个候选标记集合的情况下如何进行学习的问题,在很多领域都具有广泛应用.最大值损失函数可以很好地描述偏标记学习中的样本与候选标记间的关系,但是由于建立的模型通常是一个难以求解的非光滑函数,目前还没有建立基于该损失函数的偏标记学习算法.此外,已有的偏标记学习算法都只能处理样本规模比较小的问题,还没看到面向大数据的算法.针对以上2个问题,先利用凝聚函数逼近最大值损失函数中的max(·)将模型的目标函数转换为一个光滑的凹函数,然后利用随机拟牛顿法对其进行求解,最终实现了一种基于最大值损失函数的快速偏标记学习算法.仿真实验结果表明,此算法不仅要比基于均值损失函数的传统算法取得更好的分类精度,运行速度上也远远快于这些算法,处理样本规模达到百万级的问题只需要几分钟. 应用马尔可夫随机场的金属疲劳断口条带分割 研究了金属疲劳断口图像的分割问题,提出了一种基于马尔可夫随机场(MRF)的金属疲劳断口图像的条带分割方法。由于疲劳断口图像中的纹理记录了整个断裂过程中的受力情况,通过对疲劳断口的条带纹理进行分析可以反演断裂的过程,因此研究疲劳断口图像的分割可以对失效分析有重要的科学价值。文中构造了图像的马尔可夫随机场模型,并且提出了一种基于该模型的图像分割算法。马尔可夫随机场模型是一种描述图像结构的概率模型,能够充分利用图像的空间相关信息,能够实现对低信噪比的金属疲劳断口图像进行条带分割。结果表明算法具有收敛速度快、稳健性好等优点。 肺结节的3T多b值磁共振扩散加权成像鉴别诊断 目的:探讨利用3.0T磁共振扩散加权成像(DWI)及其表观扩散系数(ADC)值鉴别诊断孤立性肺结节良恶性的效能,并优化b值。方法:收集2012年3月至2014年12月CT检查发现的孤立性肺结节患者71例,并经手术、穿刺活检或临床治疗随访证实。其中良性结节41例,恶性结节30例,全部行3.0T MRI胸部常规T1WI、T2WI和DWI检查,DWI采用附加三维容积匀场技术并减小相位方向视野,b值选择300、600、900和1200s/mm2,测量各b值下肺结节的ADC值,并绘制各b值下ADC值诊断的受试者操作特性(ROC)曲线,得出相关诊断效能,依此获得合理的b值。结果:良恶性肺结节的ADC值均随b值增加而逐渐变小,各组中均显示恶性结节的ADC值显著低于良性结节(t=4.58~6.33,P<0.01)。不同b值ROC曲线下面积(AUC)依次为0.800、0.839、0.878及0.873,均有诊断意义(AUC>0.5);b=900s/mm2时获得的ADC值诊断效能最大,鉴别良恶性病变的最佳阈值为1.228×10-3mm2/s,其敏感性83.3%,特异性91.2%。结论:3.0T磁共振扩散加权成像对孤立性肺结节的定性诊断有较高价值,b值900s/mm2时的ADC值诊断效能较高。 求解CARP-RP-ML问题的改进算法 传统方法无法有效求解交通道路维护运作中的有补给点及多装载的容量约束弧路径(CARP-RP-ML)问题。为此,提出改进的启发式算法和遗传算法。启发式算法将不同的分割算法用于由所有需求弧随机排序得到的个体上,构造问题的可行解;遗传算法利用分割算法计算其个体适应值,确定对应的可行车辆路径及补给位置,并用局部搜索作为变异算子,进一步扩大搜索空间。数值实验结果表明,与启发式算法相比,遗传算法能更有效地求解CARP-RP-ML问题。 自适应混沌蚁群算法的神经滑模控制器仿真 针对RBF神经网络权值在线优化较慢的问题,通过自适应混沌蚁群算法对神经网络的权值进行离线优化,利用在线自适应算法对神经网络的权值进行局部调整,提出了一种自适应混沌蚁群神经网络学习方法,该方法缩短了神经网络的在线学习时间,同时也抑制了滑模的抖振。仿真结果表明,基于自适应混沌蚁群算法的神经滑模控制具有强的鲁棒性,完全适用于不确定干扰上界未知的复合试验系统的振动控制,并且降低了系统的保守性。 TTCN-3语言中基于过程通信的编译器实现 TTCN-3是国际标准测试语言,支持基于消息通信和基于过程通信2种通信方式。为满足分布式系统和云应用平台中对远程过程调用的测试需求,提出一种基于过程通信的高效编译器设计方案,采用模块化思想,实现从TTCN-3语言到C++语言的自动化翻译,同时简化后期的执行器设计。实验结果表明,该方案可减少后期执行过程对平台的依赖性,并且翻译后的C++代码与TTCN-3代码相似,提高了代码的可维护性。 基于节点分裂优化的R-树索引结构 针对R-树索引空间查询效率低下的问题,提出一种基于节点分裂优化的R-树索引结构:SR-树索引。SR-树索引在节点分裂过程中,通过增加叶子节点的空间数据聚集性来减少叶子节点最小外接矩形的覆盖面积。为了有效降低磁盘读写消耗,SR-树节点在写入索引时,首先将索引树在内存中建好,然后在文件中写入树信息,最后通过递归的方式写入节点。实验结果表明,与R-树索引相比,SR-树索引可以在减少最小外接矩形重叠面积的同时,有效降低查询响应时间,从而达到提高查询效率的目的。 一种增强的OAEP方案EAEP3+ 著名的OAEP(Optimal Asymmetric Encrypation Padding)是一种随机化的消息填充技术,由于其具有较高的效率和良好的安全性而被广泛认可.然而随着研究的深入,人们逐渐发现其中存在的漏洞.该文介绍了几种OAEP的改进方案,其中OAEP3+为目前安全性最高的改进算法,该文中在OAEP3+的基础上提出了一种增强型结构———EAEP3+(Enhanced Asymmetric Encryption Padding),该结构不仅仍然满足IND-CCA2安全等级,而且在密文不可区分性方面得到了进一步的提升,同时算法的执行效率也有提高.最后,该文分析证明了EAEP3+的效率与安全性. 基于提前终止编码单元划分的快速帧内预测算法 为了降低高效视频编码HEVC(High Efficiency Video Coding)帧内预测过程的计算复杂度,提出一种提前终止编码单元(CU)划分的快速帧内预测算法。首先,针对HEVC编码结构,提出一种纹理复杂度测度的方法(即像素亮度方差值)。然后,分析不同纹理特性对帧内预测编码单元层次结构的影响,提出一种基于像素亮度方差值统计的提前终止编码单元的方法。对不同层次结构的编码单元进行像素亮度方差值统计,同时结合平均像素代价值统计,设置合理的阈值,自适应提前终止编码单元的划分,从而降低了帧内预测的编码复杂度。实验结果表明,在保证信噪比和比特率不变的情况下,该算法与HEVC参考软件HM10.0相比,编码时间平均节省32%。 基于内容的Gist-Hash超声图像检索算法 针对日益增长的超声图像,传统的基于文本的超声图像检索已经无法满足现有的需求,提出了基于内容的Gist-Hash算法超声图像检索方法。该方法首先选取了优势较为明显的全局特征Gist,充分利用了超声图像的特征,同时选取Hash算法对图像Gist特征进行优化,将其转化为Hash编码,提高了检索速度。通过Linux平台对该检索方法进行了验证,实验结果表明,基于Gist特征和Hash算法的超声图像检索方法,相比传统的Gist特征和欧氏距离的检索方法,大幅提高了检索的速度,使得医生在实际操作中能够更快地获取到比较满意的检索结果。 层次P2P网络下两级服务部署策略 针对服务放置问题,提出P2P覆盖网络框架下自上而下的两级服务部署策略,研究领域内部服务部署的优化模型和求解算法。仿真实验结果表明,领域内部服务放置策略能降低领域内部服务组合的代价,两级服务部署策略从整体上减小了用户获得组合服务的总代价。 PCI总线从接口的设计与验证 讨论了一种包括配置空间和I/O空间的从PCI(PCI-slave)接口电路的Verilog HDL设计。重点介绍了顶层的系统架构,对其进行了功能分析和结构划分,并详细阐述了各子模块电路的设计和实现。根据PCI总线交易时序,给出使用有限状态机实现接收总线信号控制本地逻辑的方法。针对PCI时序的复杂性,提出了一种新颖实用的PCI系统验证方法,并重点讲述了组建验证平台的方法及其优点。通过编写测试激励程序完成了功能仿真,仿真和验证的结果表明,该接口电路在功能和时序上符合PCI技术规范,达到了预定的目标。 基于分层的河流水下传感器网络路由算法 为了获取传感器节点的实时位置,在河流水下传感器网络(UWSN)的独特环境中,采用流体力学的方法对河流水下传感器网络进行建模,模拟真实河流环境下传感器节点的运动规律。为了研究河流水下无线传感器网络数据传输的问题,提出了一种河流环境下基于分层的路由算法(RALM)。每个节点根据收到Sink广播的速度信息周期性地计算并更新各自的拓扑信息,数据待发送节点优先选择当前剩余能量最多的上一层的邻居节点进行数据转发,若上层无邻居节点,则转发给剩余能量最多的同层邻居节点。仿真实验表明,所提算法在网络的冗余度和丢包率上都要优于基于深度的路由算法(DBR)和基于分层的水下传感器网络路由协议(Layered-DBR),网络生存周期分别提高了71%和45%。 基于半监督支持向量机的交互式遗传算法 为减轻用户疲劳并将交互式遗传算法应用于复杂的优化问题中,提出一种基于半监督支持向量机的交互式遗传算法。根据标记样本和未标记样本几何特性派生出数据依赖的核函数,以此构建半监督支持向量机,再以自训练方法进行高可信未标记样本的批量选择,实现用户评价代理模型的高泛化性能。将该方法应用于基于内容的图像检索系统,结果表明其能有效加快进化收敛的速度,提高优化成功率。 融合反馈信息与内容相关度的PageRank改进算法 为了提高网页排序算法的效率,提高搜索引擎的检索质量,提出了融合反馈信息与内容相关度的PageRank改进算法。利用向量空间模型计算网页之间的主题相关性,得到网页的主题相关度权值。通过对网页被点击次数进行统计分析,得到网页点击量的增量权值。将这两个权值结合共同影响网页的PR(PageRank)值分配。通过仿真实验得到运用该算法后的实验结果,与其它算法的实验结果进行了比较,验证了该算法优于其它算法。 WSN中一种负载均衡的动态非均匀分簇方案 无线传感器网络(WSN)是由资源受限的传感器节点构成,节点能耗对网络的性能有着重要影响,对网络进行分簇可以有效地控制节点整体能耗。针对网络实际运行时节点状态和事件位置动态变化等特点,提出了一种负载均衡的动态非均匀分簇方案。方案主体思路是:首先网络利用O-LEACH算法自组织地进行非均匀分簇,接着动态地从簇头中选举出一定数量的决策节点用于网络的数据汇聚,并根据事件发生位置和节点状态变换而动态更改决策节点角色。仿真结果表明,与CAPNet方案相比,该方案均衡了网络能耗,提高了传输效率,延长了网络生命周期。 无线传感器网络中基于RSSI的改进加权质心定位算法 针对基于无线传感器网络的节点定位问题,提出一种基于接收信号强度(RSSI)的改进加权质心定位算法。该算法首先采用高斯理论模型过滤RSSI值,再运用校正RSSI测距技术测量节点之间的距离,并优选信标节点,最后用改进加权质心算法进行定位。实验结果表明:改进后的算法相比于传统的质心定位算法,能够实现更好的定位效果。该算法充分利用了RSSI数据,避免了信息的淹没,能够较好地满足低功耗与低成本的要求。 基于相位响应独立性的衰落信道加密方法 现有的密钥加密方法无法保证密钥分发的安全性。针对上述问题,提出了一种基于信道相位响应独立性的衰落信道加密方法。通过将发送方和窃听方之间的信道化为两个信道的级联计算得出密钥各态历经的安全容量,在此基础上,对离散PSK信源加密的参数进行了讨论,最终实现信息的加密。仿真结果表明,当合法接收方的接收信号相位估计误差为0.001时,误码率会迅速上升为0.5。 一种基于深度数据的高斯模型运动目标检测方法 鉴于目前基于图像的运动目标检测系统对目标场景光照条件非常敏感,文中提出一种基于Kinect深度数据曲率的单高斯模型运动目标检测方法,增强了系统对场景采集误差的鲁棒性。首先对深度数据进行中值滤波,利用单高斯模型对目标区域深度数据进行建模;在对目标场景实时采集数据与背景参数进行高斯概率门限值判别后,经过形态学滤波,达到了运动目标检测的目的。同时利用实时更新背景参数的方法提高模型适应场景变化的能力,并通过实验取得了良好的检测效果。 基于Android的水稻病害图像识别系统设计与应用 针对目前水稻病害图像识别系统依赖于数码相机和计算机,缺乏便携性和实时性的问题,设计了一款基于Android手机的水稻病害图像识别系统。系统通过分析水稻稻瘟病、胡麻斑病、干尖线虫病、白叶枯病四种病害的颜色、形状、纹理特征,采用图像预处理、图像增强、图像分割、特征提取以及图像识别的处理方法,实现基于图像识别的及时准确诊断水稻病害类型的目的。实验结果表明,系统准确率可达93.78%,正检率96.22%,误检率6.22%,虚警率1.56%,平均诊断用时2.802s。该系统能有效地拍摄并诊断水稻病害,迅速、准确地给出病害防治措施。 融合Fisher准则和势函数的多阈值图像分割 针对传统多阈值图像分割算法复杂度高、分割效果欠佳等问题,提出了一种基于Fisher准则和势函数相结合的多阈值图像分割方法。首先对Fisher准则函数进行简化,再对简化后的Fisher准则采用递推算法降低计算复杂度,然后由直方图势函数方法确定图像的分割类数,最后将改进的Fisher准则用于多阈值图像分割,并对最终分割结果进行后续处理。实验结果表明,融合Fisher准则和势函数的多阈值分割方法不仅分割效果好,而且分割时间短,能够运用到实时应用的场合。 基于视觉同时定位与地图构建数据关联优化算法 数据关联的复杂程度随着地图规模的不断扩大而增加是导致机器人同时定位与地图创建(SLAM)实时性差的一个主要原因。在SLAM系统中,主要应用尺度不变特征变换(SIFT)算法提取自然路标。提出两种方法来改进数据关联的实时性:1)提取感兴趣区域;2)引入当前路标的物理位置信息作预判断。实验结果表明,所提的改进方法是可靠的,改善算法复杂度的效果是显而易见的。 一种基于最小均方误差原理的位同步捕捉算法 提出一种数字通信系统中基于最小均方误差原理的位同步捕捉算法,对算法的提出、原理以及性能进行了理论分析,同时在加性高斯白噪声信道下进行了大量的计算机仿真,给出了在某无线通信系统中的应用结果。此算法通用性强,实现位同步捕捉速度快,易于高速单片机及DSP实现,具有良好的抗噪性能。 特征字典与自适应联合的BCS-UWB信道估计 超宽带作为一种高速无线通信技术,具有很多优势,但是其过高的带宽造成采样困难的问题。考虑到超宽带信号和信道固有的稀疏性且信道稀疏性未知,贝叶斯压缩感知提供了一种低速采样方法,将信道估计问题转化为压缩感知理论中的重构问题。压缩感知理论的关键在于信号的稀疏表示与观测矩阵的设计。目前常用的单位矩阵字典和多径字典并没有使信号具有最大的稀疏度,重构所需要的观测数目较大;并且常用的随机观测矩阵与稀疏表示字典相关度较高,算法必须在降维比较高时才能达到重构要求,采样速率依然较高。针对上述问题,文中提出使用特征字典表示稀疏信号,并使用贝叶斯压缩感知理论中的自适应观测矩阵设计方法进行信道估计。通过将二者结合,特征字典使信号具有最大的稀疏度,自适应观测可以用较少的观测值进一步提高重构算法的精度,达到进一步降低采样速率的目的。仿真结果表明,使用特征字典与自适应观测矩阵联合的贝叶斯压缩感知进行超宽带信道估计可进一步降低采样速率,并具有更好的性能。 概念格在入侵检测中的应用研究 为了发现潜在的、有效的入侵检测规则,提高入侵检测系统的检测率,将概念格与入侵检测技术相结合提出了一种基于概念格理论的入侵检测系统。系统通过对收集的数据进行预处理、数据规范化,使用属性约简得到最小属性集构建概念格,同时分析概念间的蕴涵关系,获得非冗余的分类规则。基于概念格的入侵检测模型与其它检测方法相比要求的训练数据获取简单,实验结果表明,使用该模型减少了实现分类的运算量,提高了入侵检测的检测率,有效控制了检测的误检率。 触觉再现技术研究进展 针对触觉再现技术面临的数据获取难、设备真实感低、应用数量少等问题,在触觉感知机理和触觉再现范式基础上,分析了现有触觉信号采集、建模、渲染等关键环节的方法和特点,归纳了典型触觉再现设备的种类和实现原理,讨论了触觉再现技术的主要应用领域.根据触觉再现技术的研究现状,提出了构建触觉感知模型、提高触觉数据特征提取与模型处理精度、开发低功耗低成本的普适性触觉系统与多通道融合的交互应用的未来发展方向. 一种针对拍摄物体三维重建的全视角立体成像方法 为了实现被拍摄物体的三维重建,设计了一种单相机-圆柱-锥形反射镜面共轴的全视角立体成像系统结构,并提出了系统中物点与全视角图像中像点的投影映射方法.被拍摄物体通过圆柱-锥形反射镜的2次反射成像在相机获取的图像中形成具有全视角信息的环行立体像对,立体像对中符合外极线约束的像点对与相应物点存在对应关系,在投影变换基础上进行立体像点对匹配后,根据图像中像点对的坐标位置可得出相应物点的三维坐标值.最后在3DSMAX构造的虚拟装置上进行仿真实验,实现了拍摄物体的三维重建和全视角浏览,验证了算法的有效性. 改进的量子遗传进化激励的快速数据分类算法 由于数据的属性越来越复杂,可用于分类的属性越来越多。传统的数据聚类算法对数据聚类初始中心点十分敏感、很难根据数据复杂的属性选定合适的中心,计算过程中由于数据的多属性干扰易陷入计算的局部最优,造成算法执行效率较低。提出了一种改进的量子遗传进化激励的快速聚类算法。算法中,首先利用高密度划分和阈值参数对样本数据集进行初次聚类划分,生成若干聚类集合;然后将样本的聚类过程看成是聚类中心的动态优化过程,克服传统算法中中心点固定不变的弊端,利用改进的量子遗传进化激励算法对每次聚类最优的聚类中心进行搜索;算法引入自适应变异算子对进化算法进行搜索能力进行改进,增强算法的全局搜索能力。实验证明,算法不仅具有较好的聚类精度,而且收敛速度快。 复杂电路虚拟维修的建模与仿真技术 分析了电路虚拟维修的特点,总结了电路虚拟维修系统中必不可少的3种模型:仿真模型、交互模型和三维模型,研究了3种模型的特点和建模方法。提出了一种层次化模型结构:功能层、应用层和显示层,并研究了各层之间的信息传递。引入多Agent系统理论建立电路虚拟维修系统中不同类型的智能Agent模型,研究了基于KQML的各Agent间通信的方式。最后根据模型的层次化结构建立了一个基于多Agent系统的电路虚拟维修训练系统。 基于自适应学习的多目标粒子群优化算法 将进化算法应用于某些多目标优化问题时,采用增加种群规模和进化代数的方法往往耗费大量的目标函数计算开销,且达不到提高种群进化效率的目的,为此提出了一种基于自适应学习最优搜索方向的多目标粒子群优化算法。采用自适应惯性权值平衡算法的全局和局部搜索能力,采用聚类排挤方法保持Pareto非支配解集的分布均匀性,使用最近邻学习方法为每个粒子在Pareto非支配解集中寻找一个最优飞行目标来提高其收敛速度并保持粒子群搜索方向的多样性。实验结果表明,提出的算法可在显著地降低函数评估成本的前提下实现快速的搜索,并使粒子群均匀地逼近Pareto最优面。 混沌增强加速粒子群优化算法 针对最近提出的具有极强全局搜索能力的加速粒子群算法,为改善早熟收敛问题并提高收敛精度,提出一种融合混沌理论的混沌增强加速粒子群算法。该算法引入混沌序列来调节全局学习因子,使算法进一步增加全局搜索能力。算法性能通过测试四个典型多目标优化函数验证,并与经典的非劣分类多目标遗传算法、多目标粒子群优化算法和加速粒子群算法相比较,结果表明混沌增强加速粒子群算法具有较快的收敛速度和较强的跳出局部最优能力,性能优越,可供优化求解等许多领域借鉴。 基于轮廓结构元素形态学的多尺度边缘检测 提出一种改进的基本轮廓结构元素形态学的多尺度边缘检测的方法。用基于轮廓结构元素的形态学提取多尺度下的图像边缘,然后加权融合,采用非极大值抑制算法细化边缘。该方法在有效抑制噪声的同时保护了图像的细节。实验结果证明该方法在提取受噪声污染的图像的边缘时非常有效。 模拟电路边界扫描功能性测试模型研究 边界扫描技术在数字电路中已经基本成熟,但在模拟电路中还涉足较少。为了提高模拟电路系统的可靠性和可测性设计,对模拟电路面向功能性测试的边界扫描模型进行了研究,结合IEEE1149.1标准框架结构和IEEE1149.4标准混合信号测试总线思想,提出了利用数字寄存器控制模拟开关的边界扫描单元结构,设计了面向功能测试的模拟电路边界扫描模型,简化了测试存取口,降低了测试难度,同时构建了模型测试平台,实现了模型的功能测试功能。 无线传感器网络在桥梁健康监测中的应用研究 针对无线传感器网络技术在桥梁结构健康监测中的应用,研究并开发了基于无线传感器网络的桥梁结构健康监测系统。采用能量均衡分簇路由算法、时间同步算法和能量管理算法,组建了低功耗、节点能量消耗均衡、具有多跳路由结构的无线传感器网络。同时,应用加速度传感器检测桥梁振动的加速度数据,通过FFT变换由加速度数据得到桥梁的固有频率,并根据固有频率分析桥梁的健康状况。系统在公路高架桥中进行了应用试验,试验结果表明,系统便携性好,监测数据可靠。 强杂波环境下的LGM-PHDF算法 为解决LGM-PHDF算法在强杂波环境下,错误率和时间复杂度增加的问题,提出一种改进算法。在预测步骤结束后,使用量测信息和预测信息得到残差向量,通过椭球门限技术得到与目标真实状态接近的有效量测;在更新步骤中,只使用有效量测对高斯项进行更新,使用标签管理机制更新目标航迹。仿真结果表明,在强杂波环境中,改进算法降低了计算复杂度,具有更好的跟踪精度。 供电系统微电网电源选址定容仿真研究 分布式风电和光伏具有随机性和间歇性的特点,接入微电网后会加剧电压偏移和负荷波动,把储能放置在单一节点不能有效抑制电压偏移和负荷波动等潮流问题,因此需要对微电网电源进行合理的选址定容。由于选址定容问题求解的复杂性,基于数学方法仅考虑极值的传统算法不仅耗时长而且速度慢,影响最优解选取精度,因此,提出自适应变异多目标粒子群算法,针对经典粒子群算法求解过程中,惯性权重缺乏指导以及局部收敛等问题,提出自适应变异改进,并引入拥挤距离算子排序求解获得最优解集,采用逼近理想解排序(TOPSIS)选出微电源的最优接入方案。建立以电压偏差、负荷缺电率以及储能容量为目标的微电源优化模型,进行仿真研究。结果表明,自适应变异多目标粒子群算法有效避免了取到次优的电源优化配置结果,能够快速收敛到最优解,验证了所提算法的有效性和准确性。 轰炸机巡航导弹旋转投弹仿真研究 高机动性和隐身设计是现代战机研制的主流方向,也是战略轰炸机必不可少的技术要求。国产轰炸机一般采取外挂式发射巡航导弹,这种发射方式气动阻力大,不利于飞机的隐身,使飞机难以实现机动突防,进行远程战略打击。设计一种适用于国产轰炸机弹舱的旋转发射架,实现巡航导弹内埋式发射。在SolidWorks中建立其三维装配体模型,然后将模型导入多体动力学分析软件ADAMS中分析其动力学特性。分析结果表明,旋转发射架的动力学性能满足要求,能实现正常的转动和投弹。 一类带约束动态多目标优化问题的进化算法 动态多目标约束优化问题是一类NP-Hard问题,定义了动态环境下进化种群中个体的序值和个体的约束度,结合这两个定义给出了一种选择算子。在一种环境变化判断算子下给出了求解环境变量取值于正整数集+的一类带约束动态多目标优化问题的进化算法。通过几个典型的Benchmark函数对算法的性能进行了测试,其结果表明新算法能够较好地求出带约束动态多目标优化问题在不同环境下质量较好、分布较均匀的Pareto最优解集。 司法消噪算法改进及关键参数优化 对智能人工呼吸床系统的司法消噪算法进行改进,将司法处理思想引入时间序列消噪中,提出一种新的呼吸周期预测算法。在此基础上完善司法消噪算法的法规综合处理函数,优化影响消噪效果的关键参数(违法因子、制定噪声法规所需的依据数据数目、第i个立法数据权值及最大举证数据数目)。实验结果证明,改进的算法对所处理的信号序列要求较低,适用范围更广,预测效果更好。 改进财务评价指标体系的筛选研究 为解决目前传统项目财务评价指标存在的意义重复、通用性欠佳等不足,提出了指标改进与技术筛选相结合的体系模型。首先根据传统指标的不足,提出新的改进财务评价指标,形成更加完善的指标体系;进而运用因子分析与聚类分析相结合的数据挖掘方法对改进的投资项目财务评价指标体系进行筛选,提高了财务评价的准确性及计算效率;最后应用实例验证了数据挖掘技术在投资项目财务评价指标研究中的有效性,为项目财务评价工作者提供一种改进的思路与方法。 浅谈军队院校计算机课程教学 要想提高军队院校的教学质量,保证学员的素质适应时代的要求,就必须加快计算机基础课程教学改革的步伐,提高计算机基础课的教学质量,以更好地适应新的形势。 基于非线性滤波和纹理传输的图像风格化 纹理传输通过将样本图像纹理信息传递给源图像实现风格化.但在处理纹理细节丰富的源图像和复杂样本图像时,现有技术的处理效果差,且不便于用户进行直观的交互操作.为此,提出一种基于非线性滤波的显式纹理传输方法.首先通过相对总变差和L0梯度最小化滤波器对源图像进行去纹理操作,然后通过相对总变差滤波器对样本图像进行纹理提取,最后通过亮度重映射和线性叠加实现纹理传输.实验结果表明,该方法能够在保持源图像结构和色调信息的同时进行纹理替换;与已有方法相比,交互过程更加直观且风格化效果更好. 地面激光点云阶层式分类方法 针对不同地物之间点云特征的多样性和树木点云分布的无规律性,导致一般方法分类精度低的问题,提出一种基于对象的地面激光点云阶层式分类方法.首先采用欧氏距离聚类法将非地面点云分割;然后提出一种法向散乱系数计算方法,并用于树木的提取;最后结合点云对象的点个数、高程均值和平面拟合残差特征实现其他地物的分类.实验结果表明,该方法能有效地将复杂地物分类,相比于投影点密度法和支持向量机法分类精度更高. 基于组合优化理论的用电量预测模型 为了提高用电量的预测精度,提出了一种基于组合优化理论的用电量预测模型(AFSA-LSSVM).首先相空间重构用电量学习样本,然后将学习样本输入到最小二乘支持向量机进行训练,并采用人工鱼群算法优化LSSVM参数,建立最优的用电量预测模型,最后采用仿真实验对模型性能进行测试.结果表明,相对于对比模型,AFSA-LSSVM可以准确刻画用电量的变化趋势,提高用电量的预测精度,预测结果更加可靠,可以为决策者提供有价值决策信息. 关联分析算法在安全管理平台中的研究与应用 为了解决安全管理中无效的重复安全事件以及事件之间独立分析的问题,需要对安全管理平台中的关联算法重新进行设计。结合当前安全管理平台并通过分析几种关联算法的优劣性,从中选择了概率相似度算法和先决条件算法作为研究对象,提出了一种基于两者的混合关联算法,并对该算法进行了简单介绍。通过对混合关联算法应用于安全管理平台的方式进行探索,提出了基于此算法的关联模块的设计方案。混合的关联算法应用于安全管理平台后,能够有效地融合相似告警,消除重复告警,实现了攻击场景的灵活再现,使得管理员不仅能从整体上掌握网络安全状态,而且能直观地做出决策,更好地维护网络安全。 一种基于样图的体纹理快速生成算法 由于现有的体纹理生成方法难以快速生成与指定样图相似的体纹理,针对大理石与木材2种典型的纹理类型,提出一种基于二维样图快速生成体纹理的算法.根据纹理的空间分布特征设计二维样图在三维空间中的运动路径,然后使样图像素通过其运动轨迹对三维空间着色,生成体纹理空间;设计了五次Catmull-Rom样条函数用于噪声插值,以此构造湍流函数扰动样图运动轨迹,模拟实际纹理的不规则性;采用基于三角形最长边垂直放置与高度递减装配策略的纹理地图集生成算法,用于保存从体纹理空间获取的表面纹理.实验结果表明,该算法能够在网格表面生成高度真实感的体纹理,有效地保持了与样图纹理的相似性,运行时间仅需几秒钟. 基于SVM主动学习的音乐分类 提出了一种改进的SVM(支持向量机)主动学习方法,通过多次迭代提供给用户信息量最大的样本并将其加入训练集,可以大大减少人工标记样本所耗费的代价。为了评估分类器的性能,实验中对包含了五种音乐流派类别(舞曲、抒情、爵士、民乐、摇滚)的801首音乐样本进行了分类,并在分类准确率的收敛速度和达到同等准确率下需要标注的样本数目两个方面验证了提出的SVM主动学习方法的有效性。 面向不确定数据的概率阈值可见最近邻查询算法 在现实世界中,障碍物的存在影响了查询点到对象的可见性.可见最近邻查询返回到查询点最近的一个可见对象,是时空数据库中的一类重要应用.由于度量设备的误差和隐私保护,很多关于空间对象位置的数据是不确定的.将不确定对象应用到可见最近邻查询中便产生了概率可见最近邻查询,返回成为可见最近邻概率大于0的对象.有些情况下,用户只关心概率超过一定阈值的结果,于是本文提出了概率阈值可见最近邻查询,返回可见最近邻概率超过阈值τ的不确定对象,其中阈值τ是用户设定的,并且给出了高效的概率阈值可见最近邻查询算法.相比以前的工作,不仅处理了概率和为1的不确定对象,而且处理了概率和小于1的不确定对象;此外,通过引入缺失概率和聚类的概念,提出了高效的过滤技术和快速的批处理技术.最后通过实验验证了本算法的高效性和有效性. RSS测距模式下无线传感器网络凸松驰定位算法 针对最大似然估计算法对目标函数的非凸性要求,在应用无线传感器网络定位时,会产生多个局部极值的问题,提出一种无线传感器网络凸松驰定位算法。基于二阶锥凸松驰策略和最小二乘算法对最大似然估计的非凸性进行改进,给出其均方根误差的Cramer-Rao下界表达式。针对3种不同情形下的无线传感器网络,分别给出不同的凸松驰定位方案,以提高算法的鲁棒性。通过与现有方案的仿真对比显示,在不显著增加计算复杂度的前提下,可有效减少该方案的均方根误差。 基于设计结构矩阵的可信软件非功能需求评估模型 可信软件非功能需求的量化评估是可信软件研究的一个重要领域。依据构件中非功能需求之间的相互关系,结合设计结构矩阵及矩阵变换、运算的方法,提出了非功能需求贡献值的概念,建立了构件和非功能需求关系的相关阵列及具有统一标准和评判尺度的可信软件非功能需求度量模型,并结合该模型构建了一种用来判断软件非功能需求是否符合软件开发者和用户预期的评估决策方法。最后通过一个实例来说明本模型的合理性和有效性。 基于双重验证的抗重放攻击方案 当前Web应用采用的HTTPS协议为客户端与服务器端之间的消息请求提供良好的加密机制,但HTTPS协议无法对应用层消息进行选择性处理,因而在消息请求交互的过程中并未全过程加密,使Web应用无法避免重放攻击。为此,提出一种基于动态校验子与计数器双重验证的抗重放攻击方案。利用服务器端的当前时间作为动态校验子,以该动态校验子作为消息请求的新鲜性,结合计数器机制反馈客户端数据丢包情况,以此防止重放攻击。实验结果表明,该方案与基于单一序列号机制的方案相比,能降低对服务器端数据库存储空间的开销,与基于单一时间戳机制的方案相比,解决了时钟同步问题,并可反馈客户端数据丢包情况。 面向高光谱影像分类的高性能计算及存储优化 针对高光谱遥感影像分类的并行化处理,现有研究一般是通过集群和工作站来开展,成本较高,部署困难。少数基于GPU方式的研究主要是从流程的角度来论证该并行架构对提高算法效率的有效性,对于算法关键的存储器优化策略等研究相对较少或不详细。针对现有研究的不足,以CUDA架构下高光谱遥感影像的光谱波形匹配法和光谱角填图法分类的高性能计算为例,对算法存储优化策略进行重点研究,深入探讨了一系列存储优化及其改进方法。通过实验论证分析表明:存储优化策略及其改进方法有效,并且对于多种不同尺寸与数据量的影像,CUDA架构下算法的运行效率都有了较为显著的提升。同时,基于CUDA的高光谱影像分类维护了计算结果的准确性。 无线传感器网络能量有效性的评估指标分析 能量的有效使用是决定无线传感器网络长期可靠运行的重要因素,而对其的度量,则反映在能量有效性评估指标。根据无线传感器网络节点的能耗分布,采用分类对比的方法简述了无线传感器网络节点的能耗分布,分析并提出了影响无线传感器网络能量有效性的关键因素。针对能量有效性评估指标的选择,通过研究关键因素对现有能量有效性评估指标的影响,分类并比较了这些指标的适用范围和特点。结果表明不同应用场景应选择合适的能耗评估指标,这些指标的分类为无线传感器网络能量有效性评估指标的选择与优化提供指导。 基于多描述编码的资源均匀分布方案 针对应用层组播中节点的异质性以及度约束问题,提出一种基于多描述编码的资源均匀分布方案。根据子描述资源的引用和复本状态,通过分布式算法实现子描述在节点中的均匀分布。根据节点间的可用带宽,利用加权选择算法获取请求集,从而实现节点的负载均衡。仿真结果表明,该方案能降低节点失效对图像质量的影响,具有良好的扩展性和健壮性。 基于Specman的嵌入式软件验证的设计流程 基于Specman的硬件验证环境,给出一个嵌入式软件验证的设计流程。利用该设计流程,对Linux下的USB驱动程序进行验证,并成功找到该程序旧版本中的一个缺陷。 关于偏远地区物流货运量精准预测仿真 对偏远地区物流货运量的预测,能够有效提高偏远地区的物流配送效率。物流货运量的预测,需要根据物流选取的影响因素,确定偏远地区货运量预测的样本数据集,完成物流货运量的预测。传统方法将货运量原始序列作导数生成,并用上述序列建立预测模型,但忽略了获取样本数据集,导致预测精度偏低。提出基于灰色关联度和支持向量机的偏远地区货运量精准预测方法,通过确定影响货运量预测精准度的因素,计算货运量参考序列与比较序列对应值之间的差值,得到货运量与影响指标之间的关系,实现影响因素与偏远地区货运量之间的关联度计算,根据选取的影响因素,确定偏远地区货运量预测的样本数据集,并以过去样本数据为训练集,提高预测的准确性,建立偏远地区货运量预测模型,实现偏远地区货运量精准预测。实验结果表明,所提预测方法的预测结果与实际结果较为接近,预测误差较小。 通过GPU加速数据挖掘的研究进展和实践 将计算密度高的部分迁移到GPU上是加速经典数据挖掘算法的有效途径。首先介绍GPU特性和主要的GPU编程模型,随后针对数据挖掘主要任务类型分别介绍基于GPU加速的工作,包括分类、聚类、关联分析、时序分析和深度学习。最后分别基于CPU和GPU实现协同过滤推荐的两类经典算法,并基于经典的Movie Lens数据集的实验验证GPU对加速数据挖掘应用的显著效果,进一步了解GPU加速的工作原理和实际意义。 基于无线C/S模式的嵌入式图像采集系统设计 为增加视频监控的多样性,设计并实现了一种基于C/S模式的嵌入式无线视频监控系统;该系统以A20-ArmPC一体板作为服务器,以UVC图像传感器作为视频采集设备,通过无线网络传输视频数据,最后以PC作为客户端接收数据并予以显示;结果证明本设计稳定可靠,视频清晰流畅,在视频监控领域具有推广和使用价值。 一种改进的并行K_近邻网络舆情分类算法研究 针对网络舆情信息数据量大、内容分散、数据结构复杂等特点,研究一种基于Hadoop平台的并行K_近邻分类算法实现网络舆情信息分类.利用Hadoop平台分布式存储与数据并行处理特性,设计基于MapReduce封装的并行K_近邻网络舆情分类算法.对改进的K_近邻网络舆情分类算法的分类能力与分类效率进行实验验证,并应用于网络舆情数据分类测试.结果表明,基于Hadoop平台的并行K_近邻网络舆情分类算法能够有效提升网络舆情文档分类效果与分类效率,可以实现网络舆情快速、正确的分类处理. 一种改进的防欺骗可视密码方案 在VC(可视密码)的实际应用中分发者和参与者均可能存在欺骗行为,导致秘密图像无法正确恢复,基于此提出了一种改进的防欺骗可视密码方案。该方案用(2,n+1)-VCS(可视密码方案)代替(k,n)-VCS来分享验证图像,不需要引入传统的TTP(可信第三方),可同时直接验证分发者和参与者的欺骗行为,提高了可视密码方案的实用性与安全性。 最后一公里配送服务选择均衡问题 为设计高效的配送服务,研究了顾客有限理性条件下送货上门、有人值守自提点与无人值守自提点三种最后一公里配送服务选择均衡问题。从顾客期望效用最大化角度出发,基于排队模型,考虑取货距离、取货时间、运费、安全性、沟通便利性、退货方便性等因素,建立了三种配送服务的分段效用函数。基于Nested Logit(NL)模型,构建了有限理性下顾客配送服务选择模型,刻画了顾客无法准确计算他们的期待损失或者等待效用的有限理性。基于随机最优反应均衡,证明了最后一公里配送服务系统存在Logit均衡且均衡唯一。通过数值实验验证了模型的正确性,揭示出取货距离、取货时间、运费及顾客理性程度是最后一公里配送服务设计的重要影响因素。 物联网技术在城市灯光监控系统中的应用 介绍一种基于3G的物联网技术在城市智能照明监控系统的设计与实现方法。系统通过3G网络将城市各管理片区照明的运行信息进行采集,汇聚到数据监测中心的显示大屏上进行实时在线显示。该系统特点是远距离、非接触式的无线数据采集,故障报警响应快和故障点定位精确,减少了人工巡查时间,降低了运营和管理成本,实现了路灯能耗的高效智能化监控管理。 散乱点云的自适应α-shape曲面重建 针对α-shape算法不适用于散乱非均匀点集曲面重建的问题,提出了一种基于点云数据局部特征尺寸(LFS)的自适应α-shape曲面重建改进算法。首先,以采样点的k-邻近点计算出负极点逼近曲面中轴(MA);然后,根据近似中轴计算曲面在采样点处的局部特征尺寸,并依据局部特征尺寸对原始点云进行非均匀降采样;最后,根据三角面片的外接球半径和对应的α值自适应重建出物体表面。与α-shape算法相比,所提算法可以有效合理地减少点云数据量,点云简化率达到70%左右,同时重建结果中冗余三角面片更少且基本没有孔洞。实验结果表明,所提算法能够自适应地重建出非均匀点集的表面。 模糊理论在网络故障实时诊断中的应用 通信网络故障诊断的核心就是进行告警相关性分析,定位根源告警,从而定位故障。文中将基于数据挖掘的相关性分析方法与模糊理论相结合应用于网络故障实时诊断:将模糊聚类方法应用于网络告警模糊化处理,提出了一种应用于告警模糊关联规则知识库建立的挖掘算法,最后应用模糊聚类和模糊匹配方法对实时收集的新发告警集进行根源告警的模糊推理。模糊理论在通信网络故障诊断中的应用,为网络故障的实时诊断提供了一种崭新思路,对网络故障的及时恢复具有重要意义。实验仿真验证了整个思路的可行性。 基于全局拓扑结构的分级三角剖分图像拼接 采用相似性度量的方法对具有周期性内容或相似内容的图像进行配准时,容易产生特征误匹配,从而带来拼接误差.针对这一问题,提出基于全局拓扑结构的分级三角剖分图像拼接方法:首先,提出基于梯度及3色比空间的特征描述用于相似性度量,保留所有阈值范围内的m:n(m,n为正整数)特征点匹配,以减少漏匹配;然后,根据特征点集的拓扑结构对特征点集进行分级三角剖分,根据三角形网格的匹配关系,逐步将多对多的不确定匹配或降为一对一匹配,去除误匹配.实验结果表明,与经典图像拼接方法相比,该方法可以解决周期性内容或相似内容误匹配带来的拼接误差,并大大减少投影变换矩阵计算点数. 基于极角扩展的可逆盲数据库水印算法 提出一种基于极角扩展的可逆盲数据库水印算法。利用密钥作为伪随机数的种子产生伪随机数,选择水印的嵌入位置,将选择的元组属性序列与极坐标系中的点一一对应,对这些点列的极角进行扩展,嵌入水印。水印嵌入前用logistic混沌序列加密,以提高水印的安全性。水印检测时对待检测元组属性对应的极角用最低有效位方法提取水印,实现盲检测。实验结果表明,该算法对子集更改、选择、添加等攻击具有较高的鲁棒性,且能很真实地恢复出原始数据。 18F-FDG PET/CT在子宫内膜癌诊断和随访中的临床价值 目的:探讨18F-氟脱氧葡萄糖(18F-FDG)正电子发射断层显像术(PET)/计算机体层摄影术(CT)在子宫内膜癌诊断和随访中的应用价值。方法:回顾性分析子宫内膜癌患者53例,30例初诊疑似子宫内膜癌的患者行18F-FDG PET/CT检查用于诊断分期和术前评估,23例既往接受过手术、化疗和(或)放疗的子宫内膜癌患者检查用于随访监测有无肿瘤复发或转移。结果:18F-FDG PET/CT诊断初诊组子宫内膜癌的敏感度、特异度和准确度分别为92.6%、33.3%和86.7%;诊断随访监测组的敏感度、特异度和准确度分别为100%、92.3%和95.7%。结论:18F-FDG PET/CT用于子宫内膜癌诊断及随访具有较高的敏感度和特异度,有助于进行准确的临床分期,并及时发现肿瘤的复发、残余和远处转移。 基于云测试的自动测试系统体系架构研究 为了解决面临保障任务时,我军现有保障设备对武器装备故障检测周期过长以及测试资源在测试周期中被单个故障装备长时间占用的问题,对基于云测试(cloud testing)的自动测试系统(ATS,automatic test system)的体系架构进行了研究;以软件可移植性、硬件可互换性和系统互操作性为设计原则,对面向信号测试领域的云测试系统的体系架构进行了分析;在充分研究现有ATS的体系架构及其存在的突出问题的基础上,提出了基于云测试的ATS的软、硬件模型;为构建基于云测试的自动测试系统的开发和相关关键技术的研究提供了以有益的借鉴并打下了坚实的基础。 基于微课的翻转课堂教学实践 本文以C语言程序设计课程中的递归程序教学为例,论述了基于微课开展翻转课堂教学的教学设计及实施方法。 一种优化时延的机会协同组播调度策略 无线组播面临的最大问题是各个用户信道状态的不均匀性和波动性,无法同时满足所有用户的服务质量需求。无线蜂窝通信系统中,为实现可靠组播,数据包不可避免地需要被重传多次,组播的时延也因此增加。本文我们首先分析了协同组播调度CMS策略的时延,并且推导了基于信道信息全知条件下的最小时延。另外,我们在部分信道信息未知条件下提出了一种机会协同组播策略来优化组播时延。仿真数据显示,我们提出的机会协同组播调度OCMS策略在时延性能上几乎达到了我们所分析的CMS策略的最小值而且明显优于其他调度策略。 PCA-AKM算法及其在入侵检测中的应用 初始聚类中心是指在聚类的过程中首次被选为中心的点或对象。针对传统的K-means算法由于随机选择初始聚类中心而造成的聚类结果不稳定的问题,提出PCA-AKM算法。该算法利用主成分分析方法提取数据集中的主要成分,实现数据降维,使用自定义指标密权值选择初始聚类中心,避免聚类中心局部最优问题。将该算法与K-means算法在UCI数据集上进行聚类对比,其聚类稳定性高于传统K-means算法。在KDD CUP99数据集上,对所提算法进行入侵检测仿真,实验结果证明该算法检测率高,误检率低,能够有效提高入侵检测的准确率。 NATS中的数据库中间件设计 在网络化自动测试系统(NATS)中,提出数据库管理系统和文件系统相结合的数据库模型,在此基础上,利用Java技术设计并实现NATS的数据库中间件。该中间件能为用户提供统一的应用程序接口,为客户端提供通用的CRUD功能和数据访问服务,并可增强NATS的扩展性和重用性,减轻其维护和升级的负担。 多群落双向驱动协作搜索算法 针对复杂优化问题中数据混杂多变的特点,提出一种能够根据环境变化不断优化种群适应度的多群落双向驱动协作搜索算法。该算法在分析微粒群落特性的基础上,基于无向加权图建立了多群落协作网演化模型,该模型依据群落适应值的优劣程度对群落类型进行划分,并根据不同群落间的协作权重和群落节点响应度评估群落节点强度,由节点强度最大的群落引导整个协作网进化,改进传统群集智能算法面对复杂优化问题中环境变化的自适应性能缺陷;构建了一种多群落双向驱动的进化新模式,给出了多群落协作的异步并行搜索算法,实现了不同环境下群落内部与群落之间的并行进化,降低了数据分析中巨大的计算时空开销。实验结果表明,该方法面向混杂多变数据不断优化种群适应度,能够较快地适应环境变化,并在可接受的时间内得到精确解,为复杂优化问题的求解提供了有效手段。 胸膜孤立性纤维性肿瘤的CT表现及病理学特征 目的:探讨胸膜孤立性纤维性肿瘤(SFT)的CT征象及病理学特点。方法:回顾性分析34例经手术病理证实的胸膜SFT的CT及病理学资料。结果:CT上SFT均表现为胸腔内单发的软组织结节或肿块,最大径1.2~18.9cm,平均6.8±5.2 cm;18例肿瘤密度均匀,16例密度不均匀;3例见瘤内钙化,9例见瘤内增粗扭曲血管,3例见瘤旁供血血管;2例瘤周胸膜明显增厚,邻近肋骨压迫吸收、皮质硬化2例。病理显示肿瘤大体呈类圆形或分叶状;镜下瘤细胞呈梭形,间质大量胶原纤维沉积。免疫组化34例CD34、Bcl-2、Vimentin均表现为阳性。最终29例确诊为良性SFT,5例为恶性SFT。结论:胸膜SFT病理形态多样,富含梭形细胞和胶原纤维,CD34多阳性表达,其CT表现有一定特征,有助于术前诊断。 几何知识资源分享平台的设计与实现 针对几何知识资源共享中表示不统一、用户参与度低的问题,提出了构建几何知识资源结构和分类组织数据项的方法。通过分析几何知识的分类层次,设计用于描述几何知识对象与资源实体之间相互关系的本体;基于该本体的结构,实现用于存储数据项的几何知识资源库的自动化创建;综合运用LAMP技术,实现了几何知识资源分享平台。测试结果表明:几何知识资源本体结构合理;通过该平台,用户可以有效地管理几何知识数据。 新型工业控制系统勒索蠕虫威胁与防御 工业控制系统(ICS)的大规模攻击对于电力生产、输配电、石油化工、水处理和传输等涉及国计民生的关键基础设施是一个巨大的威胁,目前提出的针对ICS的勒索蠕虫受限于工控网络隔离的特性,难以大规模传播。基于观察到的ICS实际开发场景,针对ICS高度隔离化的问题,提出一种基于新的攻击路径的勒索蠕虫威胁模型。此威胁模型首先将工程师站作为初次感染目标,然后以工程师站作为跳板,对处于内部网络的工业控制设备进行攻击,最后实现蠕虫式感染和勒索。基于此威胁模型,实现了ICSGhost——一种勒索蠕虫原型。在封闭的实验环境中,ICSGhost能够以预设的攻击路径对ICS进行蠕虫式感染;同时,针对该勒索蠕虫威胁,讨论了防御方案。实验结果表明此种威胁切实存在,并且由于其传播路径基于ICS实际的开发场景,较难检测和防范。 非负l~1图及其在谱聚类中的应用 信息图的构造对许多机器学习任务来说是至关重要的。基于稀疏表示理论,提出了一种有向非负l1图。在构造此图的过程中,先将每个样例表示成其他样例的非负线性组合,再通过求解l1最小化问题来同时获得近邻样例和对应的相似度。最后将基于非负l1图的谱聚类方法应用于手写字符的聚类问题。与基于l1图的谱聚类方法相比,所提方法具有较好的聚类性能和较低的计算复杂度。 碟形飞行器的广义预测控制方法研究 研究飞行器机动飞行优化控制,针对碟形飞行器复合控制系统是一个高度非线性的多输入系统,为了提高飞行器动态特性,通过对碟形飞行器所受合外力和力矩的分析并根据纵向运动假设得到碟形飞行器纵向运动简化方程,进行小偏差线性化得到纵向通道变质量矩/推力矢量复合控制线性化方程。由于线性系统是静不稳定的并且是非最小相位系统,传统的控制方法无法直接使用,针对碟形飞行器变质量矩/推力矢量复合控制系统,设计了可以处理这类问题的广义预测控制器并进行了仿真,结果与PD控制的仿真结果进行了对比,结果表明广义预测控制可以提升系统的响应速度,为设计提供了参考依据。 基于显著区域的图像检索方法 研究基于显著区域的图像检索,对小波域显著点提取方法进行改进,解决提取图像特征内容有限、不能有效表达图像内容的问题。提出由显著点作为线索提取显著区域的方法,该方法能实现背景图与对象图的区分。对于对象图,仅对显著区域进行检索,有效减少图像检索过程中背景区域的干扰,提高了检索的速度和准确率。 应用于MEMS陀螺中的Σ-Δ高通级联型调制器设计 研究并设计了一种应用于MEMS陀螺的Σ-Δ高通级联型调制器.该调制器基于0.35μm 3.3 V的现代CMOS工艺,选取了无条件稳定的1-1-1 MASH(Multi-stage noise Shaping,多级噪声整形)结构,采用了斩波稳零技术,消除运放1/f噪声和直流偏移.高通积分器的运用,优化了低频信号的传输抗干扰性.本设计中的调制器能够转换MEMS陀螺中带宽40 kHz,范围几十至几百毫伏的目标信号,电路采样时钟频率10.24 MHz,调制器动态范围超过100 dB,有效位数达到17位. 基于某型控制盒单板测试软件的通用化设计 以某型控制盒单板测控系统研制为背景,为了满足测控系统的通用化、模块化要求,提出了通用化的设计方案;讨论了测控系统的总体结构、硬件配置、软件模块化设计、软件流程,设计研制了基于通用化思想的通用硬件测试系统;经过后期的硬件测试表明,较传统的测控系统,该系统通用性好,操作方便,具有良好的扩展性,对提高武器系统的可维护性和可靠性有重要的意义。 一种物联网密钥管理和认证方案 针对物联网环境中不同网络间交互所带来的数据隐私和认证等安全问题,本文提出一种密钥管理和认证签名方案,并进行性能和安全性分析,以证明方案能满足物联网低资源、高交互率、高移动率、低数据量环境下通信安全性要求。通过研究基于身份认证的密钥协商方案,加入三方认证模式,实现密钥身份认证。仿真实验结果验证了方案的有效性。 物联网高速传感器中的异常节点通信定位仿真 物联网高速传感器中的异常节点通信定位过程中,由于节点的能耗不固定,导致整个网络的整体衰减过程也很难确定。利用传统算法进行异常节点定位的过程中,衰减过程无法被有效衡量,会降低定位的精确度。为解决上述问题,提出基于无损卡尔曼算法的物联网高速传感器网络中的异常节点定位方法。建立异常节点发射信号强度的衰减模型,获得异常节点与参考节点距离,利用三角定位法获得异常节点的初始位置信息,为异常节点的精确定位提供了准确的数据基础,利用无损卡尔曼算法实现对异常节点的精确定位。仿真结果表明,利用改进算法进行物联网高速传感器网络中异常节点定位,能够提高定位的精确度。 基于ASP.NET AJAX的在线购物系统的设计与实现 该在线购物系统设计与实现主要应用ASP.NET AJAX、三层架构等关键技术。AJAX能更好解决用户在Web访问中出现大量数据查询时的等待时间,三层架构有利于系统标准化,提高后续扩展性。实践表明,使用基于AJAX的三层架构应用,能大大加快系统响应时间,减少用户等待时间,项目结构更清晰,安全性更高,更利于后期维护和升级。 基于三点的时间序列关键点研究 关键点(转折点及极值点)是刻画时间序列的最重要点,由此提出了一种新的基于三点时间序列关键点算法.首先,分析了三点组成的序列形态;其次,提出了转折点定理和三点转折定理,并给予了证明;最后,给出了算法及实验分析.实验结果表明该算法能精确定位序列中的关键点. 基于PCNN与区域特征的红外与可见光图像融合 针对红外图像与可见光图像融合中容易产生红外目标不明显、对比度不高的问题,提出了一种新的融合算法。该算法创新地将PCNN与区域特征应用到NSCT域内低频和带通子带系数的选择上。通过NSCT分解得到待融合图像的子带系数。运用PCNN对分解后的子带系数进行处理,得到子带系数的点火映射图。低频子带点火映射图采取基于区域标准差的方法选取融合系数。带通子带点火映射图采取基于区域能量的方法选取融合系数。融合图像通过NSCT逆变换可以得到。仿真实验表明与其他算法相比,该算法能够得到红外目标突出、质量更好的融合图像,图像客观评价指标提升明显。 基于颜色和空间距离的显著性区域固定阈值分割算法 针对现有的基于空间域的显著性检测算法在分割显著性区域时需要依赖图像分割算法的不足,提出一种基于颜色和空间距离的显著性区域固定阈值分割算法。该算法首先对图像建立图像金字塔,并对每层的图像进行颜色量化和图像分块的预处理;然后利用颜色和空间距离计算得到显著性图;最后进行阈值分割,得到显著性区域。在MSRA1000公开数据集上的实验结果表明,该算法在精度、召回率和F测度方面的表现均优于现有的几种算法。因此,提出的算法在检测效果上优于现有的显著性区域检测算法,而且可以简单地分割出显著性区域。 网络安全组件间协同响应机制研究 为解决由于组件间缺乏协同机制而无法充分发挥网络安全系统整体优势的问题,提出了一种基于策略的协同响应机制。基于策略驱动模型设计安全组件间的协同响应过程,通过对入侵检测消息交换格式(IDMEF)的扩展实现协同消息,采用块可扩展交换协议(BEEP)框架实现用于组件通信的入侵检测交换协议(IDXP),编程实现协同模块实施协同操作。运用该机制对网络安全组件的协同响应进行评估实验,获得各个阶段的时间开销。实验结果表明该机制能有效地实现网络安全组件的协同响应。 星型16QAM调制LDPC-BICM-ID系统的星座映射设计 针对比特交织编码调制—迭代检测系统中应用星型16进制正交幅度(16QAM)调制不存在Gray映射的问题,结合欧氏平方重量最大化原则和最小判决区域最大化原则,提出一种适合于此系统星座映射的新规则,设计新规则下的星型16QAM星座映射图,通过分析和计算机仿真,比较了各种星型16QAM星座映射性能。仿真结果表明,根据新规则设计的星型16QAM星座映射好于其他星座映射,在误码率达到10-6时,使用设计的映射方式使得系统性能有2.2 dB的增益。 基于移动群智数据的城市热点事件感知方法 以新浪微博为研究对象,研究了基于移动群智数据的城市热点事件感知方法,对热点事件进行发现与分类。面向不同的应用需求,可将发现的热点事件分为物理事件与虚拟事件两大类。采用的方法首先根据热词的词频变化特征对新浪微博中的热词进行有效挖掘,然后根据热词的上下文语境进行层次聚类以得到热点事件描述。此外,通过分析信息量特征、时序特征及原创微博数目特征,采用不同方法进行事件分类。实验结果表明,不同的分类方法均可达到较高的准确率。 认知OFDM中的抗干扰分段编码设计 认知正交频分复用(OFDM)中主用户突发干扰会造成认知用户数据包丢失。为此,提出一种基于认知OFDM的抗干扰分段编码方案。分段编码基于低冗余度的优化设计方法进行构造。该抗干扰分段编码方案可通过对偶校验分组恢复丢失的数据包,在认知用户通信过程中避免主用户突发干扰。实验结果表明,在低干扰率情况下,该方案比无码率编码方案具有更低的帧差错率和更高的吞吐量性能。 进近阶段飞机着陆调度优化 飞机着陆调度问题属于NP-hard问题,文中建立了进近阶段调度模型,在此模型基础上提出了一种改进的遗传算法来求解此问题。该算法基于双染色体编码方案,构建了满足MPS约束的初始种群,给出了启发式选择算子和自适应变异算子。针对多跑道飞机着陆调度问题,提出了随机分配和选择分配两种跑道分配策略。仿真结果表明,该方法能有效地减少飞机着陆调度中的总延迟,使待着陆飞机快速有序地进入机场着陆。 x86服务器虚拟化平台性能测试 提出一种x86服务器虚拟化平台的性能测试系统。该系统支持Xen、VMware ESXi和Microsoft Hyper-V这3种虚拟化平台,可对平台上的虚拟服务器进行性能测试,同时获取虚拟化平台的性能数据。运用该系统对3种虚拟化平台完成性能测试,结果表明,Xen半虚拟化技术具有性能优势,而ESXi的总体表现最好。 统计数据轨迹模式的聚类方法研究 统计数据轨迹一般具有重视变化趋势、数据噪声较大、模式分布不同等特点,直接使用传统的聚类分析方法难有很好的效果。对此在K-means算法的基础上,分别采用了归一化处理、平滑处理以及关键峰匹配等方法处理上述三个问题,设计了一种解决系统使用轨迹模式分析问题的改进聚类方法。通过使用仿真数据与实际数据进行测试分析,在仿真数据上改进算法显著降低了聚类的错误率。在实际数据上,改进算法得出的聚类结果优于K-means算法,由此证明了改进方法比传统K-means聚类算法在该问题上效果更好。 基于蜂群优化神经网络的环境空气质量预测 环境空气质量预测在环境污染防止中具有重要作用,由于环境空气质量预测受多方面因素的影响,预测的精度并不能满足日益发展的需要,通过对人工蜂群算法进行改进,并引入反向传播的神经网络中,以训练误差的倒数作为适应度函数,以随机化方式赋值给蜂群中蜜蜂的初始值作为神经网络的初始权值和阈值,改进的人工蜂群算法所求得的全局最优解即是反向传播神经网络中最优权值和阈值,以此优化的反向传播神经网络进行环境空气质量预测,通过和传统反向传播神经网络、传统人工蜂群算法优化反向传播神经网络算法的实验结果表明,论文提出的优化反向传播神经网络在环境空气质量预测中的整体性能取得了较为理想的结果,完全能用于实际。 改进最大Lyapunov指数的多变量瓦斯浓度预测研究 煤矿井下瓦斯浓度受到多个环境参数的影响。首先对煤矿井下同一时间段的瓦斯浓度、风速、压力、温度、CO2、O2的时间序列数据进行统计学相关性分析,选择出对瓦斯浓度影响大的几种因素,并作为基于最大Lyapunov指数改进预测模型的输入参数来预测未来一段时间的瓦斯浓度。改进算法为:在用C-C方法重构多变量时间序列的相空间和Wolf方法计算最大Lyapunov指数的基础上,同时考虑夹角余弦和欧氏距离求取预测中心点的相近点。结果表明,改进预测算法提高了预测精度,平均绝对误差和标准差分别为2.11%和2.15%。 图像的纹理标准性度量及其应用 通过分析纹理合成中子块参数对合成速度及质量的影响,发现对于一类纹理图像,不依赖于参数的选择即可快速高效地进行纹理合成。选择子块灰度平均值作为度量指标,根据纹理标准性强的图像应具有的特征,提出了一种新的计算图像纹理标准性系数的算法。结合大量计算结果,界定了一般图像、强标准性纹理以及弱标准性纹理的分类标准。并将其应用到纹理合成当中,对强标准性纹理图像的合成采用大尺度子块及零搜索的合成方法,提高了合成速度的同时保持合成质量不变。 基于改进的粒子群优化的神经网络故障诊断方法研究 针对BP(Back Propagation)神经网络易陷入局部极值的缺点,提出了一种粒子群PSO(Particle Swarm Optimization)神经网络,同时为避免PSO算法早熟,对部分粒子采用变异操作。应用于故障诊断系统的仿真结果表明,该算法能够大大提高故障诊断的精度。 流行音乐主旋律提取技术综述 旋律是最重要的音乐要素之一,多应用于音乐内容分析、音乐创作、音乐教育、抄袭检测等方面。主旋律提取旨在从一段音乐中自动估计对应于主旋律单音音符序列的音高或基频。流行音乐一般属于复杂的多音音乐,因此主旋律提取面临着许多挑战。综述了主旋律提取的研究背景,将主旋律提取的典型方法分别从基于音高显著度、基于声源分离以及其他方法3个类别进行了阐述,最后介绍了主旋律提取的评价指标以及研究进展。 基于多粒度服务库的SOA参考模型及其应用 针对传统的面向服务架构中服务管理、发现、组合等效率较低的问题,通过分析面向服务架构中的粒度思想,提出一种基于多粒度服务库的面向服务架构参考模型。首先,讨论多粒度服务库的概念,并给出服务库的粒化算法;其次,对所提模型的总体结构特别是粒度计算引擎进行了详细的介绍,阐述粒度计算引擎的主要功能,给出一个基于多粒度服务库的服务发现算法;然后,提出一种基于多粒度服务库的服务组合算法,同时说明SOA参考模型的作用;最后,结合具体应用实例验证该模型的有效性。 农业动力机械设备启动故障检测仿真 对农业机械电气设备故障进行优化检测,可以高效提高设备使用寿命。对机械设备故障的检测,需要利用设备故障信号连续性的特点得到离散小波,获得小波中各尺度故障的变换系数,完成机械电气设备故障的优化检测。传统方法利用电气设备各相电流的特点进行对比,获取设备故障电流高低频细节系数,但忽略了对不同尺度的设备故障变换系数的获取,导致故障检测精度偏低。提出基于滑动窗口的电气设备故障优化检测方法。利用对数据流波动的判断,确定滑动窗口的大小,并将疑似故障信号传送给智能终端;将小波进行变换,并从中获得小波的变换系数,利用设备故障信号连续的特点得到离散小波,获得小波中各尺度的变换系数,以达到电气设备故障准确定位的目的。实验表明,所提方法可准确检测出设备中存在的故障信号,并可对故障信号进行高精度的定位,也提高了设备故障的检测效率。 基于Zigbee技术的智能家居系统设计 基于家庭网络的智能家居系统以其方便、高效、舒适、智能等特点将成为未来居住模式的发展趋势并逐渐成为一个新兴的研究领域;文章简要分析了几种组网技术后,提出一种基于Zigbee技术的家庭网络解决方案,采用PIC18LF4620单片机和低功耗无线收发芯片CC2420分别设计了节点处理器模块和无线射频模块,通过对家庭网络通信协议的设计,实现主节点和终端节点的通信;最后通过测试证明10m之内系统能够较好的进行家庭网络无线控制。 相关分块衰落信道下基于因子图EM算法的解码方法 针对相关分块衰落信道模型,提出一种基于因子图期望最大化(FGEM)算法的联合估计解码方法。在接收机中,采用因子图消息传递方法进行信道估计和迭代解码,并引入期望最大化(EM)算法来消除因子图模型中存在环路对消息传递的影响,同时解决了消息传递中的混合高斯消息计算的问题。采用卡尔曼前后向算法代替最大化步消息更新过程,简化了消息的迭代计算,降低了联合解码和估计的复杂性。实验结果表明,与只有导频辅助方法和判决方法相比,该算法进一步提高了信道估计的准确度和接收机的解码性能。 基于HOOPS的混合建模系统设计 针对有限元模型与实物试验模型混合建模系统的功能需求,研究了其操作和数据可视化方法,提出了一个基于HOOPS的混合建模系统的架构。设计了一种支持有限元模型和试验模型的通用数据结构,并利用MFC和HOOPS应用程序框架开发了混合建模系统HybridSim。最后通过与Nastran结果的对比说明了本系统的正确性和有效性。 2-D系统H∞输出反馈迭代学习控制器设计 针对2-D线性离散系统设计迭代学习控制器。首先,给出描述参考轨迹、初始状态、外界干扰等迭代变化参数的高阶内模。然后,聚合这些高阶内模得到增广的高阶内模并构造一种新的迭代学习控制器。针对非零边界条件和干扰的2-D Roesser模型,利用2-D分析方法提出其渐近稳定性。建立了适用于零边界条件和非零边界条件的迭代学习控制律设计准则以实现完美跟踪和2-D H∞性能,并提出了基于高阶内模的H∞输出反馈迭代学习控制器。针对控制器的求解问题,提出了两种不同的解法。最后,对一个初始温度、外界干扰迭代变化的化学反应过程的数值计算,表明了所提出的2-D控制器设计方法的有效性。 基于特征值的模板化库函数识别 逆编译是编译的逆过程,目的是将可执行的二进制机器代码变换为功能等价的高级语言代码,它在监控恶意代码,挖掘软件漏洞的工作中起着重要的作用。而在面向对象语言的逆编译过程中,模板化库函数识别的难度和复杂性非常大。通过分析现有的C++库函数识别方法,针对库函数模板识别中特征值冲突的关键问题,提出一种改进的特征值构造方法,它能够更完整的保存库函数信息,降低特征值冲突出现的概率,并通过识别部分C++标准模板库函数验证了该算法。 空间剖分树形查找结构的效率分析 空间剖分是构造快速空间查找数据结构的有效方法,四叉树、八叉树、Kd-树是典型的基于空间剖分思想的树形空间查找结构。选择合适的参数来构造实际点集数据的树形查找结构,对提高相关算法的效率具有重要意义。在分析三种树形查找结构基本原理的基础上,通过构造具有不同空间分布特征的实验数据,设置不同的树形空间剖分结构参数,来分析三种结构支持下搜索算法的时间消耗,确定使查找效率达到最优的树形结构构造参数。相关研究结论对于优化空间剖分树形查找结构的效率、提高相关算法的性能等,有一定的参考价值。 分数阶微分的边缘活动轮廓模型 边缘停止函数在边缘活动轮廓模型中是十分重要的,它通常是由图像的整数阶梯度定义的。这种整数阶边缘停止函数有两个缺点:一是对噪声敏感,不能较好地分割噪声图像;二是在分割弱边缘图像时容易产生边缘泄漏。针对这个问题,提出一个基于分数阶微分的边缘停止函数。实验表明,使用新的边缘停止函数的活动轮廓模型对噪声图像和弱边缘图像具有较好的分割效果。 基于粒子群的舆情网络用户聚类模拟与仿真 "当前对网络舆情的研究大多集中于突发事件的传播规律及预警分析,而忽视了用户在舆情传播中的主体位置。针对这一问题,引入""观念空间""的概念,使用粒子群算法对突发事件传播中用户的观念聚类过程进行模拟和仿真。根据用户观念的聚类结果分析事件的动态演化模型,识别热点事件。通过改变速度参数控制用户聚类收敛速度,进而协调事件的演化过程,同时实现对网络热点事件的识别和舆情预警。最后分别对基于基本PSO和基于物种遗传策略的PSO(SPSO)算法的用户聚类行为进行了仿真,实验结果表明,SPSO算法能够有效地模拟舆情网络中用户的聚类行为,同时发现多个用户聚类中心,有利于制定自适应的舆情预警应对策略。" Web日志挖掘中数据预处理技术的研究 数据预处理在Web日志挖掘过程中起着至关重要的作用,直接影响日志挖掘的质量和结果。详细分析了数据预处理的过程,提出一种改进的数据清洗方法,以提高日志挖掘中数据预处理的效率,并针对Web日志数据预处理中会话识别这一重要环节,提出一种改进的会话识别方法。在用户识别后,根据页面内容、站点结构确定页面重要程度,对阈值进行调整。然后,根据用户对页面内容的兴趣度来删除会话中的链接页面和不感兴趣的页面。实验结果表明,提出的方法能更准确地确定页面访问时间阈值,得到更为合理有效的会话集合。 一种基于稳定区域的图像特征描述子 当不同成像条件下图像局部内容的特征存在明显差异时,会导致特征描述子难以正确匹配。为此,提出一种基于稳定区域的图像特征描述子。利用最大稳定极值区域检测算法提取图像的稳定区域,结合图像特征点的位置和尺度信息,根据稳定区域计算特征主方向,在适应于特征点所属稳定区域尺度的较大邻域内,生成基于对数-极坐标系的特征描述子。应用结果表明,该描述子在图像局部内容变化较大时仍具有旋转不变性和稳定的匹配性能,能有效解决印刷电路板CT图像的配准问题。 一种改进的ISOMAP分类算法 传统的等距特征映射算法在降维时未考虑数据的类别标签,降维后不能够产生从高维到低维的映射矩阵,且不适用于多个类簇的情况,不能直接用于分类。针对这几个问题利用近邻元分析方法取代多维尺度分析法,并且引入特征向量作为输入矩阵,提出一种以分类为目的的等距特征映射算法(NC-ISOMAP)。降维时获取理想的低维投影矩阵,使降维后类间数据更加分开,类内数据更加紧凑。实验结果表明NC-ISOMAP算法能够取得很好的降维效果和分类性能,并在不同的数据集中有着较好的鲁棒性。 一种混合粒子群优化模型的Web聚类方法 通过分析在电子商务环境下Web挖掘的现状,考虑到Web数据的海量性和高维度性对抽取隐含的、事先未知的知识所带来的复杂性和维数灾,在普通K均值聚类、PSO聚类和K均值与PSO混合聚类算法的基础上,提出了一种将主成分分析与PSO混合聚类算法相结合的模型来对Web服务器中的日志文件进行聚类分析,将抽取的相关Web数据进行主成分分析,分析结果作为PSO混合聚类算法的输入数据,这样不仅减少了输入变量的维数,减少聚类的规模,而且保留了原始变量的主要信息,消除变量之间的多重共线性,为具有海量性、高维度性、异构性等特点的Web数据聚类提供一种有效的模型方法。 全媒体分析系统设计与实现 随着数字化媒体的深入发展,全媒体企业迫切需要实施分析系统对海量的数据进行合理的存储和分析。论文基于J2EE平台、Tomcat服务器和MySql数据库等技术,前台利用Flex和Mate展示,后台运用Spring和Hibernate框架的有效结合,前后台通讯利用BlazeDs技术,实现基于B/S架构模式下的全媒体分析系统。论文阐述了全媒体分析系统的整体设计与实现工作,重点描述了模型架构以及功能模块的设计与实现,并提出了一种基于显性用户特征的业务推荐算法。该系统已部署于香港城市大学服务器,并且成功应用在某广电企业,相比较同类其他系统,用户能够全方位地查看、对比数据,精准地了解公司运营业绩,追踪营销方案的反馈数据。 一种新的蛋白质亚细胞定位预测方法 蛋白质亚细胞定位是蛋白质组学基本问题之一。某些类型蛋白质可能存在于两个或两个以上的亚细胞位置,这类蛋白质的亚细胞定位问题更为复杂。分别利用Gene Ontology和伪氨基酸成分法,将一条蛋白质表示为一实值向量;采纳多标记学习中的Ranking思想,计算出一得分向量V,该向量的每一分量的值表示被预测蛋白质属于某个亚细胞位置的概率;利用最近邻算法预测蛋白质所属亚细胞位置的个数n,得分向量V中得分最高的n个分量对应的亚细胞位置即为预测的位置。 基于发布订阅结构的计算机视觉跟踪研究 文中报道了使用发布订阅中间件搭建的一个跟踪系统,通过单摄像头采集图像,经过分析处理,计算出运动物体的相对位置,同时控制摄像头转动,对运动物体进行实时追踪.整个系统主要包括4个方面,以发布/订阅为中心结构连接3个子系统、目标获取、目标跟踪、采集摄像头控制.文中为卡尔曼滤波定义了新的向量,预测目标运动趋势;根据物体的运动信息自行设计了摄像头控制程序.算法有充分的理论依据和实验验证. 认知无线电网络中接入控制和功率波束形成的联合优化 在认知无线电网络中,针对鲁棒性的多用户接入控制和发射功率波束形成的联合优化问题,提出了基于熵函数光滑近似的联合优化方案。首先,利用L0-范数最小化将接入控制和发射功率波束两个优化问题转化为一个联合优化问题;然后,利用基于熵函数光滑近似的方法对L0-范数的非凸性及不连续性问题加以优化;最后,由于光滑可微的目标函数为单峰函数,将问题变形为增广Lagrange函数,利用Armijo梯度下降法得到问题的最优解。数值结果分析表明:新算法在信干噪比(SINR)较低时虽然所提算法的接入量无明显提高,但是在SINR较高时所提算法能显著降低发射功率并提高次用户的接入量。模型中对不确定的信道状态信息(CSI)加以分析,可以使网络更好地适应外界的变化,提高网络的可靠性,所提算法可以有效地实现网络资源的优化配置,提高网络性能。 一种Xen细粒度强制访问控制框架的设计与实现 利用强制访问控制技术可实现虚拟机间安全的隔离与共享,但现有强制访问控制技术无法对虚拟机内部资源进行有效的保护。在深入分析Xen虚拟化技术和强制访问控制技术的基础上,针对Xen Security Module(XSM)/Flask架构,提出虚拟化强制访问控制VMAC(Virtual Mandatory Access Control)框架,提供了Virtual Machine(VM)和Virtual Machine Monitor(VMM)两级安全策略的集中管理和操作,实现了Xen的细粒度强制访问控制。 嵌入式Java反射机制的设计与实现 Java反射是提高软件系统灵活性的重要技术,它是Java被视为动态语言的一个关键特性。虽然CLDC规范并不支持反射,但是在基于Java技术的嵌入式领域,反射仍有其应用需求。Sun公司根据CLDC规范发布了一套嵌入式Java技术的参考实现,其虚拟机被称作KVM。通过分析KVM的相关数据结构和机制,详细描述了反射机制的设计原理,并提出了一种在KVM中扩展反射功能的实现方案,经过测试证明该方案是可行的。 多级网络编码方案 目前安全网络编码的研究有信息论安全和密码学安全两种方法。信息论安全的编码方案中,中继节点编码主要是使用随机线性网络编码(RLNC)生成编码矩阵,但是此方法并不能保证生成的矩阵一定满秩,从而影响方案的解码率。提出了一个多级网络编码(MLNC)方案,该方案通过在源端使用对角矩阵对消息进行编码,以降低编码复杂度;在中继节点,让入度大于等于2的节点作为编码节点,使用多级的网络编码使混淆效果更好,编码节点随机生成满秩的下三角矩阵和上三角矩阵,用它们的乘积作为编码矩阵,这样能保证编码矩阵满秩,接收节点可以成功解码。Matlab仿真结果表明,MLNC编码矩阵达到k-安全概率优于RLNC编码矩阵,并证明MLNC方案满足信息论安全。 基于SVD压缩降秩与KDA的人脸识别新方法 文中提出了一种基于奇异值压缩降秩与核判别分析(KDA)变换方法的人脸特征提取新方法,同时结合对偶传播人工神经网络(CPN)对不同的人脸图像进行识别分类。该方法首先采用奇异值分解压缩降秩准则对人脸图像进行择优奇异值的选取,然后对提取后的择优特征值进行核判别分析(KDA)变换,进一步提取人脸图像最优特征值,最后将得到的人脸图像最优特征值作为网络的输入值,利用对偶传播人工神经网络(CPN)对人脸图像进行识别分类。实验结果表明该方法具有较高的识别率和较快的识别速度。 姚氏百万富翁问题的高效解决方案 姚氏百万富翁问题是安全多方计算的典型问题,但已有解决方案多数存在效率低的问题。通过采用0编码与1编码,将百万富翁问题转换为集合交集问题,提出一种基于可交换加密函数的百万富翁问题高效解决方案,并进行了安全性证明。该方案无需复杂的模指数运算,加解密运算为O(n),通信轮数为4,整体性能优于其他方案。 复杂背景下的多人脸检测方法 复杂背景下采用肤色进行人脸检测具有较高的检测率,但同时也具有较高的误检率,而采用AdaBoost算法进行人脸检测从根本上解决了实时性问题,但是检测率不理想。基于上述原因,采用肤色分割与AdaBoost相结合的方法对人脸进行检测:首先采用肤色分割进行人脸粗定位,然后将粗定位后的人脸候选区域作为AdaBoost检测的输入子窗口进行人脸检测。在预处理过程中,采用可调节结构元素,解决了对于不同图像中大小不一的人脸采用固定的结构元素造成的人脸丢失问题。实验结果表明该方法在提高检测率的同时,也降低了误检率。 基于贝叶斯推理与PFNET理论的认知模型 "针对从固定认知结构中生成认知模型的局限性,提出在认知元素固定而认知结构不固定的学习中使用贝叶斯推理方法和PFNET理论从以往学习者的样本信息中按学习者的要求生成""最佳Ki结点集合""和""最优Ki认知链"",获得B-P认知模型;对B-P认知模型的生成原理进行说明并通过实例验证该模型的有效性和可行性。" 太赫兹光谱探测隐蔽携带陶瓷刀具的仿真研究 为了探测隐蔽在塑料、纸张等遮盖物后的陶瓷刀具,应用时域有限差分法,仿真有无塑料、纸张等遮盖物时太赫兹辐射在Al2O3陶瓷介质中的反射和传输情况,得到相应的时域谱、频域谱、反射谱和吸收谱,计算出太赫兹波段Al2O3陶瓷的吸收系数。仿真结果表明,利用太赫兹光谱技术探测隐蔽携带陶瓷刀具的方法是可行的,研究结果对无接触安全检查具有一定的指导意义和参考价值。 基于FPGA可扩展的Mapreduce架构设计与实现 在基于机群的Mapreduce架构模型基础上,提出了一种基于CPU和FPGA环境、可扩展的Mapreduce架构。通过网络连接和驱动模块,实现了计算机软件与可编程硬件之间的通信,其中,CPU主机主要完成于文件系统的通行,将复杂耗时的运算过程转移到FPGA平台中处理,并引入内部流水线处理过程,大幅度加速了系统运算过程。同时,该架构可将更多的任务扩展到多个FPGA平台,弥补了器件内部存储资源的局限性,提高了系统的性能。此外,软硬件之间的命令、状态等信息交互为管理在FPGA中扩展任务提供了有效途径。实验证明,此架构在大幅提高运算速度的同时,提供了较好的底层设备可扩展性和管理的灵活性。 基于HMM的网络入侵检测研究 入侵检测系统是保护网络安全的重要手段,是一种基于入侵行为发现的主动保护、免受攻击的网络安全技术。而防火墙等传统的入侵检测系统在有效性、适应性和可扩展性方面都存在不足,尤其是在遇到新的入侵类型时变得无能为力。文章在对入侵检测基本知识等进行介绍的基础上,依据在网络数据包中发现的频繁情节,设计了基于HMM的误用检测模型,实现了在没有任何手工规则的前提下,仅根据网络数据包的特征,就能较为准确地检测出已知的和未知的攻击。通过实验表明,该文提出的方案能较好地检测复杂网络的攻击。 交互变邻域微分进化群搜索优化算法 群搜索优化算法(Group Search Optimizer,GSO)具有广泛的生物学背景,特别是引入动物的视觉搜索机制,并且同一些已有的群智能算法相比较,在高维多峰问题上有更好的效果.但算法在个体觅食策略的选择上以及整个动物群体间信息共享的网络拓扑结构来看,存在错过最优值和信息交流模式过于简单的缺陷.受NW模型的启发,同时采用动态采样的方式提出了交互变邻域微分进化群搜索优化算法(Interactive Dynamic Neighborhood Differential Evolutionary GSO,IDGSO),并采用均匀设计和线性回归方法对参数进行选择,4个标准测试函数表明了IDGSO的有效性. 基于PCIe2.0协议的PCS层弹性缓冲器设计 弹性缓冲器能够补偿时钟偏差,解决了不同时钟域下的数据传输问题,被广泛应用于高速数据流通信中.结合PCIe 2.0协议,采用常半满方式对弹性缓冲器进行了设计.在读写指针的对比过程中,相对于传统的同步指针的实现方法,采用常半满的检查方式和半满同步方法,简化了逻辑结构.并且在充分考虑协议的基础上,不仅实现了时钟补偿的功能,而且能很好地与接收端的其他模块完成接口对接.经modelsim仿真验证,所设计的缓冲器支持250 MHz的读写时钟频率,输入输出数据为20bit,适用于5G全速率(250 MHz)和2.5G半速率(125 MHz)两种模式. 习题的关联分析及其向量化表示方法 随着互联网+教育的深度融合以及移动终端上电子习题的推广使用,学生的学习过程数据可以被实时获取,充分利用这些过程数据,及时定位学生的知识病灶,开具有针对性的辅导处方,实现知识的按需推送,对于减轻学生的简单重复劳动,提高学习效率将会产生积极影响。试图通过分析在线习题系统的答题数据,发现学生的知识掌握规律,根据错题的伴生状况捕获习题的相关性。为此,构建了题向量化模型,提出了题向量表示的新方法,设计了负采样训练算法,并用程序实现了上述算法。经过实际在线系统的相关数据训练,获得了相应题向量,而后利用题向量的向量运算,可方便查找相同习题、相同知识点习题以及相近知识点习题等,可根据学生错题个案,推断其知识掌握的其他薄弱环节。 一类捕食-食饵系统的新的行波解 利用改进的(G′/G)-展开法,借助软件的符号计算功能,求出了一类用来描述捕食-食饵群落时空动力性且食饵的平均生长率具有Allee效应的非线性偏微分方程组的新的行波解,这些解的性质合理地反映了生物入侵问题与参数值之间的相互依赖关系。 基于Copula的深沪股票市场相依性实证研究 股票市场具有优化社会资源配置、提高资本效率、准确揭示价格信息等功能,是宏观经济的晴雨表。实证研究中国各股票市场相依性,不仅可以使投资者能够根据其关联性优化投资决策,而且可以为相关部门提高股票市场的运行效率及监管提供重要的现实参考依据。文中运用Copula理论及样本秩相关系数实证分析了深沪股市的相依结构及程度并运用Q-Q图对其进行了拟合优度检验。结果表明,两地股市日收益率高度相关,通过Q-Q图拟合优度检验,认为t-Copu-la能有效刻画两者相依结构,其收益率波动性强,并对称相依,但尾部相关性并不显著。 无线传感器网络低功耗自适应分簇协议 低功耗自适应分簇(LEACH)协议随机循环地选择群首节点将网络能耗平均分配到每个传感器节点中,但并没有考虑每个节点的剩余能量。为了避免能量较少节点因为当选为群首较快消耗能量而过早死亡,提出了一种LEACH-New节能算法,根据能量概率选取剩余能量较多的节点作为群首并确定最佳群首个数,群首收集数据并融合后采用单跳和多跳相结合的方式将数据转发给基站。这样解决了LEACH协议能量较少节点当选为群首和群首负载过重的问题,从而延长网络生存时间。仿真结果表明,改进后算法有效地减少了网络能量消耗,保证了网络负载的平衡。 指导cache静态划分的程序性能profiling优化技术 对于共享cache的多核处理器,如何管理好各个核对cache的利用,对于充分发挥多核处理器性能是很关键的问题.目前采用的cache替换方法程序间会出现性能干扰,cache静态划分技术则是通过为同时运行的程序分配不同的空间来解决性能干扰问题.为了给程序分配合适大小的cache空间,需要对程序进行性能profiling,即事先多遍运行收集程序在各种cache容量下的性能数据,这种性能profiling方法开销巨大,影响实用.为了解决性能profiling需要多遍运行程序的问题,提出了只需单遍运行的程序性能profiling优化技术.该技术利用在线的phase分析技术识别程序的运行阶段,避免对相同阶段的重复profiling;同时分析程序各phase的性能同cache容量变化的关系趋势,对于性能不敏感的容量变化则不进行profiling,降低开销.在程序运行结束后通过程序各phase在cache各种容量下的性能来估计程序在各容量下的整体性能,以指导cache静态划分.实验表明,该技术的开销仅为7%,而该方法指导的cache划分比未划分时有8%的性能改进,同多遍运行的程序性能profiling指导的cache划分性能相比仅有1%的下降. UML活动图到有色Petri网映射方法的研究 软件测试是质量保证和故障检测最常用的方法。然而,它也是软件开发生命周期中最昂贵的和耗时的活动。基于代码的和基于规则说明的软件测试已经持续了近四十年。基于模型的测试是软件测试的新方法,其中软件模型被用于产生测试用例。模型是系统的简化表示,模型执行的成本比原始系统更低廉。因此,模型长期以来一直用于系统验证和确认。UML(Unified Modeling Language)是软件系统分析和设计的众所周知的标准。UML模型可以被分成结构模型和行为模型。活动图是一种行为模型,自从UML 2.x版本发布以来,活动图增添一些新的语义。它有广泛的应用范围,包括嵌入式系统、工作流和网站服务系统。文中专注于研究活动图模型。活动图的非形式化语义对于开发UML检验和确认工具是一个重大挑战。文中的主要研究目标是将UML模型用于测试。重点在两个方面:将活动图模型转换成CPN(Coloured Petri Nets)模型,评估转换方法的正确性。为此,提出一个三步的转换方法,解决了活动图的语义模糊问题,转换后用CPN表示。CPN是众所周知的形式化语言,并且它有广泛的工具支持。 时滞混沌系统的同步与反同步及其在保密通信中的应用 根据Lyapunov稳定性理论结合线性矩阵不等式技巧,研究了一类时滞混沌神经网络的同步与反同步问题,设计了各自的状态反馈控制器,并从理论上实现了此类时滞混沌系统的同步与反同步。最后将此类混沌系统应用于保密通信,通过同步与反同步系统的切换,设计出具体的数字保密通信方案,数值仿真验证了该方法的有效性。 DMM-SIFT算子耦合SVM的深度图动作识别算法 针对目前深度图动作识别的低效性问题,提出基于多方向的尺度不变特征转换(scale invariant feature transform,SIFT)算子的深度图识别方法。将深度序列图依次映射到3个相互正交的平面上,累加相邻帧之间差的绝对值,得到深度运动图(depth motion map,DMM);在DMM上提取SIFT特征,得到3个平面上的DMM后,分别计算3个平面的SIFT特征,对其进行归一化处理;引入支持向量机(support vector machine,SVM),将归一化的特征描述嵌入到SVM中,进行深度动作模型的学习与测试。实验结果表明,相对于当前常用的动作识别算法,所提动作识别技术具有更高的检测精度与更强的鲁棒性,能够更有效地提取出深度图里的动作信息。 QoS多层本体的双向度量模型 "针对传统质量评估模式中指标权重赋值依据单一的问题,首先将服务描述本体分为共享本体和专属本体两个抽象层次,构建具有""抽象-应用-度量""多层结构的QoS本体,用于QoS度量的对象描述和数据采集;然后建立基于深度信任网络和回归模型的双向度量模型DM-QSM,将服务描述信息和类似服务历史数据作为训练样本数据集对DM-QSM进行正向训练,再结合用户反馈对DM-QSM进行逆向调优,以实现QoS度量指标权重及其偏好度的自适应调节。最后选用可编程建模环境NetLogo为实验平台、公共服务数据集QWS为训练样本集、电子商务应用服务为测试样本集,验证了DM-QSM的可行性和有效性。关键词:多层本体;服务质量(QoS)度量;深度信任网络" 求解非线性方程及方程组的人工蜂群算法 提出了用蜂群算法求解非线性方程和方程组。通过计算几个非线性方程和方程组问题,将结果与其他算法进行比较与分析,验证了算法的有效性。 面向多点触控终端的色彩设计系统设计与实现 分析常用设计软件中色彩设计部分的经典表达方式,本文结合多点触控终端用户交互性更强、更人性化的设计需求,提出三维色彩设计系统设计框架。对系统中的关键技术进行研究,其中有三维色彩模型显示技术、三维色彩模型细化技术以及色彩模型人机交互方案。为不断更新换代的高科技电子终端产品提供更匹配的设计软件和交互模式。 基于任务复制的多维QoS云计算任务调度 为了满足云计算环境下用户服务质量(QoS)需求和提高虚拟资源空闲时间段的利用率,提出了一种基于任务复制的多维QoS任务调度策略。首先,构建云资源模型和用户QoS模型,然后根据虚拟资源的利用情况和QoS的满意度对虚拟机进行性能测评,选择综合性能更高的虚拟资源进行任务的分配;在任务执行时为了缩短任务的完成时间,在调度过程中引入了在空闲时间段复制父任务的方式。通过仿真实验将该算法与HEFT、CPOP进行比较,实验结果显示:当用户偏好可靠性执行时,该算法平均可靠性比HEFT和CPOP高;当用户偏好完成时间和费用花费执行时,该算法平均完成时间比HEFT和CPOP少;当用户无偏好执行时,该算法平均完成时间和平均花费均比HEFT和CPOP少。结果表明该算法能有效提高资源利用率和用户的满意度。 基于移动设备的个人云服务及资源Mashup 个人用户家庭或工作环境中的智能手机、个人电脑、智能相册等通过网络形成个人云,为个人的数据处理和共享带来了便利。然而,如何面向具体应用需求为用户提供方便、高效的个人云服务及资源整合仍然是一个亟待解决的问题。为此,提出了一种基于移动设备的个人云服务及资源Mashup框架来统一管理设备和描述服务及资源,并阐述了如何通过框架合理构建Mashup应用;此外,开发了基于Agent的框架实现以及Android客户端。基于该框架及客户端,用户可以通过整合个人云服务及资源方便、快捷地构造个人化应用。通过一个用户案例研究对所提出的Mashup框架及其实现的有效性和易用性进行了评估。 复杂环境下的手部轮廓提取方法 为在复杂环境下准确分割出手部轮廓,提出了一种改进的分水岭算法。采用码本对背景建模以提取前景,提取出前景和背景的骨架,将骨架作为标记进行分水岭变换,利用Freeman链码平滑轮廓得到最贴近视觉效果的手部轮廓。样本图片为1 280像素×720像素,从基于距离和基于区域两个测度来评价分割结果的精确度,平均绝对偏差在5像素以内,误分类误差在0.9%以内。实验结果表明,该算法能够有效解决分水岭的过分割问题,准确提取出多变的手部轮廓,对复杂背景和光照变化都有较好的鲁棒性。 云环境下资源调度模型研究 云计算环境下资源调度管理是云计算的关键技术之一。介绍了一种云计算下资源调度模型,阐述了云计算资源调度流程和云计算环境下实体之间的关系。根据物理服务器的资源属性,建立了一种综合考虑云计算资源负载的调度模型,利用人工加自动的虚拟机迁移技术实现云计算中物理服务器的负载均衡。通过仿真实验分析和比较,该资源调度模型不但可以很好地实现资源负载均衡,而且可以提高资源池虚拟化和弹性化程度。最后展望了下一步的研究方向。 基于集成分类器的凋谢蛋白亚细胞定位预测方法 凋谢蛋白亚细胞定位预测是研究凋谢蛋白生物功能的1种重要的方法,也是生物信息学研究的重要领域之一。提高凋谢蛋白亚细胞定位预测模型准确性和实用性是该研究的重点。在本研究中,提出了以模糊K近邻分类算法作为基础分类器的集成分类算法。以蛋白质序列内不同间隔的二肽组成表示基本的蛋白质序列的特征集合,采用二进制粒子群算法作为特征选择方法提取能够有效的蛋白质序列特征。这些经过特征选择后的蛋白质序列特征作为集成分类算法中每一个基础分类器的输入向量。经过在2个常用的数据集上使用Jackknife测试,本文算法在CL317数据集上取得了91.5%的预测准确率,在ZW225数据集上取得了88.0%的准确率。与前人报道的算法预测结果比较,本文方法取得了较好的准确率。与使用相同数据集的已经报道凋谢蛋白亚细胞定位预测算法相比,本研究方法取得了预测准确率。 C-V模型与工业CT相结合的几何测量方法 针对工业生产中复杂封闭内腔几何尺寸很难测量的问题,提出一种基于C-V模型的工业CT几何测量方法.首先利用C-V模型提取目标边缘坐标点的有序链码,然后利用Green定理和欧氏距离公式计算目标区域的面积和周长.在提取目标边缘坐标点的步骤中,把边缘灰度先验知识融入C-V模型以提高测量精度;在计算目标区域的面积和周长的过程中,合理地选择目标边缘有序坐标点和插值有序坐标点,再一次提高几何测量精度.实验结果表明,与2DFacet模型测量方法相比,文中方法测量精度约提高1个数量级;并已将该方法应用于实际中. 一种ABAC中合理属性值指派计算方法 为实体(如用户、主体、客体等)指派合理的属性值是基于属性的访问控制模型实施与安全性的关键.针对现有属性管理权限委派中在属性值划分上缺乏相应客观依据及方法,提出一个基于有向图的属性值计算方法.该方法把来自一个属性内或多个属性之间的关系定义为前提关系,构造得到一个以属性为顶点、前提关系为有向边的有向图.该方法计算属性值的过程分为三步,首先,搜索有向图中与目标属性相关的所有前提关系;第二步,代入属性值确定各个前提关系对目标属性的函数约束;第三步,按照目标属性的函数约束形式分为三种分别聚合,计算得到属性值满足的函数.实验结果验证其与一般的计算方法相比,平均时间加速比可达到3倍以上. 二维线性对流扩散问题的NURBS等几何分析 基于NURBS的等几何分析法有机地结合了CAGD和有限元分析.为将该方法应用于线性对流扩散问题的求解,提出将SUPG(streamline upwind Petrov-Galerkin)法与等几何法相结合的稳定化离散方案.首先对空间域进行等几何离散,然后用θ加权法离散时间域建立了完全离散的等几何求解格式;同时引入罚函数法处理NURBS基函数的非插值性所造成的本质边界处理误差.最后通过数值算例验证了文中方法的有效性. Linux平台下支持触摸屏的Qt/Embedded移植 随着嵌入式的发展,触摸屏以友善的人机交互性、操作简单灵活、输入速度快,已逐渐取代键盘,成为嵌入式系统的主流输入设备;而Qt作为跨平台的图形用户界面工具包诞生以后,已经扩展到了包括便携式设备在内的几乎当今程序设计的所有领域。以S3C2416为例,介绍了在Linux平台下支持触摸屏的Qt/Embedded移植的详细过程,包括系统环境介绍、带触摸屏库的Qt/Embedded的编译、Linux文件系统设置等,并挑选了一个demo程序对移植情况进行了检验。最后对支持触摸屏的嵌入式系统作了初步展望。 移动增强现实浏览器的信息可视化和交互式设计 为探究解决增强现实浏览器人因问题的方法,通过对移动增强现实环境中的人因问题进行分析,提出一个新型设计流程,并在实际设计中论证其有效性.首先对移动增强现实浏览器进行用户需求分析,并将需求转化为任务;然后将抽象信息可视化为数据视图,并采用概览与细节方法对构成视图的视觉元素进行布局;再使用渐进式交互法组织离散数据视图,生成交互界面;最终通过对浏览器原型的迭代设计解决评测过程中发现的人为设计错误.可用性测试结果表明,采用该方法设计的增强现实交互系统增进了用户对目标的认知,改善了用户体验. 基于图像内容敏感度分析数字水印算法 现有的数字水印技术大多是通过基于对图像进行纹理分析的统计方法和二级统计信息方法确定嵌入点,缺少针对图像内容、意义以及观察者的喜好来确定嵌入点的算法,给出了数字图像内容敏感度的定义及数学模型,并提出一种基于对数字图像内容敏感度分析的小波包结合SVD奇异值分解的鲁棒数字水印新算法。该算法得到数字水印的不可见性与鲁棒性的最佳折中,并支持水印的盲提取。另外,还给出了一种全新的数字水印评价方法——图像内容敏感加权峰值信噪比(ICSP-PSNR),该方法可以给出含水印图像基于内容的质量评价。 欢迎订阅第49卷(2013年)《计算机工程与应用》 [正文]中国科学引文数据库(CSCD)核心期刊、中国科技核心期刊、RCCSE中国核心学术期刊、《中国学术期刊文摘》首批收录源期刊、《中国学术期刊综合评价数据库》来源期刊,被收录在《中国期刊网》、《中国学术期刊(光盘版)》、英国《科学文摘(SA/INSPEC)》、俄罗斯《文摘杂志(AJ)》、美国《剑桥科学文摘(CSA)》、美国《乌利希期刊指南(Ulrich’sPD)》、《日本科学技术社(JST)中国文献数据库》、波兰《哥白尼索引(IC)》,中国计算机学会会刊、计算机工程与应用学会学报,中国期刊 高速履带车悬挂系统设计与动力学舒适性研究 针对传统履带车质量重、速度慢的问题,对履带车悬挂系统结构进行改进,设计出速度高、质量轻的履带车。利用Recurdyn中的Track-HM模块建立三维高速履带车模型,基于多体动力学理论建立履带车悬挂系统半车振动力学模型和动力学方程。用Recurdyn仿真验证高速履带车翻越垂直壁障时悬挂系统参数对其乘坐舒适性和稳定性的影响,得到了不同悬挂系统参数下高速履带车质心垂直位移和垂直加速度变化曲线。仿真结果表明,悬挂系统参数对高速履带车的乘坐舒适性和稳定性有着很大的影响。 一种公平的无证书电子现金方案 在跨域和跨组织的电子商务应用中,基于公钥基础设施的密码技术存在沉重的公钥证书管理负担,而基于身份的密码技术存在密钥托管问题,这些都制约了电子现金方案的进一步发展。而基于无证书的密码技术可以避免这些问题。提出了一种基于无证书的签名和认证密钥交换机制,分析了该机制的安全性。基于新的无证书签名和认证密钥交换机制,提出了一种新的电子现金方案。该方案满足电子现金的不可伪造性和不可重用性、用户匿名性以及电子商务交易的公平性。 基于本体的几何学知识获取及知识表示 文中研究了基于本体的几何学知识获取,并运用此方法获取几何学领域中的类、属性、关系及公理等;同时,研究了基于本体的知识表示,并将几何学知识转化为该领域本体,用RDF/OWL的形式进行描述.在此基础上构建了一个可共享、可重用、可扩展的几何学本体,形成了一套较完整的几何学知识获取和知识表示体系,将其应用于建立几何学知识库,可大大提高知识搜索和知识推理的效率,并为几何学专家系统、几何学信息检索、几何学教育系统、自然语言理解等领域提供智能基础. 任务可定点拆分的资源受限项目调度问题研究 任务可定点拆分的资源受限项目调度问题是标准资源受限项目调度问题的一个扩展.针对这一问题提出了一种离散人工蜂群求解算法.离散人工蜂群算法中采用基于任务排列的食物源编码方式,并提出了能够一种保证解的可行性和离散型的候选食物源生成方法.项目中允许定点拆分的任务首先要转换为满足先序关系的分任务,然后在通过串行调度机制生成可行调度.实算表明,该算法能够有效求解任务可定点拆分的资源受限项目调度问题,同时也看到在不影响完成质量情况下,项目通过任务拆分能够在一定程度上缩短项目工期. 分析文件系统和I/O调度器对SSD性能和寿命的影响 伴随着高性能、高可靠性、低功耗和重量轻等优势,SSD被认为是下一代主流存储设备.文件系统组织和管理文件的实现方式,以及I/O调度器对请求的预处理操作对SSD的性能和寿命有很大的影响.以负载、文件系统、I/O调度器作为测试维度,分析不同负载下的文件系统和调度器的特点以及TRIM优化,并利用Blktrace收集测试过程中发生的每一条I/O操作,用SSDsim评估数据块擦除次数.通过实验发现,在不同的负载下文件系统和调度器对性能和寿命存在显著的差异,Ext4和Deadline表现出更好的综合指标.基于各方面分析,为以后面向SSD文件系统和I/O调度器的设计提供见解. 基于SS2H的微信公众平台账号设计 微信公众平台具有良好的信息发布接口,提供了友好的交互界面。开发者可以使用XML与微信服务器进行数据传输,调用第三方接口JSON和网络数据抓取技术提供给微信关注者许多实用的功能,用户可以通过导航查询相关信息。系统基于SS2H框架搭建,采用标准的MVC设计模式,其中Spring实现业务层,用于处理复杂的业务逻辑。Struts2作为控制层,管理所有的网络请求和功能实现。Hibernate为持久化层,用来控制和管理数据。系统提供天气查询、快递查询、音乐搜索、历史上的今天查询、电影查询等功能。随着微信接口的不断完善和个性化定制功能的增加,微信平台的应用会逐渐普及。 复合材料机翼颤振特性的数值仿真 研究机翼振颤性能优化问题,由于复合材料机翼具有材料耦合和几何耦合的特点,传统的方法研究复合材料机翼的颤振特性比较复杂,耗时长。为解决上述问题,提出利用哈密顿原理建立了系统的运动方程,然后采用微分求积法进行离散,并编制了程序对复合材料机翼的弯扭耦合颤振速度在Matlab软件上进行了数值仿真。结果表明,微分求积法的计算结果精度高、速度快,并证明利用微分求积法分析复合材料机翼的颤振特性是可行且有效的。同时,分析了材料耦合刚度对颤振速度的影响,结果表明材料耦合刚度的绝对值稍大些才能提高机翼的颤振速度。 基于WSSRC单样本人脸识别及样本扩充方法研究 由于传统的SRC方法的实时性不强、单样本条件下算法性能低等缺点,提出了融合全局和局部特征的加权超级稀疏表示人脸识别方法(WSSRC),同时采用一种三层级联的虚拟样本产生方法获取冗余样本,将生成的多种表情和多种姿态的新样本当成训练样本,运用WSSRC算法进行人脸识别分类;在单样本的情况下,实验证实在ORL人脸库上该方法比传统的SRC方法提高了15.53%的识别率,使用在FERET人脸库上则提高7.67%;这样的方法与RSRC、SSRC、DMMA、DCT—based DMMA、I—DMMA相比,—样具备较好的识别性能。 船舶通信信号传输质量优化检测算法及仿真 在船舶通信信号传输质量的检测研究中,针对VDE系统船舶通信环境比较复杂、信号传输质量较差以及干扰信号严重等问题,提出了一种多符号差分优化检测方法,以π/4-QPSK信号进行调制,根据调制信号的特点,构造出信号的相位状态。算法基于最大似然准则与多符号差分检测原理,给出多符号差分优化检测的代价函数,利用多阶差分信息与viterbi算法结合进行译码。方法对基带信号进行检测,复杂度相对降低,并且易于实现。仿真结果表明,该算法比传统算法具有较好的检测性能,在相同归一化信噪比下优于传统检测算法8d B左右,适用于存在时延、频偏、相移以及干扰的情况,为船舶通信信号传输质量提高有效的优化检测方法。 一种Newton插值的RFID室内定位改进算法 介绍了基于RFID的两种室内定位算法LANDMARK算法和VIRE算法。针对VIRE算法的不足,提出了一种结合Newton插值和利用历史结果对定位值进行校正的改进算法。它通过Newton插值来适应求解模型中存在的非线性特性,并以待定位标签的历史值作为参考,不断修正测量值来减小干扰对定位精度的影响。实验证明在复杂环境下,与VIRE算法相比,改进算法在定位精度上有了显著的提高。 基于bi-level迭代算法的物料循环配送研究 为解决车间物料配送费用高、配送效率低、灵活性差等问题,建立了基于bi-level(双层)规划的车间物料循环配送模型。针对模型设计了基于2-opt改进的最大最小蚁群算法和双层迭代算法,引入线旁库存的限制,求得需求点的配送周期、配送量和配送路径,使库存和运输整合费用最小。通过数值算例求解,说明了该算法是有效的,也说明了该模型的实用价值和有效性。 基于改进PSO的导弹制导精度分配 针对复杂的、非线性的导弹制导系统精度分配问题,提出了基于改进的粒子群优化(PSO)算法的导弹制导精度分配方法。该算法首先引入速度因子和距离因子来改进PSO算法,以期提高PSO算法效率和防止陷入局部最优解;进而与蒙特卡洛法相结合,以改进的PSO算法为系统精度分配的启发式搜索策略实现导弹制导精度分配。最后进行了仿真研究,仿真结果表明该方法具有较高的计算速度,且能够实现导弹制导系统的精度分配计算。 面向海洋监测的水声传感器网络节点定位算法 为解决面向海洋监测应用的大规模水声传感器网络的节点定位问题,首先,采用整数线性规划理论,提出了多目标约束的水面网关优化部署策略;其次,针对水声传感器网络不同节点的特点,设计不同的定位方案,提出了有预测性的水声传感器网络节点部署算法。仿真实验验证了该方法的可行性与有效性,结果表明,该算法能明显提升节点的定位范围,降低通信能耗,减小定位误差,为水声传感器网络的海洋大规模部署提供相应技术指导。 基于PSO和朴素贝叶斯的软件缺陷预测模型 为了设计高效的软件缺陷预测模型,提出一种将粒子群优化算法与朴素贝叶斯(NB)相结合的方法。该方法对历史数据进行离散化后,以NB分类的错误率作为粒子适应值函数,构建软件缺陷预测模型。通过对美国国家航天局软件工程项目的JM1数据进行仿真实验,证明该模型在预测性能方面优于同类方法,预测效果良好。 一种新型的雨滴微观特征提取方法 雨滴颗粒的数目、形状、尺寸以及谱分布是准确反演降雨强度的基础。为了获取自然环境下的雨滴微观特征量,采用迭代最大熵分割算法,获取雨滴图像的灰度区域阈值,通过阈值比较,把雨滴颗粒从图像中分割出来,在此基础上,把凹点匹配分割算法引入粘连雨滴的分割研究中,以链码差和曲率作为判断准则,选取凹点进行匹配,实现粘连雨滴的精确分割,以几何均值算法标记不同雨滴颗粒质心位置,记录雨滴特征量,提出了一种新型的雨滴微观特征提取方法。通过实验表明,该算法不仅能够有效地从图像中提取边界区域明朗且颗粒完整的雨滴,而且能够对粘连雨滴颗粒进行分割,从而可精准地从图像中获取雨滴微观特征量。 不可靠网络环境下的数字时间戳服务研究 数字时间戳(DTS)技术被广泛用于数字签名、电子商务及各种软硬件产品的专利和产权保护。在一些网络状况差、网速变化大、时断时续的不可靠网络中,缺乏必要的技术手段来保证时间戳服务的正常、有效运行。根据不可靠网络的特点,设计了一个不实时依赖时间戳服务中心(TSA)的时间服务模型,每次进行时间戳服务时不再需要与远程TSA进行通信,而是通过本地可信平台来进行时间戳服务。还提出一种不可靠网络环境下基于可信平台模块(TPM)的数字时间戳服务协议,并对协议进行了安全性分析。结果表明,协议是安全的,协议产生的时间误差是可控的,对不可靠网络有很好的适应性。 有机过氧化物自加速分解温度的QSPR研究 基于定量构效关系(QSPR)原理,对有机过氧化物的自加速分解温度(SADT)与其分子结构间的内在联系进行了研究。应用CODESSA软件计算46种有机过氧化物的分子描述符。采用最佳多元线性回归(B-MLR)法对描述符进行筛选获得7个特征描述符,并同时建立线性回归模型。将7个特征描述符作为输入参数,采用支持向量机(SVM)法建立非线性模型。随后对模型进行验证,结果表明,B-MLR模型和SVM模型均具有良好的拟合能力、稳定性和预测能力,且SVM模型的性能(Rtrain2=0.958,Rtest2=0.862)优于B-MLR模型(Rtrain2=0.930,Rtest2=0.844)。通过对特征描述符的分析发现了影响SADT的主要结构因素。采用Williams图分析了模型的应用域,结果表明所有样本均在模型的应用域范围内。本文所建立的B-MLR模型和SVM模型可应用于有机过氧化物SADT的预测。 抗时延敏感性跨层自适应资源分配方案 为了对抗多用户OFDM系统中用户实时业务对时延的敏感性,提出一种利用Hopfield神经网络(HNN)算法的跨层自适应资源分配方案。该方案设置用户调度优先级时同时考虑物理层的信道状态信息,及媒体接入层的用户队列状态信息和等待时间等;采用HNN算法,最大化系统容量的同时降低了平均时延和丢包率。仿真结果表明,相比于传统资源分配方案,该方案可以有效保障用户的服务质量,并提高了系统的整体性能。 稀疏性正则化的图像Laplace去噪及PR算子分裂算法 在Bayesian-MAP框架下,建立了针对Laplace噪声的稀疏性正则化图像去噪凸变分模型,模型采用L1范数作为数据保真项,非光滑的正则项约束图像在过完备字典下表示系数的稀疏性。进一步基于Peaceman-Rachford算子分裂算法,提出了数值求解该非光滑模型的多步迭代快速算法,通过引入保真项与稀疏性正则项的邻近算子,可将原问题转换为两个简单子问题的迭代求解,降低了计算复杂性。实验结果验证了模型与数值算法的有效性,本算法在摄像自动报靶系统中得到了应用。 结合全局和局部信息的活动轮廓模型 利用全局信息的C-V模型对轮廓初始化和噪声不敏感,但不能分割灰度不均的图像;利用局部信息的RSF模型能分割灰度不均的图像,但对轮廓初始化和噪声很敏感。针对该问题,基于C-V模型和RSF模型,提出一个新的水平集正则化项,给出一个用偏微分方程表示的结合全局和局部信息的活动轮廓模型。实验结果表明,该模型能分割灰度不均的图像,且允许灵活的轮廓初始化,抗噪性较强。 基于Zigbee和GPRS的自组网校园路灯控制系统 随着社会经济的不断发展,大学校园建设也在向着智能化、节能化、绿色化的方向发展.校园路灯控制系统作为校园建设的公共设施,关系到校园现代化建设的程度.结合Zigbee和GPRS技术,提出了一种合理有效的校园路灯远程监控系统.该系统根据路灯控制的实际情况采用了链式网络拓扑结构,通过系统软件设计实现了Zigbee和GPRS的软件控制,最后由VB编写的上位机界面实现了系统远程监控.本系统不仅结构简单,而且有很好的节能效果,具有一定实际参考价值和借鉴意义. 架空输电走廊地表三维重建网格中杆塔的剔除 由于立体匹配和模型自动重建的不确定性和不完整性,基于无人机多视影像重建输电走廊三维表面模型时,很难精确完整地构建出架空输电区域内的杆塔模型。自动重建的杆塔不仅无法加以利用,而且还会与人工重建的矢量杆塔叠加显示,影响可视化效果。为此,提出一种基于矢量杆塔模型的自动重建杆塔剔除方法。首先,根据矢量杆塔类型构建裁切空间包围盒;其次,根据矢量杆塔的空间位置和裁切空间包围盒,定位出残缺塔身在重建模型中的空间范围;最后,基于射线碰撞检测算法,设计一种附有自适应距离约束的残缺杆塔三角面检测方法来自动剔除残缺塔。该算法能够自适应处理杆塔底座细节,剔除不需要的三角面,同时保留塔脚基座和其他地面信息。实验结果表明本文方法的正确性和实用性。 无线传感网络中一种改进的DV-Hop定位算法 在无线传感器网络中,DV-Hop定位算法无需测距,但定位精度不高。文章分析发现在未知节点至信标节点距离与跳数不一定成正比关系,提出通过仿真事先求得在各跳数情况下未知节点与信标节点实际距离和估计距离的平均比值,在应用DV-Hop算法定位时,则将未知节点至信标节点估计距离乘以这个比值,从而得到修正的估计距离。仿真表明提出的算法能较大程度上提高定位精度。 改进的WSN拓扑控制方法 为延长网络生存期,提出一种改进的WSN拓扑控制方法。考虑拓扑控制可能造成的节点负载不平衡问题,利用节点的流量记录对节点能耗情况进行估计,根据网络的实际情况动态调整最低邻居数目。实验证明,在设置有效存活节点比例为90%的情况下,该方法能有效延长网络寿命。 柔性制造系统的部分可观时间Petri网故障诊断 研究了Petri网在柔性制造系统中的故障诊断问题。针对柔性制造系统中工业机器人故障率高且部分关键信息无法通过传感器获取的问题,利用时间Petri网在实时系统中的重要作用,结合部分可观时间Petri网和状态类图(state class graph,SCG),提出构建修正状态类图(modified state class graph,MSCG)的方法。首先根据执行机构是否可观分为可观测变迁和不可观测变迁并结合变迁触发关系(同步、异步)修正各变迁时间区间建立系统的修正状态类图(MSCG)。然后根据提出的故障诊断算法,寻找所有满足可观测变迁触发时间和序列信息的路径,判断所有路径组成的集合是否包含故障变迁,诊断系统是否发生故障。最后以某柔性制造系统为例,结合零件加工过程中各执行机构可观测状态,建立Petri网模型,对系统不可观部分进行故障诊断,验证了算法的有效性。 基于块运动类型与阈值相结合的运动估计算法 现有视频压缩法中大多都能够得到较好的压缩视频的效果,但其算法的运算过程往往存在着运算量大、复杂度高等问题。根据UMHexagonS算法的准确性与高效性,采用H.264UMHexagonS快速运动估计算法,通过在其运算过程中加入阈值并且结合视频运动类型的自适应法,有效降低了搜索点数,提高了运动估计算法效率。通过在JM测试平台上进行了算法验证,验证了该算法能在保证编码性能的同时,快速、有效的减少算法的运算量与时间。与预期的结果相符,在无线视频监控领域中具有较好的发展前景。 基于联合矩阵分解的话题发现与追踪模型 在文本流数据中,文本文档以流的形式源源不断地进入系统,数据量大和数据更新速度快给文本流话题发现与追踪提出了严峻的挑战。为了应对上述困难,提出了一种基于联合矩阵分解的话题发现与追踪模型。为了有效地处理海量数据,将流数据按照时间戳划分为数据块;为了能在数据的快速变化中追踪到话题的演化,将当前时刻的话题分布表示为前一时刻话题分布的线性演化。采用联合矩阵分解技术将当前的数据块分别表示为当前的话题分布和前一时刻的话题分布两种形式,通过同时分解两个矩阵得到当前的话题分布。在优化求解过程中,通过Karush-Kuhn-Tucker条件分析得到参数的更新策略,并给出相应的求解算法。Yahoo数据集对比实验表明,提出的话题发现算法能更好地发现文本流中蕴涵的话题分布情况,并且可以随着时间的推移对话题的演化进行追踪。 航电系统失效检测优化方法研究与仿真 研究航电系统失效准确检测的方法。航电系统有众多硬件软件组成,系统在失效后,失效信号与正常信号之间形成纠缠,造成混沌性。传统的检测方法都以在纠缠信号中分离出失效信号为基础,由于纠缠过程不能约束,并伴随混沌性,无法正确分割提取,造成检测效果不好。提出了一种基于连续模糊动态模型的航电系统失效检测方法。对航电系统失效信号在纠结衰减过程中的衰减程度进行估计,从而对其进行有效的补偿,建立连续模糊动态模型,根据模型的输出结果提取失效信号,从而完成航电系统失效检测。实验结果表明,利用改进模型进行航电系统失效检测,能够从大量的航电信号中准确提取出有效信号,提高检测的准确性。 D-S证据理论在探地雷达目标识别中的应用 为解决探地雷达的目标识别问题,提出了一种基于雷达扫描数据、实地探测情况、历史信息和已有水文地质信息,并利用D-S证据理论这一具有解决多数据源不确定信息推理和融合特点的理论对目标进行综合识别的方法。实现了探地雷达目标在不确定条件下获得较高可信度的识别。试验结果验证了该理论在探地雷达目标识别上的有效性和可行性。 eCK模型下的密钥协商 "如何构造安全的密钥协商协议是信息安全领域富有挑战性的问题之一。目前安全协议只能达到""启发式""安全,协议的安全假设也不够理想。针对这一问题,提出了基于计算性假设(CDH)的三方认证密钥协商协议,并运用陷门测试定理形式化地证明该协议在eCK模型下是安全的,更好地支持了敌手的询问。" 基于Chord算法的可扩展高性能元数据存储环设计 Hazel Nut是一个基于块的分级存储系统,为满足该系统元数据内存需求量大、访问速度快、动态可增长的要求,设计并实现一种可扩展高性能的元数据存储环SCRing。SCRing由元数据存储环shell ring、映射环chord ring和缓存表组成,shell ring与chord ring分别用于存储与定位数据块元数据信息,缓存表用于缓存数据块元数据在内存中的位置。通过消息摘要算法计算得到SCRing双环节点间的映射关系。依据SCRing对消息摘要算法的实际需求,结合Linux内核版本,测试并比较Linux内核支持的消息摘要算法的速度、均匀性和稳定性,得出SCRing所应采用的算法种类和使用模式,并在此基础上对SCRing读写性能进行验证,结果表明SCRing具备可扩展性和高性能,满足Hazel Nut系统元数据访问需求。 基于Aspen Plus的Petlyuk塔模拟与优化 利用Aspen Plus软件,对Petlyuk塔进行模拟和优化。采用三塔等效流程,进行简捷计算,确定Petlyuk塔的初始参数。在初始参数下,利用Aspen Plus的Multifrac-Petlyuk模块严格模拟,并利用Sensitivity模块,分别优化回流比R、进料位置、侧线出料位置、互连位置及互连物流流量等参数。以乙醇-正丙醇-正丁醇三元体系为例,模拟和优化结果为:主塔塔板数58,预分塔塔板数29,进料在预分塔第12块板,侧线出料在主塔第28块板,主塔摩尔回流比4.6,预分塔塔顶和主塔互连位置在主塔第18块板,塔底和主塔互连位置在第47块板,主塔返回预分塔顶部的液相流量为65 kmol/hr,返回预分塔底部的气相流量为145 kmol/hr。在此优化参数下,可得到98.9%乙醇、98.7%正内醇和99.0%正丁醇,达到分离要求。模拟和优化的结果对工业化设计和生产具备指导意义。 一种半监督联合模型下的异常流量检测算法 网络异常通常表现在多维特征中,而当前检测方法局限于一维特征或者多维特征的简单组合,使系统检测率低、误报率高.同时,有监督学习需要大量训练数据,而无监督学习准确率不足.因此,本文提出半监督联合模型(Semi-Supervised Com-bination,SM C)对数据的多维特征进行检测,通过解决非线性优化问题使联合过程信息损失最小化,较好地处理了噪声与孤立点.半监督学习方式利用少量已标记数据使模型更准确.本文以模糊C均值聚类(Fuzzy C-Means,FCM)作为基本检测器,经过实验验证,在目标误报率下基于SMC模型的异常检测算法的准确率比单个基本检测器提高了10%到20%. Petri网与二元文法的语言等价性 为了用一种类似Chomsky文法体系中的文法描述Petri网语言,研究了二元文法与Petri网语言之间的关系,给出了将二元文法等价转化为Petri网的方法和将Petri网等价转化为二元文法的方法,从而,证明了二元文法产生的语言等价于Petri网语言. 一种极化-转发MIMO中继通信方案 受Arikan提出的信道极化思想的启发,对信源-中继-信宿的MIMO中继通信的上行-极化和下行-极化系统提出一种极化-转发MIMO中继通信方案.采用极化-转发方式传输数据,MIMO信道通过极化编码对源节点数据进行编码,目的节点采用连续消除解码算法进行解码,中继节点仅需要简单的编码而不需要完成繁重的译码任务,比传统的解码、解码转发和放大转发等中继方案具有更高的传输可靠性,传输信息被嵌在递归特性的极化码中,具有较低的解码复杂度.仿真结果表明,提出的极化-转发MIMO中继方案具有较好的BER性能. 乳腺肿块的自动检测研究 乳腺X线图像中肿块的检测对医生诊断乳腺癌有很好的辅助作用,可极大降低漏诊率和误诊率。目前已提出的支持向量机肿块检测方法可获得较高的准确率,但检测速度慢、效率低,不适于临床应用。为解决上述问题,提出自核相关向量机的肿块检测方法,可在训练时能自动学习核参数,并且使用了更加稀疏的模型,可极大提高肿块检测效率。上述方法在Mini-MIAS(Mammographic Image Analysis Society)乳腺图像库和北京大学人民医院乳腺中心乳腺图像集上进行验证,实验结果表明相比支持向量机的肿块检测方法,具有更高的准确率,同时极大提高了检测速度,而且对不同结构特性的乳腺具有更强的鲁棒性,更具有临床应用价值。 基于QS-KMS的VPN增强电网通信安全方案 在未来量子计算时代,构筑虚拟专用网络(VPN)安全的认证和密钥交换环节将存在安全隐患。为此,建立基于量子安全密钥管理服务(QS-KMS)的VPN增强安全架构,实现基于量子密码的量子安全解决方案。使用全局统一的后台QS-KMS服务为IPSec VPN提供认证和会话密钥,以进行VPN业务与物理层量子设备的解耦合。针对电力架空光缆工作状况复杂、存在强环境干扰等现状,应用量子QS-KMS密钥池动态密钥管理技术与后量子密码技术使密钥池持续拥有充足密钥,以保障VPN稳定运行。在此基础上,实现电力通信网络中有效量子安全VPN服务。测试结果表明,该方法能够满足电网控制通信的需求。 视频闪烁干扰无参考辨识方法 视频中时间域上的闪烁干扰是影响视频质量的因素之一,对闪烁干扰的准确辨识不但有利于视频质量的自动分析与诊断,而且能够与干扰去除和质量增强算法形成反馈机制,促进此类算法的自适应性。以监控视频中的闪烁干扰为研究对象,研究发现闪烁干扰信号的差分信号服从拉普拉斯分布。基于拉普拉斯分布的特性和小概率事件的思想,在视频时间域差分信号中采用迭代拟合方法,有效地分离出差分信号中影响闪烁干扰辨识的客观运动差分信号;同时,运用人眼视觉系统的最小可感知差异(JND)理论,通过量化闪烁干扰的频率和闪烁幅度得到闪烁干扰辨识指标,对闪烁干扰进行了有效的无参考辨识,并通过受试者工作特征(ROC)曲线验证了提出的无参考闪烁干扰辨识指标在正负样本的分类上具有比较理想的效果,提出的无参考算法在闪烁干扰辨识方面具有较好的性能。 基于属性邻接图匹配的装配体模型搜索方法 为了重用装配体模型中包含的设计、制造和装配工艺等信息,需要在装配体模型数据库中搜索相似的装配体模型作为参考.为此提出一种基于属性邻接图匹配的装配体模型搜索方法.首先提取装配体模型中零件的接触面信息,将装配体模型及其零件模型分别转化为零件属性邻接图和接触面属性邻接图;然后计算用于零件模型匹配的成功匹配接触面数量、接触面关系编码数量以及用于装配体模型搜索的零件相似度矩阵,将其作为零件模型和装配体模型相似度度量;最后由最优匹配Kuhn-Munkres算法得到零件相似度矩阵最优匹配加权和,作为装配体模型相似度.实验结果表明,该方法能有效地搜索到相似的装配体模型,并且对模型的相似度进行了排序. 基于软件定义资源的实时控制CPS数据传输机制 信息物理融合系统CPS是当前最前沿的交叉研究领域之一,具有广泛的应用前景,其研究和发展离不开数据传输与管理技术的支持。提出了一种基于软件定义资源的CPS模型,通过采用映射资源描述文件的方式实现资源的分配与调度;在此模型的基础上提出了一种实时控制CPS数据传输模式,这种数据传输模式以中间件形式实现,中间件对CPS的消息采用序列化与反序列化的机制传输,可以满足CPS跨平台、跨网络、实时性的要求;设计了一种CPS消息传输的路径,以提高传输效率。实验结果表明,所提出的数据传输序列化机制是可行的。 深度学习在咽喉新生物识别中的应用研究 咽喉新生物是声带及其附近区域生长有新生组织,影响正常咽喉功能的咽喉常见疾病之一。目前,咽喉新生物主要是医生通过拍摄喉镜照片来进行诊断,由于医生个体临床经验的差异,在新生物疾病的诊断上往往存在不同诊断结果,常常有误诊的情况发生。而新生物疾病若不能及时确诊和治疗就有可能演变成喉癌的可能。基于此,提出了基于深度学习的咽喉新生物疾病识别算法,通过多层较小的卷积核在大量标注的训练集上逐层提取特征,在反向传播的过程中只保留有效特征,最终得到很好的拟合效果,在测试集上也达到了很好的泛化表现。具有一定的实际应用价值。 无线传感器网络中基于信念传播的分布式目标跟踪 为在分布式目标跟踪中交换局部似然函数的信息,研究常见的分布式目标跟踪方法,提出一种基于信念传播的分布式粒子滤波方法(DPF-BP)。在有限次的迭代中,计算图的最大直径。为避免网络评估的分歧性,在计算评估之前运用一致性最大化,将节点及迭代次数表示成函数形式,经过标准化和估值计算后重采样替换。仿真实验结果表明,与标准信念一致方法、随机流言方法和都市信念一致方法(MBC)相比,在相同配置下,DPF-BP方法的均方根误差指标较优,在环形网络中运用DPF-MBC方法较好,而在树状网络中运用DPF-BP方法最佳。 基于图像伺服的接触网绝缘子自动水冲洗车 目前铁路绝缘子维护领域内还没有自动水冲洗设备,提出了捕获跟踪与瞄准系统对绝缘子进行自动冲洗维护;在绝缘子识别的基础上,进一步设计出基于图像的视觉伺服系统(IBVS,image-based visual servoing)控制方法,调整冲洗水炮炮管及摄像机姿态对绝缘子进行瞄准,来完成绝缘子冲洗工作;该方法主要思路为写出特征函数的差并使其最小,特征函数是通过两个雅可比矩阵及其伪逆矩阵建立起图像与冲洗装置控制量之间的关系,最后达到控制目的。使用Matlab对IBVS控制方法进行验证,该方法控制双自由度冲洗装置精确性达到要求,可实现绝缘子冲洗瞄准。 基于PLC和WinCC的转底炉炼铁中的冷却监控系统 介绍了转底炉炼制直接还原铁所采用的喷雾回转筒式冷却机的监控系统的设计和开发,详细阐述了该冷却工段的工艺特点、设备特点及该冷却监控系统的系统方案、硬件系统和软件系统。该系统采用PLC为控制中心,触摸屏为人机界面,同时结合总控计算机为工厂管理层,实现冷却过程实时监控和集中管理。 延迟代价双量化三支决策 决策粗糙集理论中,三种单调性的代价目标函数被用来评价三支决策的风险.然而,在实际应用中,其中的延迟代价目标函数经常存在着非单调现象.针对这种现象,本研究首先提出了一种基于三支决策代价目标函数间逻辑关系的阈值计算方法.然后,提出了双量化延迟代价目标函数的策略,并且分别讨论了相应的三支决策阈值推导,重点阐述了延迟代价双量化的乐观视角和悲观视角.最后,通过一组典型的实例证明了上述代价双量化三支决策的设计和推理的可行性. 基于富模型的分层量化隐写分析算法 针对目前量化隐写分析对嵌入率较低的图像检测效果不好的问题,提出了一种分层量化隐写分析的思想。采用与负载值大小变化相关的权重系数构成的损失函数进行检测,并估计出负载值变化区间,然后对评估后的结果进行分段,使用增大相应分段权值的损失函数进行二次检测。实验结果表明,与经典的梯度增量树的算法比较,提出的加权思想以及分层检测法对负载值低的图像检测效果有所提升,整体检测具有较高的准确率。 基于图像低频子带极大值映射的量化算法 针对图像压缩中的死区量化不能有效保留图像边缘信息的问题,提出了低频子带极大值映射量化算法。在图像经过小波变换后所形成的各级子带中,首先利用与低频子带系数呈映射关系的各级高频子带系数的均值确定低频子带中各系数的重要性。在量化过程中,高频子带系数采用JPEG2000中的死区量化步长进行量化,低频子带系数根据自身重要性自动更新量化步长,从而有效保留图像的边缘信息。提出的算法在量化步长更新时对低频系数的选择具有自适应性的优点,与传统的JPEG2000算法相比,所提算法能够加快优化截断的嵌入式分块编码(EBCOT)阶段Tier1的编码速度。实验结果表明,所得图像证明了此算法在保留图像的边缘信息方面具有一些优势,所提算法的峰值信噪比与传统的死区量化相比有约0.2 d B的提升。 Galileo系统BOC(1,1)信号码跟踪算法研究 对Galileo系统BOC(1,1)信号码跟踪问题进行了针对性研究,首先分析了已有的ASPeCT BOC(n,n)信号码跟踪技术的性能,然后对ASPeCT的相关函数做线性拟合处理,在不增加软硬件资源开销的前提下得到了新的比例鉴相器,从而改善了跟踪环路的性能。仿真结果表明,相对于ASPeCT技术的EMLP鉴相器,新的鉴相器保持了码跟踪环不存在误跟踪现象的特点,扩大了码跟踪环路的稳定域,并且提高了环路抗噪声的性能。 一种基于自适应选择策略的改进型MOEA/D算法 针对MOEA/D单纯使用邻域更新作为选择策略而造成的个体解的重复更新、缺乏全局适配性等问题,提出了一种兼及全局替换和局部更新策略的新算法,即基于自适应选择策略的改进型MOEA/D(MOEA/D-AS)。算法首先设计了一种新的基于最佳二分图匹配的选择策略(KMS),利用子问题和个体解的匹配关系,从全局角度实现精英个体集的最优选择;然后利用种群的进化信息构造一种匹配紊乱判断机制;最后利用紊乱判断机制,在综合分析邻域更新策略和KMS各自优势的基础上,使算法自适应地选择最合适的选择策略,以提高鲁棒性和优化效率。选取LZ09,DTLZ,CEC09等作为标准测试函数,将改进后的算法MOEA/D-AS与经典MOEA/D系列算法进行对比实验,并以Spread和IGD为性能评估指标。实验结果表明新算法具有更好的收敛性和分布性,验证了自适应选择策略能够有效地指导精英解的选择过程。 一种改进的监督LLE织物检测算法 论文提出一种基于Gabor滤波簇的改进的监督LLE织物检测算法,并用该算法对织物疵点进行仿真实验。实验表明,论文算法能有效突出织物疵点显著性特征,并提高检测准确率,同时优化了检测时间。 基于局部动态模型的软组织形变建模与仿真 "选择人体软组织模型作为研究对象,针对医学触诊训练的特点,提出了可变区域的局部质点-弹簧/阻尼器(ALMSDM)模型。该模型具有位置可变及区域可扩展的动态特性,改善了以往文献中局部建模方法静态限定的局限,解决了全局""面模型""形变恢复能力差及数据量大的问题。结合ALMSDM的特点,提出了顶点法向量局部更新与预计算策略,从而极大地提高了系统的实时性。从形变恢复能力、反馈力及实时性3方面对不同模型下的系统性能进行了评价,结果显示所提算法能够保证虚拟软组织形变仿真的精确性与实时性,具有可行性与通用性。" 一种基于Bayes估计的WSN节点信任度计算模型 鉴于传统网络安全策略无法阻止或识别传感器网络内部节点的攻击或异常行为,结合节点资源受限的特点,提出了一种无线传感器网络节点信任度计算模型。该模型采用Bayes估计方法,通过求解基于Beta分布的节点行为信誉函数的期望值得到直接信任并将其作为Bayes估计的先验信息,将来自邻居节点的推荐信息作为其样本信息。仿真实验表明,本方案有较好的稳定性,能够有效识别异常节点,从而阻止内部节点对网络的攻击,与RFSN相比,不仅节约了存储空间、运算时间与通信量,而且能够避免恶评现象对节点信任度计算的影响。 一种新的空时频正交变换扩展码设计方案 针对基于正交矩阵的空时频分组编码无法得到满分集增益的问题,提出了一种新的空时频分组编码方法,该方法利用正交变换扩展码(OTSC)对数据符号进行空域和频域的扩展,不仅能够实现满分集增益,且该扩展码适用于任意发射天线数下的MIMO-OFDM系统。仿真结果表明,利用该设计方案的系统误比特性能得到了提高,并且系统在任意收发天线数的低信噪比情况下也能获得良好的误比特性能。 基于语义的Web内容安全检测模型 Web内容安全是当前社会稳定和发展的重要研究课题之一。利用本体和动态描述逻辑,提出了一种基于语义的Web内容安全检测模型,并通过实例应用验证了该模型的可行性和有效性,从而能支持智能化的Web内容安全检测。 我国钙钛矿LED器件效率创世界纪录 [正文]从南京工业大学获悉,该校黄维院士、王建浦教授团队创新性地设计并制备了一种具有多量子阱结构的钙钛矿LED,其器件效率和稳定性远超国际同行报道的其他钙钛矿LED,为钙钛矿材料及其在发光领域的研究开拓了全新方向。该团队制备的LED器件外量子效率已达到11.7%,这是目前为止钙钛矿LED的世界最高纪录。这一重要研究成果于9月26日刊登在国际顶级学术期刊《自然·光子学》上。目前OLED器件的效率低、稳定性欠缺以及制造成本高等问题,限制了OLED拓展应用范围,而高效钙钛矿LED工艺更 硬实时系统在强分区约束下的双层分区调度 文中研究了硬实时系统在强分区约束下的双层分区的调度问题,合理建立了强分区约束下的双层分区调度模型,给出了最坏情况下的分区任务集可调度的判定条件.同时,在此基础上,提出了与分区利用率匹配的分区设计方法,导出了该方法下的系统可调度利用率的最小上限.仿真实验表明,在严格实时的条件下,文中提出的方法相对于现有方法更具优越性,并提高了分区可调度利用率的最小上限. 三维CAD模型模块划分的蚁群聚类图分割方法 为了使三维CAD模型模块划分的结果保持较好的结构完整性,提出一种面向图分割的蚁群聚类算法。用属性连接图表示复杂的CAD模型并进行简化;通过对模型连接方式和零件属性的分析,获得零件的结构、功能和材料相关性并建立综合相关度矩阵;根据零件的连接层次关系重构了蚁群聚类的局部范围界定和密度函数计算方法,实现了面向图分割的的蚁群聚类。采用上述方法对某型飞机襟翼模型进行模块划分,验证了所提方法的正确性和有效性。 自回归谱模型的运动员心音频谱特征研究 S1/S2指标是表征心肌收缩能力的重要标志,为从频域角度来了解运动对运动员心肌收缩功能的影响,将运动员和普通大学生在运动前后的心音信号纳入到自回归功率谱中加以研究。通过分析运动员组和普通大学生组心音次频段(<20Hz)范围的自回归功率谱曲线,发现在该频段范围两组之间的心音频谱存在显著的差异性,因此,提出了两个新的评估的指标R值和A值。结果显示,运动前后两组之间的特征参数R值和A值存在显著性差异(P<0.05),且两组之间的特征值与指标S1/S2有显著的相关性(P<0.01)。这表明通过频域的角度所提出的两个特征参数可以用于评估运动员心脏功能状态和心肌的收缩能力。 阔大货物装载加固方案多目标优化模型 随着运输技术和需求的发展,阔大货物装载加固方案仅仅由承运单位和方案制定单位来确定已经不能满足铁路货物运输的发展,需要在确定货物装载加固方案时将客户需求考虑进去。基于层次分析法建立阔大货物装载加固方案多目标优化模型,首次将货主的客户需求纳入装载加固方案优化目标中,充分考虑方案制定者、方案使用者、客户3方面的需求。优化模型确定运输安全、运输时间、运输费用、客户满意度4个评价指标,给出4个一级目标的权重及运输安全的二级目标的权重。算例分析表明,对同一件阔大货物的3个装载加固方案进行多目标评价,针对不同的客户需求,可以得出较优方案。 基于镜像原理的空投滑翔器入水冲击力计算 在空投滑翔器入水结构强度设计问题的研究中,空投滑翔器高速击水时会遭受巨大的冲击载荷,面临着冲击后结构损坏、器件失灵、忽扑和弹道失控等问题,涉及到结构入水冲击动力学问题。结合入水冲击动力学入水结构强度的设计,为了提高结构强特性,要求对空投滑翔器入水冲击力进行计算。提出根据镜像原理的冲击力数学描述,采用冲击力的数值计算方法,对某一空投滑翔器的100m/s~280m/s入水速度、25°~45°入水角的入水冲击阻力、侧向力和偏转力矩进行仿真。计算结果表明,结构满足入水强度要求,对指导工程设计参考价值。 入侵进程的层次化在线风险评估 提出了从服务、主机和网络自下到上的层次化在线风险评估模型,实时地评估一个正在发生的入侵进程在这3个层面所产生的风险情况.在服务层面,使用了证据理论来融合报警线程中多个能够反映风险变化情况的变量来计算风险指数,通过风险指数反映入侵风险的客观情况,同时结合主观安全意识所反映出的目标风险分布,综合评估目标的风险状态.在主机层面提出了基于木桶原理的风险评估方法,在网络层面提出了安全依赖网络概念,利用了改进的风险传播算法,完成了网络层面的风险评估.提出的评估算法将报警验证、聚合与关联,以及报警置信度学习这些报警处理过程同风险评估紧密结合起来,很好地处理了风险评估中主观性、模糊性和不确定性等问题.实验表明对各种入侵进程进行的层次化在线风险评估结果与攻击实际特点相符合,为响应决策提供了有利的支持. 基于组通信技术的数据库复制技术研究与应用 针对分布式数据库系统中多节点数据复制存在的响应时间长、通信消耗大的问题,利用组通信技术中的消息定序特性,提出一个基于组通信技术的数据库复制协议。该协议将各节点的事务与组通信中的原子广播技术相结合,使节点上所有事务的执行达到可串行化,严格保证分布式数据库系统多节点数据的一致性。试验结果表明,相对于传统的两阶段锁2PL的同步复制策略,该同步模型在保证组成员节点的数据一致性前提下,减少了系统中数据库复制的通信量,避免了复制过程中的死锁,提高了同步复制的效率。 基于iFIX的立体仓库监控系统设计与实现 针对立体仓库控制与管理自动化的需要,充分利用GE智能平台的技术优势,介绍了基于iFIX和PACSystems的立体仓库监控系统的总体结构,并重点阐述了监控系统的通信配置和组态监控系统程序设计与实现的过程及方法;本监控系统实现了对立体仓库现场设备的实时监控与管理;系统结构简单、实时性好、颇具灵活性;系统界面友好,能逼真观察系统运行过程,实用性较强;同时提供历史数据和报警信息查询,满足系统自动控制与管理的要求,具有一定实用价值;该系统具有开发周期短、可靠性高、功能完善和可视性好等优点。 有理分形插值曲线的约束和单调保持 传统的多项式分形插值中,分形曲线曲面的局部形状约束和调整是一项困难的工作.为了使分形曲线能够在很好地逼近不规则数据的同时具有形状可调性,提出一种有理样条分形插值方法.首先基于经典的有理三次样条构造了1C连续的有理样条分形插值函数,这种有理分形插值函数的构造允许嵌入形状参数,以至于分形曲线的形状能够通过对尺度因子和形状参数的约束进行调整;然后研究了该插值函数的一些分析性质,包括一致收敛性和稳定性;最后基于构造的有理分形插值函数,通过对迭代函数系统参数的约束,分别给出了约束和单调曲线插值系统.实例结果表明,利用该方法可以将传统非递归形状可调插值分形一般化;形状参数的嵌入使得分形插值函数具有良好的拟局部性,为分形曲线的形状调整提供了有效的工具. 基于隐含狄列克雷分配分类特征扩展的微博广告过滤方法 传统的微博广告过滤方法忽略了微博广告文本的数据稀疏性、语义信息和广告背景领域特征等因素的影响。针对这些问题,提出一种基于隐含狄列克雷分配(LDA)分类特征扩展的广告过滤方法。首先,将微博分为正常微博和广告型微博,并分别构建LDA主题模型预测短文本对应的主题分布,将主题中的词作为特征扩展的基础;其次,在特征扩展时结合文本类别信息提取背景领域特征,以降低其对文本分类的影响;最后,将扩展后的特征向量作为分类器的输入,根据支持向量机(SVM)的分类结果过滤广告。实验结果表明,与现有的仅基于短文本分类的过滤方法相比,其准确率平均提升4个百分点。因此,该方法能有效扩展文本特征,并降低背景领域特征的影响,更适用于数据量较大的微博广告过滤。 基于改进双树复小波和灰度-梯度共生矩阵的纹理图像检索算法 针对双树复小波变换缺少不同尺度纹理的空间分布特征的缺陷,提出了一种改进双树复小波和灰度-梯度共生矩阵相融合的纹理图像检索新算法。首先,该算法将图像进行非均匀分块,并对分块的图像进行双树复小波变换,以此增加不同尺度下的空间信息;其次,利用灰度-梯度共生矩阵提取4个统计量特征;然后,融合两种方法提取的纹理特征以得到图像检索的纹理特征;最后,用Canberra距离进行相似性度量并输出图像检索的结果。实验结果表明,该方法对纹理图像有较好的检索效果。 协同过滤推荐算法对比分析与优化应用 协同过滤推荐算法分为基于内存和基于模型的推荐算法,协同过滤推荐算法存在数据稀疏性、可扩展性、冷启动等问题.通过基于用户、基于项目协同过滤推荐算法以及SVD、Slope-One、KNN等基于模型协同过滤推荐算法对比分析.提出加入特征向量维度优化的SVD算法,通过降维改善数据稀疏性问题.利用Hadoop分布式平台改善推荐算法可扩展性问题.基于Movie Lens数据集实验结果表明,引入基于Boolean相似性计算方法的推荐效果更优,引入数量权重和标准差权重的优化Slope-One算法和引入特征向量维度的优化SVD算法推荐效果更优. 基于CCRE和PV插值的多模遥感图像自动配准技术研究 提出了一种基于交叉累积剩余熵(CCRE)进行多传感器图像配准的方法。在这类图像的配准中,不同类型的噪声以及失真对配准效果的影响是未知的,研究和评估了CCRE在卫星图像配准中应用的可行性。通过仿真和分析表明,采用CCRE作为相似性度量准则能够得到更好的配准效果。采用了一种新的优化方法,采用PV插值来计算相似性度量准则的梯度。在以前的应用中PV插值只应用在两幅图像的联合直方图的重建中。将PV插值应用到相似性度量准则的梯度中,从而可以直接基于PV插值应用优化过程。仿真表明这种采用CCRE作为相似性衡量标准,并且在优化过程中采用PV插值的方法能够获得比其他方法更好的配准效果。 基于群决策的加权动态网络SBM-DEA模型 "传统的DEA模型在实际应用时主要存在三个问题:一是如何体现评价者的主观态度;二是评价有限个决策单元的动态网络效率时如何提高模型的分辨力;三是如何合理地确定子时期的权重。对此,提出了""过渡期""这一概念,首先在已有数据的基础上对过渡期的投入产出数据进行主观预测,接着提出指数衰减法来确定子时期的权重,然后构建了一个基于群决策的加权动态网络SBM-DEA模型,最后应用此模型评价了我国16家上市银行的相对效率。结果表明,改进后的模型不仅有效解决了现有问题,而且得到的评价结果更加客观。" 基于混合压缩感知的分簇式网络数据收集方法 为了减少分簇式传感器网络中的数据传输量并均衡网络负载,提出了一种采用混合压缩感知(compressive sensing,CS)进行数据收集的方法.1)选取各临时簇中距离簇质心最近的一些节点为候选簇头节点,然后依据已确定的簇头节点到未确定的候选簇头节点的距离依次确定簇头;2)各普通节点选择加入距离自己最近的簇中;3)贪婪构建一棵以Sink节点为根节点并连接所有簇头节点的数据传输树,对数据传输量高于门限值的节点使用CS压缩数据传输.仿真结果表明:当压缩比率为10时,数据传输量比Clustering without CS和SPT without CS分别减少了75%和65%,比SPT with Hybrid CS和Clustering with Hybrid CS分别减少了35%和20%;节点数据传输量标准差比Clustering without CS和SPT without CS分别减少了62%和81%,比SPT with Hybrid CS和Clustering with Hybrid CS分别减少了41%和19%. 基于维吾尔语情感词的句子情感分析 提出基于自动标注的维吾尔语情感词分析句子情感的方法。将8种情感类别作为情感类别集合。判断句子中是否含有转折性连词,若有则屏蔽含有转折性连词句子的前半部分,通过条件随机场模型自动标注句子中的情感词,依据标注的情感词,为句子的每种情感类别打分,得分最高的情感类型作为句子的候选情感。识别句中维语的否定成分,根据否定成分出现的奇偶次数对句子的候选情感修正,得到句子的最终情感类型。实验结果表明,在句子情感分析上该方法可取得较好的效果。 阶跃型奇异点的小波检测 在检测实际系统信号问题上,阶跃型奇异点是一类重要的信号奇异点,针对检测和定位在许多实际问题上都有重要的意义。根据阶跃型奇异点的特征,证明了信号的阶跃奇异点与信号小波变换的最值有关,如果适当选择小波基函数,那么信号的阶跃奇异点将对应于信号小波变换的最值点。据此提出了一个利用小波变换最值的阶跃奇异点检测方法,并给出了小波基选择条件。最后利用上述方法对大量仿真信号及实际音频信号上的阶跃奇异点进行检测,结果表明研究有方法不仅有效可靠,而且具有计算简单,定位准确的特点。 多调制指数CPM低复杂度序列检测算法 针对多调制指数连续相位调制全状态序列检测复杂度较高的问题,提出一种基于倾斜相位、特征值分解和状态空间分类的Multi-h CPM低复杂度序列检测算法。利用特征值分解获得信号降秩表示,将信号状态进行分类融合,得到减少状态网格,引入判决反馈进行状态转移约束,实现匹配滤波器和状态数的减少。给出最大似然序列检测误码性能下界并进行仿真实验,实验结果表明,以ARTM CPM TierII信号为例,该算法可将匹配滤波器数减少为全状态所需的3/64,网格状态减少为全状态所需的1/8,在误码率为10-5时性能损失仅为0.2dB。 基于HBASE的时空大数据关联查询优化 随着数字采集和存储技术的快速发展,视频监测系统得到快速普及,以此带来了海量的监测视频数据。与文本数据不同的是,监测数据具有时空特征,如何在规模庞大且动态增长的数据量下进行高效的查询成为许多时空数据应用所关心的问题。针对云存储体系结构中监测视频大数据高效的时空联合查询需求,充分利用时空特征值和属性特征值在应用中的关联关系,以及HBase数据库在海量查询方面的优良性能,提出了基于HBase Bloomfilter的时空大数据多重过滤机制,创新性地利用视频文件特征值之间的依赖与关联关系来安排rowkey索引键。在此基础上设计出两种时空关联查询算法。最后通过实验证明了算法在时空大数据查询方面的可行性、灵活性和高效性,对其他大数据关联查询应用有较好的指导意义。 一种异构片上网络路由算法的研究 随着集成电路工艺的迅速发展,传统的片上网络由于缓存引起芯片面积开销和能耗增加,从而使得无缓存路由技术得到了广泛关注。通过消除缓存,整体的流水线进程大大得到简化,性能得到提高。但当网络负载量较大时,数据包被多次偏转或误传,导致网络的延迟增加,系统健壮性较差。针对片上网络运行应用的多样性,异构网络作为一种相对灵活的网络结构,能有效地降低网络的传输时延,提高系统性能。文中设计了无缓存NoC和带缓存NoC两种路由方式相结合的异构片上网络,并匹配静态路由算法和动态的自适应路由算法(AFC)进行数据包的传输。同时,还提出了一种针对AFC的优化算法(AFC-LP),其通过对无缓存路由计算的二次仲裁,进一步降低了通信的平均时延,提高了网络性能。实验表明,AFC-LP算法相比于传统带缓存的维序X-Y路由算法,片上网络的平均延迟降低了28.4%,CPU每一时钟周期内所执行的指令数IPC(Instruction Per Cycle)提升了10.4%。 微内核结构的测控系统软件 测控系统软件是测控系统重要组成部分,直接影响着测控系统的运行。提出了一种基于微内核结构的测控系统软件设计方法,该方法以消息数据处理为中心,通过统一的消息接口将测控任务插件化,利用受控的消息传递机制实现测控软件各个功能模块间的交互,并在微内核单元管理下进行HPFS-HRRN的测控任务调度;基于虚拟仪器技术,设计和实现了水平分层结构替代纵向层次结构的测控系统软件。实验测试表明,将该方法应用到L-乳酸发酵过程测控系统软件设计中,所构建的测控系统具有较高的可靠性、通用性和可扩展性。 点状特征柔性物体三维运动捕获方法 针对具有点状特征的柔性物体,提出了一种三维运动捕获方法.首先,该方法利用两个标定的高速摄像机拍摄柔性物体的运动视频,并对图像进行立体校正;然后,采用DOG(Difference Of Gaussian)算法获取点状特征的位置,并提取特征点极值;其次,在一定范围的窗口上搜索匹配对,匹配左右图像的特征点;再次,通过三角测量法进行三维重建;最后,利用搜索策略进行时间序列上的匹配,实现动态柔性物体的三维运动捕获,并计算空间坐标、速度、加速度参数.实验结果表明,相比于采用sift算法匹配特征点捕获柔性运动物体的方法,本方法精度更高. 基于宏块多相关性的多视点视频编码方法 为降低多视点视频编码(MVC)中过高的计算量,提出基于宏块多相关性的多视点视频编码视间预测与Direct模式提前终止算法。分析MVC参考模型(JMVC)中时域预测和视间预测的特点及Direct模式的分布情况。基于当前宏块的时间和视点之间率失真代价的大小关系判断是否进行视间预测。利用先前已编码宏块的编码模式信息确定是否跳过Direct模式。实验结果表明,同JMVC的全搜索算法相比,该算法能降低编码的计算复杂度,平均可达75.62%,同时保持几乎相同的编码率失真性能。 拦截大航路目标的终端角度约束中制导律设计 研究舰载防空导弹制导精度优化问题。拦截大航路反舰导弹会造成弹目交会角较大降低引信频谱识别的启动概率而不利于引战配合,过去的终端角度约束制导律在逆轨拦截时容易造成末段过载过大甚至发散,使导弹末段可用过载不足而脱靶。为解决上述问题,提出导弹圆周运动过载稳定,利用几何方法设计了一种具有终端角度约束的圆周中制导律,根据圆的几何特性精确计算出了中末制导预测交班点和中制导起始时刻的最优到位角。通过仿真,验证了上述制导方法在过载平稳性、收敛性以及脱靶量等方面的性能优于一般的终端角度约束制导律。证明上述方法解决了终端角度约束造成的末段过载过大甚至发散的问题,有效提高了对大航路反舰导弹的逆轨拦截精度。 基于修正模判决的多模盲均衡算法研究 在通信领域中,多径传播效应和多普勒效应会使信号发生码间干扰和相位偏转,严重影响通信质量。模判决多模盲均衡算法(MDMMA)虽然在一定程度上克服了接收信号的幅度畸变,但无法纠正相位旋转。因此提出了一种修正模判决的多模盲均衡算法(MMDMMA),该算法定义了新的代价函数更新权向量迭代式,通过提取传输信号的相位信息来纠正接收信号的相位旋转,通过使用变泄漏因子准确跟踪时变信号,并通过使用判决反馈盲均衡结构性能,进一步减少码间干扰。仿真实验表明,该算法较MDMMA算法,剩余码间干扰降低了约15 dB,输出信号星座图更加紧凑,且相位旋转得到了纠正。 突发性地质灾害应急处置系统的设计与实现 对突发性地质灾害应急处置系统的总体需求、体系结构、功能模块、实现方式和关键技术进行分析与论述。提出系统的设计与实现需在存储和管理多种地质灾害应急数据的基础上,满足迅速掌握受灾地各方面情况,辅助制定应急处置决策的功能需求。通过阐述系统基础信息管理、突发灾害点定位、雨量信息管理与显示、应急处置案例管理四大功能模块,给出一种集数据库层、Web服务层、WebGIS应用服务层和客户端应用的具体实现方法,并分析二三维地图联动、预报雨量数据处理与表达方面的关键技术。相对于传统档案式管理,该研究成果有利于应急管理人员快速响应与处置突发性地质灾害。 一种多模型协同的目标提取方法 固定摄像机目标提取多以高斯混合模型为背景模型,在检测运动缓慢、间歇停滞的目标时会出现前景目标空洞的问题。为此,提出一种能够适应目标间歇停滞的多模型协同目标提取方法。采用高斯混合模型进行背景学习,通过光线检测模型和场景状态检测模型协同控制背景适时更新,利用阴影检测模型剔除阴影。实验结果表明,与Kaew Tra Kul Pong P方法相比,该方法能较完整地提取到目标轮廓,且单帧处理时间较少。 关于视频目标图像跟踪融合优化研究 在目标图像跟踪融合优化时,由于背景特征参数容易受到运动速度和光照变化产生较大干扰,图像质量差。仅以固定权值进行描述,采用传统目标跟踪方法,由于概率权重变化趋势在这种干扰下呈现不稳定状态,无法准确地衡量变化趋势带来的影响,导致目标跟踪精度差、鲁棒性低的问题。提出采用多特征加权融合的目标跟踪算法。首先将LBP纹理特征和颜色特征融合起来建立目标模型和候选模型,然后通过未归一化的目标和背景直方图计算出每个特征在目标中的概率权重,并将概率权重引入巴氏系数的相似度量中,从而实现MWMF算法,最后采用仿真测试算法的性能。实验结果表明,改进算法提高了目标跟踪的精度,加快了目标跟踪的速度,并且具有较强的鲁棒性。 基于DXF文件的数控自动编程中的路径优化 分析了DXF文件结构并在此基础上简要介绍了需要提取的信息.针对无序的加工路径使得空刀路径长度过大的情况,提出了一种基于遗传算法的路径优化算法.并比较了路径优化前后路径中空刀路径长度,可以得出经算法优化后得到的路径空刀路径明显减少,从而使得加工效率得到提高. 基于Curve Fitting Toolbox反应器停留时间分布的确定 反应器停留时间分布的确定是建立反应器流动模型的基础。本文介绍了用MATLAB的Curve Fitting Toolbox计算反应器停留时间分布的方法。通过在图形界面下导入数据及平滑处理、采用平滑样条拟合数据以及数值积分等操作,确定了反应器停留时间分布的特征值,并且以确定系数R~2、误差平方和以及均方根误差评价拟合模型的精确度。研究表明:计算结果与文献相吻合。该法运行可靠,无需编程、易于掌握。与传统计算方法相比,操作更为便捷,强有力的图形界面也使计算变得更加简单而直观。 基于柱幕投影的飞机大迎角仿真系统实现 多通道曲面投影系统中曲面几何失真校正技术是关键,传统的曲面失真校正需要像机采集反馈图像,校正过程复杂,成本高昂且难以实现。本系统结合柱面幕,提出了一种新的基于曲面透视投影的等弧长离线校正方法。该方法将待校正图像的每个像素以弧长相等的方式映射到柱幕,再根据透视投影的原理将柱幕上的像素坐标变换到预校正图像的二维坐标。同时结合给出的简化边缘融合方法实现了基于柱幕投影的大迎角飞行仿真系统,观察人员站在柱幕前会有明显的失速感觉,从而为大迎角飞行试验分析提供了良好的仿真平台。 客车电气绝缘智能检测系统工控机端设计 为确保车辆电气配线安全可靠,铁路部门的一项常规性工作是对客车上各部件的质量进行检测,及时找出故障点,而部件绝缘电阻是体现材料质量的一项重要因素;工控机端系统用Java技术编程实现;通过工控机与单片机之间的通信控制检测过程,由单片机发送信号驱动检测笔进行检测,检测结果送工控机界面显示,能根据检测对象智能确定其下限电阻,对异常数据及时报警;检测数据记录在数据库中,通过3检1验的操作流程保证检验数据的真实有效性;利用iText组件绘制每个车对应的检测报表;测试量程范围为1MΩ~10000MΩ;实测结果表明,测量精度达到±2%测量值,测量稳定采样时间控制在3~4s间,数据稳定。 基于程序设计项目化教学的研究 "针对C语言程序设计综合实训,基于""任务驱动""的原则,选择五子棋游戏进行综合项目教学,设计项目递增式实现步骤,提出一种基于""净空间""的适合初级编程的下棋算法框架。在该项目的教学过程中让学生从简单的逻辑结构逐步细化和深入,充分展示学生的才能。教学效果表明,该项目的实施让每个学生的程序设计能力得到不同程度的提高。" 移动云中可模块并行应用的计算迁移算法研究 将移动应用中计算密集型的模块迁移至云中运行,已成为移动云中提升应用性能的惯用方法.目前,在不同环境下为移动应用设计相应的迁移方案已经成为了研究热点.然而,现有工作对于应用进行计算迁移后,对其分布式执行时模块并行的特性仍未给予足够的重视.本文针对可模块并行的移动应用,探讨了其特性并提出在决策应用各模块执行地点的同时优化数据传输顺序的解决方案,同时设计高效的贪心算法,在满足决策实时性需求的前提下显著提升应用的性能.通过实验验证,本文设计的算法相较公平竞争及先来先服务的数据传输方案,能缩短约5%的响应时间. 智能化上料系统中工件视觉定位的研究 随着我国工业生产行业的快速发展,人工上料越来越难以适应,为解决工业生产线生产效率不高,人工成本过大,智能化不足等问题;基于国内外现有工件定位方法的研究,采用机器视觉对工件定位进行研究,利用工业相机采集图像信息,上传到PC端中NI Vision软件进行图像预处理、边缘检测,并基于边缘检测结果提取质心点,然后利用坐标转换算法,获得对应世界坐标系下的坐标值,通过通信单元传送给机器人控制系统,控制机器手移动;经验证,算法不仅稳定可靠,而且易于修改,所得结果精度相对较高。 云环境下基于RBAC的多域穿梭问题的研究 在对云环境下用户的跨域授权研究过程中,由于传统的基于角色的访问控制(RBAC)模型仅采用域间角色映射技术来实现域间授权,导致权限渗透和隐蔽提升的问题。为确保跨域授权的安全性,提出了一种基于用户域集的跨域访问控制(UD-RBAC)模型,模型先将用户域集信息和域间角色映射同时作为域间授权依据,再结合用户的历史授权记录采用最小授权原则进行跨域授权。仿真结果表明,改进的模型对权限渗透和隐蔽的抑制率都有较大的提高,优于传统的基于角色的访问控制模型。说明改进的模型能够有效解决存在复杂映射的多域环境下用户跨域访问过程中产生的权限渗透和隐蔽提升的问题。 一种结合光流法与三帧差分法的运动目标检测算法 运动目标的检测是计算机视觉研究的重要内容之一,光流法是其中的一种重要方法.由于计算光流的算法复杂,限制了它的使用.本文提出一种结合光流法与三帧差分法的运动目标检测算法,该算法简化了光流的计算,选择图像中具有代表性的Harris角点,只对这些像素点计算光流信息,有效地减少了复杂度,由于检测得到的运动目标区域不够完整,引入了三帧差分法作为简化光流法的补充.经过实验,该方法使光流法达到了实时性要求,取得了好的效果,优于单独运用两种方法中的任何一种取得的效果. 基于FPGA的电容式编码器数据采集系统设计与实现 为了精确地获取电容式编码器输出信号中所携带的位置信息,文章设计了一套基于现场可编程门阵列(FPGA)的电容式编码器数据采集系统;文中介绍了带通滤波器、同步正交解调、低通滤波器和Cordic等系统模块,并详细分析了系统的稳定性和误差;实验结果表明,在转速度为800rpm时,角度分辨率可达到0.192度,在一个周期内最大误差0.002 8度,平均误差0.000 8度,静止抖动0.007 26度,统能够实时的获取转子的位置信息,同时具有较好的精度和稳定性。 信息时代的数字仿真 第一个互联网,阿帕网(ARPANET)的建成(1969-11-21)为标志,宣告人类社会进入信息时代,也可称为互联网时代。信息化席卷全球。数字仿真本身与时俱进,用现代信息技术武装自己,分清应用需求的轻重缓急,应用业已形成的理论体系,向着以数字化、虚拟化、智能化、网络化、集成化、协同化为特征的现代化方向发展,从而能在信息化中更加安全而又经济有效地完成时代赋予的使命。 一种高性能的二维波达方向估计算法 在二维虚拟ESPRIT算法的基础上,提出了一种高性能的改进算法。改进方法依照子阵数据直接空间平滑的思想对子阵数据进行预处理,用虚拟阵列变换技术实施阵列变换,采用波达方向矩阵法的思路计算二维角度参数。仿真结果表明,相比于空域平滑的DOA矩阵法和空域平滑的二维虚拟ESPRIT算法,该方法在低信噪比情况下对相干信号源具有更好的估计性能,对独立信号源,能够估计出超过子阵阵元数的信号。 捕鱼算法优化支持向量机的视频检索模型 为了提高视频检索的准确率,针对支持向量机(SVM)参数优化问题,提出一种捕鱼算法优化SVM的视频检索模型(SFOA-SVM)。首先提取视频特征,然后采用支持向量机建立分类器建立检索,并通过鱼群算法化SVM参数,最后采用具体视频数据进行仿真测试。实验结果表明,相对于其它视频检索模型,SFOA-SVM提高了视频的检索准确率和效率,可以更准确地查找到用户所需的视频。 云计算环境下的资源调度优化模型研究 为了提高了云计算资源调度效率,提出一种基于混沌粒子群算法的云计算资源调度模型。首先将资源调度可行方案作为粒子的位置向量,云计算资源的负载均衡度作为目标函数,然后通过粒子之间的信息共享、交流,最后找到最优资源调度方案,并引入混沌机制对粒子进行扰动操作,保证粒子群的多样性,防止早熟现象、局部最优解等缺陷出现。在CloudSim平台进行了仿真,结果表明,混沌粒子群算法可以快速找到云计算资源最优调度方案,提高了资源利用的效率,具有较好的实用性和可行性。 基于SOA的连锁业务系统的研究与设计 结合东莞电信实业管理系统的开发,分析当前连锁业务系统的现状,即竞争日益激烈的连锁销售企业对信息化建设提出新的要求,现有业务系统不能满足不断变化的组织结构和新需求;研究面向服务体系结构(SOA,Service-OrientedApplication)以及分布式通信编程框架(WCF,Windows Communication Foundation)技术的相关理论;探讨如何采用WCF技术实现SOA架构,重点研究运用WCF技术设计实现SOA的基本步骤。 一种改进的视频图像检测跟踪算法 针对运动目标在运动过程中的交叉、遮挡等情况,采用自适应阈值的Vibe算法来压缩背景杂波和相关噪声,进而对运动目标进行检测。采用基于Camshift优化的粒子滤波算法对运动目标进行跟踪,该算法在粒子滤波算法的基础上结合Camshift算法的优点,加入当前观测信息,使粒子更好地采样于目标周围,提高了粒子效率,节省了算法时间。实验表明,自适应阈值的Vibe算法能够准确检测复杂场景中的运动目标,并能够适应噪声干扰和光照变化,而基于Camshift优化的粒子滤波算法能够在目标快速运动、遮挡情况下对目标进行准确跟踪。 一种基于WS-Management的桌面系统管理方案设计与实现 随着IT桌面系统越发复杂和庞大,Web Services技术成为桌面管理的重要发展方向,WS-Management(Web Services forManagement)支持Web Services技术在桌面管理领域的实现。首先介绍WS-Management标准的功能,并把它与其他管理协议在桌面管理中进行了比较分析和说明,提出基于WS-Management标准的桌面系统管理方案,并搭建实现了一个桌面管理原型系统,最后对基于WS-Management标准的桌面系统管理及其发展趋势进行了总结。 神经网络解耦控制在某无人机组件测试系统的应用 无人机组件测试系统,主要用于对某型号无人机组件进行相关性能测试和试验验证;系统的控制参数温度、压力、流量间存在强耦合关系;为了解决常规控制方法难以控制的难题,设计了一种基于PID神经元网络的前向多层解耦控制器,并利用遗传算法对神经网络的权值进行训练;将此算法在MATLAB下进行仿真,解耦控制效果较为理想,随后经航空测试系统试验验证,该控制方法可以达到设计需求,有力地支持了相关型号的研制工作。 基于改进PSO的组合预测模型研究 为进一步提高组合预测的预测精度,有必要对预测模型的权重分配进行研究。将粒子群算法用于求解组合预测中模型的权重,并在研究过程中针对基本粒子群算法的不足,对粒子群算法的参数惯性权重和加速度因子进行了改进,构造了基于改进粒子群算法的组合预测模型。以重庆市物流需求的预测为背景,以四种方法为参照对象,对比验证了该改进模型的有效性以及预测的准确性。 立方非线性二元机翼突风响应仿真研究 研究突风响应气动弹性问题,由于飞行器高速飞行,动力系统响应直接影响系统的性能,而系统结构上的非线性增加了突风响应问题的复杂程度。为分析性能,提出以经典的二元机翼为对象,通过建立三自由度二元机翼在突风下的增广状态空间运动方程,针对立方非线性刚度弹簧系统,研究各自由度采用立方结构非线性系统响应。仿真结果表明系统采用线性刚度突风只影响系统响应振幅,沉浮弹簧采用立方非线性刚度系统后系统颤振速度变小,俯仰方向和操纵面扭转弹簧采用非线性刚度后系统颤振速度增大,结果可为研究三维机翼非线性颤振提供一定的研究基础。 基于多尺度稀疏表示的图像融合方法 针对目前基于稀疏表示的常用图像融合算法计算复杂度高以及忽略图像局部特征的问题,提出多尺度稀疏表示(multi-scale sparse representation,MSR)的图像融合方法。充分利用小波多尺度分析较好突出图像局部特征的特点,将其和过完备稀疏表示有效结合;待融合图像在小波解析域中进行小波多层分解,对每个尺度的特征运用K-SVD(kernel singular value decomposition)多尺度字典进行OMP(orthogonal matching pursuit)稀疏编码,并在小波域中各个尺度中进行融合。实验结果表明,与传统的小波变换、轮廓波变换、稀疏表示融合算法相比,该算法更能保证图像局部特征的完整性,实现更好的性能。 无人机远程故障测试与诊断技术研究 为了确保众多无人机能够远程共享测试设备与技术人员等资源,设计了一种基于DataSocket技术和信息融合理论的远程故障测试与诊断系统;该系统采用PXI总线模块作为数据采集设备,利用DataSocket技术和虚拟仪器实现测试数据的远程传输;采用基于D-S证据理论的多智能诊断算法数据融合技术,提高故障诊断的准确率;同时为了便于故障信息的管理,把诊断出的结果存放在数据库中,远端使用B/S架构获取诊断数据;该远程故障测试与诊断系统可提高故障诊断正确率,实现故障信息的统一管理,节约成本提高效率,有一定的工程应用价值。 一种基于XML的海量视频监控信息检索技术 针对智能视频监控中视频解析产生的结构化数据不能集合存储到视频录像而由此带来的信息检索不便问题,文中提出了一种基于XML的异构数据集成解决方案。它主要通过将监控数据库中的检索数据导入XML文件后和关联视频文件进行一体化的异构数据存储,使用户可以通过统一的接口来实现对监控数据和视频文件的访问。首先在对相关技术进行研究和分析的基础上,设计了一个统一的数据集成和用户查询平台;然后在高清卡口场景下,针对车辆信息检索进行了实验。结果表明,该方法不仅实现了基于关键词的视频文件检索,也实现了基于单一视频文件的信息检索。该技术提高了数据的自由迁移能力,可以实现基于视频文件的离线信息检索,因此具有极高的实用性。 基于文化微粒群优化算法的DNA编码研究 对DNA编码约束进行研究,选择汉明测量以及相似度作为DNA序列集设计的主要约束,并结合连续性约束与GC Content约束,将序列集设计问题抽象为带有强约束的多目标优化问题,采用文化微粒群算法解决该多目标优化问题。仿真结果表明,该混合算法针对DNA编码序列设计问题,在求解最优值能力、解的稳定性方面都能取得较好的效果。 一种基于邻域滤波的星空图像背景杂波抑制算法 针对空间图像弱小目标检测和质心高精度定位问题,提出一种能最大限度保持目标边缘的背景杂波抑制算法。首先用最小二乘拟合估计背景均值和方差,再通过四邻域滤波区分背景和目标,最后通过阈值分割将目标边缘中过小像素滤除,在保留完整目标边缘的同时最大限度抑制了噪声,由于邻域面积较小且运算量相对较小,该算法具有很好的实时性和工程实用性。 基于Linux的高速网络数据捕获技术 当前,在Linux下普遍使用的传统捕获技术都是基于BPF机制,随着网络速度的不断提高,捕获效率却不断下降。本文介绍一种在高速网络下基于零拷贝思想的PF_RING捕获技术,通过减少内核空间到用户空间数据拷贝的方式,大大提高了系统性能,并详细阐述了PF_RING在Linux核心下的实现,通过实验验证了它的可行性。 基于灰色多变量模型锂离子电池荷电状态预测 传统的荷电状态预测方法不准确,为了进一步提高荷电状态预测的准确性,针对电动汽车电池荷电状态的预测,提出了基于灰色多变量模型对锂离子电池的SOC预测进行研究的方法。利用MATLAB中Simulink仿真软件建立实验的仿真模型,并用粒子群优化算法对预测模型中的参数进行了辨识。通过分析电池的放电倍率和电压对荷电状态的影响,研究结果显示,所提出的SOC预测方法具有较高的准确性,其可以用来进行SOC的预测。仿真模型具有良好的预测性提高了SOC预测的精度并在SOC预测领域内具有实用价值。 融合标签流行度和时间权重的矩阵分解推荐算法 社会化标签不仅可以描述资源而且可以表征用户的偏好,因此结合社会化标签的个性化推荐正成为互联网推荐引擎中的研究热点.针对现有基于标签的推荐研究中推荐精确度不高的问题,提出一种融合标签流行度和时间权重的矩阵分解推荐算法TPTMF,该算法同时考虑用户使用标签的频率与用户兴趣随时间变化的特点,首先根据标签的流行度和时间特征刻画用户对资源的偏好,然后采用梯度下降法对用户-资源矩阵进行分解,最后利用分解后的特征矩阵对目标用户进行预测并推荐.在数据集Last.fm上的实验结果表明该算法具有较好的推荐效果. 变时滞复杂网络同步牵制保性能控制器设计 针对具有可变时滞的复杂网络系统,研究此类系统的同步保性能控制问题。为了使系统同步保性能,设计了一种动态反馈控制器;同时,由于复杂网络的节点较多,考虑到直接控制比较复杂,所以又研究了利用牵制控制使系统达到同步保性能控制的方法。主要运用Lyapunov稳定性理论并结合矩阵不等式处理方法,得出了具有可变时滞性复杂网络系统同步保性能控制器存在的充分条件。所设计的动态反馈控制器在保证系统的渐近稳定条件下使系统的性能指标满足一定的要求。最后给出两个数值仿真说明其有效性。 改进的猴群算法在云计算资源分配中的研究 如何能够更好的解决云计算资源分配问题一直都是研究的热点,引入猴群算法,针对猴群算法中出现的局部收敛速度快,容易造成局部最优值的缺点,首先在猴群算法中引入混沌算法和反向学习来初始化猴群的初始位置,其次,通过猴群算法中的爬,望,跳三个过程的改进使得改算法收敛精度提高.通过经典函数测试后,本文算法相比其他智能算法的性能有了明显的改进.Cloudsim平台证明将本文算法运用到云计算资源分配中,在任务完成时间,资源消耗方面都有了很大的提高. 基于历史信息提升关键字查询效率 关系数据库上的关键字查询使用户不必了解SQL语法或者数据库模式即可方便进行检索,并利用关系表的连接来保证查询的完整性.但是目前已有的关键字查询技术对于每个用户发起的查询皆从底层数据开始处理,而忽略了历史查询信息的使用.历史信息不仅包含了历史查询结果,而且反映了关键字之间的相关性,在查询过程中使用历史信息会提升查询效率.此篇论文正是针对此问题提出了新的解决方案:首先利用划分算法将用户输入的关键字划分为历史关键字和新关键字的组合;利用历史信息展开关键字查询,得到以子图形式存在的查询结果;基于与关键字的相关度对结果子图进行排序.最后,基于DBLP数据集,实验在执行时间和查准率方面证明了算法的有效性和高效性. 石油烃分子组成预测研究进展 石油烃分子组成是目前石油炼制和加工过程中重要数据,通过易得的宏观物性对分子组成进行预测可以为石油加工过程提供良好的参考。对国内外石油烃分子组成的主要预测方法随机重构方法,熵最大化法,蒙特卡洛模拟方法,MTHS矩阵法等方法进行了介绍,对其特点与不足进行了讨论,并对未来的发展方向进行了展望。 云计算中网络节点流量输出效率预测研究 对云计算中网络节点的流量输出效率进行预测,能够有效提高网络流量输出率的速度。对网络节点流量输出的预测,需要转化流量输出率的状态矩阵,用适应度函数预测,完成网络节点流量输出率的预测。传统方法首先权衡不同节点流行度分布,把数据存储于有益节点中,但忽略了用适应度函数预测,导致预测效果不理想。提出云计算中网络节点流量输出效率预测方法。将网络节点的特征属性参数划分得到特征向量中心性,分析特征向量,计算其数学表达式,转化流量输出效率的状态矩阵,用适应度函数预测。实验结果表明,所提方法提高了网络节点流量输出效率预测的速度。 微博个性化信息流推荐研究 针对为微博用户推荐符合其兴趣和喜好的个性化微博信息的问题,结合协同过滤的思想,基于TF-IDF模型综合考虑了单个词语向量和多个词语向量相结合的特点后,用于计算微博信息流的相似性并评估用户的兴趣度。通过进一步分析用户的冷启动的问题和个性化特点,有效降低了无关微博信息的排名,优化用户微博信息排序。将基于新浪微博数据集与现有的余弦相似性和标签向量的微博推荐方法进行了对比实验,实验结果表明,该算法的有效性。 冗余多线程结构的重命名寄存器配对共享分配策略 "同时多线程处理器允许多个线程同时执行,一方面提高了处理器的性能,另一方面也为通过线程冗余执行来容错提供了支持.冗余多线程结构将线程复制成两份,二者独立执行,并比较结果,从而实现检错或者容错.冗余多线程结构主要采用ICOUNT调度策略来解决线程间资源共享问题.然而这种策略有可能造成""饥饿""现象,并降低处理器吞吐率.提出一种重命名寄存器配对共享分配策略,在运行N个独立线程的结构中,将重命名寄存器分成N份,每个主动线程及其相应的冗余线程共享其中的一份,这样就可以比较有效地缓解竞争式共享所带来的负面影响.实验表明,配对共享策略使得处理器的吞吐率和单个线程的性能均有较大幅度的提高." 应用虚拟仪器技术的超高频RFID读写器设计 射频识别技术在资产管理领域中逐渐受到青睐,针对现有识别技术开发周期长、测试速度慢的弊端,论文提出采用虚拟仪器和数字信号处理技术,结合自定义超高频协议,研究了一种新的读写器系统。该系统用软件代替硬件实现超高频射频识别,能对超高频RFID标签自动测试,能够实现电子标签从物理层到应用层的一致性测试,能对多种宏观参数测量和分析。实验结果表明,该系统在单标签及多标签的情况下能克服单一标准的限制,很好地模拟读写器调制、解调、编码、解码、循环冗余校验、逻辑控制等基本功能,具有成本低、集成度高和扩展性好等优势。 关于卫星机器人的目标特征点匹配研究 针对各种变换,特别是旋转变换干扰的卫星机器人装配画面中,传统二进制算法构建的特征点描述向量间错误匹配现象严重的问题,基于旋转变换矩阵,通过粗精结合的方式提出一种应用于卫星机器人的目标特征点匹配方法,以确保图像配准、目标识别和区域标定等装配步骤的顺利完成。创建具有旋转不变特征的新采样模型及采样点对选取机制;以10°为间隔,获取待匹配图像特征点的粗旋转变换矩阵,并结合基准图像的描述矩阵搜索粗匹配时的最佳旋转角度;在粗旋转角度的±10°位置,以1°为间隔重复以上步骤,计算出精确旋转角度并获得准确匹配点;另外,还采用了多尺度的FAST检测子和RANSAC等算法。实验结果表明,所提方法能够更好的克服卫星装配图像中的各种变换干扰,快速匹配正确特征点。平均的匹配正确率能够达到82.5%。 数据均匀分布和正态分布的数据总线低功耗研究 低功耗设计已成为数字系统设计中必须考虑的问题,而总线低功耗设计是其中的重要分支。由于CMOS电路功耗的特性,降低总线上相邻两个传输数据状态的电压变化能有效降低总线功耗,降低总线翻转技术已成为降低总线功耗的重要研究领域之一,而Bus-Invert编码、ShiftInv编码是这一研究领域中的重要研究成果。以往的研究主要集中在遵从随机均匀分布的数据总线上,对该状态下的总线编码进行研究。由于总线上数据的相关性,现实中的总线数据往往服从正态分布的规律。通过对两种总线翻转编码技术的研究,得出对于服从均匀分布和正态分布的数据总线,ShiftInv编码技术降低功耗的能力均优于Bus-Invert编码技术。 基于dsPIC的4~20mA两线制恒流源的设计 我国新一代运载火箭动力测发控系统等效器中,需采用数十路4~20mA电流源模拟配气台压力变送器信号,对设备输出精度、集成度、可靠性等指标提出较高要求;文章提出一种基于dsPIC数字信号控制器控制18路PWM输出、低通滤波实现D/A转换、最终实现了两线制4~20mA电流输出的方法,并对该设计的理论基础及软硬件实现进行分析;该方案具备技术先进、输出精度高、可靠性好、高度集成化、外围电路少、软件控制简捷等优点,由于具有上述优点,在技术上已经处于国内领先状态,现在经过在我国新一代运载火箭长征六号动力测发控系统等效器中得到了成功应用。 基于灾变机制的预防性维护和生产调度集成优化方法 为了解决生产车间中多品种任务的生产调度与预防性维护集成优化问题,综合考虑其加工顺序、生产批量及预防性维护策略等要素,在订单充足的前提下,以总制造成本和加工时间最小化为联合优化目标,建立了生产调度与预防性维护集成优化模型。针对模型特点,在非支配排序遗传算法框架的基础上,基于灾变机制和荣誉空间,引入截断和拼接操作算子,提出一种变长度染色体单亲遗传算法对模型进行求解,并在不同参数条件和问题规模下,通过仿真实验验证了该算法解决复杂生产任务调度和预防性维护集成优化问题的有效性。 带支撑物圆阵阵列信号处理算法及仿真分析 传统阵列信号处理算法一般都不考虑障碍物对阵列响应的影响,实际中阵列支撑物会对阵列接收信号会产生影响。以环绕在刚性圆柱体上的均匀圆阵为阵列模型,研究了特征波束空间波束形成和高分辨方位角估计算法性能,分析了各种因素对算法性能的影响。研究结果说明,特征波束域高分辨方位角估计算法能有效地估计出多个声源的方位角,阵列支撑物的存在提高了特征波束形成和高分辨方位角估计算法性能,为方位角估计提供了一种有效的方法。 基于Android的远程视频监控系统的设计与实现 针对幼儿园、超市等场合需要实现无线监控的问题,提出一种基于Android的智能手机的无线监控视频系统。以Eclipse和Microsoft Visual Studio2010为开发平台,采用Java、C#语言,建立了由客户端、服务器端以及数据库端组成的远程监控系统。监控实验结果表明该监控系统具有编码数据小、实时性高等优点,通过手机端APP可以随时随地对所在场景进行监控,完全满足无线监控场合的使用需求。 基于混沌系统的S盒生成算法的研究 S盒是分组密码算法中唯一的非线性部件,设计一个性能良好的S盒具有重要的实际意义。提出了一种新的S盒构造方法,算法中利用两个混沌系统进行迭代,操作简单却大大增加了置乱效果。分析了S盒的密码学性能,包括双射特性、非线性度、严格雪崩准则、输出比特间独立性、差分概率和线性概率。最后在提出的S盒的Lyapunov指数定义的基础上计算了该S盒的Lyapunov指数,结果表明该方法生成的S盒具有良好的密码学性质。 基于自适应动态改变的粒子群优化算法 粒子群算法在处理优化问题时缺乏有效的参数控制,易陷入局部最优,导致收敛精度低.提出一种新的改进粒子群优化算法,算法根据粒子的适应度值动态自适应地调整算法中惯性权重和学习因子的取值,其中惯性权重采用非线性指数递减,有利于平衡算法的全局搜索与局部搜索能力,避免算法陷入局部极值;学习因子采用异步变化的策略,以增强算法的学习能力,进而提高算法的性能.数值实验结果表明,与SPSO、PSO-DAC算法相比较,改进后的算法无论在收敛速度、稳定性以及收敛精度上都有显著提高. 基于SC-FDE循环自相关的盲参数估计算法 分析单载波频域均衡(SC-FDE)信号循环自相关截面的性质,研究循环自相关与有用信号长度和循环前缀长度的关系,提出一种在没有先验信息的情况下,对其有用信号长度和循环前缀长度进行盲估计的算法。根据循环截面关于零点对称的性质以及循环前缀长度与截面谱线的关系限制谱峰搜索的区域,从而估计循环前缀长度和循环前缀符号个数。仿真结果表明,该算法可提高估计精度,在低信噪比时也能有较好的估计性能。 带钢轧制过程产品质量优化控制研究 在带钢轧制过程中,带钢张力一旦发生较大的波动会影响带钢产品的质量,对轧制线带钢张力故障进行分类并进行及时有效的处理,可以提高带钢连轧生产的稳定性和可靠性。首先提出针对带钢张力信号特点而采用的局部均值分解方法的原理,并给出了支持向量机的设计方法。其次结合现场生产过程中故障发生较少的情况,对从现场采集的带钢张力信号采用LMD-SVM方法进行仿真研究,验证了LMD-SVM方法能有效识别带钢生产中的故障。通过对比在不同训练样本个数下的仿真结果,验证了LMD-SVM方法在小样本下仍然能对故障具有较高的辨识率,可以为带钢质量优化控制与故障处理提供一定的参考。 基于重复博弈参与者有权重的秘密共享方案 在大多数参与者有权重的秘密共享方案中,各参与者子秘密份额数量的不同会导致秘密重构阶段产生不公平问题。为此,提出一个基于重复博弈的理性秘密共享方案。在参与者原有份额的基础上,为其构造数量差不超过1的有效子秘密份额,利用重复博弈使每个参与者可以获得其他参与者的全部份额,进而重构出秘密。分析结果表明,该方案可以使理性参与者始终遵守协议,完成秘密重构,且具有较高的安全性和良好的可扩展性。 基于Petri网的列控系统形式化分析方法 利用原型Petri网对列车控制系统建模难于实现,用带抑止弧的增广Petri网则可以较好地描述问题。将带抑止弧的增广Petri网作为计算模型,对列车控制系统的一些关键问题进行了建模并给出了两个控制子系统:车站调度子系统与区间运行子系统。车站调度子系统实现了对列车请求进入和驶离车站的协调控制,区间运行子系统则实现了闭塞区间的车辆的安全性控制、突发事件时(如遭遇雷击,信号丢失的情况发生等)的安全性处理和公路铁路交叉口的调度等。最后,利用S-不变量对模型的活性、可达性和有界性等给予了形式化的验证。 一种改进QoS的网格资源多维性能调度算法 网格环境下,由于资源的异构性和动态性,任务调度已扩展为多个任务在位于不同节点的异构资源上调度,任务调度的性能直接影响到计算网格的服务质量。为提高任务调度质量,在构建网格资源映射模型的基础上,结合资源多维性能,提出了一种改进QoS的网格资源多维性能调度算法MQMPGR,并且给出了与模型相对应的进行任务调度所需要的算法伪码。通过在Gridsim环境下的分析与比较,仿真结果证明其优于传统的任务调度算法。 壳体差异对潜艇快速性影响仿真研究 在潜艇快速性优化设计中,由于潜艇不同的结构形式对其总体布局、总体性能、快速性、操纵性、生命力、经济性等均有较大的影响,采用何种形式既是潜艇总体研究设计的重要内容,又是需要在潜艇总体设计的指导思想下确定的问题。根据单、双壳体在各国海军潜艇中的应用情况,定性分析了单、双壳体差异对潜艇快速性影响,对潜艇操纵运动受力进行分析并建立潜艇操纵运动数学模型。在上述模型的上编制了潜艇空间操纵运动仿真平台,通过仿真定量分析了单、双壳体差异对潜艇快速性的影响。结果表明,从提高了潜艇快速性战技指标来看,单壳体潜艇相对于双壳体潜艇具有一定优势。仿真结果为未来潜艇快速壳体类型的选择提供了理论依据。 基于干扰对齐的协作预编码优化设计 在K多用户多输入多输出(MIMO)干扰信道下,通过协作共享信道状态信息,使用干扰对齐可以在高信噪比情况下能实现K/2自由度传输。然而在一定的自由度下,能获得的传输吞吐量还与所采用的预编码有重要的关系。在传统的基于特征向量的干扰对齐方法基础上,通过构造特征向量的优化空间,提出以最大化和速率为准则进行预编码的优化设计,给出两种优化算法,并通过进一步修改使其可以用于非理想信道状态信息下的预编码设计。仿真结果表明,在两种信道信息条件下所提出的优化算法比现有的一些基于特征向量的方法和速率得到提升。 基于身份的可认证非对称群组密钥协商协议 非对称群组密钥协商协议(asymmetric group key agreement,AGKA)能使群组内部成员安全地传递信息.随着大规模分布式网络协同计算的发展,参加安全协同计算的成员可能来自于不同领域、不同时区、不同云端及不同类型的网络.现有的AGKA不能满足来自于跨域及异构网络之间群组成员的安全信息交换,且安全性仅局限于抗被动攻击.提出一种基于身份的可认证非对称群组密钥协商协议(identity-based authenticated asymmetric group key agreement,IB-AAGKA),该协议实现一轮非对称群组密钥协商,解决群组成员因时区差异而不能保持多轮在线密钥协商的问题;可实现匿名性与可认证性;支持节点的动态群组密钥更新,实现了群组密钥向前保密与向后保密安全性.在decisional bilinear Diffie-Hellman(DBDH)困难假设下,证明了协议的安全性,并分析了协议的性能. 基于ASP的智能空间中上下文感知问题的研究 智能空间和回答集程序ASP的整合解决了智能空间中固定优先关系下的资源冲突问题。然而,智能空间是一个上下文敏感的、动态的环境,随着用户在空间中行为的改变,空间中的信息和服务也要发生动态的变化。原有的基于本体的上下文感知框架仅能实现不同本体信息的推理,而没有考虑环境信息对于上下文感知的影响。为此,基于回答集程序提出一种智能空间中的上下文感知框架,动态感知用户的上下文本体以及环境信息,完成用户在空间中的上下文动态推理。首先,使用本体描述用户的上下文信息;然后使用回答集程序表达上下文推理规则,并引入缺省规则依据本体信息以及环境信息动态决策上下文响应的优先关系;最后,求得回答集程序的解,即为用户上下文事件的决策结果,从而帮助用户实现智能推理。实验结果表明,该框架可以动态决策空间中的优先关系,有效实现空间中的上下文推理。 基于模板匹配法的散焦图像模糊度估计 提出一种新的散焦图像模糊度估计方法。由图像中的阶跃型边缘提取线扩展函数。根据匹配滤波可实现最优信噪比信号检测的思想,引入模板匹配的方法计算线扩展函数的标准差。由点扩展函数的圆周对称性从线扩展函数的标准差得到高斯型点扩展函数的标准差。实验结果表明,该方法能够准确地估计散焦模糊图像点扩展函数的标准差。将点扩展函数的标准差作为一种评价图像模糊度的测度。实验表明该测度符合人眼的视觉特性,可以很好地判定散焦模糊图像的模糊度。 一种改进的带有情感信息的词向量学习方法 词语的情感信息对于情感分析任务至关重要,现有大多数基于词向量的无监督学习方法只能对词语的语法语境建模,但忽略了词语的情感信息。针对这一问题,提出了一种结合监督学习和非监督学习的词向量学习方法,既能够获得词语的语义信息又能够获得情感内容。在相关实验中,对词向量分析作了直观的举例对比,并将该方法用于情感分类任务中,通过引入新的评论数据集对该方法进行验证。实验结果表明,融合了语义与情感的词向量方法效果良好,能更为精确地对情感信息进行分类、更为客观地对用户信息进行评价,助力社交网络良性发展。 基于改进边缘活动轮廓模型的超声图像分割 为克服传统边缘函数(edge-stop functions,ESFs)不能停止模糊边界问题,提出一种方法构建一组边缘停止函数的活动轮廓模型实现模糊边界的分割。该方法包括一组带有灰度信息和概率评分的标准分类器,ESF可以由任意分类算法构造,将其应用到基于边缘的水平集分割方法中。采用距离正则化水平集演化方法结合k-近邻算法(k-nearest neighbor,kNN)或支持向量机(support vector machine,SVM)对超声图像进行分割,实验结果表明,该方法能够有效分割超声图像,明显优于其它分割方法。 基于回归的无线传感器网络数据压缩方法 无线传感器网络的能量和通信带宽有限,不适合大规模数据传输,需进行压缩处理。为此,研究无线传感器网络中基于回归的数据压缩问题,提出分段线性回归拟合算法和基于置信间隔的回归模型调整算法。分段线性回归拟合算法通过分段,使回归拟合适应环境数据周期性变化的规律。回归模型调整算法能够确定分段时机,使回归直线更加逼近动态变化的环境数据集。在Berkeley-Intel数据集上的实验结果表明,该算法在较小的重构精度下能达到3%的压缩比。 基于电路分析的串并联光伏阵列特性研究 在光伏发电功率优化的设计中,为了解决多照度下串并联光伏阵列的最大功率点跟踪问题,需要建立精确和有效的光伏阵列数学模型。当环境光照由传统的两个照度扩展为N个照度等级,阵列结构由单一的串联阵列扩展为任意数目的时候,采用电路分析方法,对串并联阵列的输出特性进行理论推导和分析。光伏电池采用改进的双二极管模型。串并联阵列中,串联支路内采用电流分析法,并联支路间采用电压分析方法,进行分段函数建模。上述模型适用于任意光照条件下任意结构的光伏阵列的输出特性仿真,并能估计出阵列输出峰值点的个数和分布区间。MATLAB仿真结果证明,上述模型运算精度高,实验结果和理论分析一致,为最大功率点跟踪优化的研究提供了理论依据。 基于概率的移动机器人SLAM算法框架 在移动机器人同时定位与地图创建(SLAM)过程中,机器人本身位置不确定,其所处环境也不可预知,针对这些不确定性因素,应用贝叶斯规则作为理论基础,建立移动机器人SLAM算法的概率表示模型,通过扩展卡尔曼滤波器实现SLAM算法,并介绍一种激光雷达数据与特征地图的数据关联方法。实验结果表明,该方法为实现SLAM算法提供了一种有效可靠的途径。 深度神经网络在森林步道视觉识别中的应用 无人机自主导航在已知或结构化环境中已取得大量研究成果,但在森林等非结构化环境中的技术仍不够成熟。无人机在复杂的森林环境中通过识别森林步道飞行是一种安全有效的行进方式。提出了一种针对森林环境下路径识别的双列深度神经网络模型(two-column deep neural networks,2CDNN),该网络模型通过直方图均衡化结合边缘提取的方法获取特征图,再将特征图与RGB图馈入两路并列的深度残差网络,从而提取出森林场景中的色彩与纹理特征,最终根据网络分类结果来确定飞行方向指令。该模型在森林数据集IDSIA上进行评估,准确率高达91.31%,比现有的方法提高了4.41%。实验结果表明该模型可以有效地提高无人机在森林环境中的路径感知性能,在自主导航领域具有一定的泛化性和实用意义。 一种基于服务覆盖网络的业务运行平台 针对分布式业务的动态性和可扩展性需求,设计并实现了业务运行平台BEPSON(Business Execution Platform Based On Service Overlay Network)。该平台基于服务覆盖网络,采用分层架构,提出并实现了具有QoS保证的动态服务发现算法以及分布式的执行策略。最后,通过实例验证了平台的有效性和灵活性。 基于NCD/自适应模糊PID的汽车EPS系统控制特性研究 为提高汽车的转向性能,在分析电动助力转向(EPS)系统结构及动力学特性基础上,构建了系统动力学模型和仿真模型;根据助力原理设计了助力特性曲线,运用非线性控制设计(Nonlinear Control Design,NCD Blockset)对自适应模糊PID控制器初始参数进行了优化,并通过自适应模糊PID控制策略对电动机目标电流进行闭环跟踪控制仿真;通过对比仿真结果中的目标电流响应速度、横摆角速度等转向性能参数表明:该控制策略能够提高电动转向控制系统响应性、跟踪性,提高了汽车的转向性能和操作稳定性。 Linux流控工具TC的原理及实用案例分析 Linux系统下的开源软件TC是一个功能强大而且性能优越的流量控制工具,可以媲美专业的流控设备,本文介绍TC的主要组件的概念、用途、组件相互之间的关系和结构以及它们是如何协同工作的,然后使用实例的方式讲解一个方案的设计过程,通过该实例可以了解TC高度的灵活性以及强大的功能。 标准模型下高效的基于身份可净化签名方案 可净化签名方案中,净化者能够修改原消息的特定部分,不必与签名者交互就能生成修改消息的有效签名。利用双线性对,基于Waters技术和Li技术,提出一个标准模型下安全的基于身份可净化签名方案。安全性分析表明,所提方案能够满足不可伪造性、不可区分性和不可变性。和现有标准模型下安全方案相比,该方案计算效率高、通信代价小。 基于判别式扩散映射分析的非线性特征提取 针对高维数据难以被人们直观理解,且难以被机器学习和数据挖据算法有效地处理的问题,提出一种新的非线性降维方法——判别式扩散映射分析(DDMA)。该方法将判别核方案应用到扩散映射框架中,依据样本类别标签在类内窗宽和类间窗宽中判别选取高斯核窗宽,使核函数能够有效提取数据的关联特性,准确描述数据空间的结构特征。通过在人工合成Swiss-roll测试和青霉素发酵过程中的仿真应用,与主成分分析(PCA)、线性判别分析(LDA)、核主成分分析(KPCA)、拉普拉斯特征映射(LE)算法和扩散映射(DM)进行比较,实验结果表明DDMA方法在低维空间中代表高维数据的同时成功保留了数据的原始特性,且通过该方法在低维空间中产生的数据结构特性优于其他方法,在数据降维与特征提取性能上验证了该方案的有效性。 多通道宽带等差步进移相器的优化设计 提出一种多通道宽带等差步进移相器的优化设计方法。对移相网络系统参数进行分析,建立粒子群优化算法模型,利用该算法对移相网络参数进行优化设计,得到满足设计需求的性能参数。利用先进设计系统(ADS)软件对优化设计得到的四路移相器网络系统进行仿真验证,结果表明,该方法能快速得到满足设计指标且具有稳定移相输出的移相网络。 基于TECS/H_∞的无人机侧向着舰技术研究 研究无人机侧向着舰优化控制问题,由于外部环境恶劣,造成干扰,影响安全降落。针对传统控制方法无法抑制侧风干扰和浪费能量的问题,为抑制侧风干扰并且充分利用无人机自身的能量,提高着舰精度,运用总能量控制系统(TECS)建立了无人机侧向自动着舰系统,设计了H∞输出反馈控制器,H∞控制综合设计采用包括增量线性化动力学模型、反馈控制器和权阵系数选择的增广对象模型。通过对所设计的先锋无人机的侧向自动着舰导引系统仿真表明,设计能满足着舰要求,并能有效地抑制侧风的影响,具有良好的鲁棒性能。 基于GPU的高效稀疏矩阵存储格式研究 针对基于GPU求解大规模稀疏线性方程组的问题,提出一种稀疏矩阵的存储格式HEC,并应用该格式在统一计算设备架构(CUDA)平台上实现不完全LU分解的预条件共轭梯度(ILUCG)法。该存储格式由ELL与CSR格式混合而成,将其以调用GPU kernel的方式实现ILUCG法并应用于大型稀疏线性系统的求解中,可提高稀疏矩阵的存储效率,减少稀疏矩阵与向量乘(SpMV)的运算时间。实验结果表明,与目前广泛使用的基于CSR和HYB存储格式并调用CUSPARSE库函数的实现方式相比,该实现方式最优可得10.4%的加速效果,并且具有良好的SpMV运算性能。 智能故障诊断技术研究综述与展望 智能故障诊断是人工智能领域基于知识处理的故障模式识别,其发展为工业设备持续正常运行提供良好的技术支撑。首先阐述了故障诊断技术的研究意义和基本概念,然后从原理、应用、优缺点以及方法改进等方面总结了目前应用广泛的几种智能故障诊断技术,最后对各种智能故障诊断技术进行了分析和展望,同时给出了诊断技术的选择建议。 基于概率算法自适应更新背景的运动车辆检测 交通流量检测是智能交通系统中的一个重要研究方向和热点问题,基于视频的车辆检测是交通流量采集分析的核心技术,它为交通流量参数的实时获取提供了可能。为实现在复杂交通视频场景中实时准确检测各类的运动车辆,在研究传统背景差分算法的缺点的工作基础上,提出一个自适应的贝叶斯概率背景检测算法,进而完成了较准确的运动车辆分类检测。实验结果表明该方法具有高效实时的特点,能够较准确地实现复杂交通路面的背景提取和运动车辆的检测,具有良好的鲁棒性。 基于统计分析的PCB组装缺陷特征学习方法 为了减少自动光学检测系统对用户经验的依赖,提出了一种基于统计分析的PCB组装缺陷特征学习方法。该方法通过对良品和不良品样本图像的统计学习优选出分类能力强的特征,再采用最小风险贝叶斯决策得到特征分类参数。实验结果表明,该算法有效地简化了用户检测程序的编程和调试,提高了AOI的使用效率和准确率。 ICP-AES法对新疆薰衣草无机元素主成分与聚类分析 【目的】探寻薰衣草中特征无机元素的分布规律及其与品种之间的关系。【方法】采用微波消解、电感耦合等离子体发射光谱法(ICP-AES)测定并分析了3个品种28个薰衣草样品中13种无机元素。将无机元素含量数据进行标准化处理后,进行主成分分析,聚类分析和因子分析。【结果】主成分分析表明累积方差的80.3%来自前5个主成分。通过主成分载荷分析,品种C-197(2)可通过元素B、Mg来识别,法国蓝和H-701判别元素分别为Mn、Fe、Al和K。聚类分析可以实现对薰衣草品种的初步判别。根据因子分析,薰衣草花中的特征元素为Mn、Fe、Al、B、Mg。【结论】通过无机元素的主成分和聚类分析,可很好判别3个品种,并在一定程度表征出薰衣草品种多样性的分化,能够使用特征无机元素做为薰衣草品种的判别测量指标之一。 基于相对熵的直方图差异与JPEG隐写的定量分析 针对图像隐写分析中常用的直方图特征,给出了一类基于相对熵的直方图差异计算方法,并提出了一种基于相对熵的JPEG隐写的定量分析方法.首先根据两假设检验中的最优检验——似然比检验,分析了相对熵在衡量2个直方图间的距离时的优越性,并给出了2种基于相对熵的直方图差异计算方法.然后,以新的直方图差异特征为基础,训练支持向量回归分析器,以估计隐写对DCT系数的更改比率.针对JSteg和改进的F5隐写的实验结果表明:与其他的直方图差异特征相比,根据所提出的基于相对熵的直方图差异特征所训练的定量隐写分析器具有更高的估计精度和稳定性. 基于统计先验形状的水平集图像分割综述 对于图像分割来说,常常需要结合尽可能多的先验信息来分割感兴趣组织。对基于统计先验形状的水平集图像分割方法进行了综述。该分割模型的特点是能量函数由两部分组成:首先是基于图像的梯度或区域灰度的数据项;第二项是先验形状项,对处理因遮挡、噪声和裂口而导致的信息缺失的图像具有鲁棒性。深入讨论了如何从感兴趣组织的训练集中构建一个压缩的形状表达——隐含形状模型;如何构建既包括使全局形状一致的隐含曲面约束,又保持了水平集捕捉局部形变的能力的基于先验形状的水平集图像分割模型;介绍了形状对齐和一致性等关键问题。最后指出了目前存在的问题和进一步的发展方向。 基于智能算法的月季鲜切花病虫害预测模型的探究 针对传统预测模型的主观性强、成本偏高、误差偏大等问题,设计并提出了基于自组织竞争神经网络算法的月季鲜切花病虫害预测模型。该模型能够有效预防病虫害危害,确保月季鲜切花的正常生长,从而确保云南省月季鲜切花的产量、质量和声誉。以最为典型的月季鲜切花白粉病为实例,通过问卷调查、头脑风暴法相结合的加权方法来确定影响因子的权重,并以60组影响因子的数据作为输入数据,建立了基于自组织竞争神经网络算法的病虫害预测模型。将所提出模型的预测结果与采用名义小组法所建立传统病虫害预测模型的预测结果进行对比分析。实验结果及其分析表明,基于自组织竞争神经网络算法的预测模型可有效地为月季鲜切花种植企业、农户、散户提供更加准确的信息,降低了种植的盲目性。 基于APT网络攻击的技术研究 面临相对滞后的防御手段,APT网络攻击的危害性越来越大。本文对APT网络攻击的概念进行阐述,同时分析APT网络攻击的入侵途径和具体流程,总结APT网络攻击的行为特征和发展趋势,并提出防御APT网络攻击的安全策略。 基于粗糙集和贝叶斯网络的作战效能评估 针对部队作战不确定因素多、建模复杂的特点,提出一种作战行动效能的评估模型。运用粗糙集理论除去冗余的评估指标,降低朴素贝叶斯分类器的时空复杂度。给出该模型的评估算法步骤,通过贝叶斯网络的参数学习,将不同数据类型的评估指标统一在类条件概率分布中,既保证了评估的客观性,又较好地表达出作战过程随机性的特点。实例研究表明,将该方法用于作战行动效能的评估是可行的。 基于径向基函数网络的H.264全零块检测算法 针对目前全零块检测算法准确率不高的问题,提出了一种基于径向基函数(RBF)神经网络(NN)的全零块检测算法。通过分析H.264的编码特点,选取了绝对误差和(SAD)、变换绝对差值和(SATD)、编码块类型、率失真优化(RDO)代价、量化系数(QP)、参考块的全零块情况6个特征,考虑了哈达玛变换(HT)中应该使用SATD的情况,采用最小二乘法得到QP与RBF网络宽度参数的关系,根据参考块是否为零,设计了两个分类器来区分全零块与非全零块。在保证图像质量和编码率不变的前提下,平均能提高编码速度50%以上,实验结果表明,利用RBF神经网络很好地提高了全零块检测准确率和编码效率。 基于内容管理系统和内容分发网络的网站群系统静态化技术 针对网站群系统静态化经常出现各种疑难问题的现状,提出了一种新的全站静态化方法。首先,采用内容管理系统(CMS)的模板技术开发了网站群系统的信息发布部分,采用Ajax技术开发了动态交互部分。其次,采用一个精心设计的静态化方案完成了全站静态化,涵盖了所有通用的和特殊定制的信息发布功能。再者,对于经常变更且计算复杂的局部页面数据采用数据库快照技术静态化并通过JSON传递,而快照采用一定的策略加以更新。然后,对于因某种原因导致的少数静态页未及时更新或缺失的情况,采用策略表进行管理,根据表中设置的规则决定每个页面是访问静态页还是动态页。最后,对于因静态化同时执行导致的内容分发网络(CDN)服务器偶尔缓存失败、用户访问不到静态页的情况,采用队列化静态化任务来从原理上避免,并辅以用户首次访问失败即自动触发同步的恢复机制作为保障。通过一个具体网站的静态化任务验证了此方法,以30个左右的页面模板为基础,对20 000个左右的页面进行全站静态化和增量静态化,没有出现任何差错,用户能及时访问到正确的静态页。这套方案的特点在于对静态化的常见情况作了分类,对每一类情况都作了针对性的处理,并且易于扩展,实践表明能显著提高网站群系统的访问速度、可靠性和安全性。 3G基站射频前端LNA阻抗匹配优化设计 针对1.9GHz的3G基站射频前端LNA电路中的阻抗匹配问题,把厂家提供的小信号S参数制成S2P文件,然后将其导入EDA软件中搭建LNA电路的输入、输出端口匹配电路,并结合噪声系数和最大增益进行了阻抗匹配优化设计,并对优化后的LNA电路进行了仿真分析.仿真结果表明:在LNA电路的增益得到提高的同时,反射系数得到了显著的改善,达到了阻抗匹配优化设计的目的.该设计方法是解决3G基站射频前端LNA阻抗匹配问题的有效途径之一. 基于变指数的片相似性扩散图像降噪算法 针对图像去噪过程中存在边缘保持与噪声抑制之间的矛盾,提出了一种基于变指数的片相似性扩散图像降噪算法。算法基于变指数的自适应降噪模型,引入片相似性的思想,构造出新的边缘检测算子和扩散系数函数。传统的各项异性扩散图像降噪算法利用单个像素点的灰度相似性(或梯度信息)检测边缘,不能很好地保持图像的弱边缘和纹理信息。而所提算法利用邻域像素的灰度相似性,可以在滤除图像噪声的同时,保持更多的细节信息。仿真结果表明,与其他传统的基于偏微分方程(PDE)的图像降噪算法相比,该算法将信噪比(SNR)和峰值信噪比(PSNR)提高至16.602480 dB和31.284672 dB,具有良好的抗噪性;同时视觉效果较好,保持了更多的弱边缘和纹理等细节特征,在噪声抑制与边缘保持之间取得了较好的权衡。 基于Uniform LBP和DMMA的单样本人脸识别 在单样本人脸识别系统中,为了获得更好的人脸面部特征,提出了一种融合Uniform LBP特征和多流形判别分析(Discriminative Multi-Manifold Analysis,DMMA)的特征提取方法。对每幅人脸图像进行分块构成一个子集。使用统一局部二值模式(Uniform LBP)算子提取每个子集中图像的直方图,每个子集中的直方图形成一个统计流形,应用DMMA算法获得人脸图像的低维特征。采用基于重建的流形-流形间的距离识别未知的人脸图像。在AR数据库和ORL数据库上实验结果表明,该算法的识别性能优于一般的DMMA算法。 基于离散粒子群优化的无人机协同多任务分配 多无人机执行广域搜索攻击任务下的协同多任务优化分配需要满足多类复杂约束。在通用无人机协同多任务分配模型的基础上,综合考虑了包括任务时间约束和无人机弹药消耗等多类复杂约束条件,提出了一种基于离散粒子群算法的多无人机协同多任务分配方法。根据协同多任务分配问题的特点,将多任务分配的任务时序约束和多机协同约束融入到算法的粒子矩阵编码中,将无人机弹药约束和任务时间约束融入到粒子更新的过程中,设计了符合实际问题离散域特点的粒子位置和速度更新的交叉策略和变异策略。仿真结果表明,上述算法能在满足多类复杂约束的条件下有效地解决无人机作战目标协同多任务优化分配问题。 一种面向领域WEB服务的数据中心模型 "提出一种通用的面向领域WEB服务的数据中心模型.利用语义集成、数据映射、数据仓库及其他数据集成技术,不仅完成分布式异构数据源的无缝数据集成,而且实现数据源与数据中心之间的数据共享和透明数据交换,为领域WEB服务提供统一数据服务.在""油气生产系统软件集成平台""中采用该模型,组建了中国石油油气井生产领域数据中心,构建一个面向油气井生产领域,集生产管理、设备管理、工作流程控制、优化设计、故障诊断、辅助决策等功能为一体的WEB服务平台,解决了海量、分布式异构数据源的有机集成和无缝共享问题.从而验证了该模型的正确性及可行性." 目标跟踪中基于智能体激活策略的蜂拥控制研究与仿真 在目标跟踪中,考虑到智能体在性能和能源方面受到的限制,以及系统跟踪效果的要求,提出了四类智能体的激活策略。系统在初始状态下静止,根据激活策略的要求有条件地激活智能体,对目标进行跟踪。研究比较了不同激活策略对速度匹配、聚合以及跟踪效果的影响。仿真结果表明,激活策略能够优化系统速度匹配性能,对实际应用具有借鉴意义。 一种食品安全信息系统缺失数据填补方法 食品安全信息表中,由于采集及传输等原因,经常出现数据缺失导致系统不完备,而粗糙集是填补数据的一种有效工具。目前,虽然利用粗糙集的知识来进行缺失数据填补的方法有很多,但很多方法都没有考虑到原有系统所蕴含的决策规则。为了更好地填补缺失数据,文中在粗糙集理论框架下,借助核值概念进行属性重要性度量,通过构造可辨识矩阵,遵循决策规则填补了信息系统中的缺失数据,消除了影响主题分析的噪音数据,将不完备系统修正为完备系统。实例分析结果表明该算法是有效可行的。 基于本体和多Agent的面向任务协同编程 针对面向对象编程与面向方面编程存在的问题,提出一种基于本体和多Agent的面向任务协同编程方法,给出任务分解原则、任务描述方法及编程的9个阶段。通过实例验证该方法可以提高目标软件系统的模块化程度,使目标软件系统易于实现、理解、演化和复用。 基于双混沌映射的文本hash函数构造 提出了一种基于混沌Logistic映射和斜帐篷映射的文本hash函数算法。该算法将明文信息分组并转换为相应的ASCII码值,然后把该值作为Logistic映射的迭代次数,迭代生成的值作为斜帐篷映射的初始值进行迭代,然后依据一定的规则从生成值中提取长度为128 bit的hash值。通过仿真对该算法的单向性、混乱与扩散、碰撞等性能进行分析,理论分析和仿真实验证明该算法可以满足hash函数的各项性能要求。 一种改进的多传感器信息融合算法 分析了压力检测技术中的信息融合任务需求,并在研究和比较常用的曲线曲面拟合算法和插值算法的基础上,提出了一种结合二次多项式拟合和三次样条插值的多传感器信息融合算法,算法实验结果表明在消除温度影响方面效果显著. 多信道物联网信息传输质量优化仿真 对物联网信息传输质量问题的研究,能够有效加强多信道物联网运行稳定性。对多信道物联网信息传输质量的优化,需要求出权值拟合代价函数,保证参数估计全局收敛性,完成物联网信息传输质量的优化。传统方法分析映射过程造成的量化误差,对二值灰度图像进行分解,但忽略了对参数估计全局收敛性的控制,导致信息传输质量优化效果不理想。提出多信道物联网信息传输质量优化方法。找出相应的多个近邻,求出多个近邻相应的权值拟合代价函数,保证参数估计全局收敛性,集中多个近邻相应的权重值拟合代价函数,将其转变为凸问题,构造循环最小化,保证信息传输参数估计的全局收敛性,修正时域质量优化方法,使其具有更高的优化精度。实验结果表明,所提方法对信息传输质量优化,且实用性较强。 大型飞机供电参数测试系统数据存储与回放技术研究 针对采用变频交流供电、电网结构复杂的大型飞机的供电参数测试系统采集速率高、测试通道多及存储数据量大等特点,提出了一种测试数据存储与回放方法;该方法基于系统时间实现采集数据的分段化保存,并增加了针对采集板卡的存储方式,存储方式可视具体要求和实际硬件条件灵活选择;采用存储与回放并行处理的方法用以实时回放,同时优化了数据索引流程,通道数据查找快速准确、波形缩放可调;通用性的软硬件平台设计使得该方法具有广泛的适用性;实验结果表明,该方法数据存储稳定、逻辑层次清晰、文件分类简便且回放准确迅速。 大规模数据下的社交网络结构洞节点发现算法研究 随着社会网络数据规模的递增,结构洞节点计算涉及的计算量呈几何级增长,如何构建有效的并行化算法并缩短算法运行的时间成为当前研究的难点。针对大规模数据量下结构洞节点发现算法的不足,利用并行化思想设计实现了基于MapReduce的结构洞节点发现算法。该算法通过DBLP,YouTube和Califonia公路网这3组规模不同的数据集在Hadoop集群上运行的实验结果表明,增加DataNode机器节点的数量能够缩短算法运行的时间,提高运行效率且具有良好的并行加速比和扩展性能。 一种改进的无线传感器网络信息融合技术 针对矿井环境监测系统的安全隐患问题,提出基于改进Kalman滤波与SVM的分布递阶信息融合方法,将系统中的传感器分为源节点和汇聚节点,在源节点采用改进Kalman滤波,汇聚节点采用SVM信息融合方法。该算法可以有效地降低无线传感器网络的能耗和网络信息冲突,仿真结果表明,该方法具有可靠性,并有效地提高无线传感器网络的信息融合精度。 一种基于局部自适应的女书字符图像分割算法 针对女书字符的结构特点,结合LLT局部阈值算法的基本思想,通过在局部窗口内引入基于最大类间方差的OTSU算法,并设计相应的阈值修正参数,给出了一种基于局部自适应的女书字符图像分割方法,从而实现了局部阈值的自动获取.通过与OTSU算法相比,该算法不仅具有较强的抗噪能力,而且较好克服了女书古籍文献中常见的光照不均匀性问题.实验结果进一步验证了该算法的适应性和鲁棒性. 证据推理中局部冲突部分分配策略 为了解决证据理论中冲突证据合成问题,提出了一种局部冲突部分分配策略。该策略假设证据具有一致可信度,设定一个阈值,将大于该阈值的局部冲突按比例分配给产生该冲突的焦元,并用标准合成规则对证据进行融合,使合成的结果更加可靠。仿真结果表明该策略能有效解决冲突证据合成问题。 企业信息系统用户行为统计特性及其动力学分析 为探索信息系统用户访问行为的统计学规律,本文基于人类行为动力学,计算个体用户行为数据的活跃度、幂指数、阵发性、记忆性指标,并分别从个体用户、群组用户和全体用户3个层次研究信息系统访问的间隔时间分布。研究结果表明,3个层次用户访问信息系统的间隔时间分布都有严重的胖尾特性,但是不能用单一分布进行描述,个体用户访问时间间隔服从幂律分布,群组用户访问服从指数截断的混合幂律分布,全体用户访问则服从指数和幂律的分段分布。 结合结点信任度的Ad Hoc地址配置协议研究 针对当前大部分Ad Hoc地址配置协议配置时间较长,网络负载较大,随着网络规模的增大,协议性能下降较快等问题,提出一个新的配置协议。该协议提出了结合结点信任度的单结点分发多结点服务的模型,以及在该模型下的两次信息交换配置算法和寻找地址服务器的算法。介绍协议中出现的网络拥塞丢包、冷启动、最高信任度结点变更,信息同步等问题的处理方法。最后的实验结果证明了该协议保证配置的唯一性,具有低延迟、低负载,不依赖底层路由等特点,并且平均性能不会因为网络规模的增大而降低。 面向搜索引擎的多策略本体半自动构建方法 为减少本体建设中对领域专家的过分依赖,结合本体应用于搜索引擎的特点,提出了一种多策略的本体半自动构建方法。构建一领域本体雏形应用于信息检索;在概念抽取模块,基于用户查询的统计信息以及与本体雏形中概念的关联法则进行概念抽取;在关系发现模块,综合运用概念组合同现频率、在用户点击链接正文中的互信息和基于《知网》的语义分析对抽取出来的概念组合进行筛选和语义分析;最终将结果更新入本体。将该方法应用医学健康知识信息检索,实验结果表明,该本体半自动构建的方法是可行的,更新本体的内容符合本体构建标准。 一种改进的基于离散余弦变换的声音压缩算法 声音压缩传输是异常声音检测系统中的重要模块。针对现有算法不能满足系统实时性要求的缺陷,提出一种采用角度误差限定分段拟合和离散余弦变换(DCT)的声音压缩算法。该算法先设置合理的角度误差,从时域波形的第一个采样点开始按照几何夹角和限定的角度误差逐点判断是否可以压缩,分段模拟信号波形,然后采用传统的基于离散余弦变换算法,选取合适的阈值再次进行压缩。实验结果表明,与传统的声音压缩算法相比,提出的算法在压缩效果上有较明显的改善。 TEO能量与Mel倒谱混合参数应用于说话人识别 特征提取是说话人识别中非常重要的一个环节,特征提取的结果直接影响系统的识别结果。提出一种将TEO与MFCC及其衍生参数结合的方法,将本文提取的特征参数与传统的MFCC,WMFCC与△MFCC通过GMM-UBM与SVM模型得出结果并比较。并在不同环境下的进行实验,对算法进行了仿真实现。实验结果表明,在相同噪声背景不同信噪比时与相同信噪比不同的噪声背景这两种情况,提出的方法均得到了较好的结果,在检测纯语音数据时,对融合算法进行仿真实现,识别率也得到了提高。 基于旋转森林的分类器集成算法研究 为提高决策树的集成分类精度,介绍了一种基于特征变换的旋转森林分类器集成算法,通过对数据属性集的随机分割,并在属性子集上对抽取的子样本数据进行主成分分析,以构造新的样本数据,达到增大基分类器差异性及提高预测准确率的目的。在Weka平台下,分别采用Bagging、AdaBoost及旋转森林算法对剪枝与未剪枝的J48决策树分类算法进行集成的对比试验,以10次10折交叉验证的平均准确率为比较依据。结果表明旋转森林算法的预测精度优于其他两个算法,验证了旋转森林是一种有效的决策树分类器集成算法。 利用运动信息及率失真代价的HEVC帧间快速算法 针对高效视频编码(HEVC)帧间预测过程所引入较高的复杂度,分别提出提前决策skip模式,编码单元(CU)提前终止分割以及变换单元(TU)提前终止分割。首先,根据自然视频序列多采用skip模式,利用当前块和空间相邻块的已编码信息提前决定skip模式;其次,为了避免编码不必要的深度,利用先前已编码块的率失真代价(rdcost)决策CU提前终止分割;最后,利用当前预测残差块的纹理复杂度决策TU的提前终止分割。实验结果表明,提出的算法最终可以节省编码时间43.78%,同时造成bj?ntegaard delta bit rate(BDBR)的损失为1.675%。通过实验数据的比较,在对视频质量的影响忽略不计的情况下,能够很大程度上减少复杂度。 可变神经网络结构下的遥感影像光谱分解方法 多层感知神经网络(MLP)是主流的非线性分解方法,但是目前缺乏有效方法处理MLP分解结果中的丰度负值问题。为此,提出一种可变神经网络结构的方法,逐步去除负值丰度对应的端元,并调整相应的网络结构使之针对剩余的端元进行分解。通过武汉地区模拟TM遥感影像实验可以发现,该方法与传统MLP方法以及线性光谱分解方法的平均误差分别为0.077 7、0.081 9、0.094 3,说明该方法的分解精度高于其他2种分解方法,能克服丰度负值问题。 月面环境下的惯性导航模型研究 通过分析月面环境的特殊性,在地面惯性导航系统理论体系的基础上,运用哥氏定理对月面环境下的惯性导航模型进行了系统研究;完成了惯性导航方程的建立及证明、系统误差、速度误差的分析及模型的推导;所研究的模型弥补了以往月面导航侧重导航方法的实现而对惯性导航理论重视不够的不足。 基于改进ICP算法的损伤零部件精确配准方法 针对损伤零部件点云模型与原始模型利用传统迭代最近点算法配准出现较大误差的问题,提出一种基于该算法的改进算法,以实现两模型间对应点的准确获取,从而实现损伤零部件点云与原始模型的准确配准。考虑到损伤零部件表面尺寸和形貌发生变化,该算法将对应点曲率约束与对应点间的距离约束结合,并设定曲率和距离阈值实现损伤点云的自动剔除,保证了配准点云对应点的准确性及配准的快速性。最后,运用MATLAB实现了算法编写,并通过损伤模具的配准验证了该算法的有效性。 基于极限调度完工时间最小化的机器选择及FJSP求解 为提高柔性作业车间调度问题求解的遗传算法的初始群体质量,通过分析机器选择与调度完工时间的关系,提出一种基于极限调度完工时间(Climit)最小化的机器选择初始化方法。采用机器选择链和工序顺序链双链结构编码,初始化机器选择链时,宏观上采用全局选择和局部选择分别侧重于实现对最大机器负荷和最大工件加工时间指标的优化;微观上采用随机次序取代工件工艺顺序选择工序,在考虑可选机器负荷的基础上进一步比较加工时间选择机器,兼顾最大机器负荷和最大工件加工时间指标的优化。对基准算例机器选择结果进行分析和基于遗传算法求解,验证了所提方法的有效性。 基于干扰模型的变换域优选算法研究 针对无人机数据链抗干扰能力差的问题,提出了一种基于干扰模型的变换域优选算法。首先对通信干扰进行系统分类,并针对干扰信号和干扰样式分别建模,之后,对不同的变换域进行梳理和优缺点分析,最后利用干扰特性和变换域特点,提出基于干扰模型的变换域优选算法,提高了处理混合干扰的能力。仿真结果证明了干扰模型与变换域优选的合理性,且新算法较单一变换域处理可有效降低误码率,在误码率为0.1时可获得比单一变换域处理高约1 dB的性能增益。 基于情境上下文的智能虚拟装配动作预测模型 针对现有虚拟装配系统普遍缺乏对复杂情境的分析能力,难以根据上下文有效预测用户动作意图的问题,给出了虚拟装配中情境上下文的形式化定义,建立了智能虚拟装配动作预测模型。该模型通过对装配动作模式的挖掘,进行基于实例的动作意图预测,实现系统的智能虚拟装配。结合水轮发电机组的转轮体装配实例,给出了模型的实现框架。通过实验验证,该模型能够根据情境上下文准确预测用户的动作意图,实时调整零部件的动作以自动完成装配。 基于词向量技术和混合神经网络的情感分析 情感分析是近些年自然语言处理的一个研究热点,一方面以word2vec为代表的预处理词向量技术得到了广泛应用,通过融合情感标签获得word2vec-ST词向量来提取句子的语义和情感信息并达到了较好的效果,另一方面,LSTM作为RNN的衍生模型已经成熟地应用到自然语言处理的模型构建当中,但LSTM在短文本和训练语料相对有限的情况下并没有展现出应有的优势,因此,借助于CNN在捕捉局部信息上的优势,提出了一种融合LSTM和CNN的注意力模型网络来提取文本的上下文信息,并通过实现attention机制的BILSTM来替代LSTM得到AT-BL&C模型进而达到了更好的效果。对比分析了LSTM和CNN的两种融合方式,并在标准数据集上进行了比较。实验结果表明,以融合情感信息的word2vec-ST为词向量层基础,AT-BL&C确实获得了更好的准确率和F值。 飞机油箱燃油量体积特性计算与油量测量仿真 飞机油箱体积特性数据库是油量测量计算的基础,直接影响油量测量的精度;针对目前将油箱模型离散化为有限规则单元,再进行叠加计算燃油体积方法存在的通用性差与精度低的不足,文章根据飞机油量传感器测量高度、飞行姿态以及三轴加速度信息,确定出油平面,并以此作为分割平面,通过CATIA二次开发实现油箱燃油体积特性数据库自动生成;根据特性数据库的特点与机载数据要求,采用后向试探法对数据库进行压缩与优化;模拟飞机油量测量过程,设计油量测量仿真软件,仿真结果表明;油量计算的相对误差小于1%,按所采用方法计算的数据库能达到工程要求。 具有访问权限撤销的外包数据加密方案 对Zhou等提出的方案(ZHOU M,MU Y,SUSILO W,et al.Privacy enhanced data outsourcing in the cloud.Journal of network and computer applications,2012,35(4):1367-1373)进行分析,指出了该方案无法实现对用户访问权限进行撤销的问题。针对该方案的不足,提出一种具有撤销用户访问权限的外包数据加密方案。首先,把数据分成多个数据块并分别对每个数据块加密;其次,通过密钥导出的方法减少数据拥有者管理和保存密钥的数量;最后,对同一个加密数据构造多个解密密钥,实现对某些用户的访问权限撤销,而未被撤销用户无需进行密钥更新。与Zhou等的方案相比,所提方案不仅保持该方案中的外包数据隐私保护优点,而且还实现了用户访问权限的撤销。分析结果表明,在离散对数困难问题(DLP)假设下,所提方案是安全的。 等离子体热解煤制乙炔模拟分析 等离子体热解煤制乙炔为煤制乙炔提供一个更加直接和清洁的方法。根据煤的元素组成和等离子体热解煤的特点,应用Aspen Plus软件,采用Gibbs自由能函数最小法建立了等离子体气化模型和乙炔提浓的工艺流程模型。模拟结果表明该模型的模拟值与文献值吻合较好。利用Aspen Plus灵敏度分析功能研究了煤中水分、不同热解气氛以及用甲烷取代氢气作载气对等离子气化过程的影响。结果表明:煤中水分不利于乙炔形成,含氢气氛优于氮气和氩气作为等离子体热解煤制乙炔的工作气体,而用甲烷作载气对合成气组分影响不明显。 面向科学过程的工作流技术研究现状与趋势 介绍了科学工作流技术的起源及发展,分析了科学工作流全生命周期组成及关键技术,主要包括流程建模与描述、流程映射、流程执行与调度以及数据起源管理这四个方面的发展状况,从科学工作流管理系统框架、协同技术和应用现状等方面分析了科学工作流技术的研究现状,分析了目前科学工作流技术中存在的不足,并对其未来发展趋势给出了建议。 基于相似定律的鼓风机温度补偿优化策略 在鼓风机温度优化控制的研究中,针对鼓风机在非标准环境工况下,由于特性曲线偏移而导致鼓风机实际工况点偏移和出现喘振问题,提出鼓风机温度补偿优化模型,可以有效的校正因温度变化发生偏移的鼓风机工作点,同时防止喘振放风。首先根据风机相似原理推导出风量风压修正系数,对鼓风机性能参数进行修正;再结合热力学理论分析鼓风机性能特性曲线随环境温度的变化规律,引入变量因子T,推导出风机转速与环境温度的数学关系,根据环境温度实际变化情况,提出一种分级温度补偿策略。求解模型得到补偿后鼓风机工作点和喘振线方程。最后,根据温度补偿模型提出了防喘振应用方案。仿真和应用节能结果表明,改进方法能有效补偿鼓风机特性曲线偏移,节能效果明显。 场站飞行后勤保障车辆调度仿真优化 为解决飞行后勤保障车辆调度这类NP难问题,在深入分析航空兵场站飞行后勤保障车辆调度过程活动规律的基础上,综合考虑飞行计划要求、场站保障车辆资源约束及飞行后勤保障条例规定3个因素,提出了基于事件调度/时间推进算法的场站飞行后勤保障车辆调度过程Arena仿真模型。针对以往对于该问题的研究过多注重过程的仿真缺乏仿真优化的问题,利用Arena内嵌的VBA技术,通过对VBAblock块编程,建立了基于禁忌搜索算法的上述仿真模型的优化控制系统。以某型飞机首次出动训练后勤保障任务为例,利用所建立的仿真优化模型,分别对估算法及仿真优化法制定的飞行后勤保障车辆调度计划的保障车辆调度过程进行了仿真。通过对仿真结果的对比分析,验证了所建仿真模型及仿真优化系统的科学性、合理性。 基于三支决策的多类分类模型 在多类分类问题的实际应用中,决策者通常希望得到的决策结果是唯一的,即避免出现决策冗余与冲突。因此,借鉴三支决策的思想,通过增加延迟决策类,将m个多类分类问题变为m+1个多类分类问题,使得原本的代价参数减少,又使得最终的决策结果不存在冗余与冲突。提出一种基于三支决策的多类分类模型,并用实例说明了该方法的实用性。 引入调整项的模糊孪生支持向量机 模糊孪生支持向量机是一种重要的机器学习方法,克服了噪声或异常数据对分类的影响;然而,该方法考虑的仍是经验风险,从而使得训练过程易出现过拟合现象。为了解决该问题,通过引入调整项,提出了一种改进的模糊孪生支持向量机模型,利用二次规划求解方法和超松弛迭代法对模型进行求解,获得了用于分类的决策面。实验中选取UCI标准数据集验证了所提方法的有效性。 舰载雷达精度试验误差源分析及真值数据处理方法研究 舰载雷达测量精度较高,是探测和跟踪目标的主要装备。雷达精度指标的好坏,关系试验结果的可靠性。试验中,考核雷达探测指标精度是一项重要科目,探索雷达探测相关参数数据处理方法,对舰船试验具有重要意义。论文立足舰船试验,分析了舰载雷达精度试验中的影响结果评判的误差来源,研究了适合试验的真值数据处理方法。研究结果在实践中得到了验证,证明方法可行。 基于调和模型神经网络的彩色图像复原研究 针对彩色图像复原提出了基于网络能量递减收敛的调和模型神经网络图像复原方法,研究了该方法在运动模糊图像复原上的应用。利用待复原图像重构出多幅模糊图像用于算法的实现,并首次提出基于图像局部方差的自适应正则化算子的实现方法。实验结果表明,该方法是有效的,复原效果优于有约束的最小二乘复原法和已有的传统神经网络图像复原法,对复原图像的信噪比有一定的提高。 信息-物理融合系统若干关键问题综述 信息-物理融合系统(Cyber-Physical System,CPS)集成了计算系统与物理系统,并通过嵌入式计算机与网络实现了两者之间的协作和融合,将对人们的生产和生活方式产生重要影响.CPS是一个全新的研究领域,利用现有基础理论和技术设计CPS时面临着众多问题.介绍了CPS的概念、特点和体系结构,分析了与嵌入式系统、网络的关联,从计算系统、网络系统和控制系统3个方面概括了CPS设计面临的主要挑战,并着重探讨了当前一些可用于CPS设计的理论和技术以及CPS研究的最新进展,指出CPS当前的发展应以解决系统抽象层次设计、系统建模、体系结构设计、数据传输和管理、子系统集成方面的问题作为其下一步发展主要的研究方向,并提出了一些可行的解决办法,可为相关研究提供参考. 面向对象软件的综合特征定位方法 高效、准确地定位软件特征所对应的代码实现,对软件维护十分重要。针对面向对象软件系统,提出一种综合的特征定位方法。该方法利用软件搜索技术为特征与相关类建立初始追踪关系;然后以这些类作为种子构造面向对象程序的关注图;进一步采用面向对象切片技术,以关注图中的属性和语句作为切片准则,计算对特征实现具有直接影响的语句代码。该方法有较高的自动化程度,能够提高软件维护中程序理解的效率。 基于模糊神经网络PID的舵机控制系统 针对某型号导弹中舵机控制系统进行优化研究。对于具有非线性、时变特性的复杂系统,在分析传统PID控制算法和模糊神经网络控制算法的基础上,提出一种经过改进的模糊神经网络PID控制器。通过采用自组织学习阶段和有教师学习阶段的分阶段学习方式,提高网络的学习效率。建立直流无刷舵机控制系统的数学模型,利用MATLAB进行仿真分析。实验结果表明,所设计的控制器对阶跃响应更加迅速,基本无超调,对舵偏角指令执行准确,相位移动更小。 基于扩展逻辑变换系统_μTS证明循环优化正确性 循环优化对于提高Cache性能、发掘程序的并行性以及减少执行循环的开销都有着重要的作用,证明带循环优化功能的现代编译器的正确性已成为可信编译的一个挑战性的问题.形式化证明一个羽翼丰满的优化编译器本质上是不可行的,可以使用替代的方法,即不是证明优化编译器本身,而是形式化证明每一次循环变换前后编译对象的正确性.提出一种新颖的基于扩展逻辑变换系统μTS来证明循环优化正确性的方法.系统μTS在逻辑变换系统TS的基础上扩展了若干条派生规则,经谓词抽象将源程序与目标程序转换为形式化Radl语言后,使用μTS的派生规则能证明常见循环变换的正确性,如循环融合、循环分配、循环交换、循环反转、循环分裂、循环脱皮、循环调整、循环展开、循环铺盖、循环判断外提、循环不变代码外提等.循环优化可以看作一系列循环变换的组合,从而系统μTS能证明循环优化的正确性.为了支持自动化证明循环优化的正确性并出示证据,进一步提出了一个辅助证明算法.最后通过一个典型实例对这一方法进行了详细的阐述,实际效果表明了该方法的有效性.该方法对设计高可信优化编译器具有重要的指导意义. 基于遗传算法改进的一阶滞后滤波和长短期记忆网络的蓝藻水华预测方法 河湖藻类水华形成过程中所具有的突发性和不确定性,导致对藻类水华爆发预测准确性不高。为解决此问题,以叶绿素a的浓度值作为蓝藻水华演化过程表征指标,提出基于长短期记忆(LSTM)循环神经网络(RNN)蓝藻水华预测模型。首先,用遗传算法改进的一阶滞后滤波(GF)优化算法对数据进行平滑滤波处理;然后,搭建GFLSTM网络的蓝藻水华预测模型,实现对水华发生的精准预测;最后,以太湖水域梅梁湖区域的采样数据为样本,对预测模型进行检验,并与传统的RNN和LSTM网络进行对比。仿真结果表明,提出的GF-LSTM网络模型平均相对误差控制在16%~18%,而RNN模型的预测平均相对误差为28%~32%,LSTM网络模型的平均相对误差为19%~22%,对采用数据的平滑性处理效果较好,预测精度更高,对样本具有更好的适应性,克服了传统RNN模型在长期训练时出现的梯度消失与梯度爆炸缺点。 通信星座弹性评估权值确定方法研究 太空环境日益复杂,通信星座的全球覆盖、超视距通信等优势使其具有极高的存在必要性;为保证通信任务的可靠性,开展通信星座弹性评估研究,为建设弹性通信星座提供参考;针对通信星座弹性意义及特点,构建通信星座弹性评估指标体系,并对权重赋值问题进行分析,确定数学模型;针对层次分析法在判断矩阵一致性差时求解特征值困难的不足,提出采用生物地理学优化算法求解权值问题;通过对BBO算法中的迁移机制、迁移算子和突变机制进行改进,实现了判断矩阵排序权值与一致性的统一;并与基本BBO算法、GA算法、GA-PSO算法、AHP算法进行比较验证,结果表明改进BBO算法在收敛速度、优化精确性以及局部寻优能力方面较优;随后,采用改进BBO算法对通信星座弹性评估指标体系权值进行分析计算,得出通信星座弹性评估指标体系权重,可为后续通信星座弹性评估提供一定帮助。 引入边缘信息的纹理传输改进算法 本文针对Efros等人提出的块缝合纹理合成与传输算法,在搜索匹配块的过程中未考虑目标图块边缘信息这一不足之处,提出了一种改进算法.新算法在原有的搜索误差匹配公式中,通过计算梯度的方式增加了边缘信息处理项,同时整个传输过程还引入了亮度重映射的匹配预处理策略.实验结果表明,改进后算法的传递效果优于传统算法,尤其是目标图的边缘轮廓部分传递效果有比较明显的改善. 基于标签传播的大规模网络最大流求解方法 针对大数据时代背景下,对海量数据的高效智能处理方式的需求,提出了一种简化大规模网络求解最大流的方法 MFLPA(maximum flow based on label propagation algorithm)。基于标签传播将初始有向网络划分成多个子网络;结合商空间理论通过计算将子网络压缩成单个节点,形成规模较小的商网络;最后,在商网络中求解初始网络的近似优解,有效降低了计算复杂性。实验结果表明,MFLPA在不同网络上运行速度均比ISAP(improved shortest augument path)和Dinic有显著提升,效果随着网络规模的增大而越显著,缩小网络规模达到70%以上,实验误差不超过5%。 基于Direct3D的有限元后处理技术 本文针对板料成形模拟和树脂材料填充过程模拟结果的显示要求,根据面向对象的软件开发思想,结合计算机图形学理论,研究开发了一套有限元后处理系统。该系统采用MVC三层架构,基于Di-rect3D图形编程接口,在.Net框架上进行开发。本文着重研究了后处理过程中的多项关键技术的应用,包括数据插值处理、等值线生成、图元拾取、空间截面物理量显示、基于HLSL语言的高级图形渲染、背光处理技巧、延迟光照技术等,并以实测数据评估了渲染性能。系统具有实用简便、运行快速、效果逼真、实时性好等特点,充分满足了对有限元后处理结果可视化的要求。 基于多目标遗传算法的动态负载均衡方案 针对大型、异构网络的资源负载均衡问题展开研究。首先通过数学建模在理论上对问题进行分析,设计了满足端系统和网络性能多重约束的目标函数;其次将模型转化为多目标最小生成树问题,并设计了一种多目标遗传算法对问题进行处理,进而提出了基于该算法的动态资源负载均衡方案DSMG。仿真实验结果显示,通过选择适当的实验参数,方案可在轻载节点与重载节点间进行有效的负载均衡;同时与其它动态负载均衡方案相比,通过运行DSMG,系统的节点资源占用率和瓶颈节点数比率两项指标均表现出良好的性能。 基于样本空间分布密度的改进次胜者受罚竞争学习算法 针对传统次胜者受罚竞争学习(RPCL)算法忽略数据集几何结构对节点权值调整的影响,以及魏立梅等提出的新RPCL算法(魏立梅,谢维信.聚类分析中竞争学习的一种新算法.电子科学学刊,2000,22(1):13-18)引入密度来对节点的权值进行调整时,密度定义的主观性,提出基于样本空间分布密度的改进RPCL算法。该算法根据数据集样本自然分布定义样本密度,将此密度引入RPCL节点权值调整;使用UCI机器学习数据库数据集以及随机生成的带有噪声点的人工模拟数据集对算法进行实验测试,对算法确定数据集类簇数目的准确率、运行时间、聚类误差平方和、聚类结果的Rand指数、Jaccard系数以及Adjust Rand index参数进行分析比较。各项实验结果显示:所提算法优于原始RPCL算法和魏立梅算法,具有更好的聚类效果,对噪声数据有很强的抗干扰性能。所提算法不仅能根据样本的自然分布确定数据集的合理类簇数目,而且能确定合适的类簇中心,提高聚类的准确性,使聚类结果尽可能快地收敛到全局最优解。 基于核最小二乘法的污水BOD软测量补偿方法研究 在对经典的劳仑斯—麦卡蒂BOD软测量机理模型深入研究的基础上,考虑机理模型存在的非线性误差特点,采用KPLS(Kernel Partial Least-Squares)对BOD软测量机理模型进行误差补偿。通过仿真实验并与BOD软测量机理模型的其他补偿方法进行了比较,结果证明了该方法的优越性,可以有效提高BOD软测量的精度,进而为污水处理过程出水水质BOD精确测量提供一种有效方法。 分布式随机方差消减梯度下降算法topkSVRG 机器学习问题通常会转换成一个目标函数进行求解,优化算法是求解目标函数中参数的重要工具。随机梯度下降(stochastic gradient descent,SGD)是目前应用最广的算法,因其易受噪声干扰只能达到次线性收敛率,而改进后的随机方差消减梯度法(stochastic variance reduction gradient,SVRG)则可以达到线性的收敛率。SVRG是一种串行单机版算法,为了应对大规模数据集分布式训练问题,设计一种以SVRG算法思想为基础的分布式SVRG的实现算法topk SVRG。改进在于:主节点维护一个全局模型,从节点基于本地数据进行局部模型更新。每轮迭代时,选择与当前全局模型距离最小的k个局部模型进行平均来更新全局模型,参数k调大可以提高收敛速度,调小k可以保证收敛。理论分析了算法的线性收敛性,基于Spark进行算法实现,通过与Mini-Batch SGD、CoCoA、Splash及相关算法的实验比较,topkSVRG可以在高精度要求下更快地收敛。 演化多目标优化中的几何热力学选择 热力学遗传算法(Thermodynamical Genetic Algorithms,TDGAs)借鉴热力学中的自由能极小过程来统一处理多目标优化在逼近性和多样性两方面的任务.为提高TDGA的运行效率和解集分布均匀性,提出了一种几何热力学选择.在该选择中首先定义角度熵通过扇形采样来度量种群逼近方向的多样性.然后利用距离精英定义距离能量来度量种群的逼近程度,避免了耗时的非劣分层操作.此外,引入分量热力学替换规则以较低计算代价驱动种群的几何自由能快速下降.在多目标0/1背包问题上的实验结果表明,几何热力学选择极大地提高了TDGA的运行效率和解集分布均匀性;采用该选择的TDGA算法可生成与NSGA-II在逼近性和分布多样性上性能相当的解,但在运行效率上明显优于NSGA-II. 基于小波分析除噪的全质心UWB室内定位研究 为了解决室内环境下的非视距(non-line-of-sight,NLOS)及多径(Multipath)传播问题给定位精度带来较大误差的问题,为提高定位精度首先将通过超宽带(ultra wideband,UWB)室内定位系统中的TOA模型测得的目标节点(携带定位终端的人或物体)分别到三个锚节点(下位机)的距离值进行小波分析除噪,再运用三角形全质心定位算法对目标节点进行最终定位(求出目标节点在二维坐标系中的坐标值)。仿真结果表明,改进算法明显提高了定位精度,并且比传统的粒子滤波法,贝叶斯滤波法,泰勒级数法等提高定位精度的算法更加简洁,免去了测试大量数据的过程,从而具有较强的实时性,避免了泰勒级数法因定位结果的初值选取不当而不收敛的鲁棒性较弱的弊端,证明提出的优化TOA测距方案具有更高的可行性。 基于分簇的低功耗多跳无线传感器网络层次时间同步算法 针对典型时间同步算法在应用于多跳无线传感器网络(WSN)时主要集中于提高网络同步精度而忽略能耗、路径跳数、误差累积等问题,提出一种基于分簇的低功耗多跳WSN层次时间同步算法。该算法基于分簇的层次型网络结构,选取某一簇内节点与簇头进行双向同步,其邻居节点通过被动监听方式间接完成同步,减少了数据包传输数量,且数字签名方式保证了消息传输的安全性;引用了同步分组延迟的周期更新系数,以进一步减少报文开销。仿真结果表明,算法有效地降低了能量消耗,提高了网络寿命,具有一定的实用性。 应用符号动力学原理实现RNA二级结构的相似性分析 基于符号动力学原理,提出了一种新的RNA二级结构序列的图形表示方法.通过生物信息和自由能两种信息,该图形表示方法将RNA二级结构序列中的自由基和碱基对分别映射成两类时间序列.这种映射方法不仅能够在转换过程中不丢失任何数据信息,而且在二维图形中也能够清楚地识别配对碱基所在的区域.基于该图形表示方法对二级结构的表示结果构建特征矩阵.进一步由该特征矩阵的最大特征值组成用于相似性分析的向量.采用新的相似性分析方法,分别从时域和频域对不同病毒在3′末端的RNA二级结构序列集合进行定性和定量的相似度分析.仿真结果表明,该方法能够有效地实现RNA二级结构序列的相似度分析.与其他方法相比,新方法所得结果中数值差值较大,有利于区分不同物种. 无线传感器网络中基于蚁群算法的能量空洞规避策略 无线传感器网络(WSN)具有特殊的能量空洞(Energy Hole)现象,蚁群算法的随机自适应性使其很适合应用于无线传感器网络环境,所以在缓解能量空洞有效性分析的基础上,提出了一种基于蚁群算法的局部区域能量空洞规避策略,通过蚁群算法的自适应性实现了无线传感器网络运行过程中能量空洞规避,搜索出一条最优路径。仿真实验表明,该算法能够有效地延长网络的生命周期。 一种高性能高可靠的混合客户端缓存系统 现代数据中心普遍使用网络存储系统提供共享存储服务.存储服务端通常使用独立冗余磁盘阵列(RAID)技术保障数据可靠性,如可以容单/双盘错的RAID5/6.相比于传统磁盘,固态盘具有更低的访问时延和更高的价格,因此将固态盘作为存储客户端缓存成为一种流行的方案.写回法可以充分发挥固态盘的优势加速存储读写性能,然而一旦固态盘发生故障,写回法无法保证数据的一致性和持久性.写直达法简化了一致性模型,但是无法减小写时延.设计并实现一种新的混合客户端缓存(hybrid host cache,HHC),HHC通过使用廉价的日志磁盘镜像存放固态盘上的脏数据来提高可靠性,并且利用写屏障语义保证数据的可靠性和一致性.分析表明,HHC的平均无故障时间远远高于后端存储系统.最后实现了一个原型系统并使用Filebench进行性能评估,结果表明在不同负载下,HHC性能与传统的写回法接近,远远超过写直达法. 一种新的视频停车事件检测算法 基于视频的停车事件检测是交通事件检测的重要组成部分,为提高停车事件检测的准确性,提出一种通过利用双层背景差进行停车检测的方法;首先,利用背景更新速度的不同,分别采用RunningAvg背景更新算法和混合高斯背景建模对目标场景进行更新和初始化;然后,利用得到的双层背景提取出静止物体,对结果进行二值化、形态学滤波以及阴影抑制从而消除干扰,然后判断静止物体是否为车辆,触发背景初始化并更新车辆状态表;最后,通过对交通视频序列的检测,结果显示该算法对停车事件检测准确率达到95%以上。 信息安全产业的技术创新模式分析 针对我国信息安全产业的技术创新能力还比较薄弱,进行完全自主创新还比较困难的问题,提出了从信息安全产业技术创新的资源约束考虑,应选择合作创新模式为主、模仿创新为辅,并在重点领域、重点系统、重点产品上集中人力、物力和政策,选择自主创新模式,大力发展自主产品。 基于连续型决策表属性均值聚类约简算法 针对粗糙集对于连续域属性决策表的处理能力差以及不容易获得模糊集之间关系等问题,提出一种基于连续型属性的硬C均值(HCM)聚类约简算法。该算法首先引入三角隶属度函数将连续属性值转化为模糊值,并使用HCM聚类方法获得数据集之间关系。实例验证表明:采用该算法,用户可以根据实际决策需要和领域知识更改阈值,从而获得满意的属性结果。 面向光伏电池组件测量节点的ZigBee网络设计 针对目前大量光伏电站存在的电池板工作异常、传统布线复杂以及成本较高的现状,论文以CC2530为主控芯片,设计了一种基于ZigBee的光伏电池组件监测节点,并详述了其处理器和无线通信模块、供电模块以及采集模块的电路原理图设计以及软件工作流程。分析实际组网采集到的电压、电流以及温湿度数据表明,该节点具有稳定、可靠以及采集精度高的优点,能够满足实际的应用需求,最后基于LabVIEW编写了上位机,构建了由底层监测节点、ZigBee网络以及监控系统上位机组成的光伏电池组件监控系统,具有一定的工程应用价值。 广义特征值多类分类算法 提出了一个新的多类分类算法,该算法的目标是寻找M个相互不平行的超平面,使得第m(m=12M)类的各点到第m个超平面的距离之和尽可能小,而其余类的所有点到该超平面的距离之和尽可能大。基于这个思想,寻求第m个超平面的优化模型最终可转化为一个广义特征值问题。该方法编程简单,易于实现。在数值试验部分,该算法与一些经典的基于支持向量机的多类分类算法进行比较,表明了该算法的优越性。 基于NS2的Ad Hoc网络路由协议性能对比分析 移动Ad Hoc网络是移动节点动态临时组建的自组织网络,路由协议一直都是Ad Hoc网络研究的关键问题。使用NS2网络仿真软件,在不同的场景下分析比较移动Ad Hoc网络的5种路由协议DSDV、OLSR、DSR、AODV和TORA性能,得出不同路由协议在不同场景下的优缺点和适用的网络环境。 油气无人值守生产信息综合平台体系结构研究 针对气田偏远井场,采集和传输面临困难的问题,通过引入北斗卫星,设计并实现了面向数字气田的无人值守信息采集平台体系结构。重点讨论北斗卫星传输方式,3G+APN+VPN的安全传输通道等传输技术、形成基于元数据网格服务、数据变化率的自适应数据压缩以及基于请求和数据缓冲交换等关键技术。这些技术应用在油气生产实际中,产生了巨大的经济效益和社会效益,其实现为其他行业无线应用提供了一种新的方案。 大规模层次化网络威胁态势高效评估仿真研究 为了及时地把握网络安全状况及其发展趋势,需要进行网络威胁态势评估方法的研究。但是采用当前方法进行网络威胁态势评估时,无法有效地计算出网络各层存在的威胁指数,存在态势评估误差大问题。为解决上述问题,提出一种基于改进DS证据理论的大规模层次化网络威胁态势高效评估方法。上述方法先利用证据推理定义网络威胁态势信度分配函数,获取网络各层存在的威胁级别,得到网络每次攻击的威胁级别指标对应的BPA函数,计算出网络系统在每个时间段访问量的平均值,得到警报与网络操作系统之间的相关度,将警报相关的信息和网络的配置信息进行匹配,获取网络系统综合威胁指数,由此完成大规模层次化网络威胁态势高效评估。仿真证明,所提方法评估精度较高,为保障网络安全稳定运行奠定了基础。 具有安全审计功能的RBAC委托模型 针对访问控制中委托在安全性和功能性上的不足,通过对比分析RBAC委托模型的特点,结合安全审计概念提出了具有安全审计功能的RBAC委托模型,并给出了形式化的定义和描述。该模型定义了委托的限制条件和传递约束来体现委托的特性,利用审计记录集合实现了委托、撤销和会话授权的过程,通过审计监控和规则事件响应完善了安全审计功能,使委托授权具有自主性和可变性的特点。在管理信息系统的应用和实践表明,该模型是一种安全易管理的委托授权机制,能适应多种委托策略。 面向内存文件系统的数据一致性更新机制研究 近年来,研究界提出了多种管理新型存储级内存的内存文件系统,例如BPFS,PMFS和SIMFS。由于内存文件系统的设备访问方式和I/O路径不同于传统面向块设备的文件系统,适用于内存文件系统的数据一致性更新机制尚未得到很好的研究。为此,提出一种适用于内存文件系统的直接拷贝的数据一致性更新机制,讨论多种数据一致性更新机制在内存文件系统中的优缺点,并以内存文件系统SIMFS为基础,实现多种支持不同数据一致性更新机制的SIMFS版本。通过测试基准测试了各个SIMFS版本的性能,并分析了不同数据一致性更新机制对内存文件系统的整体性能的影响。实验结果表明,提出的直接拷贝机制在内存文件系统中取得了最好的性能。 Pview:一种基于PMU的支持并行程序性能分析的新方法 近年来,随着并行编程的普及,性能监测和剖析已经成为计算机系统领域最重要的研究课题之一。PMU(Performance Monitoring Unit),即现代处理器里集成的微体系事件性能计数器,为性能监测提供了底层支持,使得在以极小的额外开销和极少的对目标程序的干扰的情况下对程序进行性能监测成为可能。Pview(Performance View)是一种在系统级支持对并行程序尤其是多线程程序进行性能监测与分析的工具,它同时支持全系统和针对特定进程(线程组)的性能事件直接计数或者抽样的分析方法。Pview在Linux操作系统平台上通过扩展内核2.6.30,实现了一个新的系统调用Pview来提供性能监测服务;同时与以模块方式实现的数据收集引擎协作,可以实现抽样并将大规模样本数据传输到用户空间供进一步分析。 基于兴趣信任的多agent合作系统的形成与演化研究 "借鉴人类社会学中流行的人际关系模型,提出一种基于""兴趣信任""的多agent合作模型,利用贝叶斯方法对agent的可信度按兴趣领域进行了分类评估,通过agent间基于兴趣信任的链接更新形成稳定的多agent合作系统.最后的仿真实验显示,本文提出的基于兴趣信任的合作系统演化方案不仅能有效提高任务的执行成功率,而且能有效改善网络拓扑结构,使所有的a-gent在不同的兴趣领域内聚集在该领域内服务能力较强的可信agent周围,提高了合作对象的选取效率.经过多轮演化后,本方案能使agent在不同的兴趣领域形成""兴趣分类聚集"",减小了网络同化现象,突出了agent的个性." 船用核动力装置神经网络故障诊断技术研究 针对船用核动力装置故障模式多、故障诊断难度大的问题,开展了基于遗传神经网络的核动力装置故障诊断技术研究。将遗传算法引入到BP神经网络训练中,克服了神经网络训练难度大、精度低的不足;构建了由单一故障模式诊断与复合故障模式诊断相协调的综合推理系统,克服了单一神经网络推理精度低、难以实现对大量故障模式精准识别的问题。通过仿真分析可以看出,所设计的综合故障诊断系统,可以实现对故障的高效率诊断,在提高诊断可靠性的同时,大大降低了误诊率的发生。 3D环境下飞机装配工艺规划与仿真一体化模型 "为实现3D环境下飞机装配工艺规划与仿真过程的集成和统一,提出了一种飞机装配工艺一体化模型。该模型综合了装配工艺设计对产品对象以及工装、工具等资源对象在信息组织管理、零件几何运动描述等方面的要求,确定了装配""产品—资源—工艺""间的层进映射关系,将产品对象和资源对象间复杂的1∶N或N∶1关系分解为具体的1∶1关系,并基于文件对象结构原理建立了其数学表示模型。以某飞机中央翼部件装配为例,将该建模方法应用到自主开发的数字化飞机装配工艺规划与仿真系统中,验证了所提方法的有效性。" 基于五官特征的人脸剪纸生成方法研究 人脸肖像剪纸应该重现生动的图像细节,为了实现这一目标,提出了一种基于五官特征与图像变形算法的两阶段人脸剪纸合成方法。收集艺术家的人脸剪纸创作,分割五官部位并提取各组件的几何特征,建立数字化五官剪纸数据库。对目标人脸图像进行剪纸合成:在第一阶段,标定目标人脸图像的特征点,分割其五官部位,并提取各部位的几何特征,之后分别计算目标人脸五官与剪纸数据库中各对应组件基于几何特征和形状上下文特征的相似性度量值;通过融合几何特征和形状上下文特征,选择匹配相似度较高的剪纸部位,拼接得到初步的人脸剪纸图。在第二阶段,采用薄板样条(Thin Plate Spline,TPS)变形算法对第一阶段合成的人脸剪纸图进行变形,得到最终的剪纸图像。通过多人视觉测评实验,结果表明运用该方法得到的人脸剪纸图能够达到较为满意的效果。 基于SVM的农业智能决策Web服务的研究与实现 为了使农业用户能够轻松获得远程的智能决策服务,研究支持向量机(SVM)在农业智能决策领域的应用,并使用LIBSVM这个通用的支持向量机软件包,在J2EE平台中实现基于SVM的智能决策Web服务,用Web服务技术构建开放、松耦合的数字农业生产智能决策系统。采用径向基函数作为支持向量机模型的核函数,径向基函数类型的SVM,实际上就是一个分类器,可以用于农业领域中的分类问题。模型的构造过程就是通过对样本数据的学习,自动确定支持向量的个数和支持向量的值,无须人工参与,从而使模型的构造更容易、更客观、更高效。 一种在用户偏好不确定情况下的Web服务选择方法 首先由用户对各个QoS属性的偏好给出语言描述及其不确定度;然后通过查找对照表将其换算成各个QoS属性的权重系数;最后使用QoS属性值和权重系数进行候选服务的综合评价,得到最接近满足用户不确定偏好的候选服务。模拟实验结果证明了该方法的有效性。 基于混合纠错技术的北斗长报文可靠传输机制设计 北斗卫星导航系统的短报文通信功能在传输容量上具有一定的限制,并且无回执信息,属于不可靠的通信方式;为了提高长数据报文的传输可靠性,通过对传输协议的改进,提出了一套基于混合纠错技术的长报文可靠传输机制;通过前向纠错技术和自动重传请求技术的结合,能够对一定范围内的出错情况进行自纠正,并对超出自纠能力的传输出错进行反馈重传,从而提高传输的可靠性。 基于鱼群算法的无线传感器网络转发能效研究 针对无线传感器网络的能耗问题,基于概率神经网络和鱼群算法提出了一种刻画有效转发能效比的方法(Energy Efficiency based on Probability neural network and Fish swarm,EEPF).该方法首先利用概率神经网络来实现转发节点的聚类,并且通过鱼群的四种行为来刻画有效转发距离和转发能耗,以此计算网络的有效转发能效比.仿真实验结果表明,相比于其他算法,EEPF算法具有较好的适应性. 基于群体距离的多目标粒子群优化算法 为实现偏好与群体决策的结合应用,提出基于群体距离的多目标粒子群优化算法。通过调整解与参考点的群体距离引导粒子靠近偏好区域,运用格栅方法和改进的剪枝策略实现解在Pareto边界的均匀分布,求出与群体成员偏好相关的部分Pareto最优集,从而减少计算成本、加快收敛速度。实验结果表明,该算法得到的解更靠近真实Pareto前沿,且对不同个体决策成员都有效。 空分过程变量预测的多变量时间序列分析方法 氮塞是空分过程的常见故障,粗氩塔冷凝器出口氩气含氩量是工业现场中指示氮塞是否发生的关键变量,对该变量进行准确的预测可以使氮塞故障的报警时间提前。本文采用多变量时间序列相空间重构的方法,建立了粗氩塔冷凝器出口氩气含氩量和其它过程变量之间的一步线性回归预测模型,以迭代方式获得多步预测的结果,并利用滑动窗口实现了模型参数的在线修正。通过某钢铁公司空分装置实际数据的建模与仿真,分析了相空间重构时嵌入维数以及预测步数的选取对最终预测结果的影响,即预测均方误差与嵌入维数成反比,与预测步数成正比。仿真结果同时表明,本文建立的模型能够较为准确地对空分过程关键变量进行预测,预测提前时间在4~5分钟之间。 基于Harris的角点检测改进算法 研究红外成像目标跟踪精度优化问题,模板跟踪的累计误差会造成目标跟踪漂移,稳定的角点可以对模板进行校正并减少累积误差。为了实现对运动目标的精确跟踪,使其始终与目标的相关性最强,针对Harris算法检测尺度单一和鲁棒性不足的缺点,采用多分辨率的方法,提出了Harris与B-Spline尺度空间相结合的角点检测算法,并对改进算法进行了数字仿真。结果表明,提出的算法提高了Harris算子的性能及抗噪声性能,可去除错误检测点,并提高了角点检测精度,从而实现了在复杂背景条件下地面目标的准确识别和稳定跟踪。 融合遗传蚁群算法的Web服务组合研究 为了提高Web服务组合流程中服务选择技术的收敛性能,提出了一种基于遗传算法与蚁群算法相融合的多目标优化策略,用于解决基于QoS的Web服务组合问题。本文首先将Web服务组合的全局最优化问题转化为寻求一条QoS最优解的路径问题,并通过改进遗传算法得到蚁群算法中初始路径的信息素分布,再通过改进蚁群算法来求得最优解。仿真实验结果表明,该改进算法能在较少的进化代数下得到最优路径,提高了Web服务组合的快速全局搜索能力。 一种鲁棒稀疏表示的单样本人脸识别算法 稀疏表示在人脸识别问题上取得了非常优秀的识别结果,但在单样本条件下,算法性能下降严重。为提高单样本条件下稀疏表示的应用能力,提出一种鲁棒稀疏表示单样本人脸识别算法(RSR)。通过使用每张人脸图像创建一组位置图像来扩充每个对象训练样本,并利用L2,1范数约束保证RSR算法选择正确对象的位置图像。在AR和extended Yale B人脸数据库上进行评测,实验结果表明RSR算法能够有效处理存在遮挡或光照变化的人脸图像,获得了较好的单样本人脸识别准确率,具有很强的鲁棒性。 基于多处理器的高速RapidIO 在典型的嵌入式系统中,系统内不同组件之间的通信速率成为制约嵌入式系统性能的瓶颈。针对传统共享总线遇到的困难,通过分析高速串行RapidIO(SRIO)接口协议及其应用,研究SRIO在多处理器系统互连中的应用及基于SRIO的加载技术。利用该协议实现的多DSP读写操作的速率达到每通道3.125 Gb/s,效率达到86%,DSP可通过SRIO实现加载。 一种蛋白质与肽段全柔性计算对接方法 蛋白质-肽段对接时受体的骨架柔性问题一直以来都是计算生物学中的一个挑战。目前,绝大多数的对接都是一种半柔性对接(只考虑配体的柔性)。然而在真实的对接过程中,受体也会产生构象变化,包括侧链运动、骨架运动或其它突变。本文提出了一种包含受体骨架柔性的蛋白质-肽段柔性对接方法。在8个较难的Unbound蛋白质-肽段复合物和2个受体有明显柔性区域的对接案例上进行了测试。分析表明本文提出的这种柔性对接方法在受体有明显柔性区域的案例上都取得了很大的改进。 不相容决策系统中的规则提取方法研究 现有规则提取方法大多数只能在相容决策系统中提取规则,并且提取出的规则冗余度高、用户不易理解。针对该问题,提出一种基于对象集覆盖的规则提取方法,利用粗糙集理论将对象集划分为相应的等价类,根据属性特征值的一致性程度和相似程度产生有效性规则,通过等价类划分和对象集覆盖解决不相容决策系统的规则提取问题。算例分析结果表明,该方法提取出的规则简单可靠,具有较好的鲁棒性。 基于国际贸易网特性的贸易依赖派系过滤算法 目前诸多社团划分算法往往面向一般意义的复杂网络,针对具体问题应用时需要筛选和改进。为了提高国际贸易网络社团划分的准确性与合理性,考虑现实国际贸易主体平等、联系普遍、流量悬殊、市场依赖、贸易组织重叠、社团相对稳定和贸易波动等特性对社团划分的影响,提出一种引入贸易依赖度权重的派系过滤算法。将该算法应用于海上丝绸之路贸易社团划分,通过检验算法结果的社团结构可辨识性、模块度Q值、现实契合度和可解释性,验证了该算法的有效性。 多指标综合评价的负载均衡路由策略研究 如何在规模庞大的数据中心网络内部进行负载均衡,实现网络资源的优化利用,是个亟待解决的问题.OpenFlow技术提供了一种可编程操作的流量控制方法,已成为近期解决负载均衡问题的热门关键技术.针对数据中心常见的Fat-tree网络拓扑,就数据流在OpenFlow网络中的路径设计问题,利用OpenFlow网络控制器集中控制的优势,在控制器上设计并实现了一种动态负载均衡路由策略.该策略首先选出源节点与目的节点间的前K条最短路径,然后根据获取的网络链路实时状态信息,对选出的前K条路径进行多指标综合评价,并从中选出数据流的最优转发路径.最后,采用Floodlight控制器和Mininet仿真平台,对提出的负载均衡路由策略进行可行性验证和性能验证.结果表明,该策略有效缓解了网络阻塞,提高了链路利用率. 动态粒子群优化算法 针对普通粒子群优化算法难以在动态环境下有效逼近最优位置的问题,提出一种动态粒子群优化算法。设置敏感粒子和响应阈值,当敏感粒子的适应度值变化超过响应阈值时,按一定比例重新初始化种群和粒子速度。设计双峰DF1动态模型,用于验证该算法的性能,仿真实验结果表明其动态极值跟踪能力较强。 人脸图像超分辨率非线性算法 研究单幅人脸图像的超分辨率重构算法。采用马尔可夫网络模型描述重构机制,对输入的低分辨率图像,以及训练用高分辨率图像和对应的低分辨率图像进行分块,并使图像基本对齐,构造训练图像集。针对简化马尔可夫网络计算的需要以及训练集人脸图像的差异,在块坐标限位操作的基础上,提出了一种非线性样本搜索算法,降低了搜索空间复杂度,提高了匹配效率和相关性。算法利用搜索到的高分辨率图像分块样本,直接输出超分辨率图像。分析和实验证实,与传统学习算法相比,该文方法具有输出质量好、效率高的特点。 K-Means算法的研究与改进 K-Means算法是一种基于划分方法的经典聚类算法,已经在很多领域得到广泛的应用。虽然该算法有很多优点,但其也存在自身的局限性,比如需要用户输入聚类簇个数,初始聚类中心是随机性选择的,算法容易陷入局部最优解,对孤立点比较敏感等。文中首先应用统计学中的标准分数对样本进行孤立点分析,然后提出一种新的初始聚类中心确定策略。对改进的算法和原算法分别做实验进行比较,实验结果表明,改进的算法在准确率、收敛速度和稳定性方面都有很大的提高。 基于ATML的靶场数据交换技术研究 为了适应武器装备的研制周期缩短对武器试验场/靶场测控效率提高的要求,需要提高测控数据的利用率和共享范围,如何解决由不同测试设备构成的靶场测试系统异构数据和异构数据库之间的数据交换成为其关键之一;从靶场数据适配的需求出发,提出了基于自动测试标记语言ATML的异构数据适配以及基于可扩展标记语言XML的异构数据库适配方案。该方案能充分整合现有试验资源,并有效地提高靶场数据交换的效率和成功率,在实际项目中得到了很好的运用。 基于本体的自动答疑系统的研究与实现 针对现有自动答疑系统中知识表示的不足,提出了用本体构建课程领域知识库的方案。根据答疑问句的特点进行了问题分类,将用户问句意图转换为对本体中基本元素的查询,并通过Jena语句加以实现,最终抽取出答案,实验证明方案是可行的。 适用于复杂动态场景的快速阴影算法 3D场景中阴影的使用可以提高图像的真实感,文章详细的分析了平面阴影算法和阴影体算法两种阴影算法原理,并给出了数学推导和实现方法。综合使用这两种算法,借助碰撞检测技术中的包围盒原理,文章提出了适用于复杂场景阴影快速算法,还针对动态场景光源变换逆矩阵求解复杂的问题,给出了一种快速确定光源位置的求解方法。经试验证实,该算法既能够较为真实绘制阴影,又缩短了绘制时间,提高了效率。 基于运动与外形特征的人体行为识别 多数现有特征提取方法仅采用简单的形态特征,存在走与跑识别率较低的问题。将运动速度特征与较精确分割并归一化图像大小后的主分量分析外形特征相结合,采用支持向量机从8个方向对跑、蹲、站、弯腰、招手、指和走7种人体行为进行识别,结果证明走与跑的识别率得到很大提高。 基于影响因素分析和数据重构的备件需求预测 针对备件需求具有间断性需求特点,在实践中预测值与真实值往往具有很大偏差的问题,指出历史数据混淆和需求产生原因不明确是造成偏差的两项根本原因。提出了基于影响因素分析和数据重构的备件需求预测方法。在历史数据重构处理中,通过数量退化和时间序列变换,将间断性的需求序列转换为需求间隔的连续性时间序列。在影响因素识别方面,结合实践调研,从备件自身、设备使用、操作人员及突发事故四个方面提出备件需求的七个影响因素,并通过灰色关联分析进行因素筛选。最后,利用SVR预测模型完成备件需求预测,并通过实例企业的数据验证证明了整套方法的可行性与有效性。 重叠模糊规范化双弹性网格汉字特征提取 针对目前双弹性网格特征提取方向的重复性和对角弹性网格数量不确定性问题,结合重叠模糊技术提出一种规范化的重叠模糊双弹性网格特征提取方法。对原汉字图像进行外接正方形填补,规范化对角网格,使用弹性技术进行网格划分,在纵横、对角网格中分别构造特征提取方向互不重复的重叠模糊网格,分别提取汉字图像的横竖、撇捺特征。实验结果表明,该方法提取的手写汉字特征类内类间方差比更大,识别率更高。 基于改进的质心定位的LSSVR算法的设计 针对无线传感中基于质心算法的节点定位存在误差比较大,算法效率低的缺点,提出了一种基于加权的LSSVR的节点定位算法;首先,对未知节点构建节点序列相关度,采用Kendall的Tau指标来估计未知节点的位置,提高了未知节点的定位精度,其次引入了LSSVR概念,构建改进质心算法的LSSVR定位模型,降低了噪声影响,大幅度提高定位精度;仿真实验表明该算法与基本的LSSVR算法在定位精度上有了明显的提高,在锚节点,未知节点所占比例不断增大的情况下该算法定位精度具有很大的提高,降低了算法的计算复杂度,具有较高的应用价值。 基于岭回归的RVPMCD滚动轴承故障诊断方法 针对多变量预测模型模式识别方法中的最小二乘拟合可能出现病态的问题,提出了基于岭回归的多变量预测模型(Ridge regression-Variable Predictive Model based Class Discriminate,RVPMCD)分类方法,该方法通过引入岭参数,降低其均方拟合误差,减小自变量间复共线性关系对参数估计的影响,改善了原方法中最小二乘回归拟合参数失真的现象,从而有望建立更加准确的预测模型。对滚动轴承的振动信号提取特征值,组成特征向量,采用RVPMCD方法对训练样本建立预测模型,利用RVPMCD所建立的预测模型进行模式识别。实验分析结果表明,基于岭回归的多变量预测模型分类方法可以更有效地对滚动轴承的工作状态和故障类型进行识别。 模拟谐振子算法及其全局收敛性分析 介绍模拟谐振子算法,并分析其全局收敛性。将算法的进化过程分解为产生新解、修正当前解、生成新解集3个基本的进化操作,并将这种状态变化分别映射为3个随机矩阵。应用有限马尔科夫链理论对该算法的解状态矩阵变化进行分析,结果表明,在保留优质解的前提下,当运算时间趋于无穷时,算法会逐渐收敛于全局最优解。 双目立体视觉在动车车身关键尺寸检测中的应用 针对动车(CRH)车身尺寸范围大、检测项目复杂、车型变化多,不容易实现在线测量的难题,首先提出了大型动车车身关键尺寸的测量方案,利用双目电荷耦合装置(CCD)立体视觉建立各个关键尺寸的视觉测量子站,同时利用激光跟踪仪及相关坐标变换算法,完成对各个CCD相机测量子站的全局标定;各个测量子站利用立体空间球检测技术,对局部关键尺寸进行测量;同时构建了基于小波分析的神经网络温度误差补偿模型,使空间距离补偿后的精度能达到0.05 mm。将该方法与三坐标测量机测得的数据对比验证,可以发现该方法操作简单、灵活性高、精度较高,可有效解决动车车身关键尺寸检测问题。 GPU集群下第一原理非局部映射势能计算 平面波赝势密度泛函(PWP-DFT)计算是材料计算中应用最广泛的方法,其中映射计算是PWP-DFT方法求解自洽迭代中重要的一部分。针对映射势能计算成为软件加速的瓶颈,提出了针对该部分的图形处理器(GPU)加速算法,其中考虑GPU的特点:1)使用了新的并行机制求解非局部映射势能;2)重新设计了数据分布结构;3)减少内存的使用;4)提出了一种解决算法中数据相关问题的方法。最终获得了18~57倍加速,使每步分子动力学模拟最终降为12 s。详细分析了该模块在GPU平台上的测试时间,同时对该算法在GPU集群上的计算瓶颈进行了讨论。 增量网络监测点的增量选取算法 针对网络拓扑结构扩充后,原有网络中布置的监测点不易变动的问题,提出一种增量网络监测点的增量选取算法。该算法优化了以网络中顶点的度数作为贪心选择策略求解图的弱顶点覆盖的贪心算法,从而得到更少顶点的近似解。在计算增量网络监测点集时,该算法只利用新增网络拓扑得出新增网络的监测点集,求得的增量监测点可直接加入到原网监测点集合中得到新的全网监测点集,降低重新布置全网监测点的成本。实验结果表明,增量算法得到的全网监测点集与在全新的网络中重新计算得到的全网监测点集的顶点数基本相同,可有效应用于实际的网络监测点部署。 基于改进TDOA的近场声源鲁棒定位方法研究 针对不同噪声及信噪比条件下,传统进场声源定位精度低、计算复杂度高的问题,提出一种基于改进的时间到达差(TDOA)近场声源鲁棒定位方法。利用传统FIR维纳滤波器对含噪语音信号进行预处理,增强信噪比,并在频域计算TDOA值;采用空域收缩迭代最小二乘算法取代传统的LS算法进行拟合,计算近场声源位置信息。仿真结果表明,在不同噪声和信噪比条件下,该方法在降低运算量的同时,有效提升了定位精度。 遥感图像中快速居民区域提取方法研究 "城市变化监控能够有效帮助政府机关和城区规划部门更新地图并制定长远的发展计划。论文提出了一个基于Log-Gabor滤波器快速提取遥感图像中居民区域的新方法。方法分为三步:首先使用Log-Gabor滤波器提取遥感图像中具有边缘方向性的城市居民区域特征;然后在此基础上""耦合""相同居民区域不同方向滤波器提取出来的纹理特征,进而获得完整的居民区域;最后利用Otsu方法在结果图像中对居民区域进行标记和分割。实验将本论文方法同传统纹理提取手段共生矩阵分析方法进行比较证明了本文方法的优越性。" 基于DS理论的多源证据融合云安全信任模型 云计算技术在带来信息更大范围共享、成本更低等便捷的同时,也面临着隐私泄露、信息丢失等新的安全问题。如何在海量分布式的云系统中识别和管理节点的可信度成为云安全问题研究的重要方向之一。本模型考虑云计算分布式体系架构,结合DS证据理论和信任机制,构建云环境下基于DS理论的信任模型,为云安全问题提供了新思路。该模型在主客观信任值的基础上合成综合信任值,进行可信度判断;并讨论模型中的关键问题,包括信任值初始化和更新、恶意节点惩罚、负载均衡;再通过仿真实验从有效性、均衡性和鲁棒性等方面对模型进行验证;最后进行总结并对下一步研究进行展望。 单幅运动模糊图像模糊核参数估计 针对模糊图像傅立叶变换过程由于边缘截断效应在频谱图中产生的十字亮线,和图像中心亮斑对模糊核估计精度干扰的问题,首先利用设计的模版腐蚀二值化倒频谱图,只保留十字亮线和中心亮斑。然后使用原始二值化频谱图减去仅包含十字亮线和中心亮斑的图像,达到剔除十字亮线和中心亮斑的目的,再对所得倒频谱图利用Radon变换准确估计出模糊角度。最后在上述基础之上,分析频谱图灰度变化估计出模糊长度。实验验证,该方法简单可行、精度高、抗噪能力强。 改进UKF算法在天波超视距雷达中的应用 针对传统的无损卡尔曼滤波(UKF)算法在对天波超视距雷达进行目标跟踪的过程中存在滤波发散和初始收敛速度慢等问题,提出一种改进的UKF算法。通过引进调节因子对状态矢量和观测矢量的协方差作实时调整,以达到提高滤波结果中状态信息与观测信息的正确率和雷达跟踪系统性能的目的。仿真结果表明,该算法在处理目标跟踪问题时,既可有效抑制UKF算法的发散,又可提高跟踪系统的收敛速度。 机器人虚拟仿真及控制技术研究 为了实现对实体机器人逼真的模拟和准确的反馈,设计了一套具有一定通用性的机器人虚拟仿真系统,并在系统中对虚拟物体采用分类策略成功地将AABB与SWIFT++算法相结合,兼顾了仿真系统对碰撞检测算法的实时性与准确性的要求,有效地解决了效率与正确性之间的矛盾。在算法预处理阶段对非凸物体采用表面凸分解的方法,使碰撞检测算法具有处理非凸物体的能力。实验结果表明,该系统同样适用于服务型机器人,具有一定的通用性,碰撞检测算法比单独使用SWIFT++算法具有更快速的优点。 基于非参数回归的路段速度估算方法 城市道路交通运行状况的及时获取对交通管理和出行有着重要的作用,而准确判断交通状况需要利用准确的交通流速度。针对城市道路配备有一定数量的固定检测器,但是浮动车覆盖率不足的情况,提出了基于非参数回归的路段速度估算方法。该方法有效结合固定检测器数据和浮动车数据,对不同时段、路段、天气对行驶速度的影响分别进行分析,建立状态模式库,利用模式匹配估算出道路交通流速度。通过算例分析比较,可知该算法具有较高的准确度。 基于ATC和GA的可重构制造单元分级调度研究 为了优化以零件族为单位进行生产的可重构单元内单个零件族的加工时间和协调各零件族同时完工以实现整体调度所用时间最短,提出一种分级调度算法。该算法将调度过程分为三层,即时间决策层、分配决策层和路径决策层。以时间决策层为最终优化目标,通过将时间分解至分配决策层再至路径决策层,下层时间达到最优后反馈至上层,层层优化以实现整体调度时间最优。最后通过实例验证该算法在分配各零件族的机器数量和零件的加工路径中的合理性和有效性。 LXI关键技术与接入性能分析 由测量与测试行业提出的传统总线在自动化测量中发挥了重要作用,但随着LAN网络技术发展,传统测试总线未能充分利用网络技术进行测量的不足逐渐显现;采用由计算机行业提出的新型总线LXI正是针对传统测试技术的不足,尤其是在分布式测量系统,LXI发挥了重要作用;介绍了新型总线LXI触发技术,重点介绍IEEE-1588,给出基于以太网的最大吞吐量理论值为94.9%,利用OPNET仿真软件对网络扩容性能做了简单分析,在业务增加一倍时,网络服务器负载也增加100%。 基于i.MX6的LED异步控制系统软件设计 为满足户外LED屏幕对异步控制的需求,利用面向对象的设计方法,设计实现一款基于i.MX6处理器的LED全彩异步控制系统嵌入式软件。提出基于多线程的事件队列和基于插件的GStreamer流媒体播放系统等技术方案;利用嵌入式Linux环境下可移植库的特性,实现对上位机发送的数据进行灵活的动态化处理,以及对多种格式的多媒体节目播放的异步控制;在性能上优化开机时间和播放策略。测试结果表明,该方案拥有较好用户体验,满足了设计需求。 超低电压正交压控振荡器设计 提出一种新的低压正交压控振荡器(QVCO)结构,该结构由两个完全相同的低压压控振荡器经过背栅耦合方式实现.背栅耦合方式使压控振荡器实现正交的输出时钟并且降低了功耗和输出相位噪声.该设计中的QVCO电路采用中芯国际0.13μm 1P8M标准CMOS工艺,可以工作在0.35V的电源电压下,总的功耗为1.75mW,输出时钟频率为5.34GHz,偏离主频1MHz处的相位噪声为-110.5dBc/Hz,对应该相位噪声的FOM(FigureOf-Merit)为-182.62dBc/Hz,频率调谐范围为4.92~5.34GHz.该QVCO可以在更低的电源电压下实现低的相位噪声,且拥有较高的FOM值. 元胞遗传算法的全局优化性能仿真分析 元胞遗传算法将遗传操作限制在邻域内进行,减缓了优势个体在群体中的扩散速度,具有更好的全局探索能力,在求解复杂优化问题中显示出优越性。与传统遗传算法对比,以选择压力作为分析手段,对元胞遗传算法进行定性分析。通过求解具有不同特征的函数,分析进化过程群体多样性变化。从进化过程群体分布图,直观得出元胞遗传算法具有较好的维持群体多样性能力;统计结果表明,元胞遗传算法能极大提高全局收敛率,并且求解稳定性更好。 基于HLA的智能雷作战仿真系统研究 智能雷的作战效能评估对智能雷型号的发展有重大影响。应用高层体系结构(HLA)的思想建立了分布式智能雷作战仿真系统,为了提高系统的性能,应用蒙特卡洛(Monte-Carlo)模型算法计算反坦克智能雷对坦克的毁伤效能,给出了运用算法的主要过程,实现了智能雷在作战的背景下进行作业及与对抗仿真。通过评估坦克的速度、智能雷的探测距离等对智能雷对目标的毁伤概率等效率指标的影响,探索实现了装备中含有随机性因素的效率指标的优化仿真方法,为智能雷的作战使用和结构设计提供定量分析依据。 高校实验室开放式管理系统的设计与实现 高校实验室是进行科学研究和实验教学的重要场所,一个有效的实验室管理系统能够提高实验室的使用效率,完善实验室的教学体系。网上预约实验,有效节省教师工作量,便于学生合理利用时间来完成实验课程。文中介绍的是如何采用面向对象的方法,设计一个开放式管理系统,并基于JavaEE技术和B/S架构开发系统。该开放式实验室管理系统上线后运行良好,完成了教师添加实验项目基本信息、学生预约、预约审核及学生签到等功能,提高了工作效率,节省了劳动成本。 基于KPoints的分布式聚类模型与算法 以分布式数据流为背景,针对海量数据挖掘存在的难题,设计一个数据挑选模型。给出一种核心代表点的挑选算法KPSA以及基于核心代表点的分布式数据流挖掘算法GKBC,实现分布式数据流中的增量式聚类挖掘。实验结果证明,该模型和算法可以减少数据通信代价,并保证较高的聚类质量。 机器人逆运动学分析与仿真 研究机器人动态优化问题。根据机器人所持焊枪的运动轨迹,针对机器人可沿空间任意直线轨迹焊接,是焊接机器人关节控制策略中的难点,运用ADAMS软件对焊接机器人进行逆运动学求解,采用拉格朗日方法建立了系统的微分方程,运用pro/E建立了焊接机器人的三维模型,导入ADAMS中添加约束关系和设置仿真参数后建立焊接机器人的虚拟样机模型,对机械手沿空间任意直线轨迹运动的工况进行了逆运动学仿真,得到了各关节的角速度和角加速度曲线,并根据仿真结果提出了使焊接更加平稳的改进方案,结果表明方法不仅为焊接机器人的路径规划提供了参考数据,而且保证了焊接机器人在焊接过程中优良精确的动态特性和静态特性,具有重要的工程实际意义。 GPS信号C/A码快速精密捕获技术研究 提出了一种基于平均相关技术的快速精密的GPS信号C/A码捕获方案,该方案将接收到的中频信号和本地复制C/A码以高采样频率进行采样,然后通过对它们进行平均处理后以1 024点的FFT处理器计算相关能量值。与不经过平均处理的基于FFT的码捕获方案相比,该方案的运算复杂度约为1/22,可实现快速捕获的目的;与捕获精度为半个码片的常用码捕获方案相比,该方案的捕获灵敏度理论上提高约2.2 dB。最后通过仿真验证了该方案的可行性。 基于局部锐度特征的无参模糊图像质量评估算法研究 为了有效评估模糊图像的质量,在图像局部锐度特征的基础上,提出一种基于局部锐度特征和双树复小波相结合的无参图像的质量评估算法.该算法首先对评估图像进行多层双树复小波分解,进而重构6个不同方向的图像,接着计算每个方向图的局部锐度特征,最后通过加权线性融合得到评估图像的锐度值.通过在4个公共的图像评估数据集验证,结果表明所提出评估方法在模糊图像评估中优于其他6种传统的图像质量评估方法,同时也证明了评估结果更接近人的主观视觉特性. 一种基于无监督免疫优化分层的网络入侵检测算法 高校网络被外网访问时,外网访问数据没有类别标记,导致数据识别特征不明显,传统的入侵检测模型不能有效提取出无监督外网访问数据中的识别特征,无法准确训练入侵检测模型,造成高校网络入侵检测准确度不高。为了解决这一难题,提出一种基于无监督免疫优化分层的入侵检测算法,即在免疫网络中对数据进行学习,用小规模的网络完成数据压缩,集中增强数据的识别特征,运用分层聚类方法分析网络,完成数据模型的建立。仿真实验表明,这种无监督入侵检测模型方法克服了高校网络外网访问数据的识别特性不明显,提高了高校网络入侵检测的准确率,取得了满意的结果。 一种基于选择触发的低功耗扫描链结构 "利用一个和扫描链等长的扫描移位寄存器,对传统扫描链进行改造,提出了一种新型的选择触发的扫描链结构。它有效地降低了传统扫描链扫描移位过程中的动态功耗,并提高了扫描时钟频率,同时它所需要的测试数据为原始测试向量集的差分向量序列集合,编码压缩差分序列中连续""0""的测试数据后,在解压测试时不需要分离的CSR(Cyclical Scan Register,循环扫描移位寄存器)。在ISCAS’89基准电路上进行的实验表明,该方法与传统的串行扫描技术相比,能有效地降低扫描移位过程中的平均功耗。" 煤矿远程数据安全传输和访问的研究 随着煤炭资源整合的步伐的深入,煤炭安全问题是目前煤炭行业日益突出的问题。其中数据的安全传输是煤炭安全监管中关键的一环。在分析煤炭行业远程数据传输和访问过程中存在的安全性问题的基础上,提出了一套完整的解决方案。本方案采用了SSL协议、数字证书、JSON加密等技术使得认证过程更加安全、权限管理更加方便,同时也保证了数据在网络传输过程中的安全性以及加密的灵活性,实现了远程数据库安全访问与数据安全传输。 基于3D骨架的交警指挥姿势动作识别仿真 在智能交通指挥、交警教学培训等领域中,需要对交警指挥动作进行识别和准确度评估。针对现有系统对交警指挥动作描述不合理,对复杂场景识别率低的问题,提出了一种对交警指挥姿势动作的识别方法,并据此设计了一套虚拟交警指挥动作训练系统。首先利用人体深度图像构建人体三维骨架,采用人体骨架特定关节点的相对空间距离对单个姿势进行描述。然后对原始动作姿势序列进行关键姿势帧提取,并采用DTW算法对八种交警指挥动作的关键姿势序列进行训练和识别。最后将识别结果映射到某虚拟十字路口场景中进行交警指挥动作训练。实验结果表明,改进方法平均识别率为98.875%,具有较强的鲁棒性,对低光照和复杂场景适应性也比较良好。 一种基于点签名的散乱点云特征点检测方法 针对传统特征点提取算法存在的对噪声敏感、人机交互效率不高等问题,提出一种基于点签名的散乱点云特征点检测方法。借助于k邻近方法,统计所有散乱点云的点签名,并给出相应的点签名直方图与色阶图。基于正态分布的规律设定曲率阈值,完成特征点云的初步筛选。在初步筛选的基础上,对候选特征点云在其主曲率方向上进行投影,完成特征点的进一步筛选。实验结果表明,该特征点检测方法适用于各种不同的点云数据,能够准确、有效地提取出特征点,且人机交互效率与抗噪性能均优于传统k邻近方法。 基于多业务类型的异构无线网络切换算法 为解决异构无线网络在传输不同类型数据过程中的切换问题,提出了一种基于多业务类型的异构无线网络切换算法。该算法根据传输业务类型的优先级及其实时性,将业务类型分为实时业务和非实时业务。通过对可用带宽、网络时延等判决参数的分析及切换判决参数满意程度的计算,设计出基于多业务类型的异构无线网络切换算法。该算法可以根据不同的业务类型选择最佳的传输网络,改善网络拥堵现象,减少切换时延,充分发挥异构网络的优势。 基于支持向量机的织物剪切性能预测 织物的剪切性能受到纱线和多种织物结构参数的影响,为了科学地设计织物剪切性能,提出了织物剪切性能预测的一种新方法-支持向量机,用它来表达织物剪切性能与织物结构参数之间复杂的非线性关系,并建立了相应的预测模型。对获得的样本进行归一化处理后,将其输入预测模型,然后采用交叉验证的方法获得模型的最佳参数,利用获得的最佳参数来进行剪切性能的预测。将获得的结果同BP神经网络预测的结果进行了比较,结果表明该方法的预测精度较高。 用MCNP程序计算针孔成像 中子或伽玛射线的针孔成像在许多研究领域都有广泛的应用。由于这两种射线都有较强的穿透能力,针孔屏蔽体一般都设计得很厚,针孔成像的计算就必须要考虑射线在针孔屏蔽体物质中的散射效应和穿透效应。这些都涉及到粒子在各种材料中的输运计算,这就为针孔成像的计算带来了很大困难。所以,采用通用粒子输运程序MCNP作为针孔成像计算工具。较为详细地讨论了MCNP中几种计算方法的性能,描述了用这些方法计算的方差衰减技术和某些情况下的物理建模技巧。 基于随机主元分析算法的BBS情感分类研究 针对论坛(BBS)中文本的情感分类问题,提出一种改进的随机子空间算法。挖掘特征空间中的分类信息,在生成子空间的过程中,利用权重函数对特征进行分类能力评估,以较大概率选择分类能力较好的特征维度,保证分类精度;扩大选择的子空间维度,选择具有分类能力的特征,通过主元分析对子空间进行降维,保证算法效率和子空间多样性。实验结果表明,该算法分类精度达到91.3%,比基准算法具有更好的性能稳定性。 基于LDA模型和AP聚类的主题事件抽取技术 目前,事件抽取技术一般是对文本的事件信息进行直接抽取,忽略了文本的信息结构,并且抽取结果易受文本词语分布的影响。本文对文本的概念层级结构进行分析,并提出一种基于二次聚类并再划分的主题事件抽取方法。该方法可以提取文本的主题-事件层次化信息,并通过信息词的二次提取减小相关事件信息的干扰,优化抽取结果,并利用事件时间轴展示出事件的发展状态信息。实验结果表明本方法可以有效地提取文本的主题事件信息。 一种基于B~X树的移动对象混合索引方法 为实现移动对象当前及未来位置索引,提出了一种自适应SABX树,考虑到移动对象在现实世界中分布密度不同的特点,利用时间划分和空间填充曲线技术计算移动对象位置信息,并引进了一个以秩的范围为标识的Hash辅助索引表,给出了SABX树的插入、删除、更新方法以及范围查询算法。实验表明,该索引结构的动态更新性能和查询效率优于BX树和传统的TPR树。 移动网络通信入侵干扰信号优化检测仿真 对移动网络通信入侵干扰信号的优化检测,能够有效的对无线网络的入侵信号进行快速截获,保证网络的安全性。对通信入侵干扰信号的检测,需要设计熵特征检测器,给出通信入侵干扰信号检测门限,完成对网络入侵信号的优化检测。传统方法先对干扰噪声进行估计,对通信入侵干扰信号特征进行提取,但忽略了给出通信入侵干扰信号检测门限,导致检测精度偏低。提出基于熵特征的移动网络下通信入侵干扰信号优化检测方法。上述方法先基于概率统计方法提取干扰信号频谱特征,计算移动网络下所有幅值出现的总概率,利用经验模态分解方法分离出通信入侵干扰信号谐波分量,给出干扰谐波分量与目标回波在时频域上能量分布特征差异,设计熵特征检测器,给出移动网络下通信入侵干扰信号检测门限,完成对移动网络下通信入侵干扰信号优化检测。实验结果表明,所提方法检测精度高,可以有效地消除移动网络下通信入侵干扰信号。 利用增强烟花算法对RFID网络进行规划 为了实现面向复杂环境下的RFID(Radio Frequency Identification)网络规划,提出利用增强烟花算法,并采用分层方法来实现多目标RFID网络的规划。通过建立优化模型,在满足标签100%覆盖率、部署更少的阅读器、使用较少的发射功率和避免信号干扰四个目标的基础上,使用标准基测试集进行测试,与GPSO(Global topology Particle Swarm Optimization)、VNPSO(Von Neumann topology Particle Swarm Optimization)、GPSO-RNP(Global topology Particle Swarm Optimization-RFID Network Planning)和VNPSO-RNP(Von Neumann topology Particle Swarm Optimization-RFID Network Planning)四种算法进行了对比分析。实验结果表明,增强烟花算法在对多目标RFID进行网络规划时表现更优异,可以更有效地求出最优化方案。 产业链协同商务平台动态数据交换技术 针对产业链协同商务平台数据交换动态性需求,提出了面向产业链协同商务平台的动态数据交换解决方案。该方案以数据交换代理模型的设计与实现为核心,建立了以平台为核心的数据交换规约,对基于可扩展标记语言的条件规则库、基于Web服务的平台端数据交换接口等相关技术进行了研究,设计和实现了平台端和客户端数据交换适配器,实现了用户身份和交换业务关键字驱动的动态数据交换。通过在汽车产业链协同商务平台上进行的应用,证明了研究成果能满足平台数据交换对象和交换内容的动态性需求。 基于子模式的关系数据到图数据ETL方法研究 图数据库在解决多层关系查询、社区发现等问题时性能优于关系数据库。然而目前大量的数据以关系数据的形式存储,如何高效完整地进行关系数据到图数据的ETL,即抽取、转换、加载,是图数据库应用领域研究的重要问题。国内外对该问题有了一些研究,但存在转换后的图数据质量不高、转换效率低、转换结果不利于分布式存储等问题。因此,提出基于子模式的关系数据到图数据ETL方法,改进原有ETL方法的流程和算法。该方法将关系数据库模式拆分为若干个子模式,并行进行ETL。不仅提高了ETL的效率,转换结果能满足图数据的分布式存储要求,也可以作为Spark GraphX计算框架的基础数据。最后,使用Java EE和Neo4j开发了原型系统,并进行了实验验证。结果表明,改进后的ETL方法获得了较已有方法更好的转化性能。 基于改进亚仿射变换的图像信息隐藏算法 为改进仿射变换的自身安全性,提出一种基于改进亚仿射变换的图像融合信息隐藏算法。通过改进的亚仿射变换对秘密信息图像做预处理,将密钥从6个提升到8个,并结合Logistic混沌序列利用图像融合技术完成秘密信息的嵌入。实验表明,该亚仿射变换有较好的置乱效果和安全级别,而Logistic混沌序列相比于传统隐藏算法中的混合因子具有更大的密钥空间,能提高图像隐藏的安全性。 基于变力度组合覆盖的信号处理软件测试用例生成 信号处理软件参数间交互关系复杂多变、冗余无效参数多、参数有效等价类难以确定,传统测试用例设计方法设计的测试用例规模大,测试效果不明显.针对该问题将变力度组合覆盖技术应用到信号处理软件测试用例设计中,结合信号处理软件算法高密度的特点给出该技术应用的一般方法.基于算法可形式化表达特点,提出一种优化覆盖需求的方法.试验结果表明,优化后的覆盖需求对应的测试用例规模减小了,而且测试用例的质量提高了. 基于容器技术的云计算资源自适应管理方法 云计算的发展使得越来越多的软件应用选择云平台作为部署平台。为了应对动态变化的工作负载、应用场景和服务质量目标,应用提供商希望能以一种可伸缩的方式对云计算资源进行动态调整。基于虚拟机的资源管理较为重载,难以实现细粒度的资源动态调整与混合云中跨平台的服务快速迁移。容器技术在一定程度上弥补了虚拟机的不足,然而传统的资源管理方法在诸多方面并不十分适用于容器技术。针对这一问题,提出了基于容器技术的云计算资源自适应管理方法,设计了更适用于容器的资源架构方案与资源之间的调度方式。与传统的线性建模方法不同,所提方法使用非线性函数对云计算资源进行更加精确的建模,同时用遗传算法进行参数调优,使得自适应调整响应更快、总体性能更好。所提方法还针对不同容器多维度的异构性,合理分配容器部署位置,提高物理资源利用率。此外,所提方法结合了容器技术多方面的底层特性,在分配负载等方面进行适应性调整。最后通过实验分析初步确认了所提方法的有效性。 MANET中基于连通支配集的组合权值簇生成算法 对移动Ad Hoc网络(MANET)中的分簇机制进行了研究,提出一种基于连通支配集的组合权值簇生成算法(WCACDS),包括分簇算法和簇结构维护策略。通过节点的移动性、最小平均发射功率、能量消耗速度三方面的组合权值来量化节点的综合性能,利用改进后的求解连通支配集算法对节点分簇,以使性能较强的节点担任簇头,并且减少分簇数量。仿真实验结果表明,所提算法有助于提高网络负载均衡能力,增强网络的健壮性及稳定性。 基于遗传算法的业务流程测试 随着软件在各个领域的广泛应用,人们对软件可靠性的要求不断提高。作为保障软件可靠性最重要的手段,软件测试所受到的关注也日益增加。在传统的黑盒功能性测试当中,单个模块的功能测试得到了很好的解决。然而在大型软件的集成测试时,由于软件所涉及的业务流程较多,模块较多,如何在黑盒功能性测试当中尽可能地完全覆盖所有的业务流程以及所对应的功能模块,常常需要软件测试人员人工定义。文中通过对遗传算法的研究,提出在集成测试时借助于遗传算法来产生测试用例,最大程度地覆盖所有的业务流程以及应用模块关联。将此前集成测试时,需要测试人员人工定义的大量业务流程以及模块关联转变为自动化产生,极大地提高了软件测试的自动化水平,提高了软件测试的效率。 中心辐射层次式拓扑极坐标算法 针对网状、树状网络拓扑反映网络层次、通断状态和单屏展现不足的问题,研究提出了一种基于极坐标算法绘制中心辐射层次式拓扑图形的实现方式,实现了拓扑图形的自动分层显示和动态更新,能够高效清晰地呈现网络设备连接关系,研究成果已在多个单位得到了实践检验,为强化网络管理与维护提供了重要手段. 基于多态多值决策图的多态故障树重要度计算方法 为了提高复杂系统优化的效率、降低优化成本,提出一种基于多态多值决策图的多态故障树重要度计算方法:通过将不同事件转化为相应变量状态,实现了多态故障树分析向多态多值决策图的转化;基于多态多值决策图模型,给出了5步骤的重要度计算方法;通过集成重要度计算案例的分析对比验证了所提方法的正确性和有效性,并通过算法复杂度比较,证明了基于决策图的系统重要度计算方法比基于马氏贝叶斯网络方法的多态故障树的集成重要度计算方法效率更高。 云计算安全审计技术研究综述 "目前安全问题已经成为阻碍云计算推广和发展的巨大障碍,云计算环境特有的数据和服务外包、虚拟化、多租户和跨域共享等特点使得其面临的安全威胁相比传统IT环境更复杂多样,对安全审计技术也提出了更高的要求。首先分析了云计算环境下安全审计面临的主要挑战,提出云环境下的安全审计参考框架,从用户维、业务维、数据维、设施维等4个维度上对云环境进行全方位的""体检""。然后针对不同维度,围绕日志审计、存储审计、配置审计3个方面的研究进行了评述,以期为我国未来云计算安全审计的发展研究提供有益的参考。" 基于模糊推理的炮控系统性能评估与故障诊断 分析了坦克炮控系统技术状况评估的特点和难点,建立了炮控系统层次化技术状况评价体系,针对炮控系统的各种信号属性,分别设计了电流电压信号、功率信号、液压流量信号和开关信号的隶属度函数,结合工程实际,利用模糊层次分析法确定了各性能指标权重,设计了综合模糊推理机,依据指标权重系数的不同,不但实现了系统的性能评估,而且优化了故障诊断推理过程,并结合某大型测试系统,实现了炮控系统性能与故障的同步管理。 一种基于分层图的改进SPFA算法 针对数据结构课程教学中顶点数受限的最短路径问题,提出一种基于图分层的改进SPFA算法——K_SPFA。借鉴图分层思想,将原图拓展为层数与顶点限制数相等的图层,将原图中的边拓展成图层间的边。利用2个同步循环的FIFO队列和贪心策略,对SPFA算法的数据存储结构和最短路径更新操作进行改进,从而实现原图中顶点数受限的最短路径寻找。实验结果表明,K_SPFA具有较低的平均时间复杂度。 一种运载火箭高码率遥测系统设计方案 高码率是我国运载火箭遥测系统PCM-FM体制未来发展方向之一;为解决高码率数据传输过程中出现的无线作用距离下降和基带传输距离不足的问题,参考目前通信领域的技术发展前沿,提出了采用多符号检测(MSD)和Turbo乘积码(TPC)技术解决高码率遥测无线作用距离下降的方案,可在保持箭上发射机输出功率和地面接收天线直径不变的情况下额外获得约6 dB的信道增益,确保测量数据通信正确可靠;同时采用了多级树形拓扑结构、新型基带通信协议和帧格式设计方法解决了高码率条件下基带数据传输距离不足的问题,并以某新型运载火箭为例,给出了高码率遥测系统的组成构架结构。 关于用户交互网站产品销售潜能预测仿真研究 在对用户网站产品销售潜能优化预测时,由于用户多样性,数据存在随机性,传统的预测分析方法中服务器容量有限与统计对节点属性的相似性指标有约束,无法准确地分析虚假用户信息,对用户活跃度分析准确性差的问题。提出一种关于用户交互模型,采用会员网站对每日预测进行用户量分析,利用幂率分布交互模型统计出网站的后台访客数据,通过节点属性的相似性指标对会员用户进行预测,在拓扑结构中提取用户活动信息。建立网站系统用户交互挖掘模型追踪用户每日网站活跃度,并且将活跃度信息通过阈值过滤,进行综合每日数据量。仿真结果表明,提出的方法不仅实现了网站每日的点击量的统计,还实现了会员每日活跃状态的分析,使动态量分析的更加精准,为用户销售潜能优化预测提供了科学依据。 基于LabVIEW9.0的虚拟信号发生器的设计 文中简要地介绍了虚拟仪器和LabVIEW的概念及特点,并应用虚拟仪器技术LabVIEW9.0软件开发平台的设计特点结合常规信号发生器的功能设计实现了一虚拟信号发生器。此次设计的虚拟信号发生器的设计结果不仅可以输出正弦波、三角波、方波和锯齿波等基本函数波形,还可以利用公式选择输出公式波形,及通过选择噪声类型输出多种噪声波形。该虚拟信号发生器界面友好,通过操作前面板上的按钮,就可以执行完成相应的信号处理要求,输出相应的波形信息。此系统操作简便,适用于教学、科研等领域。 数据时效性判定问题的求解算法 数据的时效性问题是影响数据质量的重要因素之一.时效性差的数据会对企业决策和人们的日常生活带来许多不利影响,这使得判定数据的时效性成为必要.许多应用数据库中都没有完整、清洁、可用的时间戳,从而导致数据时效性的判定非常困难.冗余记录和时效约束能够在时间戳缺失的情况下有效地辅助恢复数据的时序关系,因而能够帮助数据时效性的判定.文中研究包含冗余记录的集合在给定时效约束下的时效性判定问题,并首次提出了时效性判定问题的求解算法.首先,文中定义了查询相关时效性和用户相关时效性.在判定查询相关时效性时,文中将查询归结为最新值查询和时效序列查询两类,并分别根据两类查询的特点,对每类查询定义了查询结果时效性和平均时效性.然后,文中提出了时效图的概念.利用时效图,文中给出了查询相关时效性和用户相关时效性判定问题的求解算法.最后给出了真实数据和虚拟数据上的实验结果,验证了文中算法较高的执行效率,并分析了各个参数对算法的影响. 远程视频图像人体运动特征监控仿真研究 对运动人体的运动特征进行检测时,一旦采集区域与检测目标距离较远,引进人体运动特征在进行提取时会发生明显的形变,导致无法获取图像序列中稳定的运动特征变化规律,当前的算法面对这种远程特征时,由于特征退化,只能通过多次采集的方式进行弥补,多次采集对识别效率造成的了较大的影响。提出采用免疫遗传算法的网络视频远程监控方法。利用免疫遗传算法的群体遗传理论进行网络视频远程监控,并将异常运动目标区域内的颜色直方图分布作为抗原,以目标区域的异常运动特征作为样本,搜索与异常运动特征样本最为相近的区域,并定义为抗体,在将抗体与抗原之间的亲和力表示为抗体特征与抗原特征间的匹配程度,利用证据理论进行异常运动特征点的匹配,完成对网络视频远程监控中的异常运动特征识别。仿真结果表明,改进算法对异常运动特征提高了识别的准确性,为远程运动目标监控的准确识别提供了科学依据。 基于匹配滤波的虹膜环状线条检测的预处理方法 虹膜环状线条是虹膜特定纹理形状之一。针对于环状线条具有弱信号性、边缘不清晰、采用常规的边缘检测方法非常容易漏检和误检的问题,提出了一种新的虹膜预处理方法来提高检出率,降低误检率。定位瞳孔圆心与半径,得到包含有环状线条的感兴趣区域(ROI)。由于环状线条在整体上具备线状的特征,在截面灰度分布上符合高斯曲线的分布特征,设计与环状线条相似的改进二维匹配滤波器增强环状线条的信号强度,提高信噪比。将预处理后的虹膜图像与直接采用Canny算子检测的图像进行实验对比,检出率有了较大的提高,同时降低了误检率。 一种多约束关联挖掘算法 约束关联挖掘是在把项或项集限制在用户给定的某一条件或多个条件下的关联挖掘,是一种重要的关联挖掘类型,在现实中有着不少的应用。但由于大多数算法处理的约束条件类型单一,提出一种多约束关联挖掘算法。该算法以FP-growth为基础,创建项集的条件数据库。利用非单调性和单调性约束的性质,采用多种剪枝策略,快速寻找约束点。实验证明,该算法能有效地挖掘多约束条件下的关联规则,且可扩展性能很好。 基于三角范数的引力搜索算法分析 分析了由Esmat Rashedi提出的引力搜索算法(GSA)之后,对万有引力公式进行变换,用三角范数的其他算子代替万有引力公式中两个粒子惯性质量之间的乘法算子。分析不同三角范数算子的二维图像的特征之后,选择了三角范数中的5个算子进行实验。实验结果表明,对于具有一定三维图像特征的测试函数,使用相应三角范数算子的引力搜索算法对其全局搜索的能力相对地好于使用其它三角范数算子的改进引力搜索算法。 混合概率典型相关性分析 典型相关性分析(canonical correlation analysis,CCA)是一种用来分析2组随机变量之间相关性的统计分析工具,但作为一种线性数学模型,CCA不足以揭示真实世界中大量存在的非线性相关现象.采用局部化的方法,在概率典型相关性分析(probabilistic CCA,PCCA)的基础上,使用概率混合模型框架,提出了混合概率典型相关性分析模型(mixture of probabilistic CCA,MixPCCA)以及估计模型参数的2阶段期望最大化(expectation maximization,EM)算法,并给出了使用聚类融合确定局部线性模型数量的方法和MixPCCA模型应用于模式识别的理论框架.在手写体数据集USPS和MNIST上的实验证明,MixPCCA模型通过混合多个局部线性PCCA模型不仅提供了一种捕捉复杂的全局非线性相关性的解决方案,而且还具备检测只在局部区域才存在的相关性的能力. 基于分段语义比较的语句相似度计算方法 为了能更为准确地计算两个语句之间的语义相似度;提出了一种基于分段语义比较的语句相似度计算方法。将语句分成主干部分和修饰部分,并根据设定的语法规则,对修饰部分进行更为细致的划分;计算语义相似度时,将计算过程分为两部分:主干部分计算、修饰部分计算,并赋以不同权值;能够按照语法和语义结构,更为合理、细致地计算出两个语句之间的语义相似程度。实验结果表明了该方法的能够取得较好的计算结果。 虚拟信标节点:一种提高定位精度的新机制 如何提高定位精度是无线传感器网络节点定位的核心问题之一.定位机制根据在定位过程中是否需要测距可分为基于距离的定位机制与距离无关的定位机制.其中距离无关的定位机制由于具有低成本、受环境因素影响小等优点而得到研究者的普遍关注.提出一种新概念:虚拟信标节点.基于此给出一种可提高一类距离无关定位算法定位精度的新机制,并以改进APIT算法为例说明该机制.仿真结果表明,与APIT算法相比,改进算法在定位精度上得到较大提高. 彩色图像SUSAN边缘检测方法 传统的彩色图像边缘检测方法主要是基于灰度图像的,先将彩色图像转化为灰度图像,然后用灰度图像边缘检测方法检测边缘。这些方法利用彩色图像的亮度信息进行边缘检测,没有考虑其色度信息。因此部分边缘不能被检测出来。提出了一种基于CIELAB空间的SUSAN彩色图像边缘检测方法。该方法首先将彩色图像从RGB空间转换到CIELAB空间,然后用基于色差的SUSAN算子检测边缘。实验结果表明:此方法能有效地检测出彩色图像的边缘。在保留图像边缘方面,性能优于基于灰度图像的边缘检测方法。 两种新的语音自适应增强算法研究 针对自适应语音增强中需要已知参考输出信号的问题,提出了两种自适应增强算法:该算法只有语音的噪声观测一个输入,无需输入信号的延时量。用谱减法估计期望信号,以此作为滤波器输出信号的参考信号;以滤波器的输出与参考信号的差值为误差信号;用LMS和RLS算法分别求得滤波器权系数修正量;采用块技术和符号技术减少权系数修正的运算量,提高算法的收敛速度。在各种信噪比下对不同的语音进行仿真实验,并与改进的谱减法比较,结果表明,该两种算法的增强效果均优于改进的谱减法,在信噪比为3dB时增强效果仍能令人满意。 一种支持MDA的UML类图到RDB模型的转换方法 UML被MDA用来描述各种模型,成为建模语言事实上的标准。但是,由于UML类图中缺少对关系数据库的实现的约束,使得类图转换到的关系数据库模型不唯一,不能充分体现设计者对数据库的设计意图。这不利于MDA中PIM模型和关系PSM模型的双向转换。为解决以上问题,本文提出一种通过添加构造型和OCL约束来扩展UML类图的方法,以加强类图中数据之间的关系及约束,使PIM模型能够唯一地转换到PSM模型。最后,采用QVT模型转换方法将扩展后的UML类图转换到关系数据库模型,并结合例子给出了UML类图的关联、继承、组合和聚合关系等到关系数据库模型的转换规则和方法。利用本方法可以使UML类图到关系数据库模型的转换结果唯一。 利用CSP求解极小碰集的方法 基于模型诊断是人工智能领域中具有挑战性的问题,包含了很多人工智能中的关键问题,其研究对整个人工智能领域起着重要推动作用.在基于模型诊断中,候选诊断结果通常由所有极小冲突集对应的所有极小碰集所描述,求出所有极小碰集是其核心问题之一.提出一种将极小碰集问题转换为约束满足问题的方法,该方法调用成熟的CSP求解器进行求解,扩展了约束可满足问题的应用领域.首次提出hard-冲突集和soft-冲突集的概念,并给出利用所提的方法分别求解具有一些特征的极小碰集:小于固定长度、不含特定元素及包含hard-冲突集和soft-冲突集.实验结果表明,提出的方法易于实现、扩展性强,对于特定类型极小碰集问题的求解效率较高. 工业生产中产品目标监测界面可用性评估仿真 在工业生产中对产品目标监测界面进行评估过程中,传统方法需要设计一种评估工业生产界面目标菜单项的计算方法,利用上述方法完成工业监测可用性数据评估,但无法得到产品评估指标在聚类中的权重,导致计算过程繁琐、评估准确度差的问题。提出基于熵权理论的工业生产中产品目标监测界面菜单可用性评估模型。获取菜单可用性评估对象的评价指标集,由评价指标集得到待评价指标的评价矩阵,将菜单可用性评价指标进行模糊处理,得到菜单可用性评估指标的熵权及其综合权重集,并组建工业生产中产品目标监测界面菜单可用性评估模型。实验结果表明,所提模型可以精确地对工业生产中产品目标监测界面进行可用性评估,且评估效率较高。 遗传算法在蓝牙scatternet组网中的应用 蓝牙ad-hoc网络包括微微网与散射网两种组网方式,可以实现短距离无线通信,并能较好的满足实际需求。其中,散射网的拓扑结构与组网方式很大程度上影响与制约着蓝牙技术的应用。为了提高蓝牙散射网的组网效率与性能,文中提出了基于遗传算法的组网方式,通过该算法可较好的改进散射网的形成速度。 基于F-VIKOR的质量改善部件优先级确定 针对汽车企业质量改善过程部件优先级确定问题,提出考虑顾客抱怨的多属性决策方法。利用基于粗糙集的属性约简技术构建评价指标体系,以梯形模糊数表征质量改善过程小组的决策信息,提出改进模糊多准则妥协解排序方法;引入信息熵获取指标权重,通过计算综合效用值函数得出质量改善过程部件优先权排序。通过实例与文献中决策方法的对比分析可知,第一优先改善部件的决策结果高度一致,优于企业现行决策方法;当效用权重变化时最佳选择方案呈现高鲁棒性。 基于OCL的本体模型校验方法 "将集合关系理论与本体模型相结合,同时引入并扩展面向对象中的OCL(Object Constraint Language)语言,提出一种基于OCL的本体校验方法。该方法从大量本体模型中抽象出一个本体定义元模型ODM(Ontology Constraint Meta-model),该元模型基于集合关系理论,将本体模型划分为""实体相关元素""和""约束规则相关元素"",并通过一系列OCL扩展函数来完成上述两种本体模型元素的形式化表示,以完成基于OCL的本体模型形式化校验。最后,通过宝钢信息共享平台车辆管理本体片段的本体模型校验实例,进一步讨论如何使用该模型校验方法实现本体模型的冲突检测和冲突消解。" 基于802.1x的NAC模型的设计与实现 对目前流行的安全接入控制系统进行分析,发现这些系统仅能解决接入用户身份的问题,并未考虑到用户所使用的终端设备是否符合安全策略要求,存在终端安全方面的安全隐患。为此,提出一个基于802.1x的安全接入控制系统模型,通过添加扩展信息的认证,限制不安全终端的接入,加强安全策略控制,保证大多数终端的安全。 基于子模式行列方向二维线性判别分析特征融合的特征提取 针对人脸识别中表情和光照变化引起的面部变化、灰度不均匀等识别问题,提出一种基于子模式行列方向二维线性判别分析(Sp-RC2DLDA)的特征提取方法。该方法通过对原图像进行子模式分块处理,能有效提取图像的局部特征,减少表情、光照变化的影响,通过把相同位置的子图像组成子样本集,合理利用了子块间的空间关系,进一步提高了识别率;同时,对各个子样本集分别利用行方向二维线性判别分析(2DLDA)和列方向扩展2DLDA(E2DLDA)进行特征抽取,得到互补的行、列方向子图像特征,并分别把子图像特征组合成原图像的特征矩阵,然后利用一种特征融合方法对行、列方向特征矩阵进行有效融合,对互补的特征空间进行融合有效地改善了识别性能;最后采用最近邻分类器进行人脸识别实验。在Yale及ORL人脸库上的实验结果表明,Sp-RC2DLDA有效地减少了表情和光照变化的影响,具有较好的鲁棒性。 基于GPS和短波的高精度时钟系统研究与设计 介绍了全球定位系统GPS、短波授时技术;在对高稳恒温晶振及其频率特性进行分析的基础上,设计了一种基于GPS卫星、短波无线电授时的高精度时钟系统;系统通过利用GPS接收处理模块、短波授时接收模块得到的秒脉冲信号、时间信息,经过信号检测,时延修正处理、性能优选,脉冲数量统计、脉冲滤波与卡尔曼算法处理、PWM脉冲调压控制,实现对高稳恒温晶振频率的校准,获得一个短期及长期频率准确度都比较优良的时间频率标准,同时利用校频后恒温晶振分频出的1pps信号对RTC时间芯片进行校准,对外输出高精度时间信息;对恒温晶振校频系统的基本工作原理及关键技术进行了详细说明,试验结果表明,在时间不长于1h内,频率准确度优于0.9*10-9;该系统实用方便,达到了将恒温晶振校准到较高指标的目的。 基于虚拟弹簧模型的柔性针穿刺仿真研究 在分析柔性针受力和挠曲的基础上,建立二维空间上柔性针穿刺软组织的虚拟弹簧模型,得到针体和针尖轨迹的数学模型。使用Matlab工具软件对针尖轨迹在不同情况下进行仿真。仿真实验结果表明,柔性针弹性变形量对针尖走向影响较小,影响针尖走向的主要因素是纵向控制坐标和针座与水平线初始夹角,且两者的叠加效应最终影响柔性针走向,可以为机器人辅助针穿刺操控提供理论基础,结合软组织变形研究,能够实现柔性针穿刺的轨迹规划和避障运动。 血管压迫性三叉神经痛MR弥散张量成像研究 目的:比较血管压迫性三叉神经痛(TN)双侧三叉神经在MR弥散张量成像(DTI)上的差异。方法:回顾性分析16例经手术证实TN患者的DTI图像,其中男7例,女9例,年龄32~71岁。3D Space序列行三叉神经脑池段脑干薄层轴位T2WI扫描,单次激发平面回波序列DTI复制Space序列的扫描位置。测量症状侧及正常侧三叉神经的平均及最小FA值,并行配对t检验。结果:TN症状侧平均FA值与最小FA值分别为0.249±0.06和0.199±0.07,显著小于正常侧平均FA值与最小FA值(分别为0.283±0.07和0.262±0.07;t=-4.999和-8.336,P均<0.005)。结论:DTI能够量化评价血管压迫性TN三叉神经的异常变化,有助于诊断。 无线传感器网络数据融合技术 数据融合技术是无线传感器网络的一个关键技术,能减少传感器节点间的传输量,从而明显提高网络感知效能,延长网络生命周期,减小时间延迟。通过对尚处于研究阶段的数据融合技术进行详细分析与研究,阐述了数据融合技术的重要性,并分类介绍了现有的主要数据融合方法,最后指出该研究领域当前面临的挑战以及需要进一步研究的方向和有前景的研究课题——压缩感知。 PDM组件开发及其在多种应用软件中的集成 为实现面向具体用户的PDM客户端应用系统的二次开发,提出基于PDM数据库表访问的通用组件开发技术。介绍了配置文件SQL.cfg的原理和关键技术,它是集成不同PDM系统的基础。结合某PostPDM系统及其数据库表的研究分析,设计开发出了一套通用组件和专用组件,实现了该PDM系统的权限管理、流程管理、文档管理等功能调用。介绍了该套组件的主要功能及其集成方法,示范了基于该套组件的Word、Excel、AutoCAD等应用软件的PDM客户端系统的集成开发。 批发定价权转移下的制造商促销与内生时机 在由单个制造商和强弱零售商组成的供应链中,给出三种博弈时机下的均衡结果求解过程,然后探讨定价权转移、促销转移,以及从众行为对均衡结果的影响;研究供应链的内生时机,并通过数值仿真探讨卖场流量对成员决策和内生时机的影响。研究结果表明:在三种博弈时机下,制造商通过促销转移能够与弱势零售商分享强势零售商的部分利润,此时从众行为降低了强势零售商利润;强势零售商领导是供应链内生时机,并且卖场流量不影响这种内生时机。 基于虚结点方法的在线实体指代项识别 在自然语言处理中,实体指代项识别通常被看作是序列标注任务进行处理。而实体指代项又是由多个连续的序列标注子任务组成的。这些子任务包括切分识别、实体识别和指代项识别。传统的管道方法经常会导致每步间的错误向下传递。级联方式的联合模型会带来大量的标记。虚结点方法同时规避了上面两个方法的缺点。系统采用虚结点的在线联合模型,不仅减少了训练时间,性能也比普通的在线联合模型要好。 XML集成中关系数据的动态转换方法 在关系数据库向XML集成的过程中,静态转换方法对关系数据进行一次转换,无法满足实际应用中某些特定关系数据的转换需求。为实现动态转换,在静态转换系统通用映射模型的基础上提出动态转换系统,给出相应的动态转换算法。通过实例验证该算法的有效性和通用性。 面向航天器设备的数据管理应用研究 针对航天器设备数量和数据业务复杂度的不断提升,提出了面向航天器设备的数据管理解决方案,为设备提供可靠性高、实现简单的数据接口,管理设备工作程序,监测设备状态,共享设备数据。设计利用FPGA高速数据处理能力及SoC动态调度特性结合的方式,针对不同数据特性,采用中低速率和高速率通道独立处理、统一调度的管理方式。并提出面向应用过程的业务数据管理方法,实现多设备间的灵活数据共享,具有良好的扩展性和可实现性。 基于前后向语言模型的语音识别词图生成算法 为了克服语音识别中单遍解码词图生成算法速度较慢的缺点,提出一种基于前后向语言模型的两遍快速解码算法。两遍解码分别采用前向与后向语言模型,同时通过优化以减少前后向语言模型不匹配对识别结果造成的影响。实验证明,该算法在保持识别准确率的基础上有效地提升了解码速度。 基于簇聚类和游程编码的正则表达式压缩算法 基于簇聚类的确定型有穷自动机(DFA)压缩算法,即ClusterFA算法,解决了正则表达式匹配中的空间爆炸问题,但该算法的分组个数取理想值较为困难,且其类中心向量表的每一行中连续重复转移状态出现频率较高。针对该问题,提出一种改善ClusterFA算法的方案EnClusterFA。提取类中心向量表行与行之间相同的首尾部分,并对其进行游程编码以建立索引表,对类中心向量表余下部分的转移状态进行游程编码。利用该方案对Bro,Snort和L7-filter规则集进行测试,实验结果表明,除了L72和L76规则集的压缩率分别提高到96.1%和98.1%之外,其他规则集的压缩率都提高到99%以上。与ClusterFA算法的压缩率相比,EnClusterFA平均提高了4%,证明EnClusterFA能够有效地提高DFA的压缩效率。 基于云计算技术的全媒体服务平台架构研究 云计算技术在传媒界的应用已经为业态发展和竞争带来了显著的变化。而且云计算在未来的市场规模、发展潜力及作用也开始得到传媒界的普遍重视。电视、报刊、网络等不同类型的媒体都开始积极推动新媒体技术的应用和发展,力求借助其促进自身的进步。全媒体是未来媒体存在和发展的基本形态,单一形态的媒体市场的竞争中得到持久的发展。云计算和全媒体,一个是未来媒体赖以发展的技术基础,一个是媒体发展形态,正确认识两者之间的辩证关系对于媒介发展至关重要。 改进的概率潜在语义分析下的文本聚类算法 概率潜在语义分析(PLSA)模型用期望最大化(EM)算法进行参数训练,由于算法参数的随机初始化,致使聚类的效果过度拟合且过分依赖于参数初始值。将潜在语义分析(LSA)模型参数概率化,用以初始化概率潜在语义分析模型的参数,得到的改进算法有效解决了参数随机初始化问题。经实验验证,所提出的方法对文本聚类的归一化互信息(NM I)和准确度都有明显提高。 基于离散对数的无证书代理签名方案 对已有无证书代理签名方案进行研究发现,基于离散对数知识构造的无证书代理签名方案几乎没有,结合有限域上的离散对数知识和无证书密码体制的优点,提出了一种高效的、基于离散对数的无证书代理签名方案。该方案避免了基于身份密码体制中的密钥托管问题和证书密码体制中的证书存在问题,满足代理签名的不可伪造性、代理密钥的依赖性、代理签名的可区分性和抗滥用性等良好性质。整个方案没有使用双线性对操作,在有限域上离散对数问题难解的条件下证明和讨论了方案的正确性和安全性。 有限转角直流无刷电机的建模与实现 有限转角直流无刷电机是靠改变输入的PWM的占空比驱动的,由于系统存在多变量和非线性,传统的方法难以建立准确的模型,导致控制精度低。提出一种采用对输入PWM进行采样计数的方法建立PWM与电机电枢两端电压的关系,再辅助ISE开发FPGA对电机进行模型实现的新方法,建立了一套测试系统中有限转角直流无刷电机仿真系统模型。通过模型校准和仿真结果分析,证明了方案的有效性。将模型进行FPGA实现,代替实际电机应用于测试系统中,验证了模型具有高精度与可靠性。 基于小波阈值去噪的麦克风阵列语音增强方法 现有的麦克风阵列语音增强方法中的延迟-求和波束形成算法只对不相干噪声或弱相干噪声有一定的消噪能力,如果语音中混有较强相干噪声,则此传统的方法对其没有消除能力。针对这个局限性,文中把小波阈值去噪的方法与传统的延迟-求和波束形成算法有效结合,使其对相干噪声也具有很好的消噪能力,同时减少由于噪声的存在而引起的时延估计误差,提高时延估计的准确性,使最终求和结果更好。通过仿真结果表明,这种改进方法可以改善最终语音效果,提高语音清晰度,使人耳更好地接受。 对TAKASIP协议的分析和改进 会话初始化协议(SIP)提供了认证和协商会话密钥,能保证后续会话的安全。2010年,Yoon等(YOONE-J,YOO K-Y.A three-factor authenticated key agreement scheme for SIP on elliptic curves.NSS’10:4th InternationalConference on Network and System Security.Piscataway:IEEE,2010:334-339)提出一种新的三要素SIP认证密钥协商协议TAKASIP。但TAKASIP协议不能抵抗内部攻击、服务器伪装攻击、离线口令猜测攻击、身份冒充攻击和丢失标记攻击,并且没有提供双向认证。在TAKASIP协议基础上提出一种基于椭圆曲线密码三要素SIP认证协议ETAKASIP以解决上述问题。ETAKASIP基于椭圆曲线离散对数难题和椭圆曲线密码系统,提供了高安全性。该协议只需7次椭圆曲线点乘运算、1次椭圆曲线加法运算和最高6次哈希运算,有较高的运算效率。 基于改进ACO与分布式社区检测的WSN路由协议 在无线传感器网络(WSN)的研究中,兼顾能量消耗和数据传输的可靠性是个非常棘手的问题。基于此,将改进蚁群优化的元启发式算法与复杂度低的分布式社群检测的标签传播技术相结合,提出了一种基于改进蚁群优化算法与分布式社区检测的WSN路由协议,新的路由协议在WSN中创建社群,并通过群集智能在社区内传送数据,从而实现能量消耗的平衡,它在构建和维护路由路径时具有较低的内存开销。此外,新的路由协议通过基于数据转发策略中社群之间的确认机制实现数据传输的高可靠性。仿真结果表明,路由协议在实际吞吐量、能量消耗等方面具有明显的优势。 网格特征均衡化编辑 针对目前缺少从特征分布的角度来研究多边形网格编辑的问题,提出一种基于特征均衡化的网格编辑算法.首先对网格域上的坐标值作均衡化处理,使网格特征重新分布,再通过求解稀疏线性方程组得到新的网格模型,达到编辑网格和增强特征的目的;然后结合网格顶点和三角形重心约束在保持基本形状的基础上对网格特征进行编辑;最后通过显式地编辑网格模型的特征分布来隐式地改变模型的形状和特征.实验结果表明,该算法从网格模型内在特性出发寻找模型的内在控制机制,隐式地将整体形状控制与细节特征控制结合起来,为网格编辑和特征优化提供了新的思路. 一种基于活动序列的协同设计访问控制模型 为了确保在多用户协同设计环境中对文档及视图访问权限的动态分配与回收、提出了基于活动序列的访问控制模型,采用赋色Petri网描述并实现了模型中活动序列依赖关系约束、角色构造、分配与回收,权限冲突检测等功能。该模型将共享的文档和视图空间按照活动序列划分,把角色分配、回收与活动序列相关联,解决了使用单用户设计软件协同设计中授权用户对访问对象具有持久权限的问题。最后,以协作角色申请过程为例,说明了模型是如何实现访问权限动态分配与回收,以此说明该模型能够适应协同设计中权限随活动变化的访问控制需求。 基于遗传优化的PCA-SVM控制图模式识别 针对SVM和PCA-SVM进行质量控制图模式识别时泛化能力不足和识别精度不高的问题,提出一种基于遗传优化的PCA-SVM控制图模式识别方法。该方法的基本思想是首先基于特征子空间降维方法,运用PCA算法对原始特征样本进行主元分析,有效降低原始特征样本维数并突出聚类,提取各模式之间的主元特征;然后把此特征看成遗传算法中一组染色体,对支持向量机分类器核参数和惩罚因子进行二进制编码,通过对随机产生的一组染色体进行模式识别,并将此识别率作为遗传算法的适应度函数,通过选择、交叉和变异操作,对其参数进行自适应寻优;最后用优化的支持向量机分类器进行控制图模式识别。通过仿真进行验证,结果显示基于遗传优化的PCA-SVM分类器模型的控制图模式泛化能力强、识别精度高,可适用于生产现场质量控制。 基于稀疏表示的单帧运动图像盲复原 提出一种基于稀疏表示的单帧运动盲复原方法,它充分利用自然图像中存在的各种先验知识进行求解。该方法分为模糊核估计和图像修复两个阶段。在估计模糊核时,它运用shock滤波器从模糊图像中预测出清晰边缘,以此指导全局图像的复原,并运用多尺度策略来解决大模糊核问题。在图像修复阶段,运用稀疏表示理论对复原图像进行降噪和重建,最终提高图像复原质量。实验结果表明,在不同噪声和模糊核条件下,该算法能有效消除运动模糊。 基于信息熵的自适应网络流概念漂移分类方法 由于网络流量特征随时间和网络环境的变化而发生改变,导致基于机器学习的流量分类方法精度明显降低.同时,根据经验定期更新分类器是耗时的,且难以保证新分类器泛化性能.因而,文中提出一种基于信息熵的自适应网络流概念漂移分类方法,首先根据特征属性的信息熵变化检测概念漂移,再采用增量集成学习策略在概念漂移点引入当前流量建立的分类器,并剔除性能下降的分类器,达到更新分类器的目的,最后加权集成分类结果.实验结果表明该方法可以有效地检测概念漂移并更新分类器,表现出较好的分类性能和泛化能力. 基于SLA与用户评价的云计算信任模型 针对用户对云服务提供商缺乏信任的问题,提出一个基于服务等级协议(SLA)与用户评价的云计算信任模型。通过分析云服务提供商的SLA确认其承诺的服务质量,根据用户的评价确定云服务提供商对SLA的履行情况,综合两方面内容计算云服务的可信度。分析结果表明,借助该模型,用户可以准确地选择可信的云服务提供商。 自适应用户的Item-based协同过滤推荐算法 传统Item-based协同过滤算法计算两个条目间相似性时,将每个评分视为同等重要,忽略了共评用户(对两个条目共同评分的用户)与目标用户间的相似性对条目间相似性的影响。针对此问题,提出了一种自适应用户的Item-based协同过滤算法。该算法将共评用户与目标用户的相似性作为共评用户评分重要性的权重,以实现针对不同的目标用户,为目标条目选择不同的、适合目标用户的最近邻居集,从而提高推荐准确性。实验结果表明,提出的算法可以显著提高推荐系统的推荐质量。 基于蚁群算法的交通流诱导设计与实现 现有的交通信息系统都是基于最短路径等单一目标而建设的,这种单一目标已经不足以满足新时代下用户的交通需求。本文利用蚁群算法求解交通诱导模型的非劣解,同时针对交通空间数据的位置相关性,对蚁群算法进行基于探索范围的改进,改进的蚁群算法通过降低备选行驶节点中位置相关性低的节点的选择概率,加快蚂蚁寻求最优解的速度。 基于IOS的智能家居终端控制系统 为了更好地满足用户对生活居住需求,给用户提供更好的对智能家用电器的控制体验,提升家居生活质量,基于IOS的手机平台和平板电脑,设计了基于IOS的智能家居终端控制系统。该系统建立在IOS平台上,利用IOS系统支持的各种资源建立并且使用户使用智能手机对智能家用电器的远程控制。该系统成本低,操作灵活,界面友好,经实际使用获得了很好的用户体验。 基于删边提高网络容量的方法 考虑到网络静态拓扑对网络动态流量的影响,提出一种提高网络容量的方法。通过删除高介数的边,使数据包绕开网络中的中枢节点,从而平衡网络负载。根据边介数排序,在保证网络连通的前提下循环删边,并在不同的节点转发能力模型下进行仿真实验,结果表明,该方法操作简单、高效,不仅能提高网络容量,而且节省了维护成本。 田径运动员强度训练与心肌损伤优化建模 对田径运动员强度训练与心肌损伤关系建模,可以将运动损伤降低到最低点,是实现现代体育竞技的重要前提。进行强度训练与心肌损伤关系建模时,需要提取心肌功能相关的8项生化指标,并利用运动员在强度训练时各个指标状态间的关联性建立强度田径运动员强度训练与心肌损伤关系模型,而传统方法只是获取运动员在训练前后的肌酸激酶变化一个指标,利用上述指标进行关系建模,存在建模误差大的问题。提出基于改进条件概率约束的田径运动员强度训练与心肌损伤关系优化建模方法。先依据稀疏特征表达算法建立运田径运动员强度训练时的生化生理机制模型,提取运动员在训练对肌肉收缩的能源供应的磷酸原供能和糖酵解供能的变化特征,得到田径运动员强度训练后与心肌功能相关的8项生化指标,依据对8项指标性能指标关系的测度和运动员在大强度训练时各个指标状态间的关联性建立了精确的田径运动员强度训练与心肌损伤关系模型。仿真结果证明,田径运动员强度训练与心肌损伤优化建模可以为运动员优化训练提供科学依据。 局域网计算机远程控制系统设计与实现 基于Pstools工具包、Socket网络通信以及远程唤醒技术设计实现一个局域网计算机远程控制系统。该系统实现局域网内计算机的远程唤醒、远程关机/重启、取消关机/重启、远程锁屏、文件传输以及屏幕广播等各种管理功能,能够极大提高网管的工作效率。经实验中心实际使用,验证该系统具有很高的可靠性和稳定性,特别适用于局域网内计算机远程管理,如高校实验中心、公司企业局域网等场所。 恶意代码传播效果的控制技术研究 传统恶意代码在网络中通常尽最大能力进行传播,这种不受控制传播使得许多不具有攻击价值的主机被感染,从而影响了网络性能,另一方面,也增大了恶意代码被截获的概率。针对该问题,文中提出了一种恶意代码传播效果的控制方法。该方法从限定恶意代码的生存期、调节传播途径、限制传播范围三方面对恶意代码的传播效果进行综合调控。仿真实验详细分析比较了上述三因素对恶意代码的传播速度、感染主机数量、完成整个传播耗时等传播性能的影响。结果表明,与已有的方法相比,该方法明显提高了恶意代码的传播综合性能。 多值逻辑混合动态系统的Matlab仿真研究 模拟人的思维逻辑,分析人的思维在控制中的特点,同时融合基于泛布尔代数的逻辑控制器和基于模型的预测控制器的特点,提出一种多值逻辑混合动态系统,仿人逻辑预测控制器(HLPC)。Matlab仿真表明,该控制器在模型匹配时性能良好,在模型失配时依然能满意运行,表现出响应快、鲁棒性强、超调量小的特点。该控制器结构简单、数学概念和物理背景清晰,可应用于工业控制领域。 基于Aspen Plus的“煤拔头”工艺热解过程的模拟 在Aspen Plus对煤热解过程的模拟工作中,多使用经验关联式进行计算或将实验结果直接代入收率反应器,前者有很大的偏差,后者有很大的局限。通过将热解反应器进行分段模拟,不但考虑了热解过程的热效应,而且可以得到煤热解过程中的温度变化情况和热解产物产率,同时可以提高模拟结果的准确性。在本文的研究中,对府谷煤热解过程中操作参数的优化结果表明,将起始物料温度从650℃提高至672℃时,热解反应可以产生更多的焦油产品。 基于近邻图的k-means初始中心选择调优算法 k-means算法以其算法简单、计算效率高而被广泛应用在数据挖掘、机器学习、计算机视觉等领域。然而,k-means算法的性能严重依赖于其初始聚类中心的选取。不同的初始聚类中心导致k-means算法的聚类结果变化很大。一个合理的方式是选取处在数据相对密集区域的数据样本作为初始聚类中心。鉴于此,提出一种基于数据近邻图的k-means初始中心选取算法。该算法分为三个阶段:1)构建数据集的局部近邻图;2)选取初始聚类中心的候选集合;3)确定恰当的初始聚类中心。实验结果表明,该算法选取的初始聚类中心是合理的,同时,可以加快k-means的收敛速度。 基于优先选择和记忆效应的观点动力学研究 针对社会群体中观点共享的现象,考虑节点间交互存在偏好选择(优先选择),以及节点对异己观点存在记忆效应,扩展Deffuant模型,建立一种新的观点动力学模型。采用优先选择策略,使非均匀网络达成一致观点;在网络只选用优先选择策略而不考虑节点记忆效应时,网络一致性观点的形成仍依赖于置信值的取值。在网络考虑节点记忆效应后,不仅能够促进网络达成一致观点,而且在置信值很小的情况下,网络也能达成一致观点。研究结果表明,随着置信值的增加,网络达成一致观点所需的最小观点更新次数逐渐减少。 一种面向DRM的多媒体社交网络信任模型 在多媒体社交网络中,用户间的信任关系直接影响数字内容的分享与传播方式。为准确评估用户间的信任关系,引入数字内容可信反馈、反馈权衡因子、用户分享相似性等分享特性因子,基于小世界理论,建立一种面向数字版权管理的多媒体社交网络信任模型MSNTM。实验结果表明,MSNTM能够实时动态地更新用户间的信任值,准确度量信任关系,并识别分享虚拟社区中的恶意用户。 基于单向累加器的高效可分电子现金方案 基于单向累加器理论和二叉树技术,提出了一个同时具有用户匿名性和交易无连接性的离线可分电子现金方案,方案无需可信第三方参与;加入了概率验证算法,既能有效震慑不法用户、保证银行利益不受损失,又能有效降低系统开销。方案安全性基于决策Diffie-Hellman(DDH)假设、计算离散对数困难性假设以及单向散列函数存在性假设。 基于ViBe的运动目标检测改进方法 针对运动目标检测算法视觉背景提取(visual background extractor,ViBe)对光线突变适应缓慢和易产生目标丢失等问题,提出一种ViBe的改进算法。充分利用ViBe算法初始化特点,在ViBe中加入光线判定方法并设计生成ViBe新背景模型的方法,改变原始ViBe算法结构,使ViBe算法在光线突变时找到合适的帧重置背景模型,将光线突变时模型恢复问题变为模型重置问题,达到迅速适应光照突变的目的。实验结果表明,使用该方法可以使ViBe有两方面的改进:在光线突变时不会产生目标丢失;使ViBe算法更加快速地适应光照变化。 基于隐动态条件神经域的在线行为识别方法 针对视频中连续的未分割人体动作识别存在的一些问题,提出一种基于隐动态条件神经域模型(latent-dynamic conditional neural fields,LDCNF)的在线行为识别方法。LDCNF模型含有两个隐层,在潜动态条件随机场(LDCRF)的基础上,增加一层神经网络层,即门层,提取输入数据和输出标签间的非线性关系;增加一种新规则项训练该模型,辨别动作序列隐状态间的差异性。在仿真实验中,针对10种连续的行为动作,将该算法与条件随机场(CRF)、HCRF、LDCRF进行识别效果的对比。实验结果表明,对于联机处理行为序列,该算法相比于CRF、HCRF、LDCRF模型具有更好的识别率。 高超音速飞行器鲁棒控制器设计 军事变革和信息化战场环境的变化,促使空天攻防作战成为未来作战的战场之一;临近空间高超音速飞机和导弹会对传统攻防体系带来颠覆性冲击;复杂环境对高超音速飞行器控制技术的发展提出了严峻的挑战,文章针对吸气式高超音速飞行器在飞行包线内动态特性易变、稳定性较差、不确定因素较多以及对外界扰动敏感以及整个执行机构控制能力弱和动态特性低等的控制问题,首次提出了一种能同时抑制扰动和模型不确定性的基于优化控制的鲁棒控制方法,保证飞行器能得到很好地控制,快速响应环境变化并很快回到稳定飞行的状态;采用线性矩阵不等式方法来设计飞行控制系统,给出了不确定系统稳定的条件,将反馈稳定问题转化为了一个最优控制问题;同时将闭环极点在一定区域内参与优化,进一步提高优化效率;与文献方法仿真对比结果证实了文章方法的优越性。 伺服驱动器自动测试技术研究综述 为设计和改进伺服驱动器自动测试方法,对现有的相关研究工作进行了分析与对比,包括伺服驱动器的测试平台和故障诊断方法,指出了现有测试方法存在的问题,对今后可能的研究方向和方法进行了展望。 基于上下文的领域本体概念和关系的提取 目前本体学习的研究重点在于概念及关系的提取,概念提取领域一致度与领域相关度相结合的方法取得了比较好的效果,而关系提取则主要采用基于关联规则的方法。这种本体概念、关系学习方法由于只考虑词频,提取结果准确性欠缺。针对这种缺陷,在统计的基础上考虑了语义因素,利用词汇上下文计算概念的语义相似度并将其应用到概念与关系提取中。实验结果表明,词汇上下文与传统统计相结合的方法能够有效改进概念和关系提取的准确度。 基于BP神经网络的人脸朝向分类的新思路 "针对人脸朝向分类这一问题,使用BP神经网络进行判别分析是一个较为成熟的方案,在此基础上,提出了一种新的特征值提取方法。首先探测人脸图像边界并将其转化成二值化的0-1矩阵,分割取出图像中眼睛部分对应的矩阵数据;考虑到人脸图像的特殊性,即头部鬓角的信息数据可能造成干扰,删减相应的矩阵信息;接着进行特征值的提取,取出矩阵中为1的元素分布的""离散程度""和分布位置的平均值形成二维向量;最终以该二维向量为神经网络的输入,5种人脸朝向分类为神经网络的输出,正确识别率可以达到100%。这样的特征值提取方式使特征值具有实际意义,相比于PCA特征值提取法更易理解;无需求出人眼的具体位置,相比于求人眼位置的几何方法更加简洁。" 基于单片机的智能车避障的实现 主要介绍了一种具有避障功能的智能小车的设计方案。该方案以AT89S52为整个系统的核心,使用不同频率的两种红外对管进行避障,用红外LED发射管在障碍区外形成一个隐形的安全区,850nm红外和940nm的红外发射二极管均匀分布在障碍区圆上,通过单片机处理红外对管传输过来的数据从而实现智能控制,达到避障的目的。 一种利用K均值算法的内容中心网络缓存机制 互联网的应用方式正从以面向主机的点对点通信为主转向以海量内容获取为主.为适应这一转变,研究界提出了以内容为中心(Content-Centric Networks,CCN)的新型网络架构.网络缓存作为内容中心网络的重要元素,能够有效减少网络带宽和传输时延.为了降低内容中心网络的缓存内容冗余度和提高缓存内容命中率,提出了一种利用K均值算法的缓存机制KCache(K-means algorithm utilized Caching mechanism).KCache利用控制器获取各路由器上内容的请求次数以及网络状态信息,并据此计算得到若干个缓存节点,向其发出主动缓存内容的指令.仿真实验表明:与传统内容中心网络缓存机制相比,KCache可有效提高缓存内容命中率,降低获取内容的跳数以及降低平均请求时延. 一种图像显著区域的提取方法 图像的显著区域能代表图像的主要内容,提取图像的显著区域在图像检索、敏感图像识别等方面有重要的作用。结合多尺度分析先提取图像的显著图,而后在显著图的基础上根据经验阈值对事先的分割区域进行判断取舍,最后根据取舍结果来确定图像的显著区域,取得了良好的效果。与具有代表性的Itti方法相比易于实现,速度快。 基于FT245RL和FPGA的6路数据采集系统设计 针对大规模数据采集过程中存在数据量大与传输速度慢的矛盾,文章设计了基于FT245RL和FPGA的6路数据采集系统;该设计采用Xilinx公司的FPGA作为整个系统的核心,控制A/D转换器实现6路模拟数据的同步采集,并将转换后的数字信号存储到Flash中,最终通过USB2.0转换芯片将数据传输到上位机;试验表明,该数据采集系统能够满足对大容量数据实时采集、存储和上传的要求,具有工作稳定,可靠性高,传输速度快的特点,能够广泛应用于大规模数据的采集。 江西杰科工程咨询有限公司简介 [正文]江西杰科工程咨询有限公司成立于2005年,是江西省计算技术研究所的控股投资公司。公司依托江西省计算技术研究所及江西省计算机质量监督检验站的强大技术实力,是我省最早从事IT领域独立第三方服务的专业机构之一,专业从事信息技术咨询、信息工程监理以及信息工程评估等服务业务。江西杰科公司按照ISO9001:2000质量管理体系的要求对业务进行规范化管理,不断提高服务质量、丰富服务内涵,致力于为用户提供最好的信息技术服务。 量化通信下的一致性滤波算法 为了提高一致性滤波精度,克服传感器网络的通信带宽和能量限制,基于二阶分布式一致性算法,提出了离散的一致性滤波算法,并基于量化通信,在改进概率量化的基础上,提出了量化一致性滤波算法。分析了量化、非量化一致性滤波算法的收敛性,证明了一致性滤波算法的收敛性。仿真结果表明,离散一致性滤波精度高于一阶一致性滤波精度,量化一致性滤波也具有较好的滤波精度。 基于LSTM的临床血液需求预测方法 为了帮助血液中心制定合理的采供血计划,本文根据江西省血液中心收集的2005-2016年临床用血数据,分析临床用血总量和各种血液成分用量的变化趋势,并基于LSTM神经网络预测2016年各月的临床血液需求量。实验结果表明,相较于传统的ARIMA时间序列模型,本文建立的LSTM神经网络能够有效预测临床用血需求量的变化,得到较为准确的预测结果。 墙的另一面——图灵模型更深层次的思考 "最近几年,计算机体系迈向多处理器结构道路。然而,冯诺曼机器主导的多核结构,令我们处在存储墙错误的一面。地址参数引入的冗余,降低了处理器的效率,成为图灵-冯诺曼模型的致命要害。对图灵模型作更深一层思考,以信息变换统一了冯诺曼机器程序变换和神经网络变换,分析了两种变换的异同及其优劣。提出以微核为基础,并按变换的成熟程度,向灵活的可编程的冯氏机器或高速的神经网络分化。模拟生物神经系统的进化,构建为人们服务的智能机器。2010年9月15日,美国波士敦的高性能嵌入式计算(HPEC)研讨会上,耶鲁大学的欧亨尼奥.卡鲁塞伊罗教授发表了一个基于人类视觉系统的高性能计算机""神经流""(NeuFlow),其体系结构利用了与本文的仿生电脑十分相似的概念。" 变异测试:原理、优化和应用 变异测试是一种基于缺陷的软件测试技术,在近四十年得到国内外学者的广泛关注,并取得了一些研究成果。对已有的研究工作进行总结,将其分为变异测试原理、优化和应用三个模块。其中在变异测试原理模块中,给出变异测试的基本假设,对变异测试分析流程进行介绍,并对其中的重要概念依次给出定义,从静态检测和动态检测两个角度对等价变异体检测技术进行总结。在变异测试优化模块中,从变异体选择优化和变异体执行优化两个角度对已有研究工作进行总结。在变异测试应用模块中,选择了测试用例集充分性评估、测试用例生成和回归测试三个应用领域,对研究工作进行分类总结。最后对变异测试的未来研究方向进行了展望。 基于兴趣匹配的机会社会网络消息分发机制 机会社会网络(opportunistic social networks)能够利用节点移动创造的相遇机会,在缺乏持续端到端连接的网络中,为用户提供稳定的消息分发途径,但在消息分发效率以及用户体验方面存在不足.为提高消息分发系统的性能、改善网络用户体验,提出一种基于节点兴趣匹配的机会社会网络分发机制.通过引入混合结构的机会社会网络分发系统解决网络拓扑信息获取不全与节点计算能力不足的问题;从节点行为规律与兴趣爱好2方面对网络进行分析,并提出一种用于复杂关系数据分析的联合聚类方法;针对用户需求,设计消息属性与节点兴趣匹配优先的消息分发策略.仿真结果表明,该机制能够在投递率、投递时延、缓存占用率等方面提升网络性能,且具有较高的分发效率、覆盖率与兴趣匹配度. 一个保护私有信息的线段与椭圆相交判定协议 保护隐私的计算几何是一类特殊的安全多方计算问题。保密路径判定作为一种特殊的保密隐私的几何计算问题,在军事、商业等领域具有重要的应用前景。设计了一个直线与椭圆的位置关系保密判定协议,基于该协议提出了线段与椭圆相交的保密判定协议,并给出协议的正确性、安全性和复杂性的分析。 面向智能交互的图像识别技术综述与展望 视觉在人与人交互以及人与自然界的交互过程中起到非常重要的作用,让终端设备具有智能的视觉识别和交互能力是人工智能和计算机技术的核心挑战和远大目标之一.可以看到,近年来视觉识别技术发展飞速,新的创新技术不断涌现,新的研究问题不断被提出,面向智能交互的应用呈现出一些新的动态,正在不断刷新人们对此领域的原有认识.从视觉识别、视觉描述和视觉问答3个角度对图像识别技术进行综述,对基于深度学习的图像识别以及场景分类技术进行了具体介绍,对视觉描述和问答技术的最新技术进行了分析和讨论,同时对面向移动终端和机器人的视觉识别和交互应用进行了介绍,最后对该领域的未来研究趋势进行了分析. 海绵窦海绵状血管瘤的MRI特征 目的:分析颅内海绵窦海绵状血管瘤的MRI特征,以提高对本病的认识。方法:回顾分析我院经手术和病理证实的海绵窦海绵状血管瘤25例(7例男性,18例女性;平均年龄50.6岁,范围28~74岁)。采用1.5T或3.0T超导系统(GESigna)MRI检查,包括T1WI、T2WI和增强后T1WI扫描。结果:病灶大小2.5~6cm(平均4.30cm),右侧17例,左侧8例。T1WI上24例病灶相对于白质呈低信号,仅1例呈高信号。T2WI上22例呈显著高信号,2例呈混杂信号,1例呈显著高信号伴部分流空信号。注射对比剂后10例呈显著均匀强化,其余15例呈显著不均匀强化。不均匀强化者均表现为增强扫描第二时相强化范围扩大。16例为累及鞍内的横向不对称哑铃形肿块,7例为球形肿块(其中2例可见小部分伸入鞍内),2例为分叶状肿块累及鞍旁和鞍内。所有病例均不伴邻近颞叶脑组织水肿,颈内动脉被推移或包绕。结论:海绵窦海绵状血管瘤多见于中老年女性,MRI特征为鞍旁肿块或鞍旁累及鞍内的肿块,呈横向哑铃形或球形,境界清楚,T2WI呈显著高信号;增强后病灶呈显著均匀强化或不均匀进行性强化。 无人机自动驾驶系统稳定性控制优化仿真 无人机自动驾驶的稳定性关系到飞行器的自身安全。无人机自动驾驶控制过程中,由于无人机在飞行过程中会进行急速转弯,外部强气流冲击对转弯中的稳定性带来较大影响。传统的PID控制方法在应用到无人机驾驶过程中时,需要进行大幅度的参数调整,以保证机身稳定,参数超调量过大会导致控制过程存在较为明显的误差。提出了基于量子遗传算法、神经网络的新型PID控制器设计方法,并应用到无人机的自动化控制中。利用量子遗传算法的自适应调整搜索能力优化神经网络的权值系数,避免神经网络陷入局部极小化及收敛速度慢的缺陷,利用改进后的神经网络算法优化PID控制过程,有效克服传统PID算法的缺陷,根据PID算法完成无人机自动驾驶控制器设计。实验结果表明,新一代控制器在无人机的PID控制过程中,耗时和延迟都明显优化,超调量较小,为驾驶系统稳定性控制设计提供了依据。 基于负载观测的最大风能跟踪控制 研究风力发电机风能优化控制问题,由于风轮系统存在非线性,为了实现最大化捕获风能,设计了基于耗散系统理论框架的L2范数优化鲁棒速度控制器,有效克服系统参数变化带来的系统不确定性的影响;并为克服大惯量风轮转矩变化对风速跟踪的不良影响,设计了一个负载观测器,在线观测风轮转矩,对风轮转矩的动态变化进行补偿,实现了风速动态跟踪控制,提高了风能转换效率。以1.5MW直驱永磁风电机组作为研究对象,进行了仿真,结果表明,控制策略可以有效地实现最大风能跟踪控制,并且对于干扰具有较强的鲁棒性,为风力发电优化设计提供了依据。 位流PID控制器及其在直流电机中应用 提出了Σ-Δ调制编码的一位位流信号PID控制器.首先,介绍了一个结构简单、性能高的内置Σ-Δ调制器和一个位流加法器;然后,以它们为模块提出了位流乘法器、位流积分器和位流微分器,进而用它们组成了位流PID控制器.采用位流信号PID控制器,可以避免在位流信号和多位信号之间的转换;位流PID控制器具有比Nyquist PID控制器更少的硬件电路资源.提出了电机的闭环位流PID控制系统,并进行了仿真.结果表明,设计的电路可行,效能高. 一种求解地震波方程的高效并行谱元格式 地震波数值模拟在地震学和地震勘探中扮演着非常重要角色.在已有工作的基础上,提出1种高效并行的地震波PML方程谱元格式.PML被引入地震波方程以吸收外向波进而模拟无界区域.进一步,为了适应复杂地形同时允许时间显式推进,谱元方法被用来离散地震波PML方程.由此得到地震波PML方程谱元格式.在此基础上,阐述了单元刚度矩阵分解性质,并说明了利用单元刚度矩阵分解可以大幅减少刚度矩阵存储量同时显著加速刚度矩阵与向量乘积,进而显著减少格式的计算量和存储量.此外,算法复杂性分析表明格式无论在计算量上还是在存储量上都优于几种已知的1阶地震波PML方程谱元格式.结合并行技术,给出了高效并行的地震波PML方程谱元格式.数值实验验证了格式的正确性、良好的强弱并行可扩展性以及对复杂地形的适应性. 基于小邻域系和特征基团的人脸皮沟老化检测 人脸老化过程极为复杂,皮沟特征极其细微,并且伴随大量噪声。传统的皮沟匹配方法不能很好地刻画出细微老化的特征变化,人脸老化的检测准确度不强。提出一种基于小邻域系和特征基团的人脸老化检测算法,建立了人脸图像小邻域系和特征基团的对应关系,构造了运动参数联合概率分布的能量函数来反映人脸皮沟参数之间的约束关系。最后采用模拟退火算法对老化特征在约束关系下的相关关联求出最优解,得到不同老化程度下各皮沟特征点的关联性。实验表明:该方法能很好地对人脸不同状态下的皮沟老化特征进行识别,检测结果更加准确,且准确度更高。 基于嵌入式多信息融合的列车测速定位系统 列车的测速定位是提高列车运行安全及运输效率的关键技术。为此,根据国内外研究发展现状,提出一种基于嵌入式多传感器信息融合的列车测速定位系统。通过轮轴速度传感器、多普勒雷达速度传感器、加速度计和查询应答器采集列车的状态信息,并在嵌入式系统中利用联邦Kalman滤波及融合技术对信息进行处理,实现测速轮径的自适应校正以及空转/滑行的检测与补偿,减小由于车轮磨损、空转、滑行、环境等因素造成的列车测速定位误差。Matlab仿真结果表明,该系统能够有效提高列车测速定位的精度。 基于MapXtreme的雷电实时监测预警系统设计与实现 在MapXtreme结构的基础上,构建基于MapXtreme的雷电实时监测预警系统。该系统实现雷电探测站点运行状态和闪电数据的实时监控,查询历史闪电数据及根据过往闪电资料做出临近预报预警,该系统在四川省雷电业务分析中起了重要的作用。 动态邻域的分解多目标进化算法 多目标优化问题是进化算法领域的研究热点与难点.基于分解的多目标进化算法(MOEA/D)在求解多目标优化问题时有着较强的搜索能力、高效的适应度评价、良好的收敛性等优点.然而,不同的子问题使用相同大小的邻域统一优化,减缓算法搜索全局最优解的速率.为解决以上问题,提出一种动态邻域设置策略,针对不同的子问题设置不同的邻域.首先,分析子问题差异处理的原因;其次,根据子问题与边界的距离,提出边界子问题与靠边界子问题的邻域减小,其他子问题邻域增大策略并将以上策略应用在MOEA/D中,提出一种动态邻域的分解多目标进化算法,进一步分析改进算法中参数的敏感性.将该算法在经典测试函数ZDT系列,WFG系列上进行仿真实验,并采用反向世代距离(IGD)和超体积(HV)指标对算法性能对比分析.结果表明,与MOEA/D对比,改进算法的收敛性明显提高,求出的解集相比MOEA/D,NSGA-II,MOEA/D-DU同类典型的算法求出解集的质量更高,算法在求解前端为凸面的情况效果甚好. 基于语义限制的模糊规则结构设计 在利用智能化方法实现辅助决策的过程中,为提高模糊规则在使用中的准确度和可靠性,定义C-E模型,引入语义限制函数描述模糊规则的倾向程度。通过对专家意见的量化转换,初始化拟合规则的语义限制函数,并提出一种误差校正方法,在规则匹配过程中校正语义限制函数误差,进而构建可自适应调整的模糊规则。借鉴框架表达法的设计思想,采用框架-模糊规则组的设计思路,完成基于语义限制的模糊规则结构的设计,为该结构的吻合度计算提出一套较为科学的计算方法,以此达到挖掘专家的深度认知和潜在经验、降低专业知识影响、提高模糊集下精细化计算的目的。某采油厂的应用实例表明,利用基于语义限制的模糊规则结构可有效地实现对于故障井诊断的专家知识设计。 基于PGF检测的改进彩色图像滤波方法 针对彩色图像中的噪声污染问题,提出一种改进的开关自适应矢量滤波方法。通过对噪声图像进行同组滤波器检测得到滤波窗口内满足检测条件的噪声像素个数,当满足条件的像素个数较少时,直接对检测出的噪声进行矢量中值滤波,当满足条件的像素个数较多时,采用改进的自适应矢量中值滤波器进行2次检测后再滤波。实验结果表明,该方法能提高噪声检测的准确性,并能更好保护滤波的细节。 基于LT喷泉码的无线传感器网络信息分发协议性能评价 无线传感器网络中,设计可靠的网络协议是困难的.在协议设计中采用纠删码,发送端将数据进行编码并发送,接收端只要接收到足够多的编码包,就能够恢复原始数据包.在TinyOS系统中设计并实现了基于LT喷泉码的信息分发协议,在TOSSIM模拟环境中对其进行了性能评价.模拟结果表明,基于LT喷泉码的信息分发协议能够在降低网络负载的同时提高网络的可靠数据传输. 工作流技术在政府采购系统中的应用 "运用工作流技术,设计并实现一个政府采购协议供应商系统。该系统依据WFMC提出的""工作流参考模型"",对协议供应商的业务过程进行任务和角色的抽象,将政府采购中的协议供应商管理、商品信息管理、产品信息浏览和查询等通过工作流技术完美地整合到一起,大大提高了政府采购效率。" 面向高维微阵列数据的混合特征选择算法 随着基因芯片技术的发展,基因表达实验获得了大量的微阵列相关数据,为人类疾病研究提供了一种全新的手段.然而,由于微阵列数据存在维数高、噪声大及冗余度高等特点,给深入准确地挖掘微阵列数据中所蕴含的知识和信息基因选择带来了极大困难.本文提出一种面向高维微阵列数据的混合特征选择算法,该算法分为两层:第一层使用信噪比方法计算全部基因的信噪比值,根据信噪比值选择指定数目的信息基因,过滤无关基因;第二层使用改进的Lasso方法对第一层得到的信息基因候选子集进行特征选择,剔除冗余基因.实验结果表明本文提出的算法能够选择出数量较少且分类能力较强的信息基因,并且性能稳定、泛化能力强,是一种有效的基因特征选择算法. 面向RMAP协议的SpaceWire节点IP核设计 在对SpaceWire传输层协议——远程存储器访问协议进行研究分析的基础上,采用Verilog HDL硬件描述语言,设计了一种符合RMAP协议规范的IP软核;可配置实现RMAP事务发起和事务响应功能,经功能仿真和FPGA原型验证测试,结果表明IP核符合标准规范,支持协议规定的所有指令类型,具备良好的通用性、可扩展性并可灵活配置,可实现与其它传输层协议的兼容以及和更高层协议的无缝衔接。 基于HodgeRank的人脸美貌度预测 随着计算机技术的迅速发展以及人脸识别技术的成熟,人脸美貌度受到越来越多的关注和研究.针对目前的研究方法中存在的对训练数据集的评分过多依赖人工操作,以及对人脸美貌度的预测结果不够详细等问题,本文提出基于Hodge Rank的人脸美貌度预测系统,利用数据挖掘方法学习女性人脸的美貌度特征,构造一个模拟预测人脸美貌度的系统.明显区别于之前的研究,该系统训练和测试时采用的人脸数据集放宽了对姿态、光照以及所处环境等条件的限制,评分所需的人工操作大大减少,无需进行大量的人工标定,使用图像的原始像素或纹理特征作为输入,分别采用聚类和改进的BP网络的方法,得到更符合人类特征的美貌度预测结果. SOA中保护敏感属性的服务发现研究 在面向服务的架构中,服务描述在服务发现的过程中会暴露与服务相关的重要信息,为了避免敏感信息的泄露,根据服务发现的特点,把原模型中集中管理的服务注册信息划分成两种类型的服务描述,分步实现服务的发现过程。应用与改进EQ-OCBE协议有效防止攻击者对服务描述进行数据分析和数据挖掘,达到保护提供者与请求者的敏感信息的目的,提高了服务发现过程中的安全性。 一种基于隐马尔科夫模型的步态识别算法 步态是指人体走路时的姿态,步态识别是近年来生物特征识别领域一个备受关注的研究方向。步态阶段的区分是步态识别的重要内容。以隐马尔科夫模型(HMM)为基础,基于安装在膝关节的编码器和大腿部的加速度传感器,在外骨骼辅助行走中识别步态的不同阶段。首先进行数据预处理和特征提取;其次对隐马尔科夫步态识别算法进行设计,包括结构的建立、参数的训练和最终的识别;最后对性能进行评估,总体正确率达到91.06%,说明HMM用于步态阶段识别具有较好的性能。 一种改进的人脸图像超分辨率技术研究 人脸图像超分辨率技术一直是研究热点问题,文章将四元数的彩色模型应用到超分辨率重构技术中,采用基于四元数彩色模型的主成分分析的改进算法对超分辨率技术进行研究,研究结果表明,改进算法解决了原算法不忠实于原人脸的缺点,使结果更加优化。 基于系统可信度的动态安全访问控制模型 为提高安全访问控制中多策略组合的灵活度,满足系统的安全性和实用性,提出一种基于系统可信度的动态安全访问控制模型。该模型能根据系统状态的变化,动态调整安全策略间的组合方式,达到最优化系统性能的目的。实验结果证明,该模型对多策略的支持更为灵活,在保证系统原有安全性的同时进一步提高了系统的实用性。 外包空间数据库中隐私保护下范围查询的验证 查询结果的完整性和数据隐私构成了外包空间数据库应用下的两大挑战.利用Hilbert曲线保护数据隐私,在曲线中掺入伪造的数据来保证用户完整性验证,该方法并不完全可靠.针对该问题,提出在Hilbert曲线的基础上构建数字签名,通过Hilbert曲线来确保数据的隐私,以Hilbert值的顺序构造数字签名链来保证返回范围查询结果的正确性和完整性.并且设计两种存储结构来帮助提高查询验证的可靠性,其中的一种结构用于验证范围查询所包含的曲线段上数据点的正确性和完整性,另一种结构用于防止第三方服务器或攻击者有意漏掉范围查询所包含的曲线段上所有的数据点.通过实验,我们验证了该算法的有效性. 改进的多学科协同优化算法及其应用 多学科优化设计(MDO)是当前复杂系统工程设计中研究最活跃的领域。分析了标准多学科协同优化算法解决实际复杂MDO问题计算困难的原因,提出了基于试验设计的近似模型和智能优化的协同优化算法(NCO)。NCO算法继承了标准协同优化分布并行的思想,采用现代智能算法优化系统级减小优化陷入局部解的可能性,以试验设计为基础的高精度近似模型代替学科真实模型降低计算成本,平滑数值噪声。通过经典MDO测试算例与Alexandrov提出的改进松弛协同优化比较,优化结果表明,NCO能有效提高收敛速率,保证收敛结果的稳定性和可靠性,能更好地满足复杂系统工程优化需要。 HyDB:集成MapReduce和数据库的高效SaaS架构 随着数据的快速增长和云计算的兴起,软件作为服务(SaaS)标志着计算机系统按需服务的应用的兴起.高效经济SaaS使得许多企业将大规模数据分析服务从部署在并行数据库的高端服务器转移至更便宜的无共享体系结构的低端服务器集群上.论文提出了集成MapReduce和数据库的高效经济SaaS架构—HyDB系统,解决海量结构化,半结构化与非结构化数据的高效查询服务,通过对数据的存储模型和查询模型进行研究,提出了完整的数据存储和查询服务方案,给出基于队列的作业调度算法,并支持针对简约数据查询的快速响应模式.最后通过可扩展实验,证明了该系统架构具有良好的加载性能、查询性能和容错能力,可以为用户提供优质的数据服务. BPA-NaOH-H2O体系电离及沉淀溶解平衡的建模及应用 研究了BPA-NaOH-H2O体系中电离及沉淀溶解平衡,首先按照是否有Na2BPA·6H2O(s)沉淀生成,将其分为Ⅰ、Ⅱ、Ⅲ和Ⅳ区,并据此建立了该体系的平衡模型,利用所建立的模型模拟了体系中各组分随加入NaOH量的变化分布情况,为研究该体系中各组分浓度的分布规律提供了理论依据。其次以特定BPA初始浓度(1.5mol/L)的BPA-NaOH-H2O体系进行模型验证,表明pH值在Ⅲ区随NaOH加入量的增大基本不变,且BPA溶解度最大,即该区为缓冲区。最后模拟计算了BPA在不同浓度NaOH溶液中的溶解度,并与实验值进行了比较,结果表明在NaOH稀溶液中,该模型可靠、有实用性。 基于分数阶变换和改进最小生成树的图像配准算法 为改善图像配准的精度和稳定性,提出一种新的鲁棒图像配准算法。定义分数阶变换,强化图像特征信息,联合分数阶与高斯核函数,将图像信号变换为尺度空间,利用尺度不变特征变换提取图像特征点,通过改进最小生成树建立特征点的结构关系,完成图像特征点匹配,引入随机抽样一致性技术降低误匹配。实验结果表明,与基于Harris角点检测的匹配算法、基于随机k-d树的匹配算法以及块匹配算法相比,该算法具有更高的配准精度与鲁棒性。 一种新型树形层次编码压缩算法的实现 论文结合树形层次目录编码和分段编码编制一种新型树形层次编码压缩算法,实现药品分类编码,满足药品生产经营企业、医疗机构、医保中心、卫生行政及药品监督管理部门的工作需要,有利于药品生产、经营、使用、监管部门应用信息化手段对药品进行管理。 基于LabVIEW的销-盘摩擦试验机实时信号数据采集系统的开发 针对摩擦学试验研究中信号采集和实时数据处理要求,以销-盘摩擦试验机为对象,设计了基于图形化编程语言LabVIEW的摩擦试验机的实时信号数据采集测试系统,成功实现了用户界面控制、压力和扭矩等信号的采集、摩擦系数的计算、同步显示、后台实时数据压缩处理和数据存储等功能;同时,以算术平均算法为例,进行1min试验,探讨了实时数据处理对摩擦学数据可靠性以及对存储空间占用的影响;结果表明该开发系统有效解决了摩擦学试验测试中的海量数据存储问题,提高了计算机工作效率,对摩擦学试验数据采集具有较广泛的适应性。 基于扰动观测器的永磁同步电机复合预测控制 对于永磁同步电机预测电流控制在调速过程中运行不够稳定,在外部干扰时速度影响较大的问题,提出一种基于离散扰动观测器的永磁同步电机复合预测控制策略。内环电流环由模型预测控制实现,相比传统的PI调节器,有效的提高了系统的动态响应速度。自抗扰控制在速度环中有效的抑制了系统内不确定项的影响;转矩滑模观测器作为前馈补偿,准确观测出负载转矩,与自抗扰控制相结合生成期望的q轴电流,从而有效的抑制扰动对转速的影响。仿真结果表明,该控制方法具有较高的抗扰性能和鲁棒性。 基于改进接收信号强度指示的四面体模型井下定位研究 针对现有节点定位算法精度和覆盖率低、能耗高等问题,提出一种基于四面体模型的无线传感器网络节点定位算法。根据改进的接收信号强度指示算法测定未知节点与信标节点的距离,找到与未知节点最近的4个信标节点构成空间四面体,通过空间四面体的体积坐标换算得出未知节点的位置信息,从而实现节点定位。将该算法应用于煤矿井下的节点定位,通过与基于投影模型的井下节点定位算法的实验仿真对比表明,该算法具有更高的定位精度和定位覆盖率,更低的节点能耗和网络成本开销。 改进的三层架构的研究与应用 三层架构降低了系统性能,可能导致级联的修改,为此提出一种改进的三层架构,即数据接口三层架构。给出数据接口和数据池的概念以及数据接口三层架构的基本原理,讨论该架构的优点,将该架构用于一个图书管理系统登录模块的开发。应用结果表明,该体系结构可以增强系统的性能、可重用性和可拓展性,解决了级联修改的问题。 基于计算机视觉的多特征手势识别 目前常用单特征手势识别方法中,缺少完整的手势轮廓信息,对局部相似度高和形状复杂的手势识别率较低,为此提出一种将CSS特征描述子与Hu不变矩相结合的手势特征提取方法。首先,利用肤色模型把手势从复杂的背景中提取出来,然后分别提取手势的Hu不变矩和CSS描述子来构建融合特征,最后利用人工神经网络对新特征进行识别和分类。实验结果表明,与基于单一特征的识别方法相比,该方法整体识别率更高,对局部形似度高的手势识别率有很大提升。 面向片上网络缓冲资源争用的路由器设计 针对片上网络典型路由器的缓冲资源利用率不高、大容量缓存设计受限等问题,在不增加缓存和虚通道的情况下,提出一种新的面向片上网络缓冲资源争用的路由器设计方案。在该路由器中,当某个输入端繁忙发生资源争用情况时,将阻塞数据包分配到其他拥有空闲缓存资源的输入端口,解决缓冲资源的争用问题,从而提高网络整体性能。SystemC仿真结果表明,相对于基本路由器,该路由器在热点模式和均衡模式下均具有较高的网络饱和率和吞吐量,尤其在热点模式下提高了约11.4%的饱和率。FPGA实现结果表明,该路由器的面积开销较小,能较好满足片上网络的应用需求。 面向设计的开源软件项目重构经验研究 软件在演化过程中经常被修改,软件结构往往会偏离原有的设计方向,软件质量也会逐渐变差。不良设计造成的技术债务在后续开发过程中会带来许多困难和阻碍,需要及时重构,改善原有代码的不良设计。对常见的重构操作进行了简单介绍和分类。在两个开源项目上进行了经验研究,关注两个问题:(1)重构在开源项目中是否被广泛应用,其中是否存在面向设计的重构;(2)是否存在没有及时重构改善原有代码的不良设计,导致后续开发遇到不必要的困难的情况,并且后续是否进行了重构。初步证明了重构在开源项目中的广泛应用和重要性,以及面向设计的重构的重要作用。 数据驱动的流程制造工艺参数匹配方法 针对流程制造业在个性化制造环境中工艺参数难以快速决策以响应用户需求的问题,提出一种基于数据驱动的流程工艺参数匹配方法。从海量制造工艺数据中选取相近工艺的产品生产数据,基于信息论从制造工艺参数中提取能够划分不同产品的工艺特征,基于集成分类构建产品制造要求与工艺特征的关系模型,并建立产品工艺相似度函数。通过对比历史生产数据与目标产品制造要求的相似度实现工艺参数匹配。将该方法应用于钢板热轧环节,验证了所提方法可以有效提供工艺知识服务,并能够处理耦合性特征的知识发现。 随机作业时间的U型拆卸线平衡多目标优化 为更好地反映实际拆卸作业时间的不确定性,建立了考虑随机作业时间的多目标U型拆卸线平衡问题的数学模型,并针对传统方法求解多目标问题时求解结果单一、无法均衡各目标等不足,提出一种基于Pareto解集的多目标混合人工鱼群算法。算法采用自适应视野串行觅食方式,以减少并行觅食时出现重复搜索现象,并根据多目标拆卸序列之间的支配关系得到Pareto非劣解集,实现了鱼群寻优结果的多样性。对鱼群觅食得到的拆卸序列进行模拟退火操作,增强了算法跳出局部最优的能力。采用拥挤距离机制筛选非劣解,实现了拆卸序列的精英保留,进而将非劣解添加到下次迭代的种群中,加快了算法的收敛速度。将所提算法应用于具有55项任务的某打印机拆卸实例,经与基本人工鱼群算法、模拟退火算法对比,验证了所提算法的有效性和优越性。 数据驱动的建筑物立面重建 提出了一种数据驱动的从单幅照片恢复建筑物立面深度信息的方法,基于窗户的对称特性,构造了一个马尔可夫随机场模型来对建筑物的立面图像进行分割;将分割的图像组织成图结构,每一个分割区域作为一个图节点,图中的边链接相邻节点;然后,利用图匹配的方法为每一个区域从数据库训练中寻找最优的结构匹配,并对匹配结果进行优化,得到了较好的重建结果. RISC微处理器S盒替换指令扩展 为解决RISC微处理器实现S盒替换操作效率低下这一问题,提出一种通过设计S盒替换专用模块和指令进行指令扩展的方法,使得微处理器在执行S盒替换操作时具有较高性能.根据分组密码算法S盒替换的操作特征,设计支持8-32、8-8、6-4、4-4四种常用模式的S盒替换模块和操作指令,最后采用布尔函数的方法完成S盒替换模块的电路设计.用EDA软件进行验证,实验结果表明处理器能够正确执行S盒替换操作且能够显著提升性能. 基于C/S与B/S混合结构的学生综合素质测评系统 分析了目前高校学生综合素质测评工作的现状和特点。介绍了C/S结构和B/S结构的基本原理,并从6个方面对它们进行了比较。描述了系统需求,并在此基础上进行系统设计,决定采用基于C/S与B/S混合结构的进行软件开发,学生综合素质测评系统主要包括学生管理、成绩管理、操行管理、测评管理、评优评奖等核心业务功能模块,同时给出了系统实现中运用到的关键技术。 基于C++ Builder的导航卫星接收机显示控制系统的设计与实现 导航卫星广播的无线电信号经地面接收机解算处理后转换为标准协议格式的导航电文,对其中的数据进行提取可获得用户的位置与时间信息。在C++Builder环境中利用MSComm控件进行串行通信接口程序设计,讨论了MSComm控件的属性和使用方法,介绍了卫星定位授时模块的硬件组成,利用计算机RS-232接口接收导航电文信息,实现了接收机与计算机间的数据通信,用C++语言编程进行软件设计,制作了接收机显示控制系统的用户操作界面;经过实验测试,模块与计算机间的导航电文传输稳定,各控制指令功能实现完好,系统可通过切换运行在GPS或北斗模式,定位与授时的精度均达到指定标准。 基于ROS的UR机器人离线编程系统设计与开发 为解决工业机器人在线示教编程存在的编程效率低下等问题,针对UR5六自由度工业机器人应用,开发了一套基于机器人操作系统ROS的离线编程系统。基于ROS提供的灵活的模块化机制实现了离线编程系统各模块的系统级联。通过模块化的设计方法分别实现了机器人正逆运动学解算、关节空间轨迹规划、运动过程离线仿真和编程交互等功能模块。仿真测试和实验结果表明,所开发的离线编程系统人机交互界面友好,可有效提高UR机器人应用开发的编程效率。 基于遗传模拟退火算法的门阵列布局方法 为实现门阵列模式布局,将遗传算法与模拟退火算法相结合,提出一种新的遗传模拟退火算法,利用遗传算法进行全局搜索,利用模拟退火法进行局部搜索,在进化过程中采用精英保留策略,对进化结果进行有选择的模拟退火操作,既加强了局部搜索能力又防止陷入局部最优。实验结果表明,与传统遗传算法相比,该算法能够有效提高全局搜索能力。 基于模糊数评估决策(FN-E&DM)的权重信息问题研究综述 在评估决策过程中,获取属性权重是一个复杂的问题。研究模糊数评估决策(FN-E&DM)的权重信息问题便是通过属性信息,建立数学模型进而求得权重的一类模糊评估决策问题。从属性权重确知、属性权重部分确知和属性权重完全未知3个方面综述基于FN-E&DM的权重信息问题的研究进展,通过分析评估决策模型详细论述了权重确定方法,最后展望了FN-E&DM权重信息问题的研究前景。 基于LDA主题模型的中医药方剂相似度计算 "评价中医药方剂的相似度的常用方法是基于方剂的功效和主治功能进行相似度分析,但存在相似度无法定量化的问题,并且没有考虑中医方剂组成成分的影响。提出了利用LDA主题模型发掘""方剂—证型—组成成分""的隐含关系的方法,将""方剂—组成成分""转换成""方剂—证型""和""证型—组成成分""两个概率分布,并利用KL距离来计算相似度。实验结果表明基于LDA主题模型的方法能够更好地计算方剂间的相似度,并且能较好地反映中医辩证论治。" 通用的不带延迟的同质脉冲神经膜系统 脉冲神经膜系统是一种膜系统中吸收了脉冲神经网络特点的新型生物计算装置,具有强大的计算能力。同质脉冲神经膜系统是指一种所有神经元具有相同规则集合的脉冲神经膜系统的变体。研究了突触上带权值和突触上不带权值的两种同质脉冲神经膜系统在不使用具有延迟的激发规则情况下的计算通用性问题,并证明了这两种不带延迟的同质脉冲神经膜系统无论是工作在产生模式下,还是工作在接收模式下都是计算通用的。解决了曾湘祥、张兴义和潘林强提出的关于不带延迟的同质脉冲神经膜系统是否具有计算通用性的公开问题。 基于形式背景的属性转移与知识发现 概念格理论是一种有效的知识表示与知识发现工具,是知识表示、知识发现和知识获取的基础。利用形式背景信息熵与属性的重要性理论,讨论形式背景的属性知识转移的特点,得到了基于形式背景的属性转移原理,给出形式背景的知识发现与应用。 基于小波对比度的自适应图像水印算法 提出一种基于小波对比度的小波域自适应水印算法,充分利用小波对比度更适合人类视觉特性的特点自适应地确定小波域上各分块的嵌入的强度和位置。理论分析和实验结果表明,该算法很好地解决了水印鲁棒性和不可见性之间的矛盾,对一般的图像处理和攻击有很好的鲁棒性。 基于RANSAC和TLS的点云平面拟合 针对点云平面拟合过程中出现的异常值及误差的问题,提出一种将随机采样一致(random sample consensus,RANSAC)算法与整体最小二乘法(total least squares,TLS)相结合的点云平面拟合方法。利用随机采样一致算法剔除异常值,利用整体最小二乘法对剩余有效点进行平面拟合,计算模型参数。实验结果表明,该方法与传统的特征值法、最小二乘法相比,能提高参数的估算精度,更适合对含有不同异常值及误差的点云数据进行拟合,是一种稳健的平面拟合方法。 课程知识本体建模及推理 以计算机网络课程领域为例,研究并实现本体建模,利用Jena对其进行解析与推理,构造推理规则,设计一个基于本体的课程资源查询系统,对其体系结构及功能进行描述。实验结果证明,该方法能够解决传统学习资源查询缺乏语义支持的问题,比传统的学习资源查询有更高的查全率和查准率。 基于DAS模式的电子商务系统模型设计与研究 用xml半结构化数据文件系统代替普通B/S模式的传统数据库,设计了DAS(Data As a Service)模式下的电子商务模型,主要包括客户端数据加密、服务器密钥管理和数据服务提供商对xml加密数据的查询处理等.结合xml索引技术与基于层次的Dewey编码搜索方法实现用户订单xml加密数据的查询处理.该方案在保证系统的安全性、稳定性前提下,大大减小了系统的维护成本,提高了系统的性能和运行效率,有利于促进企业的效益. 广义病毒的形式化定义及识别算法 恶意软件的定义是多年来安全领域的研究重点.恶意软件包括病毒、蠕虫和木马.目前仅有病毒的形式化定义,蠕虫、木马没有公认的形式化定义.按照传统病毒的定义,不存在准确识别病毒的算法.文中提出代码是否为病毒是相对于用户而言的,给用户带来损害的代码才是病毒.据此观点,文中以用户意愿为标准,将病毒区分为显式病毒、隐式病毒,并给出了显式病毒的形式化定义和识别算法.理论分析表明,传统病毒以及大部分木马、蠕虫均属于显式病毒,实际案例分析也证实了这一点. CDP技术在话音漫游清算容灾系统中的应用 随着话音漫游业务的快速发展,话音漫游清算涉及的领域不断扩大,在重大事故,自然灾害等突发事件发生时确保清算数据的可用性和业务连续性就显得尤为重要.针对话音漫游清算系统的容灾需求以及有待改进的问题,通过研究分析CDP(Continuous Data Protection)容灾技术,提出将CDP技术运用到话音漫游清算容灾系统的方法,设计并构建了一套具有持续数据保护功能和全面数据恢复能力的容灾系统.实践证明,该方法能够在各种灾难场景中有效地保障话音漫游清算系统的数据完整性和业务连续性. 集值信息系统基于限制相容关系的属性约简 讨论集值信息系统基于限制相容关系的属性约简方法;给出相似水平核心属性的特征。通过实例说明该算法能够得到集值信息系统的相对约简。 基于需求关系的测试用例集优化方法 针对测试用例集存在冗余测试用例的问题,给出基于需求关系的测试用例集优化方法。从需求对应的代码分布出发,按照测试用例覆盖路径定义需求的逻辑关系,借鉴DDGRAPH方法,提出公共路径约减算法,并在此基础上,给出需求-用例调整算法,对需求及其对应的用例集进行优化。实验结果表明,该方法能降低处理的基数,提高用例设计的效率。 标刻在金属零件上的二维条码数据提取方法 针对金属零件上二维条码光照分布不均、点扩散、对比度低与污染干扰等问题,提出一种基于原灰度图像小区域相邻模块对比提取二维条码数据的算法.首先通过峰度值排序法及模块区域微调法由粗到精定位每个二维条码模块位置,然后基于原灰度图像利用遗传算法提取二维条码的数据信息,得到最终的提取结果.与传统二维条码数据提取算法的实验结果证明,该算法对于复杂金属背景上的二维条码识读具有更高的可靠性. 改进的电力线通信拓扑推测算法 针对电力线通信(Power Line Communication,PLC)系统拓扑推测过程中旋转节点导致推测失败甚至结果不准确的问题,提出一种改进的基于断层扫描拓扑推测算法(Advanced Tomography-based Topology Inference).该方法首先根据拓扑推测过程中旋转节点通信状况确定不稳定度;然后引入簇内分级机制来降低旋转节点的度,从而提高拓扑推测结果准确性.实验表明,该方法实现了拓扑推测阶段旋转节点的定位及处理,有效提高拓扑推测准确性. 一类不可微方程组的区间算法 针对不可微方程组—绝对值方程Ax+B|x|=b的数值解问题进行研究,提出了通过构造极大熵函数和新的区间算子对方程进行求解的区间极大熵算法。该算法能同时求出绝对值方程的近似解和估算其近似解的误差限,并在A的奇异值全部大于|B|的奇异值时,证明了算法的收敛性且收敛速度至少是线性的。理论分析和数值结果均表明提出的算法是有效的。 高层建筑立面自然采光口最优角度获取法仿真 针对当前建筑物立面采光口角度获取方法仅通过改变建筑采光面曲率来增加采光角度,难以从根本上解决现存的采光不足,辐照度低同时也会增加能耗的问题。提出基于蚁群优化的高层建筑立面自然采光口最优角度获取方法。采用数学分析方法计算出恒定采光面辐照度与其太阳时角、建筑尺寸、太阳高度之间的关系,找出不同因素对建筑室内辐照度的影响,并分析研究其变化规律,以此为依据构建以投射至室内的辐照度为最大的目标函数。将辐照度为最大时,采光口最优角度求解问题抽象为蚂蚁寻优过程,通过状态转移、信息素更新过程获取自然采光口最优角度,即最优解。实验结果表明,所提方法在晴天天气条件下,辐照度相比当前方法有大幅度的增加,分别提高19. 52%和15. 9%,有效改善了室内空间分布,同时达到了节能的目的。 能见度自动化计算方法 一种新的基于图像边缘处理的能见度自动计算方法被提出。它首先对大气科学中定义的能见度进行简化,接着对地面标记物边界区域提取边缘梯度、对比度、亮度等图像内在特征并针对这些特征利用简化的能见度定义进行计算,最后根据预定义的阈值定量地判定地面预设标志物的可见性从而实现计算能见度。一个能见度自动计算系统模型被建立,通过实验与实际观察结果的数据对比,验证了其算法的可行性和有效性,与已有算法相比其算法在计算的可靠性和有效性方面具有明显改善。 基于失效聚集度改进自适应随机测试算法 对于现有的自适应随机测试(ART)算法针对点状失效模式普遍存在有效性和效率均比随机测试(RT)差的问题,提出一种基于失效聚集度的自适应随机测试(CLART)算法,对传统的ART——固定候选集(FSCS)、区域排除随机测试(RRT)等算法进行改进。首先,根据被测程序的输入域估计主失效聚集度,确定局部搜索区域;然后,在区域内使用传统ART算法生成若干测试用例(TC)进行测试;若未发现错误,重新选择局部区域生成TC;重复这一过程直至发现错误。仿真实验显示在点状失效模式和块状失效模式下CLART算法的有效性比FSCS算法提高约20%,效率比FSCS算法提高约60%。实验结果表明CLART算法利用多个局部区域依次搜索可以快速锁定引发失效输入分布密集高的失效区域,从而提高测试的有效性和效率。 领域工程在医疗信息共享中的应用 区域医疗信息共享是推进医疗卫生改革、提升医疗卫生服务水平的重要措施,为了解决医疗机构各应用系统之间信息共享困难的问题,将领域工程的软件思想引入区域医疗信息共享体系的建设中,依据面向服务架构的基本要求,分析了基于面向服务架构的区域医疗信息共享的基本结构,进而研究了基于领域工程的区域医疗信息共享的软件体系。 互联网AS拓扑的结构与连通性研究 基于BGP协议的域间路由系统是Internet的核心基础设施。研究域间路由系统在自治系统AS级的拓扑结构,深入理解并揭示AS拓扑的结构及连通性方面的特性,对于提高互联网路由系统的安全性、健壮性以及性能都具有重要的指导意义。AS之间的商业关系模型是互联网域间路由系统的基本模型之一,是AS拓扑研究的基础。受商业关系的约束,AS拓扑的结构与连通性呈现出了与普通无向图或有向图所不具备的特性:层次结构特性与AS路径的无谷底约束。本文提出了针对AS拓扑的层次划分算法,对AS拓扑的连通性做了深入研究,分析并验证了顶级AS的全互联结构,并进一步阐述了从宏观上对单个AS的连通性的评估方法。 单张图片自动重建带几何细节的人脸形状 为了从单张图片中自动快速重建高细节人脸表情模型,提出一种从粗到细逐步优化的方法.首先从单张照片检测到的特征点中,通过多初值迭代方法优化求解对应的三维头部姿态和大尺度的人脸表情;其次以检测到的人脸特征点为依据对不准确的人脸表情进行矫正,并使用非刚性的迭代最近点方法对齐模型上的特征点和图像特征点,使用拉普拉斯坐标影响其余非特征点位置;最后使用带有常量假设的明暗重建形状方法为人脸模型重建细尺度的几何细节,以增加重建模型的逼真度.实验结果表明,文中方法能够在头部大幅度摆动的情况下生成更准确的带有几何细节的人脸模型;该方法不需要对图片的摄像机进行标定,也不需要预先训练或预设用户的特征模型和混合形状,同时不强制约束室内光照以及单调的背景环境. 基于伸展树的文件数据缓存管理策略研究 针对企业内部网络存储,研究并提出了一种基于伸展树的缓存管理策略,以对网络缓存空间进行组织和管理。在内部网络存储缓存链的基础上,引入并改进了伸展树结构和操作,将改进后的伸展树作为缓存节点数据组织和管理的索引结构,分析并设计了基于伸展树的文件数据缓存管理策略。实验结果表明,基于伸展树算法的缓存管理策略提高了缓存空间利用率和用户访问数据的效率,有较好的实时性。 基于虚拟机执行过程重放的热迁移系统研究 为解决传统的基于检查点和内存预拷贝的系统热迁移在一些特定环境下存在停机时间过长的问题,提出了一种基于虚拟机执行过程迭代重放的备份热迁移方案,并对迁移过程中的开销较大的检查点设置操作进行了优化。与传统迁移方法进行量化比较分析结果表明,该方案缩短了检查点设置的停机时间,传输数据量更少,从而缩短了虚拟机迁移过程总的停机时间,为低速网络带宽的迁移环境提供了更好的解决方案。 基于网络延时的CEPH存储性能优化方法 通过分析集群中单节点在不同网络延时下的延时大小与集群存储性能的关系,提出基于网络时延的权重改进方法.实验表明所提出的方法可根据存储节点的网络时延对权重进行再调整,使数据较大概率存入网络时延小的节点.该方法降低了由单一节点网络延时引起的存储性能损耗,从而提高了CEPH分布式存储系统的存储性能. 汽车发动机罩拓扑设计优化分析与仿真 研究汽车发动机罩设计优化问题。汽车发动机的构造比较复杂,包含大量的零部件,传统的设计方法大多针对这些零部件的空间位置关系进行拓扑设计,这将造成汽车发动机罩发生弯曲和扭转的点比较多的问题,导致汽车发动机罩的拓扑设计过于复杂,从而降低了其性能。为了避免上述缺陷,提出了一种改进有限元模型的汽车发动机罩拓扑设计优化方法。建立汽车发动机罩有限元模型,从而获取汽车发动机罩的设计变量,为汽车发动机罩拓扑设计提供了准确的数据基础。计算设计域水平集的空间位置,从而实现汽车发动机罩拓扑设计优化处理。实验结果表明,改进方法应用于汽车发动机罩拓扑结构设计,可以极大的提高汽车发动机罩的安全性和实用性,保证了汽车的整体性能,从而满足汽车用户的实际需求。 基于网络安全态势感知的主动防御技术研究 网络主动防御作为突破传统被动防御瓶颈的关键技术正成为网络信息安全领域的研究热点。针对网络主动防御缺乏防御针对性的问题,提出了基于网络安全态势感知的主动防御技术。首先,设计了基于扫描流量熵的网络安全态势感知方法,通过判别恶意敌手的扫描策略指导主动防御策略的选取,以增强防御的针对性。在此基础上,提出了基于端信息转换的主动防御机制,通过转换网络端信息实现网络拓扑结构的动态随机改变,从而达到增加网络攻击难度和成本的目的。理论与实验验证了该技术可有效针对不同类型的扫描策略实施高效的主动防御。 基于综合隶属度函数的模糊支持向量回归机 针对金融时间序列一般具有非线性、非平稳性、高信噪比和有限样本等特点,将模糊支持向量回归机引入到金融时间序列预测中.设计一种综合模糊隶属度函数,充分考虑到三点:第一噪音会导致错误的回归;第二越靠近预测点的样本对回归的影响越大;第三,离回归线越远的样本,对回归的贡献越大.综合隶属度函数,尽量剔除噪音并给离回归线远的和靠近预测点的样本较大的权值.将采用综合隶属度函数的模糊支持向量回归机应用于羊绒价格序列中,仿真结果表明,本文的基于综合隶属度函数的模糊支持向量回归机在预测精度上有所提高. 基于联合相似性测度和导向滤波器的立体匹配算法研究 针对目前局部立体图像匹配中对光照、噪声和曝光等敏感导致匹配率低的问题,提出一种新的立体匹配算法。在该算法中,匹配代价计算基于像素点的截断的联合相似性测度(灰度和梯度),匹配代价计数统计中,选择快速且不依赖于滤波核大小的具有保边特性的导向滤波器作为支持窗口中邻域像素的自适应权重,基于WTA(Winner-Take-All)原则选择视差。最后进行一致性检查以及后处理得到致密的视差图。实验表明,与其他基于局部的立体匹配算法相比,该算法的误匹配率低,对辐射畸变有较好的不变性,同时能快速得到视差图。 面向基础教育阶段的教学资源推荐系统 针对传统推荐方法应用于教学场景存在数据稀疏、缺乏对课程内容和教师上下文环境分析的问题,设计了一种面向基础教育阶段的网络教学资源推荐系统。该系统由数据层、离线层和在线层组成:1)数据层基于马尔可夫链和向量空间模型构建课程模型和资源模型,综合教师个人注册信息和课程模型的节点构建教师模型;2)离线层使用词频-逆向文件频率(TF-IDF)算法提取课程和资源的内容特征,初始化并优化数据层的课程模型和资源模型,进一步应用关联规则挖掘和相似度量方法,计算任意两资源或课程与资源之间的关系,并结合课程模型推理教师模型,产生用于推荐的中间结果;3)在线层采用加权混合的方式产生推荐资源列表。该系统现已应用于某教育资源共享平台中,可实现对其中60万条资源的个性化推荐。 基于WSN的尾气监测系统中微处理器控制射频芯片的通信设计 针对当前机动车尾气给人们带来的诸多影响与危害,提出基于无线传感器网络(Wireless Sensor Network,WSN)的机动车尾气成份含量监测系统,选用CC2420无线收发模块和ATmega128微控制器搭建终端硬件监测平台。通过控制器模块对射频模块的控制来实现对监测对象的无线传输,以实现整个系统对机动车尾气的有效监测。该方案克服传统离线检测的诸多不足,能对尾气成份含量进行及时获取、分析与管理,具有很好的应用前景。 基于数据场的改进DBSCAN聚类算法 DBSCAN(density based spatial clustering of applications with noise)算法是一种典型的基于密度的聚类算法。该算法可以识别任意形状的类簇,但聚类结果依赖于参数Eps和MinPts的选择,而且对于一些密度差别较大的数据集,可能得不到具有正确类簇个数的聚类结果,也可能将部分数据错分为噪声。为此,利用数据场能较好描述数据分布,反映数据关系的优势,提出了一种基于数据场的改进DBSCAN聚类算法。该算法引入平均势差的概念,在聚类过程中动态地确定每个类的Eps和平均势差,从而能够在一些密度相差较大的数据集上得到较好的聚类结果。实验表明,所提算法的性能优于DBSCAN算法。 振动信号的最小方差谱估计算法 振动信号功率谱分析常用方法是周期图法及其改进算法,存在方差性能与分辨力性能的矛盾,当振动信号采样点数较少时,应用存在局限。为了解决这一问题,引入了最小方差谱估计算法,并通过信息论准则确定其最优阶次,以及通过加最优窗进一步提高其方差性能。结合工业现场实测信号,基于MATLAB环境对其实际性能进行了分析与仿真,并与经典谱估计算法以及AR模型算法进行了对比。理论分析与仿真结果表明,最优阶次下的最小方差谱估计算法,性能优于经典算法,分辨力性能与AR模型算法相当,但方差性能较好,有利于振动信号的分析。 基于FFMPEG解码的音视频同步实现 为实现音视频同步播放,针对音视频数据同时被采集,但编码和存储独立的情况,提出了将音频播放时钟作为同步时钟,采用时间戳技术实现历史音视频同步播放。该方法使用FFMPEG对历史音视频文件分别进行解码,将解码后计算得到的音频播放时钟作为同步时钟,控制视频播放速度同步到音频播放时钟上,保证了音视频数据流畅播放,同步无滞后,无延迟。通过实验设计,验证了提出的基于音频播放时钟的时间戳同步方法是有效的。 基于Modbus/TCP的配电网自动化远方终端设计 配电自动化系统的不断改造和提升,是建立在配电自动化终端设备不断发展的基础上;配电自动化远方终端(DTU)装置是配电自动化系统中的基础设备;从数据通信稳定的角度出发提出了将Modbus/TCP通信协议与STM32微处理器相结合的设计方案;介绍了系统的总体构架和Modbus/TCP的通信协议内容,设计了以太网电路接口;在嵌入式实时操作系统μC/OS-II上实现了基于Modbus/TCP的通信协议客户机端和服务器端的通信;实验结果表明,该配电自动化远方终端可靠的实现了数据信息的稳定传输。 倒向P-推理与属性剩余发现-应用 利用P-推理(P=Packet),提出倒向P-推理;倒向P-推理简称P-1-推理。P-1推理由内P-1推理(internalP-1-reasoning)与外P-1推理(outer P-1-reasoning)共同构成;或者,if((x)kF珚+1,(x)kF)■((x)kF珚,(x)kF+1),then(αkF,αkF珚+1)■(αkF+1,αkF珚)是P-1-推理。P-1-推理是P-推理的对偶形式;内P-1-推理是内P-推理的对偶形式;外P-1-推理是外P-推理的对偶形式。在一定的条件下,P-1-推理能够被还原成普通推理。P-1-推理是从P-推理的反问题中得到的。给出内P-1-推理、外P-1-推理及其推理结构;给出P-1-推理结构与P-1-推理定理、P-1-推理与普通推理的关系以及P-1-推理与它生成的属性剩余;利用这些研究,给出P-1-推理在信息系统中的应用。P-1-推理与P-推理是P-集合生成的两类动态推理形式,它们在信息系统的不同领域中获得应用。 基于关联图的加权关联规则挖掘算法 针对交易数据库中数据项重要性不同的现象,引入加权支持度和最小支持期望的概念,提出一种基于关联图的加权关联规则模型,并在该模型基础上,设计了改进的加权关联规则挖掘算法。该算法扫描数据库仅一次,采用关联图存储频繁2项集信息,通过构建基于图的剪枝策略,减少验证频繁项集的计算量,有效提高加权频繁项集的生成效率。 用延迟的异常路径检测防御非控制流数据攻击 作为一种主要的非控制流数据攻击的类型,决策性数据攻击能绕开以控制流相关数据作为保护对象的防御方法。在分析现有的防御决策性数据攻击方法的优缺点基础上,提出了一种延迟的异常路径检测方法。为有效避免相同的条件跳转信息被隐藏,引入了层连的哈希运算以获取正确的程序执行路径信息。在系统调用执行前检测该路径信息的有效性,能有效降低检测频率,从而降低性能开销。理论分析和实验结果表明,该防御方法能有效防御决策性数据攻击,且其漏检率低,性能开销适中。 基于运动传感的感知用户界面综述 对面向交互感知用户界面的运动感知技术及最新进展进行了综述,介绍了运动感知技术中常用的传感器件和由之组成的人机交互系统,按其典型流程对各种关键技术进行了详细的比较分析;在介绍了运动感知技术的应用实例和感知用户界面的评估方法后,对基于运动感知技术的感知用户界面现状给予了评述,并对其可能的发展进行了讨论。 基于DHT的P2P系统负载均衡算法 负载不均衡是影响P2P系统应用服务器性能的关键因素之一,目前研究主要集中在基于flat DHT(Distributed Hashing Table)的P2P系统模型上。将分布式负载均衡算法结合虚拟服务器技术的优势相结合。设置双阈值以解决不必要的节点间通信问题;并在热区迁移中采用隔层负载迁移策略,以期减少不必要的反复的负载迁移。仿真实验结果表明,算法保证负载在各节点上趋于公平并使热区的次数明显减少。 《计算机系统应用》稿约 [正文]《计算机系统稿约》(CN-2854/TP-3254)创刊于1992年,是中国科学院主管、中国科学院软件研究所主办的、面向国内外公开发行的技术性、应用性科技核心期刊。本刊的办刊宗旨是宣传推广信息技术在各行各业的应用。 Hadoop节点资源参数优化策略 针对Hadoop平台的节点资源优化问题,提出MapReduce参数优化策略。获取新作业执行时的资源占用特征值,计算其与作业特征库中作业的相对距离,选择相对距离最小作业的配置作为新作业的最优配置,如果获取失败,则以迭代方式获取新作业的最优配置并更新作业特征库。实验结果表明,与默认参数配置相比,该策略能够提高作业执行效率,缩短作业运行时间。 基于遗传分形编码的嵌入式小波图像编码算法 在分析小波变换与分形编码特点的基础上,提出了一种新的基于遗传分形编码的嵌入式小波图像编码算法。根据图像进行小波变换分解为不同空间频带上的子图像这一特性,对其低频子带进行基于遗传算法的分形编码,利用遗传算法的快速全局搜索能力提高分形编码中值域块与定义域块的匹配搜索速度;对其高频子带部分进行阈值去噪处理后进行SPIHT编码,两部分同时进行,提高图像的压缩效率。实验结果表明:同传统的分形编码和SPIHT编码压缩方法相比,该算法在图像的重构质量方面有所提高,尤其是在中低码率下PSNR(峰值信噪比)得到了较大提高,并且算法执行时间明显减少。 基于受控Markov链的软件自适应测试策略 基于简化的受控Markov链软件自适应测试模型大多是研究如何以最小的期望成本检测并移除所有的缺陷,并在构建模型时对部分条件进行特殊化和理想化处理.针对受控Markov链软件测试模型适用范围小、效率低的缺陷,在软件控制论思想基础上,对制约条件进行了一系列新的转换,提出一种改进的、资源约束的受控Markov链模型,该模型能够在高效性、复杂性和适用性3方面达到一个平衡.根据该模型设计一种新的软件缺陷优化测试策略,再通过参数估计对优化测试策略进行在线调整的方法,以构造软件自适应测试策略.为了证明其有效,利用该模型得到的新的软件自适应测试策略进行仿真实验,进一步得到了有效结果. 利用反馈的车牌字符分割算法 字符分割是车牌识别系统的重要步骤。提出一种利用反馈的车牌字符分割算法。首先,对车牌图像进行预处理;其次,根据车牌图像的垂直投影进行字符粗分割;最后,通过两级反馈进行字符精分割。第一级反馈是字符个数与字符宽度反馈,第二级反馈是字符识别反馈。实验结果表明,算法对光照不均、对比度较小、倾斜、污迹、字符粘连和断裂等严重退化的车牌图像具有很好的字符分割性能。 一种新型高效的激光陀螺抖动信号剥除技术研究与实现 激光陀螺的输出信号中包涵外界输入角速度、机械抖动角速度两部分信息,而机械抖动角速度是一个叠加了一定噪声的标准正弦振动;在此提出了一种正弦抖动剥除技术,能极大地衰减激光陀螺输出信号中的正弦分量,实现对陀螺输出信号的初步解调,并采用FPGA作为实现工具;文章首先对激光陀螺输出信号特性进行了分析,提出了一种新型的抖动剥除技术的理论模型;然后具体介绍了这种技术在FPGA上的实现方法;最后利用基于本技术设计的FPGA+DSP系统对某型号激光陀螺进行了测试,通过观察证明本方法能很好地实现激光陀螺正弦抖动剥除,剥除后的激光陀螺正弦幅值能衰减到原始值的1/70。 基于知网的汉语普通未登录词语义分析模型 提出一种基于知网的汉语普通未登录词语义分析模型,该模型以概念图为知识表示方法,以2005版知网为语义知识资源,首先参照知网知识词典对普通未登录词进行分词;然后综合利用知网中的知识词典等知识,通过词性序列匹配消歧法、概念图相容性判定消歧法、概念图相容度计算消歧法及语义相似度计算消歧法对中文信息结构进行消歧;最后根据所选择的中文信息结构生成未登录词的概念图,从而实现未登录词的语义分析。该模型在语义分析过程中一方面确定了未登录词中每个已登录词的词义,另一方面构造了该未登录词的语义信息,实验结果证明它可以作为普通未登录词语义分析的原型系统。 采用改进的混合遗传算法求解高校排课问题 为了解决一个存在大量合班现象的高校排课问题,建立了相应的数学模型并采用改进的混合遗传算法进行了求解。在产生初始种群的过程中进行了乱序处理,以提高初始种群中个体的多样性,避免早熟收敛现象的发生;为了防止种群的退化,引入了保留最优个体策略和竞争机制;根据问题的特点设计了与之相适应的遗传算子;为了提高种群进化的效率,交叉概率和变异概率都使用了自适应参数;为了提高算法的局部搜索能力,在交叉操作阶段采用了模拟退火算法。通过Matlab与Access混合编程,实现了对大规模数据的高效处理。实例结果表明,该算法能够有效地解决存在合班现象的高校排课问题。 基于反馈信息的混合协同转发策略设计与仿真 主要研究协同通信中转发策略的问题。通信技术在提高通信系统容量上主要有放大转发策略、译码转发策略等方案,但以上两种方案存在噪声同步放大和容易产生信号误判等问题。针对上述问题,通过提高协同系统的平均误比特率性能,在加性高斯白噪声信道条件下,对以上两种策略的误比特率公式进行理论推导和仿真分析,从而提出一种转发策略算法,即采用反馈信息的新型混合协同转发策略。仿真结果证明,转发策略能继承以上两种策略的优势,并且能克服信号的误判,与单一的放大转发策略或者译码转发策略相比,提高了协同系统的平均误比特率,并为实际设计提了供理论依据。 新的K-均值算法最佳聚类数确定方法 K-均值聚类算法是以确定的类数k和随机选定的初始聚类中心为前提对数据集进行聚类的。通常聚类数k事先无法确定,随机选定的初始聚类中心容易使聚类结果不稳定。提出了一种新的确定K-均值聚类算法的最佳聚类数方法,通过设定AP算法的参数,将AP算法产生的聚类数作为聚类数搜索范围的上界kmax,并通过选择合适的有效性指标Silhouette指标,以及基于最大最小距离算法思想设定初始聚类中心,分析聚类效果,确定最佳聚类数。仿真实验和分析验证了以上算法方案的可行性。 基于PRM的水体富营养化风险分析建模 从信息的知识发现角度出发,提出基于概率关系模型(PRM)的水体富营养化风险分析建模方法。该建模方法利用多关系数据的存储结构和存储内容对数据进行学习与挖掘,构建具有网络拓扑结构的PRM模型。示例分析结果表明,PRM模型易于解释与分析水体中各种影响因素间的相关性,该建模方法可通过分析历史数据发现水体富营养化的潜在风险,为库区水环境管理与水污染防治提供科学依据。 Web站点核心逻辑结构挖掘 已有Web结构挖掘研究主要是挖掘出站点的核心节点而非整个结构。为此,设计Web站点逻辑域核及其导入路径的模型,提出Web站点逻辑域核挖掘算法和逻辑域核导入路径挖掘算法。在4个大型Web站点上的实验结果表明,Web站点逻辑域核挖掘算法和导入路径挖掘算法均能够达到较高的精度和召回率。 未来网络架构的成本分析 面对通信新时代大数据处理的问题,软件定义网络(SDN)和网络功能虚拟化(NFV)两个互补的架构正在渐渐发展起来,以更全面地解决一些网络问题。但是这种新架构还是未知因素,不确定是否真的能带来成本的节省。目前如果对未来网络进行测试需要大量成本。与现有的4G网络硬件技术相比,文中基于虚拟化的网络功能建立了一种数学模型,以路由器支持的会话数和服务提供商的数量为多种考虑情形,采用具有可靠性的参数来模拟实际问题中的一些多变因子,将复杂的现实问题数学抽象化,验证了存在某个路由器所支持的会话数的临界值,当高于这个临界值时,所需要的网络成本急剧下降,远远低于传统网络的所需成本。同时也验证了当服务提供商数量越多时,成本节省得越多,证明了采用SDN/NFV技术在成本上的可行性。 分布式星群网络中基于蚁群算法的通信量分类路由 给出了一种分布式星群网络(Distributed Satellite Cluster Network,DSCN)体系架构,阐明了DSCN拓扑变化的特点。在分析网络状态获取方式和路由计算方法的基础上,提出了一种适用于DSCN的基于蚁群算法的通信量分类路由(Ant Colony Optimization Based Traffic Classified Routing,ATCR)算法。ATCR算法将通信量分为时延敏感型通信量A、带宽敏感型通信量B以及提供尽力而为服务的通信量C,并对蚁群算法(Ant Colony Optimization,ACO)收敛慢的缺点进行了改进。仿真实验表明,ATCR算法提高了收敛速度,可以有效平衡网络流量。通信量A和C的端到端时延要小于未采用通信量分类的改进ACO算法。由于减少了重负载链路的数量及拥塞引起的丢包,ATCR算法在分组递交率上的表现优于改进的ACO算法。 基于自编码器的深度神经网络管控算法 针对智能养老设备集中管控与决策问题,提出一种基于自编码器和深度神经网络的智能管控算法。采用基于自编码器对各个设备进行无监督逐层贪婪预训练,挖掘设备之间的相似特征;结合具体的养老场景构建深度BP神经网络模型,使用养老环境下的实例监测数据对模型进行整体训练。实验结果表明,该管控算法对智能养老设备工作状态预测准确率达到99.7%,比传统神经网络模型提高了5.1%,比人工管控模型提高了39%。在智能养老设备不断增长、集中管控情况复杂多变时,管控算法依然可以保持97.3%的预测准确率,验证了算法的可行性和有效性。 基于数据挖掘的复杂装备维修辅助决策研究 文章通过某装备维修信息框架分析,维修数据预处理,然后以维修记录为例,挖掘出了维修件之间关联规则,并采用决策树分类方法对维修件进行了分类,这些规则和分类结果可以为维修计划制定、器材采购、视情维修等方面提供决策依据。 基于改进Adaboost软件缺陷数据特征选择方法 传统的Adaboost算法在处理软件缺陷数据时,面临两个问题:Adaboost未能考虑软件缺陷数据为不平衡数据,即无缺陷的样本数远远超过有缺陷的样本数;通过Adaboost选择出来的软件特征之间存在较大的相关性,这些特征会影响分类效果,影响预测结果。为此提出一种基于互信息及改进的Adaboost的集成算法MAboost。在NASA数据集上的实验结果表明,该算法对于软件缺陷数据具有较好的特征选择能力。 协作多点传输系统中基于图论的动态分簇算法 在蜂窝移动通信系统中,小区间的干扰严重限制着小区边缘用户的性能,而协作多点传输(Coordinated Multi-Point,Co MP)技术可以显著减少小区之间的干扰并改善边缘用户的性能。为了提升小区边缘用户的数据传输速率,本文针对Co MP系统提出一种基于图论的动态分簇算法。该算法利用图论的方法建立蜂窝网络的拓扑结构图,通过对小区间干扰的分析,能够同时生成多个簇大小不固定的协作簇,解决了簇大小固定和依次分簇所造成的系统受限问题。仿真结果表明,相比于其他分簇算法,本文算法在改善分簇的性能的同时降低了计算复杂度,并提高了系统的和速率。 基于Parzen窗条件互信息计算的特征选择方法 为解决连续值特征条件互信息计算困难和对多值特征偏倚的问题,提出了一种基于Parzen窗条件互信息计算的特征选择方法。该方法通过Parzen窗估计出连续值特征的概率密度函数,进而方便准确地计算出条件互信息;同时在评价准则中引入特征离散度作为惩罚因子,克服了条件互信息计算对于多值特征的偏倚,实现了对连续型数据的特征选择。实验证明,该方法能够达到与现有方法相当甚至更好的效果,是一种有效的特征选择方法。 基于区域划分的局部更新指纹定位算法 针对室内定位指纹数据库更新成本过高的问题,设计了一种通过区域划分进行局部更新指纹数据库的RFID(Radio Frequency Identification,射频识别技术)室内定位算法。该算法通过聚类算法将指纹地图分成若干个子区域,每个子区域选取一个代表点代表该子区域的指纹有效性,通过检测代表点的有效性来选择加权k近邻算法(Weighted k-Nearest Neighbor,WkNN)定位或子区域数据库的局部更新。实验结果表明,该算法在低成本的条件下极大限度地提高了定位精度和长期定位稳定性。 敦煌壁画的线描图生成与辅助临摹应用 以敦煌壁画为研究背景,结合壁画特有的背景知识及艺术风格,提出了一种面向壁画的线描图提取算法.首先通过L0图像范数进行图像全局平滑;然后进行高频提升滤波,构造出边缘切向流场;最后利用改进的FDo G滤波器抽取出具有增强效果的线条图.利用文中算法进一步完成计算机辅助临摹系统的设计与开发,使用Surface Pro作为开发平台,用触摸笔、手来代替鼠标,提供包括壁画轮廓线提取、线描推荐和壁画临摹等功能.实验结果表明,采用该算法后,即使是完全没有绘画基础的用户也能临摹出比较好的壁画,不仅能够增添用户临摹的乐趣,还能帮助用户学习壁画绘画的技巧,同时还对敦煌壁画起到了数字化保护的目的. 用于图像认证和窜改检测的稳健图像摘要 提出了一种用于图像认证和窜改检测的稳健图像摘要,该算法利用Zernike矩的幅度和修正后的相位旋转不变性生成图像摘要(图像hash),图像hash之间的相似性用汉明距离度量。仿真结果表明,该方法对大部分内容不变的图像操作具有鲁棒性。不同图像对hash之间的汉明距离远大于阈值,因此该方法可用于图像认证。同时该方法还可以检测图像窜改并可以定位图像窜改的位置。 分离式弹载图像采集系统设计 导弹飞行试验中,弹载图像采集系统随弹钻入地下导致回收困难,针对这一现状,提出了一种图像采集与数据存储分离的设计方案.从系统的设计思路、工作流程及硬件结构出发,利用LVDS总线信号传输时速度快、噪声小、误码率低等特点,解决了图像信号在高噪声、高振动等恶劣环境下长距离可靠性传输的问题.经试验验证,高振动环境下该系统工作性能稳定,在10m的传输距离内采集的图像清晰可辨.所提设计方案为弹载图像采集工作提供了参考. 破片和冲击波对直升机旋翼联合毁伤仿真研究 为研究破片和冲击波对直升机旋翼的联合毁伤,对旋翼结构进行分析和等效,采用赋予破片速度和CONWEP爆炸模型的方法计算了战斗部爆炸后破片和冲击波联合作用下旋翼的毁伤,并与破片单独作用、冲击波单独作用时旋翼的毁伤进行比较。计算结果表明:破片单独作用,旋翼局部结构强度降低;冲击波单独作用,旋翼产生较大的整体弯曲变形;破片和冲击波联合作用,直升机旋翼不仅产生穿孔、局部变形等结构毁伤,而且整体弯曲变形程度增大,联合毁伤增益约14%~18%。 军事图像加密通信中数据隐藏算法研究与仿真 在对军事通信图像加密通信中的数据进行隐藏的过程中,数据融入方式是通过依据某种规律曲线的顺序扫描待隐藏数据的单元来实现的,导致传统的融合军事图像加密通信中数据隐藏算法,由于检测工具有规律可循,不容易逃脱检测,无法对军事图像数据进行有效的隐藏。提出一种采用随机融入方式的可逆数据隐藏算法,直接提取军事图像边缘特征,充分挖掘军事图像视觉屏蔽特性,通过军事图像分块方差对其边缘特性进行刻画,确保军事图像边缘的完整度,构建军事图像采样子图与参照子图间的军事差图,通过数据隐藏的提取方法,将隐藏军事图像差图数据合成相应的数据隐藏码流,完成所有军事差图的置乱操作,将置乱后的军事差图中的隐藏数据按照数据隐藏的正确率进行度量,实现军事图像加密通信中的数据隐藏。仿真结果表明,采用所提方法对军事图像加密通信中的数据进行隐藏的效果与隐藏容量均优于传统方法,验证了所提方法的有效性。 基于引信过载控制靶后起爆位置的数值研究 在引信靶后起爆位置问题的研究中,为了研究控制弹丸侵彻贯穿混凝土靶板后在一定距离起爆的有效方法,采用仿真软件对动能侵彻弹侵彻混凝土厚靶进行仿真,通过对靶板材料失效模式等的选择以及弹体、引信的分离建模,获取了仿真引信部位侵彻过载信号。进一步对侵彻过载信号进行滤波处理,得到了与试验基本吻合的侵彻过载信号曲线。通过对过载曲线进行积分处理,得到的弹丸出靶速度与延期起爆时间的乘积,为弹丸的靶后起爆距离。通过起爆试验对改进方法进行验证,得到的试验起爆位置与仿真计算起爆位置较吻合。 XCluster:基于聚类支持查询的XML多文档压缩方法 XML已成为各种网络应用中数据存储和数据交换的标准.XML数据管理面临的最大困难在于结构与数据混合存储导致大量数据冗余,这极大地增加了XML数据存储、交换和处理的代价.对XML文档进行压缩可以在一定程度上解决这个问题.但现有XML压缩方法大都仅压缩单文档中的冗余信息.利用XML文档间的相似性,提出一种支持查询的多XML文档压缩存储方法XCluster.XCluster先利用XML有根有序标签树上改进的pq-gram近似距离对XML文档集进行层次聚类;然后合并每个聚类结果子集中文档的结构得到结构代表并进行字典编码压缩;同时合并不同文档内同一标签下的值内容,并根据其数据类型进行相应编码压缩.实验结果表明,在真实和生成的XML多文档数据集上,XCluster比XGrind和XQilla具有更好的压缩效果和查询效率. 基于协同过滤的美食推荐算法 为了解决传统的基于用户的协同过滤算法中的数据稀疏性问题,提高推荐的准确率,对推荐算法进行了改进并将改进后的算法应用在美食推荐领域。利用均值中心化方法对实验数据进行处理,减少因个人评分习惯差异造成的推荐误差。通过使用改进的空值填补法降低评分矩阵的稀疏性,在计算相似度时引入了遗忘函数和用户间的信任度,进一步提高了推荐系统的准确性。实验表明,提出的改进算法比传统算法有更高的准确率,并得出了在推荐过程中考虑用户和项目外的其他因素以及针对不同的数据信息采用不同的算法,都有利于提高推荐准确率的重要结论。 电台主控单元频率合成控制信号检测技术研究 为了对某型电台主控单元进行有效地测试,满足电台测试的迫切需求,提出了一种基于功能测试的检测方法,对主控单元输出控制信号进行检测,以判断其工作状态的好坏;通过对电路原理的分析,明确了控制信号的性能特征和参数指标;研究了检测整体思想和具体的检测技术和方法;详细介绍了检测过程中软件和硬件的设计思路和使用方法;实践表明,该方法能对主控单元时序信号进行有效的测试,软件设计有较好的通用性,能大幅提高电台主控单元测试水平。 Java动态类加载机制研究及应用 为了在Java应用程序运行期间动态加载类文件,而不影响其它功能模块的正常运行,在Java虚拟机(JVM)机制上给出了Java动态类加载的实现方法。通过对Java类加载器的体系结构,动态类加载机制原理、实现过程和实现方法的分析,在网络教学环境下实现了一个基于C/S结构模式的动态的从数据库中获取Java源代码并编译运行的学生实验教学系统,并结合反射机制为Java作为动态语言提出一种应用的途径,充分展现了Java语言的灵活性、安全性,使它在代码安全保护和网络环境下有广阔应用前景。 2-锗萘与2,3-二甲基丁二烯杂Diels-Alder反应的研究 采用密度泛函理论(DFT)在B3LYP/6-311G(d,p)水平上,研究2-锗萘作为亲二烯体与2,3-二甲基丁二烯的杂Diels-Alder反应的微观机理和势能剖面,考察反应的取代基效应和溶剂效应。计算结果表明,所研究反应均以协同非同步的方式进行,且C-Ge键总是先于C-C键形成。发生在C1,Ge2位上的反应中,形成2个新键的非同步性比发生在Ge2-C3位上的大,且前者在热力学和动力学上都比后者容易进行,与实验完全一致。exo进攻方式在动力学上都比相应的endo容易,但二者在热力学上的差别一般比较小。锗原子上的CCl3和NH2取代基,一般有利于反应,而C(CH3)3取代基则相反。苯溶剂对所研究反应的势能剖面影响较小。 基于关键区域的二值化场景特征快速提取方法 近年来,驾驶辅助系统中基于视频信息的车辆定位技术受到广泛关注。针对轻轨系统高精度场景匹配中场景相似度过高导致定位困难的问题,提出了一种关键区域及二值化特征提取方法。该方法以离线处理的方式在高相似度的参考序列帧内提取具有显著性信息的关键区域,并在这些区域中生成二值化特征描述符以提高实时场景匹配的速度与准确率。在香港轻轨数据集以及公开的Nordland数据集中,相对于局部场景特征,基于提出的关键区域特征的场景匹配方法错误偏差下降31.43%,同时节约了94.22%的匹配时间;与Seq SLAM场景跟踪算法相比,在不显著增加运行时间的前提下,基于关键区域二值化场景特征的场景跟踪正确率提高了9.84%。实验结果表明,提出的关键区域以及二值化特征提取方法在降低了场景匹配计算时间的同时,提高了匹配精确度。 采用蚁群算法及移动Agent的网格服务发现设计 在对网格进行分簇的基础上,设计了一种基于蚁群算法及移动Agent的网格服务发现机制模型。通过使用移动Agent技术收集网格服务信息,并将收集到的网格服务信息摘要进行跨簇缓存,以提高蚁群算法中信息素信息的准确性,并且在进行服务查找时采用之前缓存的服务摘要信息作为蚁群算法的启发因子,从而提高网格服务发现的准确性以及发现速度,进而提高网格使用效率。通过仿真实验验证了所述服务发现机制的有效性。 套代数上零点广义Lie可导映射 设N是Hilbert空间H上的一个非平凡套,f是套代数AlgN上的一个连续广义零点Lie-可导映射,d是套代数AlgN上的一个连续Lie-导子。证明了,如果A,B∈AlgN且AB=0有f([A,B])=f(A)B-f(B)A+Ad(B)-Bd(A),则f([A,B])=f(A)B-f(B)A+Ad(B)-Bd(A),A,B∈AlgN。 基于可信计算的动态完整性度量模型 静态完整性度量不能保护系统在运行过程中的完整性。为此,提出一种基于可信计算的动态完整性度量模型。在现有的完整性度量架构中引入虚拟化技术,有助于系统管理员掌握系统在运行过程中的完整性。在软件加载后,对运行中的进程行为进行监控,动态度量其完整性。分析结果表明,该模型能防止运行过程中恶意攻击破坏系统的完整性,从而提高系统安全性。 基于时空因素聚类算法的研究与应用 为了发现移动对象的迁徙轨迹和经停地,提出结合经停地检测算法和单链接聚类算法的方法。通过青海湖鸟类的历史位置信息验证该方法的准确性和有效性,并与应用于本领域的其他方法进行分析比较,如DBSCAN聚类算法、减聚类及模糊聚类算法。结果显示提出的方法能够克服对比算法仅考虑迁徙数据空间位置信息的缺点,准确有效地挖掘出鸟类经停地和迁徙轨迹。 欢迎订阅2017年《计算机工程与应用》 [正文]中国科学引文数据库(CSCD)来源期刊、北大中文核心期刊、中国科技核心期刊、RCCSE中国核心学术期刊、《中国学术期刊文摘》首批收录源期刊、《中国学术期刊综合评价数据库》来源期刊,被收录在《中国期刊网》、《中国学术期刊(光盘版)》、英国《科学文摘》(SA/INSPEC)、俄罗斯《文摘杂志》(AJ)、美国《剑桥科学文摘》(CSA)、美国《乌利希期刊指南》(Ulrich’s PD)、《日本科学技术振兴机构中国文献数据库》(JST)、波兰《哥白尼索引》(IC),中国计算机学会会刊、 一种改进的图像分块压缩感知模型 分块压缩感知用于图像获取可以解决传统压缩感知在重构时运算量大的问题,但是运用分块压缩感知却使重构图像的质量有所降低。提出了一种改进的图像分块压缩感知算法。该算法通过对观测矩阵加权,保证了图像低频部分在重构时获得更大的精度,提高了图像的质量。另外,算法根据各图像块不同纹理复杂性,自适应地改变观测值数目,使得在保证图像质量的前提下,重构所需的总观测值数目更少。实验证明了该算法的有效性。 基于远距离中转传输的WSN路由算法 为均衡无线传感网络中节点的能耗,延长网络寿命,提出远距离节点间数据中转传输的WSN路由算法。根据Stojmenovi的理论,节点间数据传输功率在一段距离内可取到较小值,在此段距离内,节点间直接发送数据,超出距离的节点间数据传输,通过选择中间节点转发的方式来传输,依此来选择最小能耗节点进行路由,实现节点能量均衡的目的。仿真结果表明,所提算法与AODV和GRP路由算法相比,节点的路由能耗得到了均衡,使网络寿命得到了延长。 基于网格相对密度差的扩展聚类算法 针对现有的多密度聚类算法对参数依赖性较高、聚类精度较低等问题,提出一种基于网格相对密度差的扩展聚类算法(ECRGDD)。首先,该算法给出一种网格划分方法,通过统计数据点的分布情况选取相对密集区域,采用近邻估计法计算网格划分大小的标准;接着,提出网格相对密度差的概念,根据网格密度值选取初始单元,通过计算网格之间的相对密度差围绕初始单元进行扩展聚类;最后,给出边界点提取技术,采用构建模糊函数的方法对边界单元进行处理。实验结果表明,该算法能有效地对不规则、多样化分布的数据集进行聚类,并能较好地分离出噪声,聚类精度较高。 精分EEG脑网络同步稳定性研究 为了深入表征和刻画精神分裂症患者大脑活动时各个电极通道的状态变化,通过利用复杂网络同步稳定理论以及精分工作记忆实验范式对EEG信号进行分析。从复杂网络角度出发构建脑功能网络,并利用特征谱比值法分析脑网络及其同步性随时间的演化过程。对比实验表明精分患者和正常对照组同步能力具有很大差异且差异主要源于对应脑网络的一个局部化区域S的不同,并通过设计对比实验进一步验证此区域对脑网络同步影响的有效性。脑网络同步稳定区域S的发现对研究神经精神性疾病下脑网络的演化过程提供了新的思路。 G.723.1编解码器在TMS320C50上的优化实现 数字信号处理器在语音编解码中得到广泛应用。在简要介绍TMS320C50定点DSP芯片和ITU-TG.723.1语音编解码算法后,详细讨论了G.723.1在TMS320C50上的实现及其技术要点,主要是内存安排、算法和代码优化、数据精度等。设计的编解码器通过了ITU-TG.723.1标准测试数据测试,占用内存资源较少,并具备较高的编解码速度。 多功率锚节点辅助的DV-Hop定位算法 在无线传感器网络中,DV-Hop定位算法在计算未知节点到锚节点的距离以及通信半径之内相邻节点跳距时存在较大误差,提出了一种锚节点辅助的分布式定位算法。此算法不需要任何测距技术支持。它是利用锚节点的功率控制,即以不同的发射功率发射信标信号,接收到信标信号的未知节点将这些信标信息记录。此外还考虑了用全网锚节点来修正单独锚节点的平均每跳距离,用极大似然法计算节点坐标。Matlab仿真实验结果表明,在相同网络环境下,该算法能有效减小距离计算带来的定位误差,可适合实际定位情况且具有较高的定位精度。 单相功率因数整流器的理论研究 针对电网中存在的谐波会导致功率因数降低和电流波形发生畸变等问题,详细阐述了一种最适合小功率范围内的有源功率因数校正方法;并根据相关原理,结合Boost变换器设计了一种单相高功率因数整流器;结果表明:经过校正后的输出电流产生的谐波数量明显降低,输出电流的波形近似为正弦波;同时,通过调节电感和电容的参数取值,使电流波纹稳定在了9.667%,输入电压抖动范围稳定在了6.8%左右,使得功率因数获得很大的提高,有效的降低了输入电流的谐波,并抑制了系统中的电磁干扰,保证了系统的正常稳定工作,达到了预期设定的目标;因此,抑制整流电路的谐波,提高功率因数是提高电网供电质量的有效途径。 基于MB-LBP算子和Multilinear PCA算法的人脸识别 针对运用MB-LBP算法提取的人脸特征维数较高、而直接用MB-LBP算法提取的特征进行人脸识别时计算量较大的问题,提出一种融合MB-LBP和Multilinear PCA算法的新的人脸识别方法。首先利用MB-LBP算法提取人脸图像的特征;然后用Multilinear PCA算法对提取的人脸特征进行降维;最后用最近邻分类器进行人脸识别。在FERET人脸库上进行验证,实验结果表明,该方法的识别率高于传统PCA、分块PCA、LBP和PCA相结合的方法。 大型多人在线角色扮演游戏的下一地点预测 近年来,大型多人在线角色扮演游戏(MMORPG)已经成为最流行的网络娱乐活动之一。MMORPG在游戏环境中形成虚拟社会,其中每个玩家扮演某个虚构角色,并控制该角色的大多数活动。游戏的迅猛发展累积了海量数据,其中包含游戏虚拟社会的语义和拓扑信息。研究者针对游戏数据开展了一系列研究工作,如玩家退出预测、游戏服务器整合等。游戏角色的下一地点预测对提升游戏体验、改善游戏设计和检测游戏机器人均有十分重要的意义。目前,该项预测任务主要使用统计分析完成。然而,由于游戏数据具有海量特征,因此需要一种自动化的计算方法。文中提出了基于隐马尔科夫模型的游戏角色下一地点预测模型,该模型能够考虑与位置特性相关的不可观测的属性,同时兼顾游戏角色前期行为的影响。实验结果表明,与现有方法相比,该方法具有建模直观的特点,在稠密分布的MMORPG数据中能够得到更准确的下一地点预测结果。 一种应用于双重数字签名的电子拍卖方案 针对电子拍卖中存在的身份匿名性等安全问题,基于秘密分享思想,提出一种安全高效的电子拍卖方案。应用双重数字签名,保证投标过程中参与者之间的信息传输安全。投标者采用临时身份投标,标价不直接发送给其他参与者。拍卖服务器根据单调递增函数所计算出的投标值判断中标者,若与注册中心计算结果相符,则投标结果有效。安全性分析结果表明,该方案满足电子拍卖的各项安全性要求,且计算简便、运算效率高。 基于GAHP的并网光伏电站电能质量评估 根据国家电网公司光伏电站接入电网规范对各电能质量指标的要求,本文提出了一种基于GAHP的并网光伏电站电能质量评估方法。广集多位利益相近的专家意见,得到电能质量中各指标的综合权值;将各指标的有效范围划分成五个等级,统计并网光伏电站的实测数据属于这些等级中的概率,以此作为客观权重。综合GAHP方法的主观权重和实测数据的客观权重,全面地对并网光伏电站电能质量进行了评估,为光伏电站的并网运行提供一定的决策依据。 Android手机安全登录系统 针对Android手机应用软件登录中存在的设计缺陷和漏洞,梳理并分析了目前手机登录系统技术和不足之处,采用多因子(账号、密码、验证码、登录位置、登录次数、人脸数据)方案,构建手机安全登录系统.该登录系统由登录、注册、日志审计、微信提醒、找回密码等功能构成.详细介绍了设计思想、技术路线、安全验证逻辑和日志审计功能,实现了用户身份识别和登录行为审计,为用户提供了一个安全性高、易用性强、成本低的解决方案. 基于扩展WF-Net的配电网故障诊断与抢修流程优化 基于扩展WF-Net对配电网故障诊断与抢修流程进行了建模与优化.首先将流程涉及的信息系统封装成具有松散耦合特性的IT服务;然后扩展了WF-net的时间集和颜色集,提出了基于IT服务的赋时有色工作流网(TCWFN-ITS),以显式地表达业务流程与IT服务的调用关系.最后,针对某电力公司配电网故障诊断与抢修流程,采用TCWFN-ITS进行建模,使用Petri网建模工具CPN Tools进行仿真分析,通过并行执行任务、合并任务、优化服务占用端口数量等手段,实现了配电网故障诊断与抢修流程性能提升和IT服务的平衡配置,验证了所提方法的可行性和有效性. 基于MB-LBP和改进的LFDA的人脸识别 提出了一种基于多块LBP(Multi-scale Block Local Binary Patterns,MB-LBP)和改进的局部化的Fisher判别分析(Local Fisher Discriminant Analysis,LFDA)的人脸识别算法。该算法利用MB-LBP的局部和整体描述能力强化了标注样本的局部分析和训练样本的全局分析;以每个样本与同类其他样本的欧氏距离均值作为参数,克服了类内散度计算限制;通过参数融合训练样本的总散度信息保持样本的全局结构。实验表明,MB-LBP为局部保持分析和全局保持分析提供了良好的基础;在少量标注样本情况下,改进的LFDA的适应性和识别率明显优于LFDA。 实时网络流量分类研究综述 实时流量分类技术能够按照应用类型对在线网络流量分类,它对网络管理、流量控制以及网络相关研究具有重要意义。首先从不同层次上简单分析了实时流量分类技术的研究现状;给出了流量分类的实时性概念及其指标;然后从流量统计特征和机器学习算法两个方面综述了实时流量分类的主要技术及研究进展,并进行了实时性分析;最后根据未来网络发展对实时流量分类技术提出的新要求,展望了该领域未来的研究发展方向。 基于结构森林的RGB-D图像轮廓提取 为了获取更加清晰、更多细节的轮廓特征,充分利用Kinect传感器获取的RGB-D图像信息,将结构化的随机森林作为分类器,提出一种更加精确的轮廓提取器。首先,将RGB-D图像的多种信息利用数学公式表示出来;然后利用BSD500数据集以及NYU深度数据集训练结构化的随机森林算法,核心是将给定节点的结构化标签映射到一组离散标签;最后,利用该随机森林算法对RGB-D图像信息进行分类,得到图像轮廓。针对细节不同的四种场景图像进行对比实验,结果表明,经改进后的算法得到的轮廓效果更加清晰、准确。 薄膜体声波谐振器电极效应研究 运用传输线路法推导了薄膜体声波谐振器(Thin Film Bulk Acoustic Resonator,FBAR)的输入阻抗公式.利用输入阻抗公式,研究了不同材料FBAR构成中电极材料和厚度对FBAR有效机电耦合系数的影响,FBAR最大有效机电耦合系数优化理论.由结论可知,FBAR的有效机电耦合系数随电极的厚度和密度变化明显,在低密度电极材料时,电极厚度增大明显降低了FBAR的有效机电耦合系数;同时,在电极厚度较厚时,电极密度越大越有利于获取高的有效机电耦合系数.所得结论,可应用于FBAR设计与优化中. 一种基于ICFV的云计算数据库负载访问优化方法 为了优化云计算服务器数据库负载访问性能,采用基于特征向量的增量聚类方法(ICFV)对数据库负载访问性能进行优化。ICFV算法提取数据库负载的特征向量然后进行聚类划分,它与传统的基于负载特征向量聚类不同,采用的是增量聚类方法,不需要对所有负载集合进行重新中心距离计算,从而优化特征向量维数。通过实验证明,采用ICFV算法实现数据库负载自适应优化,提高负载聚类效率。在负载个数相同时,负载类别的增加对云计算数据库的访问性能影响较小,且ICFV方法运算效率优于CFV方法。 基于优化初始中心点的K-means文本聚类算法 K-means算法终止于一个局部最优状态,所以初始中心点的选择会在很大程度上影响其聚类效果。该文针对K-means算法所存在的问题,提出了一种优化初始中心点的算法。实验表明可以有效减少迭代次数并提高聚类精度,最终获得较好的聚类效果。 一种基于变分模型的泊松-高斯噪声图像复原算法 为了改善已恢复图像的图像质量,提出一种基于变分模型的图像复原算法.首先通过多曝光图像成像算法重建出对于光照具有线性响应的亮度图像,并采用泊松-高斯噪声模型对图像退化过程进行建模;然后通过分析亮度图像的同态子块,将泊松-高斯噪声模型的未知参数估计问题转化为求解一个线性方程组;再根据贝叶斯公式和最大后验概率准则推导出一个具有严格凸函数性质的变分模型,其最优解即为对于无噪图像的最优估计;最后利用最小二乘法以及最速下降法分别对噪声的参数以及无噪图像进行估计.实验结果表明,本文算法能够在抑制噪声的同时保证图像的细节与图像质量. 基于改进FastICA的雷达信号盲分离算法 在复杂的电磁环境中,对频谱混合的雷达信号进行盲分离(BSS)处理不失为一种有效的信号分选手段。而传统的盲分离算法存在收敛速度慢,对初始值要求较高等缺点,极大地影响了分离效果。在独立分量分析(ICA)模型的基础上,利用最大化的负熵作为目标函数,提出了一种采用阻尼牛顿法与罚函数相结合的改进算法对其进行寻优,将原问题转化为无约束的收敛问题,加快了收敛速度。采用该方法对五路随机混合的雷达信号进行仿真实验,成功得出了分离信号,有效提高了收敛速度,简化了分离条件,达到了较好的盲分离效果。 基于广义比例强度模型的数控机床预防性维修周期决策 为制定不完全维修条件下数控机床合理的预防性维修周期,提出包含预防性维修因子与修复性维修因子的广义比例强度模型。基于该模型的三种基准强度函数对数控机床的故障维修过程分别建模,并进行模型参数求解与假设检验。基于确定的最优广义比例强度模型,建立以可靠度为约束、以单位时间维修费用为目标函数的数控机床最佳预防性维修周期决策模型。实例分析表明,广义比例强度模型切实反映了维修类型对故障强度的不同影响,且求得的机床预防性维修最佳周期更加符合实际。 V正交基网络 为了改进BP网络的收敛速度与连续正交基网络无法逼近非连续函数的问题,构造了一类基于V正交基的前馈神经网络(简称V正交基网络),并研究其收敛性条件与伪逆规则。由于V系统是L2([0,1])上的一类完备的正交函数系,且Fourier-V级数有较快的收敛速度,因此,V正交基网络有较快的收敛速度,且能有效地逼近一类强间断的一元函数。最后,通过仿真实验证明,V正交基网络的收敛速度明显优于传统的BP网络、小波网络与Legendre网络,特别是逼近一类间断点在二进制有理数处的函数时,其优势更加明显。 精密离心机动态半径测试方法研究与实现 为了对精密离心机动态半径进行有效的测量,提出了一种基于外基准的定点定位测量方法,并利用电容测微仪、24位高精度PXI数据采集卡搭建了动态半径测试系统;在测试过程中,首先对离心机动态半径数据进行等角度采样,然后精确提取精密离心机定位平台所在的转盘外边缘的局部数据,再将不同转速下的局部数据进行点对点的比较,计算出动态半径;对测量结果的不确定度进行了评定,评定结果表明,文中提出的测试方法能够实现对精密离心机动态半径较高精度的测量,测量精度可达0.085μm。 基于完整性度量机制的稳定性回卷恢复策略 针对分布式计算环境中进程状态的不确定性对软件容错造成的影响,提出了一种基于完整性度量机制的稳定性回卷恢复策略,通过对进程备查点时刻的状态进行控制,解决了并行程序的不确定性问题,其优点是功能强、代价小、适用面广并且实现简单,最后给出了该技术的实现方案。 磷化处理技术智能模拟系统的研究 传统的磷化处理研究方法存在着实验周期过长、费料、污染、有害等缺陷,导致优良的磷化液配方难以快速的生成。因此,针对某一项应用,快速生成合理的磷化液配方一直是目前的研究焦点。本文以锌锰镍系磷化液配方为基础,分析影响磷化膜质量的主要因素,确定磷化液配方成分;用神经网络建立模拟磷化膜膜重和耐腐蚀性的数学模型;用VB和MATLAB集成技术编制了整个网络的训练及预测程序,研发了磷化液配方实验过程的智能模拟系统。该系统可以对用户任意组合的一组实验数据,计算出相应的膜重及耐腐蚀性结果。根据计算结果,选择最优的组合方案安排实验进行验证。该智能模拟方法弥补了传统实验研究方法的技术缺陷,既解决了实验量巨大的问题,又实现了最优数据的选取,节约了实验资源。 基于Arnold分层循环变换的数字图像加密算法 为克服Arnold变换的周期性的缺点,提出一种基于Arnold分层循环变换与混沌映射的数字图像加密算法。对图像从里到外不同范围的各层进行Arnold变换,使得层与层之间的置乱相互干扰,达到打乱Arnold周期性的目的;在对灰度值二进制分割循环移动后,根据账篷映射的公式,利用相邻的灰度值确定当前灰度值的变化,使得图像的变换和本身关联紧密。实验结果表明,该算法克服了Arnold变换的周期性缺点,对图像有良好的加密效果,能够抵御常见攻击。 基于视频内容的QoE评价模型 提出一种基于视频内容的QoE评价模型.该模型除获取包头信息编码比特率和丢包率外,还从视频载荷信息中获取帧类型、宏块类型、运动矢量等信息,利用运动矢量和帧内编码宏块比例来代表视频的内容运动特性,将其与编码比特率和丢包率结合起来分别建立编码失真模型和传输失真模型并联合构建出基于视频内容的QoE评价模型.实验结果表明,该模型计算得到的客观评价分数与主观测试MOS分数的皮尔森相关性系数为0.964 4,均方根误差为0.349 0,该模型能够准确评价不同内容的网络视频QoE,具有良好的性能. 求解高维优化问题的改进正弦余弦算法 提出一种改进的正弦余弦算法(简记为ISCA)。受粒子群优化(PSO)算法的启发,引入惯性权重以提高正弦余弦算法的收敛精度和加快收敛速度。此外,采取反向学习策略产生初始个体以提高种群的多样性和解的质量。采用八个高维基准测试函数进行仿真实验:在相同的最大适应度函数评价次数下,ISCA总体性能上均优于基本SCA和HGWO算法;当维数较高(D=1 000)时,ISCA所用计算量远小于HDEOO算法。实验结果表明ISCA在收敛精度和收敛速度指标上均优于对比算法。 无线传感器网络中基于关联度的多查询优化 无线传感器网络是一种以数据为中心的网络,用户通过基站向网络提出查询请求获取所需数据。如何通过多查询的优化来减少传感器节点的能耗以延长网络生命期是无线传感器网络中需要解决的关键问题之一。提出了基于关联度的多查询优化算法,其基本思想是节点通过节点与候选父亲节点之间的关联度来选择父节点,从而被相同查询覆盖的节点聚集成一个组,多个查询间共享组中节点的数据,在网络中对查询数据进行有效的融合,充分减少了网络的数据传输量,延长了网络的生命期。理论分析和模拟实验表明该算法可以充分减少数据传输量,从而达到节能的目的。 IMS中基于策略QoS管理模型 IMS(IP多媒体子系统)给客户带来丰富的多媒体体验的同时,也对服务质量(QoS)管理提出了更高的要求,即针对不同用户定制的不同服务,网络运营商应该制定差异化的策略进行QoS管理。3GPP定义了基于策略的QoS管理框架,但这个框架只是逻辑上的架构,没有定义具体物理实施的方案。对基于策略QoS管理模型进行了分析,在参照3GPP定义的标准基础上,提出了一种管理模型的实现方案,设计并实现了该模型中两个关键服务器策略决策服务器(PDF)和策略执行服务器(PEP)。经过测试,两个服务器能互相配合,正确完成查询策略信息,做出策略决策,执行带宽请求预留、QoS等级协商功能,为网络运营商提供了参考。 基于模糊粗糙集与支持向量机的瓦斯涌出量预测研究 支持向量机(Support Vector Machine)具备很强的非线性建模能力,而且具有全局最优、结构简单等优点,近年来被广泛的应用于煤矿瓦斯预测方面,但其在处理样本时,不能确定数据中哪些知识是冗余的、不能将输入的信息空间维数简化,因此,会降低系统预测的精确性;将模糊粗糙集理论引入瓦斯涌出量的预测中,建立基于模糊粗糙集与支持向量机的瓦斯涌出量预测模型,用模糊粗糙集作为前端预处理器对数据进行约简,剔除冗余信息,以实现两种算法的优势互补。实验结果显示,该方法预测准确,具有较高的应用价值。 以电子病历为核心的数字化医院建设 以电子病历为核心的医院信息化建设是卫生部公立医院改革的重要组成部分,同时电子病历也是医院等级评审的主要内容。随着医改的不断深入,医院信息化建设的重心逐渐向临床系统转移。本文介绍内蒙古自治区人民医院以电子病历为核心的数字化医院建设的现状、系统的特点以及如何利用社会资源共建信息化的相关经验及建议,以期对其它兄弟医院的信息化建设起到启发和借鉴作用。 基于MapX的高速公路动态拓扑结构的构建 最优路径分析是地理信息系统(GIS,Geographic Information System)网络分析的基础,而道路网络拓扑结构的构建又是最优路径分析的关键。结合高速公路网和MapX组件的特点,将MapX控件与Visual C++6.0开发平台相结合,采用数据结构中的邻接表来表示高速公路网络的拓扑结构。仿真结果表明,对高速公路电子地图中任意选定的某条道路或某些区域,系统都能形成所选区域中道路之间的拓扑关系,并将提取出的相关道路的路网信息自动存储到数据库中,从而为后续的道路交通仿真及事故救援时进行最优路径搜索提供必要的信息。 基于ARM Cortex-M4的MQX调度的上下文切换机制分析 针对ARM Cortex-M4平台上MQX系统运行调度实现中最关键的任务上下文切换的实现,在对处理器的硬件特性的分析基础上,重点剖析MQX任务调度过程的底层实现方法,从调度指令级别深层次解析SVC调用和Pend SV调用的实现过程,揭示了MQX任务调度上下文切换的实质。实验对比MQX的上下文切换时间大大少于μC/OS-Ⅱ和FreeRTOS,对其高效调度机制的研究工作具有实践意义和应用推广价值。 一种基于LCSS的相似车辆轨迹查找方法 GPS已广泛应于车辆定位,造成车辆定位数据急剧增加.如何从大量车辆GPS定位数据中挖掘出有用的位置信息已成为研究热点.轨迹相似性计算在相似轨迹查找、轨迹聚类等方面具广泛用途.由于存在各种不确定因素,使得原始GPS数据存在噪声.在对大量的GPS点进行清洗(包括去除定位点、停滞点及中间点等)的基础上,以时间顺序链接GPS点形成车辆行驶轨迹.由于GPS定位点较多,即使在清洗后的大量轨迹数据上进行相似性计算,同样需要很大的计算量.因此,论文运用Ramer-Douglas-Peucker算法先对单条轨迹进行轮廓抽取,形成轨迹轮廓.在此基础上,提出一种基于LCSS(最长公共子序列)的轨迹相似性算法找出相似子轨迹.论文在真实车辆行驶数据上验证了所提算法的有效性. 晶圆制造物料运输系统性能分析建模方法 为在自动化物料搬运系统设计阶段快速有效地分析该系统的性能,提出一种扩展Markov模型的建模方法,该方法减少了自动化物料搬运系统性能分析模型的规模,避免了模型的状态空间规模爆炸。采用某晶圆制造企业的实际生产数据进行测试,并与仿真分析方法比较。结果表明,扩展Markov模型与仿真模型在自动化物料搬运系统搬运量、运输小车利用率和空载小车到达平均时间间隔等指标方面的相对误差值为96%,处于[-8%,10%]的区间范围;与仿真模型相比,扩展Markov模型的性能分析效率提高了600倍以上。由此验证了所提扩展Markov模型建模方法的有效性。 基于Cookie劫持的Deep-Web用户数据安全性分析 随着互联网的发展,人们越来越习惯于使用以社交网络和电子商务为代表的Deep-Web网站.由于Deep-Web包含了大量的用户信息,其安全性日益引发广泛关注.通过对主流社交网络和电子商务网站实施Cookie劫持攻击实验,发现虽然Deep-Web网站提供了诸如HTTPS协议等的安全保障措施,但大多数并不能抵御Cookie劫持攻击.攻击者可以仿冒合法用户行为,获取用户信息.最后,对实验结果进行了分析,并给出了安全建议. Windows平台下基于HPA的Ghost技术 基于HPA的windows平台下系统备份与还原技术的研究与设计是军队信息化建设项目(军用终端保护系统)中的核心内容,解决了ghost软件Dos下执行的缺陷,并实现了利用HPA技术分区隐藏系统备份文件,使操作系统备份与还原软件技术向前迈进了一个新阶段,同时为军队信息化建设提供了可靠了保障。软件最终性能表明:基于HPA的windows平台下系统备份与还原技术完全达到了预期效果,能足够满足军队信息化建设需求,同时相比ghost软件,性能和用户体验得到了巨大提升。 基于云服务的水利仿真计算系统生成平台 针对水利模型软件生产效率低,软件开发大量重复,知识产权保护缺失的现状,提出一种基于模型标准化的水利仿真计算系统快速生成框架,并实现该系统生成平台。首先,制定模型标准,建立标准化模型库。其次,以模型库为基础,利用平台框架快速生成用户自定义的单模型或多模型组合的水利仿真计算系统。最后,应用云服务等技术完成仿真计算,并对计算结果进行可视化呈现。 特高压酒杯塔组立对结构影响的仿真分析 在输电线路的铁塔受力强度问题的研究中,为了克服施工经验和理论研究的不足,以1000kV晋东南-南阳-荆门特高压交流试验示范工程酒杯塔为例,针对施工载荷对杆塔结构受力的影响,应用数值仿真方法对塔片起吊瞬间的受力、大质量中横担吊装以及边横担吊装等施工工艺进行有限元仿真分析。研究结果表明,塔片吊装瞬间需要补强、中横担宜前后分片吊装、边横担可以利用地线支架吊装,并提出局部补强的建议。输电杆塔组立施工的仿真分析弥补了施工经验的不足,仿真研究结果为施工提供了科学依据。 软PLC梯形图到语句表转换新策略的研究 提出了一种用于实现软PLC梯形图到语句表转换的新策略。首先将梯形图映射为AOV图,然后对每级的AOV图进行扫描以确定各顶点之间的不同层次的串并联关系,扫描的同时自底向上建立一棵逻辑树以保存扫描结果,最后通过遍历这棵树生成语句表。通过实例说明此策略能清晰地表达出梯形图各元件的逻辑关系,语句表转换过程准确快速。执行过程中还能对梯形图进行逻辑查错和规范检查。 基于深度学习的藏文分词方法 重点研究将深度学习技术应用于藏文分词任务,采用多种深度神经网络模型,包括循环神经网络(RNN)、双向循环神经网络(Bi RNN)、层叠循环神经网络(Stacked RNN)、长短期记忆模型(LSTM)和编码器-标注器长短期记忆模型(Encoder-Labeler LSTM)。多种模型在以法律文本、政府公文、新闻为主的分词语料中进行实验,实验数据表明,编码器-标注器长短期记忆模型得到的分词结果最好,分词准确率可以达到92.96%,召回率为93.30%,F值为93.13%。 多粒度粗糙集模型中属性子集序列的构造方法 针对多粒度粗糙集模型中属性子集序列的构造问题,提出一种基于属性间距离的构造方法。该方法首先引入信息系统中属性间距离的概念,并给出距离的定量计算公式;然后根据公式来计算出各个属性之间的距离;最后根据属性之间距离的远近,得到每个属性的邻域属性集,从而构造出一个属性子集序列。实验结果表明,与随机构造的属性子集序列相比,该方法构造的序列对于实验的每个对象类具有更高的近似精度。 异构计算系统中弹性节能调度策略研究 目前,节能已成为异构计算系统中减少电量开销、提高系统可靠性和保护环境的重要研究内容.传统的节能调度策略侧重于研究如何节能而忽略了用户对任务完成时间的期望,使得任务执行效果受到较大影响.特别是当系统负载较重时,由于电压调节缺乏自适应性,导致在某些情况下(如应急服务)的任务执行效果不可容忍.文中提出一种弹性节能调度策略(Elastic Energy-Aware Scheduling,EEAS),用于动态调度异构计算系统中非周期、独立任务.EEAS策略根据系统负载情况在系统节能与用户期望之间进行权衡,即当系统负载较重时,EEAS优先考虑用户期望,通过动态调整计算节点局部队列中等待任务的执行电压提高任务完成率;当系统负载较轻时,EEAS在尽量满足用户期望的基础上最大限度地降低任务执行电压以实现节能.文中通过大量的模拟实验比较了EEAS、GEA、HVEA和LVEA的性能.实验结果表明,EEAS的调度质量优于其他策略,可有效提高系统弹性. 基于情景感知的移动Ad Hoc网络自适应路由协议 "针对具有间歇连接特性的移动Ad Hoc网络,提出一种具有情景感知的自适应路由协议ARPBC(adaptive routing protocol based on context-aware)。计算出节点情景信息效用函数,采用预测技术求出效用函数的预测值,结合多准则决策理论,计算出节点的传输概率值。节点移动和随机性会导致网络""孤岛""的形成,此时启用异步传输方式,即选择具有最高传输概率值的节点作为携带数据分组的中继节点,当中继节点移动到目的节点连通域时,以同步传输方式将数据分组发送给目的节点。仿真实验结果表明,该协议能够有效提高数据传输成功率,降低端到端时延,节省网络带宽。" 基于语义的中文文本关键词提取算法 为克服传统关键词提取算法局限于字面匹配、缺乏语义理解的缺点,提出一种基于语义的中文文本关键词提取(SKE)算法。将词语语义特征融入关键词提取过程中,构建词语语义相似度网络并利用居间度密度度量词语语义关键度。实验结果表明,与基于统计特征的关键词提取算法相比,SKE算法提取的关键词能体现文档的主题,更符合人们的感知逻辑,且算法性能较优。 特殊条形码的识读 主要通过对卡片侧面有用信息的识读,克服因光照不均、摄像头分辨率有限等问题,所带来的条码分割以及识别的困难,利用图像处理中几何校正、双线性插值放大、去噪等算法,以及对条码的分割定位,从而判断出卡片正面的信息,很大程度上提高了条形码识别的准确率,实验证明该方法有效并准确地识别出了卡片的正面信息,达到了较高的识别率,具有一定的实用价值,为今后条码的识别开拓了一个新的方向。 一个简单的65nm MOSFET失配模型 MOSFET的精确匹配对模拟和混合集成电路的性能至关重要,随着器件特征尺寸减小至纳米,将MOSFET失配模型进行改良以适应新工艺显得十分迫切.文中应用改进的ALPHA律平均漏电流模型拟合65 nm器件的HSPICE仿真数据,并提取了相关工艺参数,该模型与BSIM4模型数据相比平均相对误差为1.70%,相对标准差8.26%;再利用该模型并结合偏差传递公式实现了一个简单的65 nm工艺MOS器件电流失配标准差计算模型.实验结果显示,该模型与HSPICE蒙特-卡罗仿真数据相比平均相对误差为7.69%,相对标准差为10.49%.这表明文中模型简单、有效,又能保证精度. 多工段多工位泵试验台控制方案设计 为了准确测量、改进泵的各项水力性能并对相关试验参数进行记录,需要一个可靠运行、试验精度高的泵试验台;针对上述目标,介绍了正在建设中的多工段多工位泵试验台控制方案中4个关键点的设计方案,包括控制系统设计、抗干扰设计、主动力装置(变频器)的控制设计和数据采集设计;在这4个关键点的设计上均提出了几种可供选择的方案并最终根据项目要求和工程经验做出了选择;同时这些设计充分应用了目前比较先进的数字化传输控制技术、环网冗余技术、光纤隔离技术及其它稳定获取数据的技术,这对泵试验台的连续可靠运行和稳定可靠的获取试验数据尤为关键;这些经过仔细比较选用的方案具有很高的可靠性、获取数据的准确性和一致性、操作的简便性和良好的经济性。 基于UKF的高动态GPS载波跟踪 在高动态环境下,传统的GPS载波跟踪环无法保证可靠的跟踪.本文提出一种改进的基于无迹卡尔曼滤波的高动态载波跟踪方法,采用频率快速牵引方法来保证滤波快速收敛,并加入了一种载波幅度的估计方法.利用美国喷气推进实验室高动态载体模型对环路的跟踪性能进行了测试.测试结果表明,该方法在高动态环境下不仅能快速牵入和锁定载波信号,而且在高达100g/s的加加速度作用过程中也能持续精确的跟踪. 基于组合增量聚类的数据流异常检测研究 面向数据流的异常检测方法在诸如实时监控、网络入侵检测等领域有着广泛的应用。然而,数据流连续不断的特点,以及数据流处理的特殊性和时效性等限制使得传统的聚类算法已不再适用,因此增量聚类成为当前面向数据流异常检测的研究热点。论文在改进了两类增量聚类方法的基础上,针对单一增量聚类检测率低,误报率较高的问题,提出了一种基于组合增量聚类的数据流异常检测方法,该方法以改进的增量聚类算法为基础,设计了有效的共识函数对多种聚类算法的结果进行融合。实验结果表明,改进的聚类算法在处理效率上有明显提升,适用于增量聚类,并且提出的组合增量聚类相比于单一聚类方法,具有更好的聚类性能。 ATC-GIS数据插入方法的研究和改进 现有ATC-GIS采用one-by-one的插入方式将海量新数据插入R树索引中,效率较低,并且不能较好地维护R树查询性能。针对该问题,研究并设计一种基于SCB方法的改进数据插入方法,采用种子树指导聚类并构建输入R树来批量插入新数据,利用再压缩过程优化R树结构,通过实验比较选择STR压缩算法构建输入R树。在ATC-GIS上的实验证明,改进后的方法在插入时间和查询效率的维护方面优于现有系统。 管网仿真综合实验平台初步设计 在各类复杂化工生产系统中,管网构成系统的核心;而由于化工生产系统的连续性,管网中任意一点的流量或者压力的变化,将影响系统的平衡乃至正常运行;为了便于对管网系统中流量和压力进行实际测量,作者在研究各类典型管网结构单元以及部分小型化工生产单元管网基础上,根据相关设计规定及理论依据,以Auto-CAD为主要软件,开创性地设计出1个管网仿真综合实验平台,用以研究管网中各类(正常或异常)操作所引起流量压力分布的变化及它们之间的影响,从而为管网计算机简捷算法提供实际数据支持,进而为计算机全工况仿真提供便利。 基于PDCRAN-SIFT的特征匹配技术研究 研究复杂背景下图像的目标识别,提高复杂背景下识别攻击目标的准确性和快速性。针对SIFT特征具有冗余度高、可分性差的缺点,提出PDCRAN-SIFT的方法对SIFT特征进行聚类精选,首先引入概率距离聚类的方法对SIFT特征进行聚类,选取每一类的代表特征向量作为粗聚类特征向量,然后运用随机采样一致算法剔除粗聚类特征向量中与目标图像误匹配的特征向量,从而得到精聚类匹配特征向量。实验表明,经过处理之后的PDCRAN-SIFT特征向量,冗余度大大减小,匹配时间缩短了50%左右,特征可分性和对光照、视角、噪声的鲁棒性也比SIFT特征明显增强。 基于趋势面与SSIM的时空数据相似度算法 针对空间位置固定而属性值趋势变化的时空数据相似度评判问题,在采用Biharmonic样条建立趋势面的基础上,提出一种新的时空数据相似度算法。利用网格抽取和色阶映射形成趋势面图像,将时空数据趋势状态表征为图像的结构信息,以趋势面图像之间的相似度来表征时空数据的相似度,并通过结构相似性给出时空数据结构相似度评价方案,实现时间维度的相似度合成,避免传统依靠向量空间分析的片面性,为一定时间窗口下的时空数据相似度分析提供解决方案。实验结果表明,该算法能够有效刻画时空数据所蕴含的趋势信息,提高该类时空数据相似度算法的适用性。 车辆轨迹的增量式建模与在线异常检测 针对智能交通系统中车辆轨迹自动异常检测问题,提出一种基于批处理(batch-mode)模型初始化的增量式轨迹建模,并将其应用到在线异常检测。首先采用改进的Hausdorff距离和谱聚类对初始轨迹集进行分类并建立初始轨迹模型库;然后对提取的新轨迹进行在线异常检测以及轨迹识别,通过增量式(incremental)EM算法更新轨迹类别的隐马尔可夫模型参数;最后进行模型结构更新。户外实际场景监控视频实验结果表明,与经典的batch-mode算法相比,增量式轨迹建模可以得到更加准确的轨迹模型库、更快的运算速度,同时该算法在异常检测方面具有更高的检测率和更低的虚警率,实现了在线异常检测、具有对初始轨迹集不敏感的特点。 可控制形状的多边形变形算法 提出了一种可控制形状的多边形变形算法。该方法在源和目标多边形上指定对应的特征点,通过特征点的位置变化来带动整个多边形变化。得到的中间多边形在特征点约束下保持原内在量相对关系的最小变化,有效去除了多余的形变。通过试验表明,该算法产生的变形序列能很好地避免萎缩、自交等不自然现象,取得了良好的变形效果。 模糊TOPSIS时变权重二次量化云服务推荐 云服务数量的快速增长给云服务选择决策带来了挑战。为协助决策者在进行云服务选择时提供决策依据,更好地满足用户使用需求,提出一种并行模糊TOPSIS时变权重二次量化云服务推荐算法。首先,基于熵的权重赋值方法确定准则权重,解决了准则权重不好选取的问题,并采用时变权重方法作为补充设计一种新的模糊TOPSIS评估方案,时变权重的引入可以对不同时期QoS等信息的重要性进行二次量化区分,有助于提高融合决策的合理性。其次,基于时间段的模糊TOPSIS多准则融合决策信息,提出一种可并行云服务选择框架,有利于算法的实际推广应用。实验结果表明,该算法能够有效抑制不良QoS信息影响,提高服务调用成功率保证诚信服务的互操作性。 核化正交平衡类鉴别分析 现实生活中数据的分布往往是非线性且不平衡的,传统的线性鉴别方法已经很难提取有效的鉴别信息,于是文中将算法扩展到核空间,提出了基于欠采样技术的核化正交平衡类鉴别分析(KOCBD)的方法。该方法在非线性空间中使用核映射,令少样本类为特定类,在剩余样本中构建其近邻样本集,并重新进行平衡类划分,然后提取鉴别特征。为了得到更具鉴别力的特征,进一步去除特征间的冗余信息,文中为相关性大的类之间所获得的鉴别向量加上正交约束。在Coil20和USPS数据库上的实验结果表明,KOCBD方法能够有效地解决非线性空间的类不平衡问题,识别效果有一定程度的提高。 一种级联过程神经网络动态预测模型及其应用 针对非线性动态系统分阶段指标预测问题,提出了一种基于级联过程神经元网络和相空间重构技术的动态预测模型和方法。考虑实际系统各个变量在运行过程中不同阶段可能具有不同的作用关系和信息变换机制,以及各阶段系统状态的连续性,采用若干过程神经元子网络构成级联结构建立系统动态预测模型;同时,为弥补实际采样数据的不足和提高数据信息的利用率,利用相空间重构理论构造训练样本集。给出了预测模型的信息处理机制和学习算法,以油田开发三次采油过程仿真为例,实验结果验证了模型和方法的有效性。 基于领地行为的多目标粒子群算法及在板翅换热器设计中的应用 针对多目标粒子群算法易于陷入局部最优解的问题,提出基于领地行为的多目标粒子群算法。通过模仿动物领地行为中的领地占领、自我完善和寻找新领地三种子行为,提出领地半径动态更新技术来扩大种群搜索范围,采用交叉操作和高斯变异增加种群多样性和增强搜索能力,提出定总概率和期差概率提高粒子寻优的有效性,实现对多目标粒子群算法的改进。同时采用改进的逼近理想解法群体多属性决策方法,实现对Pareto最优解的多属性模糊评价与优选。将算法应用于板翅式换热器多目标综合设计,可以快速、准确地获得合理的结构参数。 协同制导律在大气层外拦截器末制导中的应用 针对大气外多拦截器对目标协同拦截精度要求问题,由于传统的单目标拦截算法不适应对多目标的拦截,所以引入了飞行时间可控的协同制导律。根据拦截器及目标的运动特性,建立了视线坐标下的相对运动方程;根据制导指令形式对协同制导律特性进行了分析,给出了期望飞行时间的选取原则;为提高协同制导的制导精度,设计了时间阈值门限;为减小发动机开关频率,根据轨控发动机的推力特性,设计了发动机的开关机规律。通过仿真分析表明,协同制导律导引的弹道相对弯曲,但仍可在期望的时间击中机动目标,为多拦截器对目标拦截精度优化提供了依据。 基于颜色Petri网的服务组合建模与验证 Web服务组合技术能快速、灵活的满足复杂多变的业务需求,Web服务组合及其形式化描述和验证已经成为Web服务中一个非常重要的研究方向.给出了一个基于颜色Petri网(CPN)的Web服务和Web服务组合的形式化定义和描述,主要描述了4种组合结构:顺序、选择、并发和循环.给出了一个颜色Petri网建模Web服务组合的实例,并且对模型进行了简单的正确性分析.分析结果表明,该建模方法满足正确性要求. 基于自适应块参照值的密文域可逆信息隐藏 针对当前密文域图像可逆信息隐藏容量不足,可逆性不强且实现复杂、效率低下的问题,提出一种基于自适应的块参照值的密文域可逆信息隐藏技术。该技术对图像按照四叉树分割的方式进行自适应分块,对部分图像块求取均值并保留,利用伪随机序列加密图像,并通过加法运算嵌入秘密信息。利用保留的均值可独立进行秘密信息的提取和图像解密,载体图像可完全恢复。实验表明,该方法简单、高效且计算简便,具有较好的隐藏容量、可逆性和可分离性。 单播网络中基于物理层网络编码的协作传输方案设计 协作传输可以获得空间分集增益,有效地抵制由于无线网络多径传播引起的信号衰落.针对单播传输的无线中继网络,提出一种基于物理层网络编码的协作传输方案.理论分析表明,该协作传输方案相对于传统协作传输方案以及基于Straightforward网络编码的协作传输方案,可有效减少传输时隙,获得较高的网络吞吐量.并且对基于物理层网络编码的协作传输方案的SEP性能进行了实验仿真. 基于项目聚类和时间因素改进的推荐算法 针对传统推荐算法忽略时间因素影响的问题,根据用户行为在短期内存在较高的相似性,在计算物品相似度时引入时间衰减函数,提出一种考虑时间因素的物品相似度计算方法。同时基于聚类的思想,对项目作聚类,充分挖掘用户对项目类的兴趣度以及物品在类内的权重关系。基于上述两点对传统的协同过滤推荐算法进行改进,提出一种结合时间因素和项目聚类的TCItem CF算法。实验结果表明,采用改进的推荐算法能够明显改善推荐效果;特别地,在阻尼系数为0.01、时间衰减因子为0.5、聚类数目为160、推荐列表长度为20时,改进的推荐算法相比基于项目聚类的推荐算法Item Cluster推荐准确率提高4.9%。 基于简化模型的T型微反应器设计 分析T型微反应器内流体混合程度和反应产物收率的常用方法:是建立计算流体动态模型,也就是CFD模型,可是这种模型不适用于微反应器的优化设计,因为利用CFD模型进行优化计算需要的大量的计算时间。本研究开发了一个描述T型微反应器流体流动状态的简化模型,通过假设边界条件和假设扩散系数的设定来计算微反应器内反应产物收率。其中假设边界条件用来描述强混合效果在反应通道入口处的流动状态,假设扩散系数用来描述混合效果在流动路径上的变化。本研究依据此简化模型,确立了一种优化设计方法:,用来设计具有最优尺寸的T型微反应器。优化问题的目标函数是最大反应产物收率,优化约束包括反应通道尺寸约束和操作压力约束。在求解过程中,首先建立尺寸约束边界条件下的CFD模型,然后依据CFD模型计算结果:,求解简化模型中的未知参数。将建好的简化模型作为优化问题的等式约束,最后求出最优的T型微反应器。简化模型和优化设计方法:的有效性通过一个快速平行串联反应在微反应器中的反应过程来验证。简化模型的优化设计结果:和CFD模型验证结果:高度一致。 基于希尔伯特-黄和小波包的UWB信号检测方法 针对希尔伯特-黄变换在超宽带脉冲检测中检测性能受限于信噪比的问题,研究并分析了筛选终止条件以及小波包去噪方法,结合新终止条件,给出了希尔伯特-黄变换与小波包分析联合检测的新方法。采用新方法对噪声中的超宽带信号进行检测分析,并运用均方根误差公式对该方法的检测性能进行仿真比较。仿真图表明,新方法能较为准确地重构出淹没在强噪声下的脉冲,成功克服HHT变换在检测超宽带脉冲时受噪声强度影响较大的问题,从而改善强噪声环境下脉冲信号的检测效果。 基于RSOPNN的无线传感器网络节点故障诊断算法 针对无线传感器网络节点故障诊断中存在的冗余故障属性、噪声数据以及数据可靠性等问题,提出基于粗糙集-优化概率神经网络的无线传感器网络节点故障诊断算法(简称RSOPNN)。通过粗糙集从故障样本属性集合中求解故障诊断属性约简,从而去除冗余故障属性,降低冗余属性、噪声数据对故障诊断的影响,节省能耗。对于多个属性约简选择,以属性间的相关程度作为度量标准,代替常规的主观选择,从多个约简中确定最优故障诊断属性约简,解决主观选择的不合理性。以最优的故障诊断属性重构故障样本,作为优化概率神经网络的输入,建立故障分类模型,从而对故障进行诊断。实验结果表明,在不同的数据可靠性下,RSOPNN方法能够有效删减样本中的冗余属性和噪声数据,保持高效的故障诊断水平,符合无线传感器网络的需求。 远程协同故障诊断任务执行路径规划 远程协同故障诊断的一个关键环节是诊断任务分配,而多个分解后诊断任务执行顺序确定也是诊断任务分配环节中不可避免的一个内容;基于D算法,引入两个辅助向量,建立了关键路径规划方法来进行诊断任务执行路径规划,它包括最长和最短两种关键路径规划算法;并以混凝土运输车制动系统故障诊断任务分配中的执行路径规划为例,对该方法进行了应用,验证了该方法具有简单实用,易于计算机实现等特点;结论中进行了总结并指出了进一步研究点。 基于Open XML的应急预案数字化方法 针对目前静态文本应急预案的非结构化问题,引入组件化设计思想结构化预案内容,建立XML描述模型数字化预案业务数据,最后基于Open XML国际标准生成数字化应急预案,并以国民经济动员综合预案为例验证了该方法的可行性。实验结果表明,基于本方法生成的数字化应急预案有效地实现了预案模板和预案业务数据的分离,有利于预案模板的独立扩充和修改,有利于校验填写的预案业务数据,并使预案检索可深入预案业务数据。 飞机空调车的模糊CMAC-PID温度控制器设计 关于飞机地面空调温度优化控制问题,飞机地面空调车温度控制系统具有参考模型不精确、非线性、时变、工作环境不稳定等特点。针对实际温度控制系统中应用到的传统PID温度控制器存在超调量大、响应速度慢、抗干扰能力弱等缺点,设计了一种新的响应速度快、稳定性高和抗干扰能力强的模糊CMAC-PID控制器。温度控制器利用小脑神经网络(CMAC)较强的自适应能力,与模糊PID控制器并行工作,能够迅速、精确、稳定的达到系统所要求的温度值。用Matlab软件进行实验,结果表明控制方式有效地改善了系统的动态性能、稳态精度和鲁棒性,具有较好的工程应用前景。 移动路由系统中认证机制 基于隧道技术的移动路由系统为车地通信问题提供了全新的解决思路。为了保障该路由系统的安全性,达到对用户上网行为可控可管的目标,提出移动路由系统中认证机制的设计需求。通过对该路由系统原理和体系结构的分析,结合其软硬件条件,给出一种高效且易实现的认证机制。综合使用Linux下的Netfilter/iptables、PHP和MySQL等多种工具,加以实现。最后,搭建拓扑对该认证机制进行测试,验证了该认证机制能够很好地满足需求。 阿霉素纳米粒在小鼠体内释放的模型拟合 目的:对阿霉素纳米粒在小鼠体内的释放行为进行模型拟合。方法:以昆明小鼠为研究对象,采用静脉注射给药,用HPLC-荧光检测法测定阿霉素溶液和阿霉素纳米粒2种剂型下小鼠血药浓度的变化,比较两种剂型下阿霉素在小鼠体内药时浓度的变化情况,并采用模型对其进行拟合预测。结果:在给定同样剂量条件下,阿霉素溶液刚开始时获得较高血药浓度,但血药浓度降低较快,在24 h时血药浓度已经很低,而阿霉素纳米粒在体内的消除较慢,在24 h依然保持一定浓度。阿霉素纳米粒组和阿霉素溶液组血药浓度曲线均用二室模型拟合较好。结论:阿霉素纳米粒分布和消除都较为缓慢,有一定的缓释效果。 确定经验风险水平的线性规划支持向量回归算法 传统的线性规划支持向量回归算法需要选择一个折中参数C来确定经验风险和置信风险之间的比例,而针对不同的数据选择最优的参数C一般并不容易。为解决这一问题,提出一种给定经验风险水平的线性规划支持向量回归算法,该算法能够事先确定经验风险水平的大小。另外,新算法还可以通过设置不同样本点上经验风险的大小,处理样本中存在异方差的情况。仿真试验验证了所给算法的可行性和有效性。 一种WSN中多幻影节点源位置隐私保护协议 在应用于目标监测的无线传感器网络中,攻击者可通过回溯追踪源节点发送数据包而获取源节点位置,从而对监测目标安全造成威胁。因此,需要采取相应策略进行源位置隐私保护。已有基于幻影节点的路由策略选取幻影节点的策略集中在源数据包随机或定向游走,存在幻影节点地理位置不够多样化,随机游走路径易重复等问题。在网络初始化阶段,本文通过选取基站节点的节点三元组过程中,为整个网络节点同时确定2个备选幻影节点,而节点三元组选取策略在地理位置的计算时要确保2个幻影节点的位置均位于可视区之外,以避免失效路径的产生;在路由工作阶段,根据随机数产生机制随机选取一个幻影节点发送数据包,利用中继节点与目标幻影节点间扇形区域划分的方法,有效减少路径重复几率和数据包传输时延。理论分析和仿真实验表明,本文提出的多幻影节点源位置保护策略相比已有多幻影节点策略能提高源节点的安全周期的同时,在通信时延方面也能取得较好平衡。 基于PC集群的三维图形并行渲染性能分析 研究基于PC集群的三维图形并行渲染性能问题,从网络性能、算法复杂度、并行分配机制等几方面分析了影响并行渲染性能的关键因素。在千兆以太网PC集群上进行了基于通用MPI和OpenGL的三维图形并行渲染仿真测试,给出了数据及分析结果,给出了合理构建并行三维图形渲染系统的建议,通过平衡图形算法复杂度和网络性能以达到最佳并行性能。 考虑重叠度和线长的单元密度平滑方法 针对目前力指向布局中单元移动式的密度平滑方法存在对优化结果破坏较大、收敛速度较慢的缺点,提出一种考虑重叠度和线长的密度平滑方法(DSAW).该方法结合局部和全局的密度分布来确定单元移动距离,使单元移动中尽量减少对线长的破坏;同时对面积大的单元进行了离散化处理,通过矢量求和来确定大单元的移动距离,减少计算误差.将DSAW嵌入到使用基于扩散的密度平滑方法(DPlace)布局器中的实验结果表明,与DPlace相比,文中方法使线长降低7%,总体运行时间有明显的提高. 对抗场景划分识别与多agent群体对抗策略选择研究 针对多agent群体对抗策略选择问题,建立对抗场景的新型划分识别框架,提出多agent群体指标信息证据合成方法,能在复杂动态的对抗场景中快速生成实时的最佳群体对抗策略,完成群体对抗任务,从而为多agent群体对抗的战术决策提供方法支持。 Web网络虚拟资源中特定数据检测优化仿真 对Web网络虚拟资源中特定数据进行检测,在提高网络虚拟资源安全方面具有重要作用。由于海量Web网络虚拟资源数据量过大,使得资源数据特征多且相似。传统的检测方法主要通过对资源数据特征进行分类筛选来实现数据检测的,当出现大量相似的数据特征时,不能准确地进行分类筛选,导致检测不准确、效率低的问题。提出新的海量Web网络虚拟资源中特定数据检测方法。对海量Web网络虚拟资源背景环境进行分析,采集网络虚拟资源数据集;利用小波变换分析法对采集的数据集进行去噪并归一化处理。引入区域特定数据离群系数算法,获取特定数据与数据集远离程度度量因数,并通过区域离群系数相关距离判断是否存在特定数据,实现对海量Web网络虚拟资源中特定数据进行快速检测。仿真结果表明,改进的检测方法相比传统的检测方法检测效率高,误差低,时间短,具有一定的实用性。 改进型LLR BP译码算法研究 短低密度校验(LDPC)码的Tanner图中通常存在环路,变量节点之间的信息不再相互独立,导致LLR BP算法译码性能的下降。针对上述问题,提出一种改进型LLR BP译码算法,推导出有环时变量节点的真实信息,利用最小均方误差准则计算出有记忆的变量节点信息的权值,通过调整变量节点信息的迭代过程降低变量节点之间信息的相关性。仿真结果表明,改进型LLR BP算法具有比LLR BP算法、归一化BP算法及偏移量BP算法更好的LDPC译码性能。 基于项目分类和用户群体兴趣的协同过滤算法 由于用户评分数据在极端稀疏的情况下会导致传统协同过滤算法的推荐质量下降,针对该问题,提出一种基于项目分类和用户群体兴趣的协同过滤算法。该算法根据项目类别信息对项目进行分类,相同分类的项目具有较高的相似性;利用评分数据计算各个项目分类上的用户相似性矩阵,并计算用户群体在各个分类上的兴趣,通过二者构造加权的用户相似性矩阵;利用用户加权相似性矩阵寻找用户的最近邻以获得最佳的推荐效果。实验结果表明,该算法能有效提高推荐质量。 关键包可靠网络协议的研究 针对具有单个数据包小、数据包数量巨大特点的网络数据传输问题,提出关键包可靠性的概念.利用UDP协议简单、高效、快速的特点,设计了关键包可靠网络协议(RCPP),该协议基于客户端/服务器模式,包括四种格式的数据报文,采用关键包三次握手、非关键包不确认的通信交互机制.通过理论分析和数学推导,建立了一个模型用于预测RCPP协议的运行性能.最后,实现了RCPP协议并使用它进行了实验测试.将模型分析结果与实验数据进行了比较,结果表明RCPP协议可以以较高的网络利用率和吞吐率有效地运行,分析模型能够对协议性能做出准确合理的预测. 基于PR9000的超高频读写器设计 介绍了一种超高频RFID读写器芯片PR9000,叙述了芯片的组成,特别对其工作原理及碰撞算法进行了分析。在此基础上叙述了芯片的使用方法,并给出了具体应用的实例电路和软件流程。最后给出了实验测试参数,具有一定的应用参考价值。该读写器开发成本相对较低,可为需要使用和设计超高频读写器的技术人员提供一个低价位的开发平台。 改进特征权重的短文本聚类算法 短文本的研究一直是自然语言处理领域的热门话题,由于短文本特征稀疏、用语口语化严重的特点,它的聚类模型存在维度高、主题聚焦性差、语义信息不明显的问题.针对对上述问题的研究,本文提出了一种改进特征权重的短文本聚类算法.首先,定义多因子权重规则,基于词性和符号情感分析构造综合评估函数,结合词项和文本内容相关度进行特征词选择;接着,使用Skip-gram模型(Continuous Skip-gram Model)在大规模语料中训练得到表示特征词语义的词向量;最后,利用RWMD算法计算短文本之间的相似度并将其应用K-Means算法中进行聚类.最后在3个测试集上的聚类效果表明,该算法有效提高了短文本聚类的准确率. 协同输入向量控制与门替换技术缓解电路NBTI老化 针对现有选取方法直接以输入向量控制(IVC)产生电路最小时延为目标,不能有效地发挥门替换(GR)技术优势的问题,提出一种输入控制向量选取方法,用于协同IVC和GR技术缓解电路负偏置温度不稳定性(NBTI)老化的方案.首先以IVC可控制关键门输入引脚为逻辑1的数量最大为目标,从备选向量集中选取最优输入控制向量,用于电路的输入控制;然后对不能通过最优输入控制向量控制为逻辑1的关键门输入引脚,分析其GR可防护性,对GR可防护的输入引脚的驱动门实施门替换.实验结果表明,与现有选取方法相比,文中方案可平均提高电路时延退化改善率7.56%,相对提升达到32.64%,同时,需要付出的附加面积开销和附加固有时延开销还略有降低. 互联网数据资源中特征用户准确识别仿真 对互联网数据资源中特征用户准确识别,可提高互联网特征用户的安全性。进行特征用户识别时,应准确提取特征用户的有效特征,建立带识别样本中条件熵最大的模型,并通过相关条件对模型进行约束优化完成识别,但是传统方法是通过利用朴素贝叶斯模型进行用户特征识别,但是不能对特征用户的有效特征进行准确提取,也无法通过相关条件进行约束优化,降低了特征用户识别的有效性,提出一种基于最大熵的互联网数据资源中特征用户准确识别方法,分析互联网数据资源中特征用户名用字的特点,提取有效特征,利用最大熵原理构建模型对互联网数据资源中特征用户进行准确识别。并在一个40万余的中文人名语料上进行训练和测试,对比了依据不同特征组合进行用户识别的准确率,仿真结果表明,与传统的基于贝叶斯分类器方法相比,利用提出的方法进行互联网数据资源中特征用户识别时的准确率较高。 基于图论的物流配送最短路径比较研究 通过实例对比分析Dijkstra算法和Floyd算法特点及适用性,选用Dijkstra算法计算物流配送的最短路径,给出Dijkstra算法求解最短路径问题的实现方法及步骤并集成了一个小型系统,使用随机生成的数据进行最短路径求解,将生成的最短路径在随机生成的图上进行演示,并计算出两种算法执行时间,以期对物流配送中点对点的最短路径有所帮助。 基于信息扩散概率的二分网络社区划分算法 二分网络是复杂网络的表现形式之一,二分网络单侧节点的社区划分对研究复杂网络具有重要的实际意义.基于信息在网络中的扩散概率和模块度思想,本文提出了一个针对二分网络的社区划分聚类算法(IPS算法).该算法通过模拟信息在网络中扩散的过程,利用各个节点的信息量在网络中扩散后,每个节点收到其他节点的信息量作为社区之间合并的依据,并引入二分网络模块度作为社区划分优劣判断的依据.最后算法在典型网络上测试结果表明,该算法不仅能够精确的识别二分网络社区个数,而且可以获得高质量的社区划分结果. 基于本体的并发错误测试工具推荐方法研究 随着并发系统测试关注度的日益提高,越来越多的并发系统测试工具不断出现。对于测试人员来说,能否正确选取并发系统测试工具也就成为了并发测试效率的决定因素之一。鉴于并发错误检测软件并不像传统测试软件那样被人们所熟知,提出一种基于本体设计的并发错误测试工具推荐方法。该方法分别根据并发错误类型、程序本身特征和用户具体需求推荐适合的并发错误测试工具,从而提高测试的效率。 基于低能耗的无线传感器网络安全LEACH协议 针对无线传感器网络分层型路由协议面临的安全威胁和能量有限的问题,提出一种能量优化的安全LEACH协议(SC-LEACH)。该协议通过簇头选举、建立分簇、TDMA时隙分配、信息交互四个阶段的执行,准确设定阈值,解决了最佳簇头数精确选取的问题,同时采用预置共享密钥对方式抵御各种恶意攻击。通过与采用对称密钥加密方式的LEACH协议对比,仿真验证了SC-LEACH算法的有效性,表明该协议在能量优化的同时提高了网络安全性。 求解柔性车间作业调度的知识型协同演化方法 提出了一种求解柔性车间作业调度的知识型协同演化方法。在该方法中,各个种群采用不同的进化方法和参数设置来推进各自的演化进程;种群之间通过相互的资源竞争和信息共享,共同推动整体算法的进化进程。采用柔性作业车间调度问题的15个标准实例进行实验,结果表明所提方法在优化性能方面优于近期公开发表的七种典型方法。 基于改进区域生长的遥感影像道路提取 提出一种改进区域生长法的遥感影像中道路提取方法。对遥感影像进行K均值聚类,实现道路区域和非道路区域的初步分离,并获取区域生长的基准值,按照图像特征计算出区域生长的阈值。依据对道路特性的分析,设计了9个道路路口模型。根据设计的道路路口模型,对区域生长法进行了改进,使得道路的提取按照道路路口模型自动增长。最后通过数学形态学的手段对道路进行优化。实验结果表明使用提出方法所提取道路区域更加完整。 卫星CICQ交换系统调度算法研究 联合输入交叉点排队(CICQ)交换结构由于在交叉点引入少量缓存,可以将输入端口和输出端口进行有效隔离,降低调度算法的复杂度,并适用于大容量交换。为此,研究基于交叉点缓存的各种调度算法和基于CICQ的交换结构,提出一种基于流量控制的FCSA算法,通过OPNET仿真分析表明该算法在均匀分布和突发业务源的情况下具有较好的时延性能,并且复杂度低,吞吐量大。将该算法应用于星载交换机,结果表明,该算法可以满足星载交换机多业务突发传输的特点,易于硬件实施。 基于Wikipedia的人名简历信息抽取 实体关系抽取是目前信息抽取研究的热点。提出的简历信息抽取,就是从网页数据中抽取得到关于人的出身、学习教育、工作经历的三类不同关系元组(由两个实体和关系表示组成),从而整理出现实生活中人的简历信息。在基于句子分块(Chunk)和命名实体识别(NER)标记的抽取模式基础上,利用Wikipedia作为知识库,提出基于当前元组与关系表示集合语义相似度的关系判别算法对按照模式抽取得到的关系元组进行过滤和分类。实验结果表明抽取精度和F值对比基准方法上有了较大提高,并且实现了较高精度的简历信息类型分类。 一种基于CHMM的回转窑喂煤量变化趋势预测方法 提出了一种基于CHMM的工业回转窑喂煤量变化趋势预测的辅助控制系统方法。采用PCA方法对现场采集的数据进行主成分分析方法进行数据降维,并以主元特征序列作为CHMM模型的观测序列。最后,采用回转窑现场热工数据作为实验数据进行喂煤量变化趋势仿真试验,并以此来实现对专家系统的辅助控制,试验结果表明该方法是有效的。 基于混沌粒子群优化小波神经网络的短时交通流预测 根据交通流量的非线性、时变性和复杂性等特点,提出基于混沌粒子群CPSO(Chaos Particle Swarm Optimization)优化小波神经网络WNN(Wavelet Neural Networks)的短时交通流预测。结合混沌的随机性和遍历性改进粒子群优化算法,改善粒子群优化算法容易陷入局部最优的问题。利用混沌粒子群算法优化小波神经网络的模型参数,克服传统小波神经网络采用梯度下降法易陷入局部极值和引起振荡效应现象缺陷。仿真结果表明,混沌粒子群优化小波神经网络与粒子群优化小波神经网络和小波神经网络两种方法相比,其提高了收敛速度和预测精度。 基于邻居选取策略的人群定向算法 人群定向是广告推荐系统中的一种重要技术,它是通过分析种子人群的行为数据,找出潜在的目标人群,而现有人群定向算法大多依赖于传统的协同过滤推荐算法.由于传统的协同过滤算法具有推荐精度低和抗攻击能力较弱的问题,为了解决这些问题,提出了一种基于邻居选取策略的人群定向算法.1)通过用户行为相似,动态选择出与种子人群具有相似行为的用户;2)以用户特征和用户行为作为邻居选取的依据,通过用户相似度从行为相似人群中选择出每个种子用户的邻居,并将所有的相似邻居作为候选人群;3)通过基于邻居选取策略的人群定向算法,从候选人群中择出潜在的目标用户,以完成人群定向.实验结果表明:与现有方法相比,该方法不仅提高了人群定向的精度,而且也增强了系统的抗攻击能力. 基于Trustzone的强安全需求环境下可信代码执行方案 针对工业4.0等具有强安全需求、计算功能相对固定的新型信息化应用场景,基于白名单思想提出了1种移动嵌入式平台可信代码执行方案.利用ARM Trustzone硬件隔离技术构建可信执行环境,结合ARM虚拟内存保护机制,构造内核飞地,确保系统监控模块无法被不可信内核篡改或绕过.以此为基础为可信进程提供可执行文件完整性、运行时代码完整性、控制流完整性3种层次的白名单保护,确保设备只能执行符合白名单策略的授权代码.通过构建通信客户端进程与Trustzone安全世界的安全共享内存区,利用Trustzone对外设中断事件的控制能力构建可信时钟中断源,确保Trustzone安全世界与中控服务器通信的隐私性、不可屏蔽性.在此基础上设计安全的白名单更新与平台状态证明协议.在真实设备上实现了原型系统,实验结果证明了该方案的安全性和较为理想的运行效率. 智能仓库出入库系统优化研究 针对智能仓库出入库系统作业过程中出现的货物拥堵和设备利用率低等问题,对智能仓库出入库作业特点进行了研究,构建了智能仓库的出入库作业周期模型,采用面向对象赋时Petri网的方法建立智能仓库出入库系统的OOTPN(object oriented timed Petri net)模型,并对模型进行了死锁分析,同时也分析了模型有效性和可靠性。运用Flexsim仿真软件对建立的OOTPN模型进行仿真优化,实验结果表明,出入库工作能力直接影响堆垛机和处理器的利用率,增加出入库工作组可以有效地提高智能仓库的工作效率。 基于窗口法的改进锋电位检测算法 针对窗口检测法在窗口间存在重复检测和检测时间代价大等问题,提出一种新的锋电位峰值检测算法。该方法以改进的窗口检测法为基础,结合阈值法对锋电位进行检测。所提出的方法在来源于英国莱斯特大学的仿真数据上进行了验证,实验表明,该方法在不影响运行时间的同时,在误报率和漏报率方面相对于阈值检测法和窗口检测法有明显降低。 无线脉搏波测量及性别区分——基于在体传感网的多点测量应用 人体脉搏波中包含着丰富的生理病理信息,在中国传统医学中深受重视。基于脉搏波测量传感器和在体传感器网络通信技术,设计并实现了一种无线脉搏波测量装置,使测量过程更加方便灵活,并能远程进行。在实测数据的基础上,引入多种时频特征提取方法和支持向量机进行了男女性别区分的探索,频域特征准确率为56%,时域特征作用不明显。实验结果支持了中医界对切脉判定性别的说法,并说明频域特征对性别区分是有一定启示作用的。 基于Aspen Plus技术的流动腐蚀实时专家诊断监管系统开发 针对石化企业炼油系统流动腐蚀失效频繁发生的现状、结合现场系统可靠性和安全性的需求,在企业现有信息化管理系统基础上,设计并开发了基于Aspen Plus技术的流动腐蚀实时专家诊断监管系统.该系统采用面向对象的设计原则,以.NET为开发平台和My SQL为数据后台,采用Visual Basic(VB)的Active X技术与Aspen Plus工艺仿真模型连接,结合Windows Communication Foundation(WCF)与.Net Remoting通信技术进行数据通讯.该系统框架可应用于多种炼油系统腐蚀监控平台的开发,以此框架开发了常压塔顶实时流动腐蚀监控平台,该监管平台能够实现装置数据的动态监测、历史数据查询、超限报警和措施指导等功能,具有有效的腐蚀防控作用. 频率相似度算法在审计规则库中的应用 在语句语义相似度计算的算法中,没有考虑语句中的不同词语对语句之间相似度值的不同贡献程度,以致计算结果不理想。为此提出了基于频率函数的改进词语相似度算法,该算法将词语在语料库中的频率函数作为权重值,引入至语句的词语相似度计算中,以降低高频率词语在语句相似度值中的比重,提高算法精确率。由于当前审计方法存在散、乱、重复等现象,为了更好地复用已有的审计方法,将根据审计方法建立审计规则库,在此基础上,利用上述改进的语义相似度算法,计算用户输入与审计规则之间的相似度值,返回满足相似度阈值条件的审计规则所对应的审计方法,用户根据所返回的审计方法,选择合适的审计方法进行审计工作。实际应用表明,该算法的应用减少了人工搜索审计方法的时间,提高了审计效率。 基于近邻传播算法的动态自适应室内指纹定位算法 目前传统的室内指纹定位算法中存在以下几个问题:首先在构建指纹库时采用平均值的方式容易受到噪声点影响而降低定位精度;其次使用欧氏距离衡量待定位点与指纹点之间的距离可能引入信号强度距离较近、物理距离较远的参考点参与估计待定位点的位置,从而增大定位误差,以及当参考点数量较大时,由于K近邻算法的计算量较大,造成定位过程耗时较大,能源耗费较多的情况;最后由于K近邻算法无法根据实际情况确定参与定位的参考点个数而限制了定位系统的精确性和拓展性。针对上述问题,设计了一种基于近邻传播算法的动态自适应室内指纹定位算法。该算法在离线阶段对在每一个参考点采集的信号强度值使用方差滤波算法去除噪声值,然后利用加入了参考点物理信息的近邻传播算法对参考点进行聚类处理。在在线阶段,通过进行粗略定位和精确定位动态地估计待定位点的物理位置。经过实验证明,所提出的新算法较对比算法有较高的精确度和稳定度。 基于长期演进系统非连续接收机制的改进算法 为了使3GPP长期演进(LTE)系统可以根据不同业务的服务质量(QoS)要求来动态地调整非连续接收(DRX)周期,进而利用增加短时间内数据到达过程的相关性,提出了一种LTE系统DRX机制的改进算法。该算法通过引入增长因子实现睡眠周期的可变倍数增长,并且根据上一次睡眠模式状态阶数确定下一次初始睡眠间隔来降低能耗。算法建立了DRX机制的数学模型,并从能量消耗和时延方面进行分析,仿真结果表明该算法总体上具有更好的节能效果和时延特性。 基于领域本体的文本分类方法 基于本体的文本分类方法未考虑本体概念自身所含有的信息量及忽略本体推理功能。为此,以旅游领域为背景,提出一种基于领域本体的文本分类方法。该方法采用本体自身结构作为分类标准,通过计算特征项和本体概念间的语义关联度及结合本体的推理功能,将文本划分到合适的本体概念下作为概念的实例。实验结果证明,与传统方法相比,该方法的分类方法 F1值至少提高8.7%。 模拟退火混沌粒子群算法的盲检测 考虑到基本粒子群算法在初始化时具有盲目性,收敛速度慢,在进化过程中会出现早熟现象,文中给出了MIMO系统的盲均衡模型,在对基本粒子群优化算法的MIMO系统盲检测研究基础上,分别引入了模拟退火机制和混沌机制,据此基础上提出一种改进的算法:基于模拟退火混沌粒子群优化的盲检测算法,并对这几种算法和改进算法的性能进行仿真。仿真结果表明,改进算法具有全局收敛性好、收敛速度快、误码率低的优点,能够很好地解决盲检测盲均衡问题。 基于节点度和距离的WSN分簇路由算法 针对无线传感器网络(WSN)中节点的负载均衡问题,提出一种基于节点度和距离的WSN非均匀分簇路由算法。该算法在首轮成簇时采用了定时机制的簇头竞争方案,定时的长短取决于节点本身的节点度和距离基站的距离,且节点根据不同的竞争半径形成不同的簇。在首轮成簇结束后,簇的结构不再发生变化,而簇头的轮换则根据簇内节点的剩余能量和距离本簇质心的通信代价在簇内进行动态轮换。采用簇间多跳路由,根据节点的剩余能量、距离基站的距离、节点间通信代价和节点的转发热度来选择中继节点。仿真结果表明,该算法的网络生命周期与LEACH协议相比延长了2倍以上,与EEUC协议相比延长了13.97%,且均衡了网络的能量消耗。 基于BesselK分布的小波域图像水印检测技术研究 提出了一种基于Bessel K概率密度函数的小波域图像水印检测技术。这种方法采用Bessel K概率密度函数来描述小波系数的分布情况。将水印的检测表述为检测淹没在噪声中的满足Bessel分布的二元统计决策问题。基于这种描述,提出了一种采用似然比检测的最优水印检测方法,还给出了这种检测方法的闭环检测统计曲线和ROC曲线。通过各种仿真表明,本文提出的水印检测方法对于加性扩频水印具有稳定的检测性能。同时对其他在Bessel分布噪声中的信号检测问题也具有借鉴意义。 基于量子理论的自适应图像融合规则 利用图像的伪量子比特关联形式及其相应坍缩模型进行图像的多层次分析,并在此理论基础上,针对两幅已经配准的不同类型图像(如CT,MRI图像等)提出了一种全新的自适应融合规则及其逆变换算法,从而实现了图像的高效融合。仿真实验结果表明,此方法较一般的基于灰度极值融合法、灰度加权融合法以及区域能量融合法具有更好的融合视觉效果,在细节保护、图像边缘区分方面对比小波融合法有其独特的优势。 基于大数据的环境应急指挥平台并行调度的设计与实现 针对当前以大数据环境为基础的应急调度平台中,海量数据顺序化调度存在冲突的问题,提出基于并行调度思想的平台设计方法。将环境应急指挥平台分为应急信号接收和应急处置2个阶段,对包括主控制器、单兵系统、应急通信车、收发器电路、模数电路等器件进行自适应的并行调度方法设计,引入模糊层次调度方法,由此解决顺序调度中的冲突问题。实验测试结果显示,所设计的平台具有较高的通信传输性能和应急处置性能。 异构数据驱动和UML融合的供应链智能控制系统设计 为了提高供应链的管理水平和商品利用率等性能,基于供应量过程异构数据驱动模型,融合UML智能控制器,构建了供应链智能控制系统。首先,通过收集供应链的管理过程产生的大量数据,以提高控制效率和精度为目的,对过程大数据进行分类并与控制过程建立有机联系,提出线性特征求解二维平面和数据驱动的动态拓扑,构建异构数据驱动模型。接着,借助UML语言,将供应链异构数据内部结构与供应链过程进行描述与建模,并且将异构数据驱动的效应分布式泛化到各个供应链子进程,设计了UML融合机制。最后,给出了数据驱动UML融合嵌入式控制流程和供应链智能控制系统工作步骤。仿真实验对比了所提出的供应链智能控制系统和参数化驱动的控制系统在控制效果、控制收益和商品利用率等方面性能。结果表明,所提出的供应链控制系统性能更佳。 刹车系统自适应控制研究 关于汽车制动器仿真试验台设计问题,为了试验制动系统的性能,对汽车制动器试验台电惯量性能进行研究。针对波形振荡严重等缺点,为改善控制效果,提出了Hopfield PID参考自适应控制的电惯量模拟系统。首先计算出应达到的电磁力矩,产生电流控制环节的速度指令,然后在速度环中加入Hopfield PID自适应控制。实验结果表明,改进设计的控制系统与传统控制系统相比响应速度快,波动小,相对能量误差少,并且在制动角加速度和末速度上与路试时更为接近。实验证明,自适应控制策略是有效的,为汽车制动器的优化设计提供了参考。 Bioloid人形机器人倒立姿态控制及仿真研究 随着人类日常生活需求增长,越来越需要各种类型的人形机器人为人类服务。现以Bioloid人形机器人为研究平台,来实现该机器人的高阶非线性倒立控制。首先将其看作一类三连杆欠驱动倒立摆,在深入分析机器人运动方程的基础上,推导其连续状态空间模型,并经过离散化以便于计算机控制,然后设计一种基于线性二次型的最优控制器来求解最优状态反馈矩阵,从而实现机器人经摆动后达到稳定倒立姿态。仿真结果表明,改进方法有效可行。 基于生物形状知识的人眼定位方法 准确地定位出人眼位置并分离出虹膜、眼睑等区域对虹膜识别、人脸识别等生物特征识别技术具有重要意义.但是,在非理想环境下,人眼图像分辨率通常较低,并且容易受到光照条件、睫毛、阴影等噪声影响,对人眼区域进行正确分割是一项非常具有挑战性的工作.因此,本文针对姿态幅度较小的无遮挡人眼图像分割存在的一些问题,利用Hough圆变换和形态学算法改进低分辨率下人眼的定位.该方法首先利用现有的人脸对齐方法分割出人眼感兴趣区域,采用双线性插值法对人眼图像进行预处理,去除镜面反射光斑;然后根据人眼图像中各区域的灰度分布规律,利用带约束的Hough圆检测算法定位出虹膜;之后结合全局动态阈值、局部自适应阈值及形态学算法分别定位出人眼上下眼睑,并利用最小二乘法拟合上下眼睑,最终分割出人眼虹膜、上下眼睑、巩膜等区域;最后在UBIRIS v1.0数据库及低分辨率人脸图像上对本文提出的算法进行测试.实验结果表明,本文提出的方法对实验室环境下高清虹膜图像及低分辨率人脸图像上的人眼定位均具有较强的鲁棒性. 深圳市征收地拆迁自动评估信息系统的研制 研究目的:开发深圳市征收地和房屋拆迁补偿自动评估信息系统,提高拆迁补偿评估工作效率和成果质量。研究方法:以自动评估模型为基础,应用计算机技术,建立深圳市征收地拆迁自动评估信息系统总体架构。研究结果:明确深圳市征收地拆迁自动评估信息系统研究和开发的背景、目标、内容及系统的总体设计思路,基于统一的数据库和软件平台,实现不同类型评估业务的系统功能集成和评估工作的自动化。研究结论:系统实现对保障城市征收地拆迁补偿评估结果的客观、公正和合理性提供了有益探索。 基于离散序列报文的协议格式特征自动提取算法 针对缺少会话信息的离散序列报文,提出一种基于离散序列报文的协议格式(SPMbFSC)特征自动提取算法。SPMbFSC在对离散序列报文进行聚类的基础上,通过改进的频繁模式挖掘算法提取出协议关键字,进一步对协议关键字进行选择,筛选出协议格式特征。仿真结果表明,SPMbFSC在以单个报文为颗粒度的识别中对FTP、HTTP等六种协议的识别率均能达到95%以上,在以会话为颗粒度的识别中识别率可达90%。同等实验条件下性能优于自适应特征(AdapSig)提取方法。实验结果表明SPMbFSC不依赖会话数据的完整性,更符合实际应用中由于接收条件限制导致会话信息不完整的情形。 浙南地区10kV配电线路综合防雷措施仿真研究 以浙南地区某条10kV配电线路为研究对象,该10kV配电线路全线绝大部分位于山区,土壤电阻率较高,沿线杆塔接地电阻大多未能达到设计要求,由雷击引起的线路跳闸及断线率很高;结合ATP-EMTP和CDEGS软件等计算机仿真与辅助设计技术,从安装线路避雷器、架设耦合地线、降低杆塔接地电阻和采用不平衡绝缘装置等方面对线路防雷效果的影响进行了仿真研究;仿真研究表明:综合使用前3中防雷措施能够明显提升10kV配电线路预防直击雷和感应雷的防雷水平;基于该仿真研究方案对该线路进行了防雷改造工程,改造后尚未发现因雷击而造成的线路故障,防雷效果显著。 直方图反向投影多目标检测优化算法 针对目标检测中图像背景信息复杂以及反向投影方法目标颜色类型单一的问题,提出了一种改进的直方图反向投影目标检测优化算法.在建立多个目标模型弥补一般反向投影方法不能同时检测含有不同颜色信息目标这一不足的同时,通过对目标概率图像进行一系列优化处理以减小复杂背景对目标检测的干扰.实验结果表明,该算法在满足对视频图像实时处理要求的同时可准确检测目标位置,且检测效果及计算效率优于传统反向投影算法及其他方法. 基于改进DoubleTree算法的网络拓扑发现方法 Double Tree算法是一种重要的IP级网络拓扑发现方法,极大减少了探测冗余,但该方法会产生大量的网络通信量,增加了网络负担。本文在Double Tree算法的基础上,通过对全局停止集存放结构的改进,实现了Double Tree++算法。实验证明,该方法在达到95%的网络覆盖度的同时,减少了50%探测冗余,并且降低了探测源点间的网络通信量。 一种基于三方密钥协商的移动IP注册协议 目前大多数移动IP注册协议都是采用对称密码体制和基于公钥密码体制相结合的方式来实现移动实体之间的相互认证,移动节点和外地代理之间没有认证,存在一定的安全缺陷。针对这种移动IP注册协议的认证安全问题,将无证书的三方密钥协商方案引入到移动IP的注册,提出了基于三方密钥协商的移动IP注册协议,实现移动节点、家乡代理和外地代理这三个实体的相互认证。分析结果表明,协议具有更高的安全性,且拥有较高的效率。 基于GPU的高性能稀疏矩阵向量乘及CG求解器优化 "以有限元/有限差分等为代表的一类数值方法,其总体矩阵常常具有""带状""、稀疏的特点。针对""带状""稀疏矩阵,提出和实现了一种高效的矩阵向量乘存储格式和算法""bDIA""。基于nVidia的GTX280系列GPU对其进行了测试,结果显示:与CUSP支持的5种常见稀疏矩阵存储格式和算法相比较,所提出的bDIA格式以及相应的spMV算法的单双精度浮点效率均可以提高1倍以上,并突破了该系列GPU在spMV计算时4%的单精度浮点效率上限和22.2%的双精度浮点效率上限;应用于共轭梯度(CG)与稳定双共轭梯度(BiCGStab)求解器,相对于DIA格式均有1.5倍左右的加速。" ISM:新一代绿色机会网络设备的缓存管理策略 由于机会网络环境中两个节点连通时间的限制,消息传输数量往往不能达到理想值。对此,提出一种缓存管理策略ISM(Intelligent Subsection Management),并根据该缓存管理策略提出了一种具有消息副本辐射分发特性的改进路由算法。通过ONE模拟器仿真实验证明,ISM缓存策略在实现网络中消息副本数的公平性的同时兼顾了实效性,改进的路由算法在不同的环境中较Prophet和Epidemic等经典路由也都具有很好的性能。 改进的并行Arnoldi方法 为了求解大规模的块三对角线性方程组,相关研究给出一种变形的并行Arnoldi算法,通过选取适当的基,使算法具有良好的并行性。结合已有的选基方式,在预处理思想的指导下,提出了另一种选基的方法。在联想深腾1800集群上进行的数值实验结果表明,该算法的收敛速度有了明显的提高,并保持了较高的并行性,并行效率可达到85%以上。 二进制粒计算模型 粒计算是一种处理不确定性数据的理论方法,涵盖粗糙集、模糊集、商空间、词计算等。目前,数据的粒化与粒的计算主要涉及集合的运算与度量,集合运算的低效制约着粒计算相关算法的应用领域。为此,提出了一种二进制粒计算模型,给出了粒的三层结构,包括粒子、粒群与粒库,并定义了二进制粒子及二进制粒子的运算,将传统的集合运算转化为二进制数的计算,进一步给出了二进制粒子的距离度量,将等价类的集合表示方式转化为粒子的距离度量表示方式,给出了粒子距离的相关性质。该模型定义了二进制粒群距离的概念,给出了二进制粒群距离的计算方法,提出了基于二进制粒群距离的属性约简方法,证明了该方法与经典粗糙集约简方法的等价性,并以二进制粒群距离作为启发式信息,给出了两种约简算法。 Ad Hoc网络中改进的能量意识的多径AODV算法研究 根据Ad Hoc网络节点动态拓扑和能量有限的特点,考虑到路由的健壮性,路由开销,网络的生存时间以及网络对动态拓扑变化的适应能力,在原有的AODV协议基础上,提出了一种基于能量意识的改进的多径AODV路由算法。NS2仿真结果表明,改进后的算法能够节约节点剩余能量,降低路由开销,延长网络的最大生存时间。 新型装甲车载短波/超短波电台维修辅助系统的设计与实现 针对新型装甲车载短波/超短波电台电路原理与结构复杂、自检虚警率高、内部模块不易在线检测进行故障定位的实际问题,综合采用电路智能检测技术、数字信号处理技术、数据库软件技术,以平板电脑为核心构建硬件平台,开发嵌入式软件系统,研制便携式新型车载短波/超短波电台维修辅助系统;该系统可以依托电台内部固有的电气连接在电台不解体情况下对电台内部模块进行在线性能检测与故障定位,实现电台故障维修信息的实时存储与电台维修资料的查询,从而解决新型装甲车载短波/超短波电台维修手段不足、维修保障困难的实际问题。 固阀塔内颗粒夹带特性研究 对固阀塔内泡沫层中颗粒浓度分布及塔板上方分离空间颗粒夹带速率进行研究。实验表明在泡沫层内并不是所有颗粒都处于悬浮状态,悬浮颗粒浓度分布与泡沫层高度、颗粒粒径及气液流量等因素有关。颗粒悬浮百分含量与颗粒粒径和泡沫层高度成反比,与气体流量大小成正比。对于给定的表观气速和泡沫层高度,悬浮颗粒百分含量受液体内颗粒浓度影响较小。泡沫层表面颗粒浓度随着表观气速的增加和溢流堰高度的降低而增大。随气体流量增加及泡沫层表层颗粒浓度增大,颗粒夹带量会增加;随泡沫层高度增加,颗粒夹带量减少。泡沫层上方颗粒夹带速率受板间距、表观气速和泡沫层表层颗粒浓度影响较大。通过对颗粒在气泡尾涡内的受力分析,建立了泡沫层内颗粒浓度分布模型,并且结合塔板上方分离空间的雾沫夹带速率,建立了固阀塔板上方颗粒夹带速率模型 基于模糊逻辑的液压伺服位置控制系统研究 参数摄动、外干扰和伺服阀的死区非线性等不确定因素,使液压伺服系统变为不确定非线性系统。随着对控制精度要求的提高,传统的PID控制方法很难达到更好的控制效果。模糊控制不需要精确的数学模型,具有较好的鲁棒性,可以克服传统PID控制的局限性。以液压伺服位置控制系统为研究对象,设计了模糊PID控制器,并以Φ250/Φ750×800轧机液压伺服系统的实际工作参数为依据进行了仿真研究。在仿真过程中考虑了外干扰及被控对象参数摄动的影响。仿真结果表明,与传统的PID控制相比,模糊PID控制具有更好的抗干扰能力和鲁棒性。 涡轴发动机燃烧发生器热力过程控制研究 涡轴发动机由燃气发生器与动力涡轮组成,燃气发生器由于进口速度畸变和燃油脉动等因素的存在,可以看作内环含有滞后环节的串级时变系统。而对于被控对象参数未知且时变的情况,仅用Smith算法调节不能有效补偿参数变化对系统性能产生的不利影响,控制效果也极差。故在建立燃气发生器与动力涡轮模型的基础上,采用递推增广最小二乘法和降阶处理进行参数的在线辨识,采用梯度法对延迟时间进行在线的辨识,将辨识的模型利用两级Smith预估控制进行实时闭环控制。仿真结果与理论一致,证明了辨识算法的正确性和有效性。上述算法与两级Smith预估控制共同应用于双闭环控制时,极大改善系统的抗干扰性、实时性,并提高了涡轴发动机的稳定性及鲁棒性。 船载测控雷达测角计算机双机自动切换技术研究 测角计算机虽然采用了冗余设计,但是主备机切换需要通过人工手动实现,难以满足系统实时性要求;针对这种情况,分析了主备机切换的工作任务,确定了主备机自动切换的时机为系统故障和通信中断,利用测角计算机双网通信的特点,在发送的网络数据包中添加故障状态字,并修改网络发数时序,完成主备机故障状态上报从而实现双机自动切换;该方法无需硬件改动,实现过程简单,切换过程仅丢失1帧数据,极大提高了系统可靠性。 基于变量切片与关联规则的错误定位方法 针对软件测试中传统错误定位方法忽略程序内部依赖关系以及效率较低的问题,结合变量切片和关联规则提出一种新的定位方法。对Java程序进行变量切片,利用切片位置信息构造事务数据库。在此基础上,通过关联分析算法得到关联规则集合,生成检查语句的优先级次序,据此定位错误出现的位置。在5个基准程序上的实验结果表明,与基于怀疑度公式的7种常见方法相比,该方法的错误定位代价平均降低10%以上,可有效提高错误定位效率,降低软件测试成本。 基于IBC体制的挑战/应答式双向身份认证协议 基于数字证书的身份认证协议在应用中存在证书管理复杂和通信带宽消耗大等不足。针对这个问题,设计一种基于身份密码体制(identity-based cryptography,IBC)的挑战/应答式双向身份认证协议,能够抵御冒充攻击、中间人攻击及重放攻击。对协议的通信带宽与系统复杂度进行分析,与传统的基于数字证书的挑战/应答式身份认证协议进行比较,比较结果表明,该协议降低了通信带宽消耗,具有较低的系统复杂度。 电子商务网站界面色彩情感的导向性研究 网站的色彩具有导向性,能影响消费者的购买决策,能够起到暗示消费的心理作用。结合网站实例与实践经验,从电子商务网站界面入手,探讨了色彩情感的导向性,这是对电子商务网站界面色彩情感导向性研究的一次有益尝试。 引入视觉注意机制可变分辨率的遥感图像压缩 图像压缩是遥感图像处理的重要研究领域,现有的压缩方法要么丢失重要的细节信息,无法满足实际的应用需要,要么压缩率过低,难以达到实时处理的要求。将视觉注意机制引入到遥感图像压缩中,对不同的显著性区域采用不同的压缩率,这样不仅可以对整个遥感图像达到一个高的压缩率,而且还可以保持重要区域的高分辨率,实现了可变分辨率的图像压缩。实验结果表明在前几个显著性区域中,该方法得到的图像压缩性能指标优于传统压缩方法得到的性能指标。 基于改进IGG模型的全景图像拼接缝消除算法 为了提高序列图像拼接得到的全景图的质量,通常将L-M(Levenberg-Marquardt)算法用于图像拼接中变换模型的参数优化,但L-M算法不能消除误匹配点对模型求解的影响。为了消除误匹配点的影响,提出了基于IGG(Institute of Geodesy&Geophysics)函数模型的抗差L-M算法。首先利用IGG算法的迭代过程具有良好的抗粗差能力和可靠的收敛性等特点来优化变换模型,提高图像配准的精准度。然后采用自适应区域的拉普拉斯多分辨和最优拼接缝相结合的方法对拼接结果进行融合,以消除因拼接缝及光照不均而造成的过渡不连续现象。实验结果表明,所提算法不仅有效提高了配准精度,同时还实现了无缝拼接,获得了高质量的无缝拼接全景图。 基于SaaS的软件服务模式在公共气象效益评估平台中的应用 公共气象效益评估是落实中国气象事业发展战略和推进气象业务技术体制改革,增进政府及社会各界对气象事业发展的重要手段。本文在讨论SaaS概念和公共气象效益评估平台功能后,在SaaS模式与传统模式比较的基础上,分析基于SaaS软件服务模式在公共气象效益评估中的优势,提出基于SaaS软件服务模式的公共气象效益评估解决方案,构建基于SaaS软件服务模式的逻辑体系结构。 基于多重特征的双层Web用户聚类方法 通过对Web日志的聚类分析,可以发现用户的群体特征,甚至可以预测用户将来的访问模式,进而为不同的用户群提供个性化服务。针对现有方法的一般缺陷,包括特征选择单一无法充分体现用户兴趣偏好和传统Hierarchical算法在用户聚类时存在的收敛效率低、易受用户访问多样性影响的问题,提出了基于多重特征的双层用户聚类方法。该方法采用多重特征对用户相似性进行度量,并在此基础上进行双层聚类。采用基于密度的DBSCAN算法来排除用户会话中的离群对象和发现不规则簇,然后再采用自底向上的Hierarchical方法对第一层的聚类结果进行聚类。实验结果表明,该方法具有良好的稳定性和聚类效果。 针对视频序列分类的快速抠像技术 针对视频序列分类的问题提出了一种快速抠像技术.根据视频序列间的相关度进行关键帧的区分,得到关键帧、序列间变化细微的非关键帧、序列间变化较大的非关键帧;对于关键帧,采用闭合式的抠像方法来进行处理,获得透明度值、前景像素值和背景像素值;对于变化细微的非关键帧,提出了一种基于帧间连续性的透明度值估计和优化方法;对于变化较大的非关键帧,提出了一种基于特征流传递的机制来传递关键帧的有效信息.实验结果表明,最终在获得与传统方法相比可接受的抠像效果条件下,这种快速抠像技术缩短了处理时间. 多智能体系统中并发动态知识、信念和肯定性逻辑的研究 将知识、信念和肯定性逻辑从单个智能体扩展到多智能体系统,并且实现了多智能体系统中知识、信念和肯定性逻辑与具有并发动态属性的行为之间的很好结合.以此为基础,提出了多智能体系统中并发动态知识、信念和肯定性逻辑,简称CDKBC逻辑.为了对CDKBC逻辑进行解释,也给出了CDKBC模型,并且讨论了知识、信念和肯定性之间的关系,即知识蕴涵着肯定性,肯定性蕴涵着信念.文中也给出了一个相应的证明系统(即公理系统),证明了该系统是可靠的和完备的,并且证明了系统的有效性问题是EXPTIME完全的.最后论文给出了CDKBC逻辑的实例. 面向骨干网NIDS的细粒度并行多模式匹配方法 随着网络带宽的快速增长,互联网正面临着日益严重的安全威胁。网络入侵检测系统(NIDS)利用模式匹配等技术对网络报文进行分析和检测,是防范网络威胁、保护网络安全的一种有效手段。但模式匹配消耗巨大的计算量,现有的技术难以满足10Gbps以上骨干网络NIDS的需求。提出了基于Bloom filter的细粒度并行模式匹配技术PBPM(Parallel-Bloom-filter-based multi-Pattern Matching),PBPM利用多个相同的Bloom filter分别从输入文本的不同位置处并行匹配,每个周期可完成多个字符的匹配,显著提高了匹配速率。详细讨论了在FPGA上的实现方式,在Snort 2.9规则集上的测试结果表明,PBPM能够提供超过20Gbps的模式匹配需求。 句子相似度计算及其应用 随着互联网技术的发展,智能答疑系统也受到了更多的关注,应用它能够及时给学生提供学生疑惑的问题答案.智能答疑系统通常包括问句理解、信息检索、答案抽取和选择三个主要部分,其中句子相似度计算是问句理解的一部分,它的性能将直接影响到最后答案的准确性.本文通过对词型和普通的编辑距离算法为基础,加入了词性的语义信息,提出了一种新的句子相似度算法,并将其应用到计算机基础课程答疑系统中,使得系统的正确率有了较大的提高. 基于灰色模糊层次分析法的企业云服务安全评价模型 从企业实施云服务项目角度,总结得出影响云服务安全的四大因素,即平台设施、运行安全、运营管理以及法律法规因素。在此基础上构建企业云服务安全评价模型,并利用灰色模糊层次分析法(GFAHP)对模型进行综合评价。最后通过一个实例进行具体阐明与评价。 面向全生命周期的复杂装备MRO集成模型 为消除维修服务的信息孤岛,建立了面向全生命周期的复杂装备维护、维修和大修支持系统。分析了维护、维修和大修支持系统的研究进展,提出了复杂装备维护、维修和大修的支持系统体系结构与主要模块;建立了维护、维修和大修支持系统的系统主业务模型与几个主要的子业务模型,提出了面向全生命周期的维护、维修和大修支持系统集成模型,详细描述了维护、维修和大修系统与产品数据结构、库存管理、财务管理、生产管理、供应/采购管理、客户/销售管理、产品回收等系统模块的集成。提出了面向全生命周期的复杂装备维护、维修和大修集成模型的关键方法与技术。最后,介绍了工业汽轮机维护、维修和大修支持系统的原型系统。 聚类的逻辑文件复制服务机制研究 "网格环境下资源的管理和调度是一个非常复杂且具有挑战性的问题。在数据密集型应用中,数据文件的读取延迟时间是至关重要的。提出了一种基于聚类预处理的数据文件复制算法(CBR),将传输带宽满足一定条件的网格结点通过聚类方法构成一个""逻辑区域"";并介绍了一种改进的LRU算法,考虑了其他计算任务需要的数据文件请求,避免删除未来将使用的数据文件。通过实验证明,该算法得到的计算任务完成时间优于其他两种算法。" 方差和词向量用于文本降维的研究 文本分类中的高维数据和噪声一直是影响文本分类准确率的主要因素,特征选择和特征提取是降维和去噪的主要手段.本文提出根据词的类间概率分布方差和文档分布方差改进TF-IDF的特征选择方法(VAR-TF-IDF),调整Word2vec中的CBOW+HS词向量训练框架,用特征词词向量的叠加作为文本的特征向量,有效地提高了文本分类的准确率和召回率.实验算例证明了所提方案的有效性. 一种新的无线传感器网络拥塞控制算法 为给无线传感器网络中每个节点分配一个公平有效的传输速率,提出一种新的拥塞控制算法。分析算法对平均输入输出速率、控制效率、公平性、时间间隔、逆向数据传输等参数的要求,研究速率抖动对算法的影响,并在事件驱动的数据包级模拟器上验证该算法。实验结果表明,该算法能有效提高网络吞吐量,保证网络公平性,降低能耗。 卫星信号失效条件下SINS/GPS不同组合方式的性能比较 为了研究卫星信号失效对SINS/GPS松组合导航系统和紧组合导航系统导航性能的影响,在分析惯导系统误差方程的基础上,给出了基于卡尔曼滤波的松组合和紧组合数学模型,构建了两种组合方式的仿真平台。仿真结果显示,SINS/GPS紧组合导航系统的精度要明显优于松组合导航系统,且在卫星信号失效导致可见星数目少于4颗甚至仅有1颗时,SINS/GPS紧组合依然能够保持组合模式,并可提供高于单一惯性导航系统的导航精度,说明了SINS/GPS紧组合方式具有较高的精度和可靠性。 信息网数据库管理系统的查询优化 查询是信息网数据库管理系统的重要组成部分。反向查询是一种被广泛应用,并且十分耗时的查询策略,因为在反向查询中对象名未知,在匹配路径之前需要通过路径反向查得对象。针对反向查询,提出了优化算法,从最后一条有价值的路径单元开始反向查询,利用路径上提供的所有信息,将搜索空间限制至最小,使得花费在路径匹配上的时间减少,查询效率得以提升。最后,原始算法和优化算法进行了对比实验,结果表明了优化算法的优越性。 基于局部重构与全局保持的半监督维数约减算法 针对基于局部与全局保持的半监督维数约减算法(LGSSDR)对邻域参数选择比较敏感以及对邻域图边权值设定不够准确的问题,提出一种基于局部重构与全局保持的半监督维数约减算法(LRGPSSDR)。该算法通过最小化局部重构误差来确定邻域图的边权值,在保持数据集局部结构的同时能够保持其全局结构。在Extended YaleB和CMU PIE标准人脸库上的实验结果表明LRGPSSDR算法的分类性能要优于其它半监督维数约减算法。 一种改进的非对称最小二乘基线校正算法 红外光谱在采集过程中,由于外界环境条件以及仪器的影响,采集谱图会出现一定程度的基线漂移。为消除漂移基线的影响,提出了一种改进的非对称最小二乘基线校正算法。算法在非对称最小二乘基础上加入一阶导数约束项,并利用直方图背景估计方法的计算值作为基线的初始值,通过迭代地求解,得到最终的基线。所得基线平滑性好,并能保证在原始谱图下方。仿真和真实光谱数据实验表明,所提出的算法在保持峰位和峰形不变的同时,有效地消除了谱图的基线,取得了较好的效果。 基于可变指数及L1保真项的图像去噪算法 "全变分(TV)模型采用了梯度的1范数作为正则化约束,它能够沿着梯度方向较好地保护图像的边缘信息,但在图像较均匀区域,容易产生""阶梯""效应。利用梯度的可变指数函数作为正则化项,提出TV模型的改进模型,该模型既保持TV模型保护图像边缘信息的优点,又可以明显地减少非边界区域""阶梯""效应的产生,同时把u-f的1范数作为数据保真项增强了模型修复图像破损部分的能力。" N体问题的FPGA求解和设计方法 N体问题是一个经典动力学问题,在多个领域得到广泛的应用。但随着规模的增大,对求解计算性能的要求成为其研究的主要障碍。当前,FPGA可重构技术由于具有硬件可编程结构和高度并行处理能力而成为高性能计算关注的热点。现以FPGA加速求解N体问题为例,阐述一种新型的求解计算密集型任务的方法。 基于FCM-ANN的化工储罐异常检测方法研究 如何准确地检测出储罐运行的异常状态是工业控制系统的核心问题,针对传统的有监督学习需要大量学习样本,而无监督学习准确率不足的问题,提出一种基于FCM-ANN的异常检测方法。该方法基于三层结构模型,FCM层不需要任何先验知识,对数据进行初步异常检测,ANN层对FCM层的每个类分别进行神经网络学习,最后通过ANN集成得到检测结果。对采集的储罐运行状态数据进行仿真后,结果表明该方法比ANN、FCM和Nave Bayes方法有更优的检测性能。 一种安全增强的认证中心签名方案 为了保证签名的有效性,提出了一种安全增强的认证中心(CA)签名方案。方案基于RSA算法,采用(t,n)秘密共享将CA私钥安全分发到t个签名服务器,使用主动秘密技术对私钥份额周期性更新、恢复及验证有效性,并使用分阶段签名机制进行签名。最后通过Java和OpenSSL对方案进行了实现。理论分析和实验结果表明,该方案增强了签名过程的安全性,具有一定的应用价值。 云环境下基于任务执行行为感知的可信资源调度算法 云计算环境下任务执行的可靠性是当前云资源调度算法研究的热点问题.针对现有可信云资源调度算法的局限性,提出了一种基于任务执行行为感知的可信云资源调度算法.首先,根据并行任务及胖树形云系统的结构特点,综合考虑了云计算环境下资源节点与通信链路的可靠性问题,构建了基于任务执行行为的云系统可靠性度量模型;其次,提出了一种基于遗传智能的可信云资源调度算法,将云任务可信资源需求与云资源动态供给的局部最优匹配问题转化为考虑并行任务整体可靠性需求的全局调度优化问题.仿真实验结果表明,该算法能够有效提高云任务执行的成功率,降低任务的平均调度长度. 用于RFID系统数据处理的排序邻居算法性能分析 结合RFID系统数据的数据结构,采用控制变量的方法,评估使用SNM(sorted neighborhood method)算法对RFID数据处理的性能影响因素,包括窗口大小以及SNM原始数据的重复数量。实验证明,使用SNM处理RFID读取到的数据的关键因素是重复数据所占比例和处理窗口的大小。实验也验证了使用随机窗口大小对优化处理性能没有影响。 神经网络自整定多变量PID控制方法研究 关于污水优化处理系统控制问题。针对三相内循环生物流化床污水处理系统结构上的特殊性和生物反应过程的复杂性,以及污水处理过程的非线性、时滞、时变、多输入多输出等特点,提出一种对角递归神经网络(Diagonal Recurrent Neural Network,DRNN)的自整定多变量PID控制方法。通过DRNN对控制参数进行在线辨识,为PID控制器提供梯度信息;同时考虑到生物流化床污水处理系统输出变量之间的特殊耦合关系,将反馈偏差划分为不同等级,以调整PID参数修正速率的快慢。进行仿真验证,验证了该方法在生物流化床污水处理中的有效性和可行性。 基于ZigBee的自行火炮定位布阵系统研究 根据现代高技术战争条件下的作战需要,提出一种基于ZigBee的自行火炮定位布阵系统。以ZigBee为基础,构建火炮定位无线网络系统,实现火炮战地实时定位布阵。采用自适应随机布阵技术,完成实战火炮群的灵活布阵。研究基于ZigBee的火炮布阵网络边界条件,保证实战布阵组网的可行性。采用可替补的主从网络,确保作战指令的统一性。该系统可以应用于营连等单位的快速定位,可实现快速转移和快速进入阵地,提高部队的战斗力。 TLD视频目标跟踪方法改进 TLD(Tracking-Learning-Detection)是Zdenek Kalal提出的一种新跟踪算法。该算法与传统跟踪算法的显著区别在于将传统跟踪算法与检测算法相结合来解决被跟踪目标在被跟踪过程中发生的形变、部分遮挡等问题。对TLD算法进行改进,在算法检测模块引入基于Meanshift与Kalman的当前帧目标所在区域预估,有效缩小检测模块的检测范围,提高算法实时性及准确性;对原算法方差分类器改进采用颜色特征分类器,提高算法对目标识别性能;对综合模块改进,提高算法目标跟踪成功率。通过实验对改进后的TLD及原TLD进行比较,实验结果表明,改进的TLD算法具有更高的跟踪准确性及更好的跟踪实时性。 SELinux特权用户管理的设计与应用 分析SELinux体系在当前Linux类操作系统中的应用,基于角色的访问控制模型与可信计算,提出新的特权用户标识定义,利用该定义给出一种安全Linux操作系统特权用户管理方案。通过UID与角色的二维标识方法解决特权用户区分的问题,以可信计算中的密码服务加强认证的安全强度,用内核安全加固解决安全模式切换问题,从而改进SELinux在Linux类操作系统中的安全性。 面向维吾尔文的多模式匹配算法研究 维吾尔文多模式匹配算法是影响维吾尔文关键词过滤和检测性能的关键步骤之一。为此,考虑维吾尔文语法特点、书写方式、字母变换形式、特殊字母等因素,提出一种基于维吾尔文音节划分的多模式匹配算法。通过Bohumsani函数的维吾尔语音节分解方法计算字符串音节数,利用Bohumxekli函数得到字符串音节结构,按语法特点从右至左方式进行模式比较,实现维吾尔文多模式匹配。实验结果表明,与现有模式匹配算法相比,该算法具有更高的匹配效率。 无线传感器网络中分布式数据挖掘算法研究 研究无线传感器网络中数据挖掘问题。针对大量高维冗余且不确定的无线传感器网络监测数据传送到中央服务器上使用传统的数据挖掘方法进行挖掘的缺点相当明显,导致耗费了珍贵的网络能量。为解决上述问题,提出在每个传感器节点上进行基于粗糙集与遗传BP网络相结合的分布式数据挖掘算法,利用GA来训练BP网络的阈值和权值来构成遗传BP网络(GABP),克服BP网络的某些不足;利用粗糙集RS在消除冗余信息、处理不确定性数据等方面的优势,缩减训练数据输入BP网络的维度。仿真结果表明,改进算法能有效地实现对无线传感器网络中的数据进行分类,取得了较理想的效果。 面向大数据的多维数据缺失特征填补仿真研究 现有的数据缺失填补方法,通常只考虑数据间某种特征的关联性,且忽略了数据的填补顺序对数据填补准确性的影响。为此,提出一种基于数据多维相似度的数据缺失特征填补方法。通过计算缺失数据与另一已知数据的多维相似度,进一步计算缺失数据的加权值,来检验缺失数据与其对应的临界点的相似度融合情况;利用贝叶斯联合概率计算缺失数据间不同填补顺序的置信度,结合缺失数据与其临界点的相似度融合情况,选择最优置信度确定数据的填补顺序;采用KNN数据填补算法结合多维相似度计算缺失数据值,按顺序依次进行数据填补。仿真证明,所提方法可以更准确的计算缺失数据值,所需计算时间更短,同时对填补顺序进行计算,提高了数据填补的准确率,填补结果更接近原始数据。 一种基于方向特征的裂缝提取算法 针对传统算法提取精度不高和稳定性较差的问题,提出一种基于裂缝方向特征的提取算法.该算法由方向线的提取和修正两部分组成.(1)通过L(1)~L(8)共8个方向模板匹配,提取出8*10单位区域像素的裂缝方向线;(2)依据裂缝的强度和连续性,对该区域及相邻区域的方向线进行筛选和修复.实验结果表明,该算法能在照明阴暗,对比度较差的场合下稳定有效的提取出清晰裂缝,效果满意. 主成分和BP神经网络在粮食产量预测中的组合应用 粮食产量的变动受到多种因素的共同影响,各因素之间往往具有十分复杂的非线性关系,传统的预测方法大多无法反映这种变化规律而影响了预测的准确性.BP神经网络模型具有很好的非线性逼近能力,对中国粮食产量能实现比较准确的预测;主成分分析可以对具有模糊关联的变量数据进行降维,其与BP神经网络的组合能优化模型的网络结构,提高预测精度.实证结果表明,组合模型预测结果的精度提高了3%,网络训练的收敛速度和效率也得到不同程度的改善. 基于滤波器的局部自适应全变分图像去噪模型 综合利用冲击滤波器和非线性各向异性扩散滤波器对含噪图像做预处理,然后基于边缘检测函数建立反映图像局部特征的自适应权函数,构建能同时兼顾图像平滑去噪与边缘保留的局部自适应性的全变分模型,并建议用本原对偶算法快速求解。实验结果表明,同传统的全变分图像去噪模型相比,该局部自适应全变分模型在消除噪声的同时能很好地保持图像的边缘轮廓和纹理等细节特征,得到的复原图像在客观评价标准和主观视觉效果方面均有所提高。 基于网络编码的802.11 DCF信道接入机制 提出一种基于网络编码的802.11 DCF信道接入机制(NCA-DCF)。采用冲突避免的主动确认策略,使相应节点形成编码意识,通过编码包的机会转发,提高数据包传输效率,结合无线网络编码的特性,运用马尔科夫链模型对NCA-DCF进行数学建模。分析结果表明,与传统的DCF信道接入机制相比,NCA-DCF不仅可以避免节点发送分组的碰撞,有效提高信道利用率,而且能够明显提高全网吞吐量。 航天测控技术有限公司PHM系统正式搭载C91 "[正文]从中国航天科工集团公司一院获悉,由该院航天测控技术有限公司生产,具有自主知识产权的""故障预测与健康管理系统""(简称PHM系统),近日正式搭载我国首型大型客机C919。该系统的应用,意味着我国打破了波音、空客等国际航空制造巨头对相关领域产品的垄断。PHM系统技术负责人介绍,该系统是大型客机确保安全性、提高经济性的重要保障。主要是对客机整体进行""望闻问切"",对各个子系统进行实时数据收集处理、" 基于查询词扩展的文本检索算法研究 针对文本检索中所使用的查询词可能与文本词语不匹配而影响检索效果这一问题,提出了一种基于上下文的查询词扩展的方法,该方法根据查询词出现的上下文信息进行扩展词选择,同时考虑到查询扩展词与整个查询语句以及查询词的位置关系。实验结果表明,该方法大大提高了平均查准率。 DEN-Stream:一种分布式数据流聚类方法 现有的数据流聚类方法很难兼顾数据稀疏和子空间聚类等高维数据难题,而分布式数据流对数据流聚类提出包括在线计算效率、通信开销以及多路数据的融合等更多挑战。提出分布式数据流聚类方法,采用全局统一的网格划分和衰退时间以支持多路数据流融合,并周期性检查和删除过期网格来控制概要规模。通过对多路高维数据流的一遍扫描,发现高维数据流子空间任意形状的聚类,并反映数据分布随时间的演化。在线组件效率高开销低,概要信息简洁,通信代价低。实验表明,该方法能够对分布式数据流正确聚类并演进,在线组件效率高,概要规模小。 无线网络通信中离群失联节点定位仿真分析 无线网络节点中的离群失联节点在进行通信时信号质量不稳定,需要对离群失联节点进行准确定位,以提高无线网络通信质量。离群失联节点的信号特征与正常节点的信号特征相比有很大差异,传统的定位方法,在进行定位时需要将离群失联节点的信息都传送到参考节点才能得到定位信息,对于参考节点的依赖程度较大,导致定位的准确度和速度都较低。提出一种概率离群失联节点定位方法。对节点之间的距离进行测量,测量结果符合高斯函数,能够利用概率密度函数来描述,通过求解概率密度最大值,能够实现离群失联节点定位,避免了传统定位方法的弊端。实验证明,利用改进的离群失联节点定位方法,能够快速准确的对离群失联节点进行定位,定位准确率较高。 Markov决策过程的蚁群规划算法 在智能规划问题上,寻找规划解都是NP甚至NP完全问题,如果动作的执行效果带有不确定性,如在Markov决策过程的规划问题中,规划的求解将会更加困难,现有的Markov决策过程的规划算法往往用一个整体状态节点来描述某个动作的实际执行效果,试图回避状态内部的复杂性,而现实中的大量动作往往都会产生多个命题效果,对应多个命题节点。为了能够处理和解决这个问题,提出了映像动作,映像路节和映像规划图等概念,并在其基础上提出了Markov决策过程的蚁群规划算法,从而解决了这一问题。并且证明了算法得到的解,即使在不确定的执行环境下,也具有不低于一定概率的可靠性。 一种网络视频监控系统的专用存储方案 针对网络视频监控系统中传统文件系统存储方案存储效率低和检索性能差的缺陷,提出一种基于裸磁盘设备的录像存储方案。根据监控系统的数据存储特点,采用B+树管理录像段索引信息,设计一种磁盘逻辑存储结构,并给出一种基于图像组的数据缓存机制。系统测试结果表明,与传统的文件系统存储方案相比,该方案在监控系统的512 Kb/s和1 Mb/s典型存储码率下,录像存储效率分别提高了43.6%和30.3%,录像检索耗时降至35 ms以下。 交互式无线汽车智能钥匙系统设计 研制的智能钥匙系统将滚动码技术与无线通信技术相结合,利用单片机PIC16F639和无线接收模块TDA5201设计了一种可以进行高、低频双向通信的汽车交互式无钥匙门禁系统;该系统功耗低、收发距离远、可靠性及安全性高,给出具体的软、硬件设计,并对设计中的关键技术进行详细的描述;系统无需人为干预就可自动执行对用户的身份识别,符合未来汽车的智能安全的发展方向,且稍加改动即可应用于汽车轮胎测压和汽车防盗等方面,具有极佳的市场前景和巨大的潜在效益。 头颅柔性线圈与硬质线圈成像质量的比较研究 目的:通过与头颅16通道(HNC16)、24通道硬质线圈(HC24)比较,确定头颅14通道柔性磁共振线圈(AHC14)的成像效果。方法:首先对三个线圈进行物理测试,之后招募10例正常健康志愿者,分别采用同一磁共振仪、同一成像序列,先后采用AHC14、HC24、HNC16进行头颅成像,成像序列包括横断位T1WI、T2WI和弥散加权成像。对图像质量进行主观评分,测量及计算图像信噪比,并进行统计学分析。结果:在T1WI、T2WI图像中,AHC14线圈图像信噪比高于HC24线圈、HNC16线圈,差异具有统计学意义(P<0.05)。在DWI图像中,AHC14、HC24线圈图像信噪比相仿,均高于HNC16线圈。在图像的主观评分方面,T2WI、DWI图像评分差异不具有统计学意义。结论:AHC14柔性线圈可获得与HC24硬质线圈相同的图像质量,可进行临床常规头颅磁共振检查。 音素关联的多文种语音融合编码方法 依据异类文种之间、同类文种不同语音之间存在音素数据关联的特性,提出多文种语音数据融合编码方法。将不同文种存在的相同音素数据段块按段块模板截取语音样本序列,小波变换,提取特征矢量,生成共享模板集;任意字音或语句音串均按共享模板集提供的元素进行编码与解码;以模板音素串构成的语音记录库按(音节、音素)索引。实验结果表明,单字语音数据压缩比、语音数据存储量、语音还原分段信噪比、主观评价得分等参数均明显优于已有方法,语音还原质量良好。 改进GM(1,1)模型的前车检测与跟踪研究 提出了一种改进灰色预测模型GM(1,1)的前方车辆检测与跟踪方法,利用Hough变换识别两侧车道标识线,缩小前方车辆检测与跟踪区域,完成对前方车辆的检测之后,通过改进GM(1,1)模型的持续更新,搜索其运动规律,并对前方车辆的运动轨迹进行预测,根据预测结果实现对前方车辆的跟踪。实验结果表明,该方法不需要对随机噪声序列和目标运动规律进行假设,克服了随机噪声和分离合并的影响,具有较好的实时性和鲁棒性,适合于范围较小的前方车辆检测与跟踪。 基于卡尔曼滤波的主动波浪补偿系统研究 针对船舶随涌浪进行的升沉运动进行较为深入的研究,旨在采用主动式波浪补偿解决耙吸式疏浚船耙管终端水平高度稳定问题。建立波浪补偿预测系统,通过运动参考单元测量船舶运动竖直方向速度和加速度,主控制单元根据所建立的数学模型结合卡尔曼滤波算法处理数据,通过执行机构调整绳索收放速度完成耙管终端控制补偿,使耙头水平高度在工作过程中保持稳定。所建立的波浪补偿预测系统具有自主学习能力,可以不断运用实测数据自我修正以提高精度,具有很高的实用价值。 2015年起《计算机研究与发展》双月将固定领域专题 [正文]致广大读者和作者:本刊从2015年起将双数期约1/2版面固定为某个领域,每年将策划该领域的一个热点主题进行集中报道.具体的征文通知将在专题发表前6个月发布,请关注期刊网站!此外,本刊依然欢迎自由来稿.谢谢!具体领域分布及执行领域编委如下: 应用于铣削参数优化的粒子群和遗传交互算法 针对工程领域中的非线性、多极值和多维度等复杂优化问题,提出把遗传算子引入粒子群算法中,采用粒子搜索变异,交互学习的方法。方法综合了粒子群算法原理简单、搜索速度快,遗传算法全局搜索能力强的特点,实现了算法避免陷入局部最优解,以获得较高的精度和执行力。通过对比分析,此交互学习策略在求解精度、效率和处理多种复杂度问题等方面都有优越性,特别适用于精确求解和解决复杂优化问题。实例证明,算法可以解决基于机械动力学理论的铣削参数优化中非线性、多极值、多维度的工程问题。 无人驾驶汽车决策系统的规则描述与代码生成方法 无人驾驶汽车的高层决策系统是其实现自主驾驶功能的核心,在决策系统的开发过程中,由于信号变量与状态数量庞大等原因,系统面临着可维护性与可拓展性差的难题。UNMANNED_RULE_EDIT是面向无人车高层决策系统的规则编辑与代码自动生成辅助工具,具有图形化编辑和代码自动生成的功能,有利于开发人员清晰直观地设计规则,并摆脱繁琐的编码工作。主要讨论了UNMANNED_RULE_EDIT的中间语言(规则语言)设计和代码自动生成算法。目前,该工具已初步应用在国内某单位研制的无人车上,为其决策系统的开发提供便利。 模拟移动床过程的软测量建模仿真研究 模拟移动床色谱作为主要的现代吸附分离技术,近年来在石油化工、精细化工、生物制药、食品加工等领域的复杂混合物分离过程中得到越来越多的应用。模拟移动床系统是一种具有强非线性、强耦合、混杂性、分布参数等特征的复杂工程系统,其建模问题一直受到广泛关注。本文提出用神经网络与遗传算法结合的混合建模方法建立SMB色谱分离过程4区流量与组份纯度的软测量模型。为了解决RBF神经网络训练时隐含层节点数选取无依据,只能依靠反复仿真尝试的问题,提出将混合递阶遗传算法与RBF神经网络结合,建立SMB色谱分离过程软测量模型,以较高的精度实现了SMB组份纯度软测量,通过仿真验证了方法的可行性。 需求可拆分的开放式车辆路径问题研究 传统的开放式车辆路径问题假设客户的需求不可拆分、车辆类型相同,但在实际的物流配送中,车辆类型不完全相同,对需求的拆分能充分利用车辆的装载能力,降低运输成本。为此,提出需求可拆分的不同种车辆的开放式车辆路径问题,给出整数规划的数学模型,利用禁忌搜索算法对该问题求解,改进算法中初始解和邻域结构的产生过程。通过实验验证模型的有效性,并将结果与传统的开放式车辆路径问题进行比较,表明该算法可有效减少运输成本。 多源网络编码数据完整性验证方案 基于同态向量哈希函数和向量合并算法,提出一种能够抵御污染攻击的多源网络编码数据完整性验证方案。通过信源节点计算发送向量的哈希值,利用私钥对该哈希值进行签名,并将消息向量、哈希值以及哈希值的签名发送至中间节点。中间节点和信宿节点基于系统公钥,验证来自不同信源节点的线性编码消息的完整性。实验结果表明,当信源节点数大于200时,该方案的计算效率优于现有多源网络编码方案,更适用于大规模分布式网络数据的安全验证。 敏捷生产模式研究与实现 "为提升生产单位的生产动员业务管控能力、加强战时武器装备的保障能力,通过梳理生产动员的业务架构,分析动员生产过程中的计划、准备、调度、制造执行、外协、库房及配套管理等各业务活动特点,给出了信息化条件下综合生产动员中人、机、料、法、环等要素的生产管控模式,并建立了生产动员管控系统。基于生产动员管控系统,通过某型号的生产动员演练,实现了动员生产过程""看得见、管得住、做得好"",有力地提升了生产动员的效率和工作质量。" 柱面全景图生成技术的研究与实现 在全景图像理论的基础上,对现有的柱面全景图生成技术进行研究和实现。在柱面投影方面,采用基于平面图的柱面正投影和反投影算法。在传统的序列相似性检测算法的基础上,采用一种自适应阈值序列相似性检测算法对图像进行拼接。在与最邻近插值算法进行比较的基础上,使用双线性插值算法进行图像的融合。实验结果表明,生成的全景图视觉效果较好。 基于报文检测的快速自适应NoC容错路由算法 传统的自适应片上网络(NoC)容错路由算法采用一步一比较的方式来确定最优端口,未能有效降低传输延迟。根据数据包在2D Mesh NoC前若干连续的跳数内最优端口固定的特点,提出了一种基于报文检测的快速(FPIB)自适应容错路由算法。算法采用跳步比较的方式来减少数据包的路由时间,并使用模糊优先级策略来进行容错路由计算。实验结果表明,与uLBDR容错路由算法相比,该算法能有效地降低平均延迟,且实现算法的硬件开销更低。 基于模型驱动的安全访问控制的研究 随着计算机应用到各个领域的广度、深度不断扩大,软件的安全需求变得越来越复杂.然而开发人员对系统进行建模时一般不考虑安全需求,系统安全策略和安全机制往往是开发人员在系统开发后期对系统的补充和措施的完善.由此提出将访问控制需求集成到软件开发过程的分析阶段,将访问控制策略建模与功能需求建模集成.通过模型驱动方法为功能需求模型相关的安全方面提供模型,研究从功能模型产生安全模型,最终形成安全访问控制策略. 一种新型混沌扩频卫星隐蔽通信算法 针对Logistic及改进型混沌映射只存在唯一满映射点的缺陷,设计了一种新型混沌映射函数,拓宽了满映射参数的取值范围,进而提高了混沌序列遍历性,并基于相干混沌移位键控技术,构建了新型混沌扩频卫星隐蔽通信系统。分别对新型混沌序列的随机性、相关性和平衡性,以及隐蔽通信系统的误码率进行了仿真分析,结果表明:新型混沌映射比已有映射遍历性更好,平衡性更高。原业务信号与扩频调制信号的功率比值大于20dB时,原业务接收机接收误码率基本不受影响。扩频因子为80、信道信噪比大于6dB时,隐蔽接收机误码率小于10-3,能满足一定的隐蔽通信要求。 基于Ezairo DSP的ADPCM语音解码器设计 针对语音提示音需要占用较多Ezairo DSP存储空间的问题,实现一种8 k Hz采样和4-bit量化的自适应差分脉冲编码调制(ADPCM)解码算法,将需要解码的数据存储在DSP的EEPROM里,再经由SPI接口传输给DSP内核解码,通过简化逆量化器和索引查表规则进行算法优化,提高程序的执行效率;为适应16 k Hz的系统采样率,利用sin c插值方法将8 k Hz采样率的解码输出转换成16 k Hz系统输出。实验结果表明,ADPCM解码器能保证重建语音的质量,其MOS评分能达到4.0。在每帧2 ms的延时下,通过对代码的优化可以节省10条指令,从而提高15%的效率。 多数据库事务并发调度算法优化技术研究 研究优化调度数据库中事务问题,为保证多数据库中事务提交顺序,提高事务执行及提交的并发度,研究了事务提交图的调度算法TM2,针对算法TM2中事务管理器无法检测到全局事务与局部事务的间接冲突,提出了优化算法TMO,采用ticket算法在全局事务的每个子事务之间增加数据操作,以便在每个成员数据库的全局子事务之间创造直接冲突,并通过添加保存点恢复中止事务代替重做事务,保持了多数据库中事务的可串行化。通过仿真,对比了两种调度算法的性能。得出算法TMO解决了事务的可串行化问题,提高了事务的并发度,保证了事务的一致性。 改进的矩特征和随机森林算法车标分类 提出一种可靠、高效的车标分类方法,结合改进的Gaussian-Hermite矩特征和随机森林分类器实现车标的可靠分类。其核心是提出一种改进的Gaussian-Hermite矩特征,具体是在图像Gaussian-Hermite矩的基础上,分别提取GaussianHermite不变矩和鉴别矩特征,结合主成分分析方法进行降维,构建改进的Gaussian-Hermite矩特征。采用随机森林分类器对该特征进行学习和预测,得到车标分类结果。实验结果表明,采用该方法进行车标分类得到的错分率指标低,平均分类耗时少。 支持数据及人力资源绑定的业务过程建模语言 结合目前企业信息系统在工作流建模时对数据及人力资源管理上存在的实际需求,研究分析了各种常用的工作流建模语言在数据和人力资源管理上的优缺点,并基于JBoss业务过程定义语言扩展出一种支持数据及资源绑定的业务过程建模语言。该语言通过引入业务对象组、任务到业务对象组绑定等扩展标记,加强了传统JBoss业务过程定义语言的数据流调度控制精度、数据建模能力以及对外部数据和资源绑定能力,同时给出了基于WFD-nets的验证方法,以验证通过该语言建立的工作流模型的正确性。最后,基于所设计的语言建立了工作流管理系统,并在某企业得到了应用。 符号序列的概率向量聚类方法 针对符号序列聚类中表示模型及序列间距离度量定义的困难问题,提出一种基于概率向量的表示模型及基于该模型的符号序列聚类算法。该模型引入符号序列的概率分布表示法,定义了一种基于概率分布差异的符号序列距离度量及该模型的目标函数,最后给出了一种符号序列K-均值型聚类算法,并在来自不同领域的实际应用序列集上进行了实验验证。实验结果表明,与基于子序列表示模型的符号序列聚类算法相比,所提方法在DNA序列和语音序列等具有较多符号的实际数据上,在有效提高聚类精度的同时降低聚类时间50%以上。 基于WSN的建筑电气设备能耗远程监控系统! 针对目前大多数大型公共建筑电能浪费比较严重而又缺乏有效监控的情况,结合无线传感网技术,文中设计了一种基于无线传感网的建筑电气设备能耗远程监控系统实现方案,构建了集信息采集、远程传输、分析计算、反馈控制于一体的智能化建筑能效管理系统(Building Energy Management System,BEMS)。该系统主要由无线监控节点、内部网关、服务器和远程用户端四个部分组成,由各个无线监控节点实时监测建筑内电气设备的能耗信息及相关环境参数信息,获取的数据经由相近的内部网关通过无线GPRS协议或有线以太网TCP/IP协议传送到远程服务器;服务器对数据处理后将其存储在数据库中并以不同的方式呈现;远程用户通过移动终端或Web登陆服务器获取建筑内用电设备和环境参数的实时信息,并可实现对用电设备的远程控制。 融合空时相干和特征空间波束形成的超声成像 为了进一步提高超声成像的质量,提出了融合特征空间最小波束形成和空时相干系数的成像方法。首先利用最小方差法计算回波数据的协方差矩阵和加权向量;然后对协方差矩阵进行特征分解得到信号子空间,并将加权向量投影到信号子空间,得到特征空间方法的加权向量;同时采用空时平滑方法计算相干系数,最后用空时相干系数作为加权系数对特征空间最小方差波束形成的结果进行优化。为了验证算法的有效性,对医学成像上常用的点目标和斑目标进行了成像,仿真实验结果表明:与特征空间最小方差算法和融合特征空间与相干系数的算法相比,提出的方法提高了对比度和稳健性,其代价是略微降低了成像分辨率。 一种相控阵天线强迫风冷热设计方法 阵面温度控制是相控阵天线结构设计的关键技术之一。文中针对某相控阵天线的热设计要求,设计了一种用于相控阵天线散热的强迫风冷系统,并采用数值分析方法,对该系统作用下的相控阵天线阵面热性能进行了分析。对不同流道参数、散热器参数和冷却气体流速下的阵面温度和流体压力进行了仿真。仿真结果表明:冷却气体温度和速度对散热效果影响显著,增加散热片的肋片数量和高度能够提高散热性能。以仿真结果为依据,对相控阵天线的结构参数进行了优选。进行了对比实验,验证了仿真计算的有效性。 最优化选择邻居节点路由协议 根据机会网络中数据节点传输特点,提出一种基于异或运算的机会网络最优化选择邻居节点路由协议,即Optimal Selection Of Neighbor Node Routing Protocol(OSNN)算法,该算法通过遍历当前节点的邻接节点,运用异或运算比较并匹配出当前节点的最优下一跳节点,最终找出最优信息传递路径.其关键在于如何运算找出最优下一跳节点.通过仿真实验,并与机会网络的经典协议Epidemic路由协议和PROPHET路由协议比较,OSNN算法有效的改善了机会网络数据传输过程中最优化选择下一跳节点问题,从而提高数据传输成功率,同时有效减少传输过程中的网络副本. 基于UML活动图和Petri网电子政务工作流建模研究 通过对电子政务系统中投诉管理子系统的描述,提出了一种基于UML活动图与Petri网的电子政务工作流建模方法。该方法具有完全图形化的表示和坚实的数学基础,是一种工作流建模和分析的理想工具。在建立电子政务工作流模型的基础上,对该方法进行了正确性论证,表明该方法对电子政务系统的实用性和有效性。 光纤通信网络传送继电保护信号传输方法研究 为了保障电力系统的安全稳定运行,需要对光纤通信网络传送继电保护信号传输方法进行研究,但是采用当前方法进行继电保护信号传输时,信号处理设备会产生较大的信号延迟,存在引发继电保护等装置误动的问题。为解决上述问题,提出一种基于编码波形的光纤通信网络传送继电保护信号传输方法。上述方法先利用相位差定义光纤通信信号传输系统的组成结构,给出网络绝对传输延时组成模型,得到延时包含的主要因素,将NRZ码和时钟信号相异或进行编码,采用保护式继电器接法对编码器和解码器进行设计,设定通信的同步头,组建光纤通信网络传送继电保护信号的双向传输机制,利用该机制完成对光纤通信网络传送继电保护信号传输。仿真证明,所提方法传输精度较高,为保障电力系统的安全稳定运行提供了依据。 神经网络和改进粒子群算法在地震预测中的应用 提出了一种基于神经网络与改进粒子群算法的地震预测方法,该方法采用前向神经网络作为地震震级的预测模型,引入改进的粒子群算法对前向网络的连接权值进行修正。为了设计在全局搜索和局部搜索之间取得最佳平衡的惯性权重,基于粒子动态变异思想对粒子群优化算法进行改进,提出了一种动态变异粒子群优化算法,并将其应用于地震震级预测神经网络模型优化。在仿真实验中,将所提出的方法与另外两个采用不同算法的前向网络预测方法进行了比较。结果表明所提出的优化算法收敛速度最快,所得模型的预测误差最小,泛化能力最强,对地震的中期预测有很好的参考作用。 多功能家庭安防报警系统研究 近年来,随着经济的发展,国内外不同的地区都有着不同程度的污染,同时人们越越来越关注空气质量状况的的影响,多功能家庭安防报警系统成了新兴的家电被人们所认知和选择,因此对多功能家庭安防报警系统的功能、技术、用户体验等方面的进行系统全面的研究具有重要意义;电子技术发展日新月异,基于嵌入式的控制系统也得到了极大的发展,同时伴随着物联网技术以及自动控制技术的发展,智能家居行业迎来了一轮又一轮的技术革新,不仅功能更加完善、更加人性化;价格也越来越低廉,使得智能家居成为大众消费品;文章就是在这样一个技术背景下,提出了一种基于WIFI的智能报警监控系统解决方案,为了解决传统多功能家庭安防报警系统操作难度大、及时性差,文章提出了采用Android手机作为遥控器,在局域网下对多功能家庭安防报警系统硬件进行参数设置以及数据采集回传显示;该系统是由数据采集模块、无线网络模块、多功能家庭安防报警系统模块,报警模块、显示模块以及远程终端控制模块组成多功能多功能家庭安防报警系统控制系统;通过对本系统的实际操作实验,利用多功能家庭安防报警系统系统可以有效检测室内环境质量,用户可以依据实际的环境质量状况及时采取应对措施提升空气质量。 扩展优势关系下的变精度粗糙集模型 基于优势关系的变精度粗糙集模型将传统粗糙集中的等价关系扩展为优势关系,并结合变精度的思想来定义相关概念,从而可以处理具有偏好关系的信息并具有一定的容错能力。然而,传统优势关系的定义仍然过于严格,只有当一个对象x的每个属性值都优于另一个对象y时,该对象x才优于y。当属性个数较多时,这种优势关系的定义会导致对象的优势集偏小,影响到规则的提取和决策结果。为了解决这一问题,通过引入参数的方法扩展了传统优势关系的定义,并在此基础上进一步给出了扩展后的优势集和近似集的概念,建立了扩展优势关系下的变精度粗糙集模型,采用覆盖率和测试精度作为模型的评估指标。最后给出算例,并在UCI数据集上进行大量的实验将所提模型与传统优势关系下的变精度粗糙集模型进行比较。 基于模拟退火算法的设计结构矩阵分析优化 研究产品设计过程效率问题,设计结构矩阵对产品设计过程进行建模,依据设计结构矩阵的原理及优化理论,通过图论方法分析优化了简单设计过程,针对强耦合设计任务块的问题,无法使用图论方法寻找耦合任务块和对耦合任务块进行优化,为了缩短生产过程的执行时间,使用模拟退火算法进行DSM分析优化。在算法的设计上对DSM进行n进制数字编码,新状态函数产生采用n进制编码两点互换法,新状态接受函数使用Metropolis重点抽样原则产生,根据实际问题的规模选取了直线降温的退火函数,仿真试验证明,合理选取终止温度作为最优解的终止条件,应用上述关键技术可以取得满意的结果,虚拟卫星太阳翼为例验证了算法的可行性。 基于KDDA和SFLA-LSSVR算法的WLAN室内定位算法 针对接收信号强度(received signal strength,RSS)的时变性降低WLAN室内定位精度的问题,提出了一种基于核直接判别分析(kernel direct discriminant analysis,KDDA)和混洗蛙跳最小二乘支持向量回归机(SFLA-LSSVR)的定位算法,该算法通过核函数策略将采集的各接入点(access point,AP)的RSS信号映射到非线性领域,有效提取了非线性定位特征,重组定位信息,去除冗余定位特征和噪声;然后采用LSSVR算法构建指纹点定位特征数据与物理位置的映射关系模型,采用SFLA算法优化该关系模型的参数,并用该关系模型对测试点的位置进行回归预测.实验结果表明:提出算法在相同的采样次数下的定位精度明显优于WKNN,ANN,LSSVR算法,并且在相同的定位精度下,采样次数较大减少,是一种性能良好的WLAN室内定位算法. 基于前趋势相似度的细粒度用户用电负荷预测 在智能电网普及的大数据背景下,对电力数据进行准确地分析和预测具有重要意义。提出一种基于前趋势相似度的细粒度居民用电预测模型。根据用户的用电行为特征采用基于DTW距离的K-mediods方法对总体用户进行细粒度划分;在各个子类分别建立用电量预测模型;根据用户的用电行为具有周期性突变这一现象,采用基于前趋势相似度的BP神经网络模型对原BP网络进行改进。基于真实居民用电数据的实验表明,所提出的方法具有较好的预测效果。 基于“分治网格”的空间大数据快速分析方法 "为解决复杂空间数据快速处理分析的空间大数据开发利用难点问题,提出基于""分治网格""的空间大数据快速分析思路和技术框架。初步实现原型系统,基于原型系统,以土地利用变更调查数据叠加分析为实例,对关键技术进行验证。实例验证结果表明,利用该技术路线和框架,可以提升海量空间数据的处理分析速度。" 青少年盲从心理与犯罪行为关联性预测仿真 对青少年盲从心理与犯罪行为的关联性进行准确预测,可以对青少年的心理进行剖析,减少青少年的犯罪行为。进行关联性预测建模时,需要计算出青少年盲从的犯罪心理表现形态特征指标的权重,但是传统的基于多层和概化关联规则的模型主要依靠提取犯罪行为的主观与客观因素进行建模,不能精确计算犯罪心理表现形态特征指标的权重,降低了关联性预测建模的精度,建模误差大。建立一种采用多目标进化算法的青少年盲从心理与犯罪行为的关联性预测模型。首先从个人、家庭、学校和社会的角度筛选出青少年社会成长的危险性因素和保护性因素,定义各因素模糊项集的支持度,计算出青少年盲从的犯罪心理表现形态特征指标的权重向量,利用灰色关联度方法提取青少年产生犯罪心理的主观因素和客观因素,组建了精确的青少年盲从心理与犯罪行为的关联性预测模型。仿真结果表明,建立的关联性预测模型可提高犯罪率预测的精度。 基于任务延迟的云计算资源调度算法研究 云计算是基于互联网发展起来的新型计算模式,对网际间各个节点的计算能力达到了充分利用;为了提高用户对云计算的使用效率,一般要为用户任务进行合理的全局资源调度和本地资源调度;对于全局资源调度,提出了一种改进的DAG图方法,充分考虑了任务撤销后对全局资源调度的影响;对于本地资源调度,提出了一种基于任务延迟的资源调度方法,充分考虑了立即调度不能执行时,如何合理地配置本地计算资源和等待时间的问题;实验结果表明,提出的方法能较好地提高全局资源调度和本地资源调度的效能。 结构化公开加密密钥支撑群组密钥操作研究 加密解密协议中的单加密解密密钥结构不能满足群组密钥管理的性能需要,公开加密密钥更新导致全部解密密钥更新。针对这一问题,提出结构化公开加密密钥组织结构,满足密钥独立性的群组解密密钥集合成员具有有限修改公开加密密钥的能力,使得群组成员在无可信中心支持下采用自配置方式更新公开加密密钥,更新后的公开加密密钥不会破坏非更新成员解密密钥的合法性。给出的公钥结构丰富了解密密钥和加密密钥之间的关系,扩展了群组密钥操作,适合环境苛刻的网络群组密钥管理。 面向FC-以太网融合网络的嵌入式网关设计 为了降低网络维护成本和统一网络架构,实现面向FC的多协议融合型网络.本文给出IP over FC网关的设计方案和实现方法,详细介绍基于FPGA的嵌入式网关其系统架构和数据处理流程.同时对千兆以太网与FC之间帧格式的转换与地址映射进行仿真分析,并结合某型号航天器具体应用场景完成前期工程验证.结果表明,嵌入式IP over FC网关能够高效实现数据帧的解析与路由,协议转换最小时延为25μs,完好地实现FC与千兆以太网的无缝衔接与协议转换. 一种多媒体社交网络安全风险评估方法 新兴的多媒体社交网络MSN(Multimedia Social Networks)为多媒体内容的传播与分享提供了便利,然而用户之间随意的分享与传播受版权保护的数字内容使得数字版权管理DRM(Digital Rights Management)问题日益严重,该开放式网络场景面临数字内容的损害和版权侵犯等安全风险。基于传统的风险评估方法,并引入信任风险、用户需求等风险影响因子,采用定量和定性相结合的方法来评估MSN中用户之间数字内容传播中的风险,其中定量方法采用金融领域广泛使用的风险计算方法——VAR方法,定性方法是采用专家评分方式对非量化因素的评估。最后通过仿真实验验证了提出的风险评估方法的有效性,并揭示了风险损失与风险平均发生率、内容提供商的风险偏好态度之间的关系,即风险平均发生率偏大时(泊松分布图趋于正态分布),内容提供商厌恶风险时面临损失最小,其次是风险追求,而风险中立时面临损失最大。 基于ZigBee的社区无线抄表系统设计 论文针对无线传感器网络技术在抄表系统中的应用,研究并设计了基于ZigBee的社区无线抄表系统。系统采用ZigBee构建无线传感器网络进行社区内电表数据信息的采集和传递,然后通过GPRS公网将数据传送至电表集抄中心,实现了远程查看和管控。主要介绍了无线抄表系统的结构、ZigBee网络中各类节点的硬件结构和软件流程。无线抄表系统具有布网简单易行、节约能耗、稳定性较高以及易于远程维护等优点。 基于方差的CHI特征选择方法 通过分析特征词与类别间的相关性,在原有的卡方特征选择的方法上增加三个调节参数,使选出的特征词集中分布在某一类,且在某一类中尽可能地均匀分布,并使特征词在某一类中出现的次数尽可能地多。通过实验对比改进前后的卡方特征选择方法,基于方差的卡方统计(Var-CHI)方法使得查全率和查准率都得到了明显的提高。 基于多图谱活动轮廓模型的脑部图像分割 丘脑、海马体、伏隔核、尾状核等关键脑结构的位置、体积、形态等的变化与多种脑部疾病息息相关,对其精准分割是进行相关定量分析的前提.然而在磁共振图像中这些结构对比度不高、边界模糊,传统方法只是利用了标号图像,没有考虑到待分割图像、先验信息等,因无法实现准确分割.文中将多图谱配准与活动轮廓模型相结合,提出了一种新的多图谱活动轮廓模型框架,有效地利用了图谱的先验信息和待分割图像的灰度信息,将多图谱的形状先验项引入到活动轮廓模型中,并在融合标号图像的过程中利用活动轮廓模型校正配准引起的误差,可以得到光滑、准确的分割结果.该框架包含3个部分:第1部分为图谱先验项,利用配准的局部相似性作为权重融合多个图谱的信息;第2部分为数据项,利用待分割图像的局部信息,可以校正配准中的误差;第3部分为平滑项,用于保证曲线在演化过程中的平滑.大量的实验表明了该方法的有效性和准确性. 基于GA-PSO算法的路径测试数据自动生成 为了实现测试数据自动生成,许多遗传算法及其改进算法应用到了测试领域。针对遗传算法具有较强的全局搜索能力,但局部搜索能力较弱,且收敛速度慢的特点。将遗传算法与粒子群算法结合起来形成新的混合算法(GA-PSO),并成功应用到软件测试数据自动生成过程中。实验结果表明,该算法结合了遗传算法和粒子群算法的优点,在保证软件测试数据正确生成的情况下,极大地提高了数据生成的效率。 基于物联网技术的农网智能配变电系统 针对农村及偏远地区急需解决智能化配变电设备陈旧及功能单一的问题,有效增强配电有效性及安全性的需求,本文设计了一套完整可行的基于物联网技术的农网智能配变电系统.可以实现对电网电参数及用户电量信息的数据采集,数据传输、数据处理、异常报警、谐波分析等多功能为一体的农网配变电管理系统;本文从系统架构到各部分的组成进行了概述,详细的描述了部分设计过程和设计难点;以谐波分析为例进行结果验证.通过实际应用表明,该设计改善了农网配变电的现状,提高了配变电设备的功能,并能通过物联网来监测设备的运行. 基于ARM和ZigBee的智能温湿度监控系统设计 为了给航天发射场的卫星和火箭提供一个恒温恒湿的工作环境,设计了一种基于ARM和ZigBee的智能温湿度监控系统;介绍了系统的总体结构,以ARM9微处理器为核心,设计了系统的硬件电路;采用多线程模式在较短的时间内通过串口完成数据的采集与命令的发送和管理,提高了系统的数据处理效率,并采用Mesh型ZigBee无线网络实现了采集数据的远程发送和接收;该系统的ARM嵌入式监控中心通过执行模糊控制算法,使得航天发射场的卫星和火箭测试间始终处于恒温恒湿状态;通过系统测试,结果表明,该系统运行稳定,数据采集和显示准确、可靠,系统的测试精度达到0.1%,完全满足卫星、火箭测试的环境要求。 基于主题种子词的情感分析方法 产品或服务的情感主题分析,有利于用户从海量的评论集中快速地了解到自己关注产品或服务的主题情感信息。针对现存非监督情感分析模型情感主题发现性能不足的问题,提出了一种的基于主题种子词的情感分析方法,该方法在自动构建领域主题种子词及主题文本基础上,利用主题种子词监督的情感分析模型(SAA_SSW)实现主题及其关联情感的联合发现。实验结果表明,相比传统的情感/主题联合模型(JST)和主题情感统一模型(ASUM),SAA_SSW能够识别出相同的词在不同的主题下具有的情感标签,挖掘的情感词与主题之间的相关度更高;另外,基于不同情感字典进行分类精度分析,SAA_SSW的分类精度相对于这两种模型至少提高7.5%。所以,SAA_SSW模型能很好地完成情感主题的发现,以及具有较高的情感分类精度。 基于用户评论的自动化音乐分类方法 针对现有音乐平台分类类别固定、检索内容限制过多的问题,本文提出了一种基于用户评论的自动化音乐分类方法.首先,通过linear CRF统计分词模型、n元取词和紧密度分析方法学习得到适合音乐语料分词的字典.其次,使用linear CRF在上述字典的基础上进行分词,对分词结果进行分合测试,修正分词结果.然后,使用优化后的TFIDF关键词提取算法进行标签提取,再经过标签合并得到音乐的候选标签.接着,从全局角度出发对标签进一步筛选,得到音乐的关联标签.最后,建立音乐和标签之间的概率分类模型,对音乐进行分类.实验结果表明,该音乐分类方法准确率较高,可以从用户评论中自动地获取音乐多个维度的分类标签,为个性化的音乐检索提供了保障. Web逆向工程中交互设计模式的抽取方法改进 为了提高Web交互设计模式抽取的准确性,增加现有方法对中文站点的分析能力,提出了一种基于HTML词法分析的改进方法。利用设计的HTML词法分析器将Web页面表示成语法树,抽取Web交互设计模式的特征,并对特征的词条内容进行语义扩展,细化了特征抽取的粒度。实验结果表明,改进的方法在召回率和准确率等方面明显优于现有的方法,并在中文站点交互模式抽取方面取得了很好的效果。 基于CIMISS的省级气象业务系统的应用设计 全国综合气象信息共享平台(CIMISS)为全国气象部门提供了统一的数据环境。讨论了基于CIMISS数据环境建立的省级气象业务系统应用设计方案,在不改变省级现有气象业务系统业务流程和系统架构的基础上对系统进行改造,实现本地化系统与CIMISS系统的无缝对接。设计方案中,利用数据接口获取气象数据,并根据数据结构的不同分别讨论了离散数据、网格化数据、栅格数据的解析方式,最后实现气象数据的高效快速可视化。结果显示,建立的CIMISS在省级气象业务系统中的应用流程和方案是有效和可行的,为气象业务系统接入CIMISS数据环境提供一定的借鉴和参考。 非标准气象条件下空地导弹高抛弹道仿真研究 为研究非标准气象因素对导弹运动特性的影响,将大气运动基本方程组同经典弹道模型相结合,建立非标准气象条件下的高抛弹道模型。同时给出大气运动基本方程组易于编程计算的数值解法,采用变步长的四阶龙格库塔数值积分算法,联立大气运动基本方程组求解空地导弹的动力学方程和运动学方程,得到非标准气象条件下导弹弹道的落点坐标(205 65.8,0,-1 389),结果证明了在不同气象条件下导弹的飞行轨迹不同。使用仿真软件STK、卫星工具包可视化三维建模模块实现了某空地导弹从发射至目标攻击阶段的视景仿真,获得了逼真的效果。 负面财务信息对市场股价波动影响建模与仿真 对企业的负面财务信息与市场股价波动间的关系进行建模,在提高企业经济安全方面具有重要意义。由于企业负面财务信息与股价波动之间有一定的线性关联,使得企业负面财务信息特征无法确定。传统的建模方法主要通过对负面财务信息特征进行定量权值分析,获取所需参数进行建模,忽略了股价波动对企业负面财务信息特征的不确定性对建模的影响,导致建模不准确,误差大的问题。提出一种新的负面财务信息对市场股价波动影响建模方法。通过对影响企业股价波动原因进行分析,获得企业股价波动特征,采用卡尔曼滤波法,获取企业负面财务信息与市场股价波动的联系。引入最小二乘准则,建立企业负面财务信息对市场股价波动影响模型。仿真结果证明,改进的建模方法可有效地证明企业负面财务信息与市场股价波动间的关系,提高了建模的精确度,具有一定的实用性。 基于常量Q变换的音符起始点检测 针对音乐的音阶频率按指数规律分布的特点,提出基于常量Q变换(CQT)的音符起始点检测算法。该算法根据十二平均律的音阶频率分布规律,对音乐信号进行分解,得到一个分音矩阵,利用该分音矩阵生成检测函数,并提取峰值,得到音符起始点向量。实验结果显示,该算法的检测结果要优于2011年MIREX的结果。 社区中基于QoS和相关度的Web服务推荐方法 随着Web服务技术的发展,越来越多的社区引入了Web服务。为了更好地满足用户的要求,本文提出了一种为社区用户推荐服务的方法CWSR。CWSR首先对服务质量即QoS给出了定量的测算,然后通过解析服务的WSDL文档提取出服务关键字,计算社区与服务关键字的相似性从而获得服务对社区的相关度,最后结合两者与历史评分数据训练得出某特殊社区的推荐模型,根据该模型预测其它服务对于该社区的推荐指数。实验表明,本文提出的方法具有比以往方法更优的推荐质量。 芯片敏捷开发实践:标签化RISC-V "随着开放指令集RISC-V的流行,开源芯片的概念逐渐进入人们的视野.但是目前的芯片设计项目需要投入相当的人力和时间才能开展,并且具有一定的风险,这些情况一定程度上限制了开源芯片的发展.为了进一步降低芯片开发的门槛,加州大学伯克利分校先后设计了开放指令集RISC-V,开放了其SoC实现Rocket Chip的项目源码,并提出了一门面向敏捷开发的硬件构建语言Chisel.RISC-V,Rocket Chip和Chisel是如何赋能开源芯片敏捷开发?将基于中国科学院计算技术研究所的研究工作""标签化RISC-V""项目开发过程中的若干案例,展示:1)开放又活跃的指令集生态(如RISC-V)是推动芯片研发创新的必要条件;2)Chisel的信号整体连接、元编程、面向对象编程以及函数式编程等特性可大幅缩减代码量,提升代码可维护性;3)敏捷开发能在编码效率提升一个数量级的同时,达到与传统硬件开发模式相当甚至更优的性能、功耗与面积." 基于主题与语义的作弊网页检测方法 网页作弊检测可以被看作二元分类问题。当前基于内容的作弊网页检测方法主要使用统计特征,不能准确识别隐藏的作弊手段。为此,提出一种改进的作弊网页检测方法,使用语义与统计两类特征,将作弊检测深入至主题层次。该方法对网页内容进行主题建模,将网页内容映射至主题空间,根据其主题分布进行语义分析计算,从中提取语义特征,结合统计特征对网页进行分类检测。实验结果表明,该方法在精确率、召回率与F1测度上均获得了较好的效果。 认知MIMO网络中增强型干扰对齐算法 针对认知多输入多输出(MIMO)网络中传统基于最大信干噪比的干扰对齐算法,在发送多数据流时随着信噪比的增加不易收敛以及数据流之间的干扰突出的问题,提出一种充分考虑数据流间干扰并进行迭代限制的干扰对齐算法。首先,次用户通过编码设计消除主次间的干扰;然后,在消除主用户之间和次用户之间干扰时,根据信道互易性,运用广义瑞利熵计算基于最大信干噪比算法的预编码与干扰抑制矩阵,并在迭代过程中,每次迭代始终使预编码与干扰抑制矩阵先满足干扰功率在期望信号空间最小;最后,结合次用户间MIMO干扰信道、主次用户间构成的MIMO干扰信道以及次用户网络干扰对齐的必要性,推导出次用户可达自由度上限。实验结果表明,相比传统最大信干噪比算法,所提算法在信噪比较低时次用户总容量无明显提高,但随着信干噪比的增加其优势越来越明显;当达到收敛时,所提算法迭代次数比传统最大信干噪比算法约减少40%。因此,所提算法能够提高系统容量且加快收敛。 高效单精度浮点三角函数计算电路结构与实现 针对基于浮点加法器的CORDIC(Coordinate Rotation Digital Calculation,坐标旋转数字计算)实现单精度浮点型三角函数的角度收敛范围受限、处理速度低、电路开销大、响应延时长等问题,通过将浮点运算转化为定点运算以及对无缩放因子CORDIC算法的优化,提出一种基于查找表技术和双步迭代技术的高计算效率电路设计结构,解决了无缩放因子CORDIC算法计算三角函数需要引入乘法器和迭代次数过高的问题.在Stratix IV(EP4SGX70DF29C2X型FPGA)上实现了满足IEEE-754标准的单精度浮点正弦、余弦的三角函数运算.实验结果表明该电路工作频率可达282MHz,对比已有电路结构,响应延时和电路总面积有效降低,计算精度达到10E-7. 胃肠间质瘤CT表现及预测肿瘤恶性程度的分析 目的:探讨胃肠间质瘤的CT表现与恶性程度相关性。方法:分析经手术病理及免疫组织化学证实的45例GIST的CT资料,分析肿瘤大小、形态、轮廓、生长方式、强化程度及坏死情况。分析比较肿瘤恶性的CT征象。采用SPSS13.0统计软件及Fisher’s exact test分析。结果:胃部间质瘤25例、小肠13例、直肠1例、腹腔肠系膜4例、部位不明确2例,2例见远处转移。2位腹部影像医师对CT结果独立分级。肿瘤大小、形态、轮廓、坏死情况、生长方式与恶性程度有统计学意义(P<0.05),肿瘤部位、强化方式与恶性程度无统计学意义。结论:胃肠间质瘤的CT表现具有一定的特征性,CT表现有助于判断肿瘤恶性程度,对肿瘤的诊断、分期和治疗有显著的价值。 非高斯噪声中的粒子滤波算法研究 在非线性非高斯动态系统中,粒子滤波已成为解决系统参数估计和状态滤波的主流方法。然而,粒子退化是粒子滤波中不可避免的现象,粒子重采样是解决方法之一。本文针对粒子退化现象,在扩展卡尔曼滤波器的基础上研究了一种基于支持向量机粒子滤波算法,算法实现中扩展卡尔曼粒子滤波器结合支持向量机对当前时刻的重要性采样,再对粒子样本进行重采样。该算法能有效地利用量测值的最新信息,状态估计误差较小,同时避免了粒子匮乏。理论分析和仿真结果表明,新算法在双模噪声非线性系统估计的精度优于标准粒子滤波算法与扩展卡尔曼粒子滤波算法。 基于QSA数组计算序列中所有NE重复模式的算法 序列中重复模式的识别与提取算法在数据挖掘、模式识别、数据压缩、生物信息学等领域中具有广泛的实际应用。提出一种全新的基于QSA数组计算所有带有约束条件的NE重复模式的算法RPT。算法设计中充分考虑了NE重复模式的特征,以建立特征和重复模式检测结果之间的统计联系;算法中的约束条件包括最小周期pmin和最大间距gmax,其可用于筛选符合条件的NE重复模式,并可按照递增序输出所有NE重复模式的出现位置。与已有的基于后缀索引的算法相比,此算法的空间效率得到了提高。在分类属性数据样本集上进行的实验表明,算法RPT对生物序列尤其是DNA序列以及维吾尔语Web文本中NE重复模式的识别与提取都很有效。 微型旋翼飞行器防滑刹车控制仿真研究 对微型旋翼飞行器的防滑刹车控制研究,可以有效提升微型旋翼飞行器的安全稳定性。微型旋翼飞行器的防滑刹车控制,需要通过滑模控制器对最优滑移率进行渐近跟踪,完成飞行器防滑刹车控制。传统方法通过计算刹车时轮胎和地面间产生的结合力,得到最高的刹车效率,设定出期望滑移率,但并没有对该滑移率进行有效渐进跟踪,导致防滑控制效果不理想。提出基于TSMC的微型旋翼飞行器防滑刹车控制方法。将飞行器定义为飞行器与地面动力学模型,得出飞行器防滑机轮的动力数学模型,组建防滑刹车系统状态方程,得到刹车时轮胎和地面间产生的结合力,融合于反馈线性化控制律原理获取防滑刹车压力参考值,设计滑模控制器对未知最优滑移率进行渐近跟踪,完成对微型旋翼飞行器防滑刹车控制。仿真结果表明,所提方法控制精确度高,提升了微型旋翼飞行器的鲁棒性和实用性。 基于协作频谱感知的多天线中继系统功率分配 文中构建了一种基于协作频谱感知的多天线频谱共享模型,为提高系统传输性能,采用了放大转发(AF)中继方式进行通信传输。文中提出的模型由一组多输入多输出主用户和多组多输入多输出认知用户构成。当认知用户需要进行通信时,其他认知用户暂时充当感知节点对主用户进行协作能量检测;若主用户未占用信道,认知用户立刻以最大发射功率进行通信;若主用户占用信道,认知用户以及中继节点需要限制自身的功率以保证对主用户的干扰在门限值以下,同时调整功率的分配使得认知通信性能最优。文中在找出最优感知时间的基础上研究了认知用户及中继节点的最优功率分配算法,并且分析讨论了不同天线数目以及不同中继数目对系统性能的影响。仿真结果表明,中继数目不能够无限制的增加,对中继节点进行一定数目的选择后进行传输效果会更佳。 低空无人机航空摄影高度自动测量方法研究 为控制低空无人机摄影高度,获得更加清晰的地理信息图像,需要对低空无人机摄影高度自动测量方法进行优化研究;当前方法主要利用射影几何知识的自动化标定方法实现低空无人机航空摄影高度的自动测量;该方法存在噪声影响严重,且测量误差较大的问题;为此,提出一种基于多传感器与卡尔曼滤波相结合的低空无人机航空摄影高度自动测量方法;该方法首先通过分析气压测量法计算各种气压因素对低空无人机航空摄影高度的影响,然后推导出大气对流层内气压随低空无人机航空摄影高度的变化;然后采用双GPS系统同时工作,对GPS、气压高度计和IMU测量获得的低空无人机航空摄影高度信号进行冗余备份;采用基于二阶多项式的修正方法对低空无人机航空摄影传感器输出值进行补偿和修正;根据动力学方程建立低空无人机航空摄影的动力学方程获得高度测量状态方程;最后采用卡尔曼滤波的线性最小方差估计准则对低空无人机航空摄影高度进行均方差估计计算,实现低空高度自动测量与校正。实验结果表明,所提方法具有精度高、收敛性好且滤波效果理想的优势。 考虑优先权的地震灾害时限应急救援指派模型 针对地震灾害的突发性及受灾点的分散性,模型定量确定救援优先级,以最短到达时间为约束条件构建基于优先权的时限多目标指派模型;运用两阶段法对模型进行求解,算例结果表明,利用该模型进行应急救援队伍的派遣是可行的,可为应急救援的组织与协调提供决策参考。 基于PLC的远程智能检测控制系统的设计 提出利用SIMATIC PCS7系统S7-300和WinCC 6.0监控软件设计一个智能化检测系统,以解决利用传统检测方法对电子产品进行参数检测所存在的问题,实现自动检测和远程监控,最后以检测不间断电源(UPS)为例,实现智能化检测,提高检测效率,节省人力资源。 BPEL到PNML文件转换框架的设计与实现 针对Web服务组合流程的Petri网自动建模问题,以Web服务组合流程为研究对象,采用BPEL4WS作为Web服务组合流程描述语言,设计并实现一个BPEL文件到PNML(Petri Net Markup Language)文件的转换框架,自动实现Web服务组合的Petri网建模。该框架利用XSLT实现基于XML的BPEL文件到PNML文件的转换,转换结果导入到支持PNML的Petri网工具,自动完成Petri网建模。结合一个Web服务组合实例,验证该框架的有效性。 基于Stribeck模型的摩擦补偿控制设计 根据某种望远镜低速性能的要求,分析了Stribeck摩擦模型,并利用模糊控制原理实现了对补偿函数参数的整定。针对该望远镜模型,仿真验证了摩擦对控制精度的影响,并且对其进行补偿,在引导值为=0.1t°/s时,跟踪误差在0.0012°,误差在1.2%,并以0.429°t/s作为位置引导值在望远镜系统上实验,误差控制在2%以内;仿真和实验结果表明,该种摩擦补偿方法能很好的改善望远镜的低速跟踪性能。 基于能量检测的认知系统频谱资源分析方法 通过感知、适应和学习周围的电磁环境,认知无线电能够发现特定频段的频率空洞,捕捉无线信号的特征,保证在不对主用户造成干扰的情况下,利用空闲频谱资源进行通信。但目前少有衡量频谱可靠性的研究。为此,基于能量检测法提出一种针对频道可靠性的频谱资源分析方法,联合考虑频谱可用性和稳定性2个因素作为频谱可靠性的衡量标准,并对其进行仿真和实测验证,证明在信噪比较低时,可以通过增加检测分析的次数来提高频谱分析准确率。该分析方法能作为频谱分析部分的补充,丰富资源的描述特征,使频谱分析的内容更全面、结果更可信,有效提高频谱利用率和通信质量。 基于交互模型的医学图像分类可视化 "在针对大量医疗数据进行挖掘分析的可视化应用中,主要是对算法流程的简单可视化,缺乏一定的交互,对于用户来讲仍然是一个""黑盒""。针对这一问题,设计了一种基于交互模型针对具有对称性质的二维医学图像的多阶段分类可视化系统。首先,系统通过简单人机交互提取图像的灰度特征、纹理特征以及轮廓特征;然后结合实时图表、图像以及动画的展示对整个分类过程进行了可视化;并且在可视化过程中采用了简化交互策略,随着处理图像的增加,将会简化特征提取的人机交互过程,从而减少训练及分类时间。在2 000张脑CT图像集上的实验结果表明,基于交互模型的可视化方法能够使用户充分参与整个分类过程,从而实现对医学图像分类的过程可视化。" 基于T-Petri网的舰船损管指挥过程建模 分析舰船损管指挥过程的活动内容和流程。基于T-Petri网理论,建立舰船损管指挥过程T-Petri网模型。对模型进行合理简化,并对其进行对比分析,提出对降低整个舰船损管指挥过程平均延迟时间的改进方法。该研究可为舰船损管指挥仿真模型的开发提供理论依据。 基于轮廓特征点的重叠车辆检测与分割 在交通视频场景中,由于环境、设备安装角度等因素的影响,可能会引起车辆相互遮挡的情况,从而造成车辆检测及跟踪的误差。为此,基于轮廓特征点,提出一种重叠车辆检测与分割方法。利用背景差分法获得目标区域,运用Freeman链码检测目标区域的边缘轮廓点,通过链码对确定特征点。根据目标区域的特征点数及占空比进行重叠车辆的判断,若重叠,则对目标区域进行凸包分析,寻找最优分割点分割重叠车辆。实验结果表明,与基于椭圆拟合的方法及基于凹性分析的方法相比,该方法不需要车辆形状以外的先验知识,能较为准确地分割重叠车辆,具有较好的适应性。 基于改进的旋转森林算法的不平衡网络流量分类方法 针对不平衡网络流量分类精度不高的问题,在旋转森林算法的基础上结合Bagging算法的Bootstrap抽样和基于分类精度排序的基分类器选择算法,提出一种改进的旋转森林算法。首先,对原始训练集按特征进行子集划分并分别使用Bagging进行样本抽样,通过主成分分析(PCA)生成主成分系数矩阵;然后,在原始训练集和主成分系数矩阵的基础上进行特征转换,生成新的训练子集,再次使用Bagging对子集进行抽样,提升训练集的差异性,并使用训练子集训练C4.5基分类器;最后,使用测试集评价基分类器,依据总体分类精度进行排序筛选,保留分类精度较高的分类器并生成一致分类结果。在不平衡网络流量数据集上进行测试实验,依据准确率和召回率两个标准对C4.5、Bagging、旋转森林和改进的旋转森林四种算法评价,依据模型训练时间和测试时间评价四种算法的时间效率。实验结果表明改进的旋转森林算法对万维网(WWW)协议、Mail协议、Attack协议、对等网(P2P)协议的分类准确度达到99.5%以上,召回率也高于旋转森林、Bagging、C4.5三种算法,可用于网络入侵取证、维护网络安全、提升网络服务质量。 基于椭圆模型的手势识别与仿真 在手势识别系统的研究中,手势分割是实时手势识别系统的一个重要环节,视觉的手势识别系统中解决准确实时分割问题的方法,是利用肤色信息提取手势区域,但易受到光线和环境的影响。在对图像检测前,对RGB空间进行颜色均衡,减少光线对肤色检测的影响。并在建立肤色模型的时候,对肤色样本集进行均匀化,在此基础上统计分析建立椭圆模型。与传统的RGB肤色模型的手势分割相比,能够在复杂背景下进行手势分割。经仿真得到了较好的分割效果,具有较强的光照适应性,对提取几何矩特征,建立手势库,采用神经网络识别手势,实现实时的静态识别具有良好的效果。 一类参数未知超混沌系统的广义函数投影滞后同步 混沌系统同步问题的研究是混沌保密通信技术研究的重要理论基础。针对函数投影同步中对时滞现象研究较少的问题,基于Lyapunov稳定性定理和自适应控制方法,设计了相应的自适应控制器和参数更新规则,实现了一类超混沌系统之间的广义函数投影滞后同步,以超混沌LS系统和超混沌Lü系统为例,验证理论的正确性和有效性,同时分析了外加噪声干扰和延时对同步控制效果的影响。数值仿真结果证实了所提方法的有效性、可行性和鲁棒性。 最大匹配问题的粘贴DNA算法 最大匹配问题(MMP)是图论中经典的组合优化问题。针对此问题提出了基于DNA粘贴计算模型的求解算法,阐述了该算法如何利用DNA链构建最大匹配问题的初始编码,说明了应用粘贴计算模型寻求最终解的生物操作过程,同时分析了此DNA并行算法的计算复杂度,最后给出了该算法的计算机模拟仿真结果和应用实例,得到了所给问题的最大匹配解,并对算法的可行性进行了验证和总结。 一种低成本GPS/DR组合导航系统设计 根据车载导航的特点,运用单自由度的加速度计代替里程计,提出一种基于低成本的单陀螺仪单加速度计的航位推算(DR)方案。航位推算短时精度高,但误差会随时间积累而变大,DR与GPS导航利用扩展型卡尔曼滤波,形成GPS/DR组合导航系统,融合2个系统的优势,完善导航能力。实际测试结果表明,该GPS/DR组合导航系统能满足车载导航的基本需求,在城市峡谷中有较高的定位精度,且在GPS信号遮挡时能保持定位。 基于DIVA模型的脑电信号处理方法研究 DIVA(Directions Into of Articulators)模型是一种为了生成单词、音节或者音素而控制模拟声道运动的自适应神经网络模型,其依赖的输入信号是从人体大脑中采集到的脑电信号。针对汉语神经分析系统研究中非侵入式脑机接口采集到的脑电数据存在的分辨率低、干扰大的问题,文中提出一种基于DIVA模型对脑电信号进行约束处理的方法。首先利用独立分量分析方法剔除原始信号中的噪声,提取有效事件相关电位(Event-Related Potentials,ERP)成分;然后以模拟生成的功能性磁共振成像(functional Magnetic Resonance Imaging,fMRI)数据的激活点的空间信息作为限制条件,对提取出的ERP成分进行精确定位。通过对实验数据进行分析处理并模拟受试者的激活脑区,验证了所提方法的正确性和有效性。 iSCSI协议一致性测试研究与实现 不同iSCSI协议实现之间能否互联互通取决于协议实现是否与iSCSI协议规范相一致。针对这个问题,研究了iSCSI协议一致性测试的测试原理和测试流程,设计了适合于iSCSI协议一致性测试的测试系统以及基于XML描述的iSCSI协议一致性测试套,给出了较为完整的iSCSI协议一致性测试例。使用该测试例对某产品的iSCSI协议实现进行一致性测试,发现了被测实现存在与协议规范不一致的地方。 低成本RFID搜索协议的设计与安全性证明 RFID(无线射频识别)搜索协议作为RFID领域的一种新兴协议存在着标签成本高以及易遭受攻击等问题。针对于此,着重从降低标签成本和提高安全性角度,通过在协议的整个过程中完全采用伪随机函数、引用秘密信息更新机制和增加搜索标志位机制的方法,设计了一个所需标签成本较低的RFID搜索协议,并在通用可组合(UC)模型下对其安全性进行了形式化证明,指出该协议是UC安全的,实现了机密性、匿名性、不可追踪性、防窃听、防重放、并发安全等安全特性。 基于DCT快速变换的图像压缩编码算法 在JPEG标准中,基于图像压缩的有损压缩算法中的离散余弦变换(DCT),应用于很多图像压缩场合,并且在实际操作中,能获得较高的压缩比,同时压缩后的图像与原始图像的视觉效果基本相同,因此得到了广泛应用。为了达到提高图像质量的目的,文中提出了一个基于二维离散余弦变换(DCT)的图像压缩改进算法,该算法通过设置量化系数来控制图像压缩数组的大小。同时,在图像压缩部分利用DCT快速算法。仿真实验结果表明:该算法进一步提高了图像的峰值信噪比(PSNR)和主观视觉质量。 基于未确知集和模糊TOPSIS决策的故障模式风险评估 为了解决列车控制系统无线闭塞中心设备故障模式与影响分析的风险评估过程中专家评判的认知模糊性和未知不确定性问题,提出基于未确知集和模糊TOPSIS决策的故障模式风险评估方法。该方法采用三角模糊数对发生度、严重度、可检测度、维修度四项风险评价指标分别进行模糊化处理,运用未确知数理论来处理各评价指标权重的不确定性以表现其相对重要度;通过使用模糊TOPSIS多准则决策理论对故障模式进行排序,最终得到风险评估结果。最后,应用实例对提出的评估方法有效性和可行性进行了验证。结果表明,该方法能有效减少专家主观性对指标权重量化的影响,适用于一些依赖于专家评估经验的故障模式风险评估领域。 融合子带分析的加权广义互相关双声源定位 为提高混响环境下双声源定位系统的性能,提出基于子带分析的加权广义互相关双声源定位算法。利用语音信号在频域的稀疏性,将语音信号频域分为8个不同的子带,将基于平均幅度差函数加权的广义互相关算法投影到滤波器子带空间中,通过加权平均的方式融合各子带的时间延迟信息,结合四元阵得到声源三维位置估计。仿真结果表明,在两个声源同时发声并存在混响的情况下,相对于传统的全频带广义互相关算法,基于子带分析的算法在定位准确率上有显著提高,与基于广义互相关的子带分析算法相比,所提算法在混响情况下有较好的定位精度。 气象因素对建筑室内温度影响的建模与分析 由于建筑室内温度的变化过程是非常复杂的非线性动态过程,具有缓慢、滞后、易受外界环境影响等特点,因而对建筑室内温度进行经验建模则显得过于简单,进行机理建模又显得非常困难。针对上述问题,提出了输入输出数据的实验建模法,以室外气象参数所作为输入,以建筑室内温度作为输出,通过支持向量机(SVM)的建模方法对建筑的室内温度进行了建模,并与传统的反向传播神经网络(BPNN)建立的模型进行了比较。结果表明SVM模型比BPNN模型建模的效果较好,更加接近实际的模型,也说明了这两种智能建模方法可以在建筑的室内温度的建模中进行应用。经过分析可以得出,上述应用在建筑室内温度建模中的采用数据驱动的建模方法比传统的经验建模与机理建模更简单,也更具参考价值。改进智能建模的方法可以在其它领域推广。 基于小波变换的多方向加权聚类颅内肿瘤图像分割方法 分割MR颅内肿瘤区域后,可对病变部分进行针对性的临床治疗和处理。结合临床实际,提出一种基于小波变换的多方向加权聚类颅内肿瘤图像分割方法。通过小波变换和多方向加权聚类算法的结合,利用非线性的补偿图像轮廓来增强小波分解后的高频信号,并对低频信号做多方向的种子点选取加权聚类算法改进。实验结果表明,该算法在大大减少时间复杂度的基础上,也能有效地提高准确性。 对合训学员计算机课程教学与信息素养培养的思考 针对合训学员在任职教育院校指挥信息系统相关课程学习中表现出的问题,提出关于提升合训学员计算机课程教学与信息素养培养效果的几点思考,为增强合训人才培养效果提供参考。 偏最小二乘判别分析结合F-score用于蛋白质组学质谱数据的特征筛选(英文) 提出了一种基于偏最小二乘判别分析和F-score的特征筛选方法,并将其用于蛋白质组学质谱数据分析。该方法主要包含3个步骤:(1)用LIMPIC算法对原始数据进行预处理;(2)计算每个变量的F-score值并将所有变量按F-score值降底的顺序排列;(3)采用偏最小二乘判别分析交互检验按前向选择法选择最佳变量子集。用本方法对一组结肠癌数据进行分析,最终从原始的16331个质荷比变量中选择了8个特征质荷比作为潜在的生物标记物。用所选择的特征对独立测试集的样本进行判别,其灵敏度和特异性分别达到了95.24%和100%。结果表明,所提出的方法可用于蛋白质组学质谱数据的特征筛选及样本分类。 基于免疫粒子群的P2P协议识别方法 为了解决基于统计特征的P2P协议识别中,因特征选择不当而引起的识别准确率低的问题,采用免疫粒子群算法(Immune-PSO)选取最优特征子集,选择出最能区分P2P协议的特征子集。实验结果表明,该算法较标准粒子群算法具有更高的全局搜索能力,能更准确地找出最优特征子集,该方法能有效地提高协议的识别率,对常见的P2P协议如BitTorrent、eMule等有高达90%的识别率。 基于SUSAN的种子点生长边缘检测算法 角点是一种特殊的边缘点,是数字图像中重要的几何特征。利用边缘点连续的特性,提出了一种基于SUSAN算法的种子点生长边缘检测算法。利用Susan算法获得图像的角点,再将获得的角点作为种子点,根据边缘点的判断准则对其进行生长,最终实现边缘检测。实验证明,设计的算法具有较高的效率和很好的抗噪声能力,是一种有效的边缘检测方法。 Struts框架的分析及其改进 为了将Web应用中的业务逻辑和表现形式分离,尤其是降低在JSP文件中的Java代码,人们想了很多方法,其中应用Struts框架是较流行的方法。分析了Struts框架的缺陷,提出了Struts框架的改进方案,它大量采用XML、Xpath、XSL等技术和Struts框架,而不再使用JSP,同时将Struts中的FormBean、Session等需要显示的内容映射成XML内容,在XSL(表现层)中通过XSLT、Xpath技术访问,从而保证了XSL(表现层)中不再需要任何Java代码,真正实现了MVC的承诺。改进的Struts框架在智能大厦办公自动化系统中的成功实施说明了此方案是可行的。 现代汉语“V+N”序列关系的平行处理 目前,在英汉平行语料中,对汉语文本的深加工多局限于只利用单语分析的成果,没有充分利用双语资源。以现代汉语v+n序列的结构关系为研究对象,设计出在英汉平行语料中识别v+n结构关系的平行处理算法:首先利用各种单语资源,提取出构成不同结构关系的动词和名词相互间的制约规则,再分别依据v+n中汉语名词、动词的语义在英语译文中的具体形式及上下文模板来判断v+n的结构关系类型。实验证明,在自动分词和词性标注的PCCE1000文本中,v+n单语处理的F值为72.14%,而进一步利用汉英词典和英语译文信息,F值到达了88.81%,提高了16.67个百分点。 基于PKI/PMI的Web系统安全机制设计 针对Web资源的安全控制问题,设计了一个基于PKI/PMI技术的Web系统安全解决方案。方案从身份认证、安全传输和访问控制三个方面对系统的安全机制进行了设计。应用表明该方案很好地保证了系统信息的机密性、完整性及不可否认性。 基于多处理器的外部遥测模拟系统设计 为实现地面模拟在轨飞行环境试验中星载仪器遥测信号的实时采集与显示,设计了一种以单片机为数据处理器、FPGA为采集控制器的多处理器外部遥测模拟系统,实时采集和处理多路遥测信号,并通过串口传输至上位机,由上位机通过VC界面显示遥测信号曲线,达到实时监测仪器工作状态的目的;实验表明,遥测信号中电压信号与正常工作值的偏差在0.3V以内,温度信号波动范围小于0.1℃,系统运行稳定可靠,满足仪器外部遥测系统的任务要求;整个系统各模块功能明确,易于扩展成复杂系统,高效地实现更多的功能。 混沌萤火虫优化算法的研究及应用 针对基本萤火虫群优化算法的早熟收敛,易陷入局部最优值,求解精度不高等问题,提出了一种基于切比雪夫映射的混沌萤火虫优化算法。利用混沌系统的随机性和遍历性初始化萤火虫群,获得了质量较高且分布较均匀的初始解;同时对部分适应值低的个体进行了混沌优化,以提高种群的多样性。对4个标准测试函数进行了仿真实验,结果表明该算法的求解精度、全局搜索能力优于基本萤火虫优化算法。将改进算法应用于车辆路径问题的求解中,结果表明了改进算法的有效性。 数控加工刀具变形误差补偿技术研究 在数控铣削加工的研究中,刀具变形引起的加工误差对工件的加工精度影响较大。研究了一种考虑切屑厚度影响的切削力模型,建立了由切削力引起的刀具变形加工误差的分析模型。为了提高加工精度,提出了一种线性迭代误差补偿算法,方法主要对由切削力引起的刀具变形产生的切削误差进行循环迭代补偿。通过应用数控加工中心,方案进行了验证,对补偿后的加工误差测量值和补偿后的误差预测值进行比较,结果表明,采用的方法对刀具变形引起的误差能进行有效的补偿。 MQX实时操作系统设备驱动设计研究 设备驱动是操作系统重要的组成部分。阐述MQX(Message Queue eXecutive)实时操作系统的设备驱动管理机制,基于对MQX统一设备模型的分析,总结出MQX设备驱动设计的基本原理和一般方法。结合软件构件技术,提出一种分层驱动的实现模型,通过复用底层驱动构件加速了MQX设备驱动的开发。 一种新的多尺度边缘跟踪算法及其应用 针对多尺度边缘检测中如何有效地将不同尺度上的边缘信息相融合问题,提出了一种新的多尺度边缘跟踪算法。该方法首先获得输入图像的多尺度边缘图像和多尺度梯度方向图,然后根据相邻尺度间对应边缘点的相似特征,进行由大尺度到小尺度的逐层边缘跟踪,将不同尺度上的边缘信息融合,最后通过对获得的跟踪边缘图像的细化处理得到最终边缘图像。实验结果表明,该融合算法具有边缘检测完整、定位准确、伪边缘点少等优点,并且具有较高的运行效率。 基于前后端日志记录的个性化推荐系统 为了有效地吸引和留住用户,提高网站服务的质量,在原有个性化实现技术基础上,提出了一种前后端日志相结合的方式存取用户浏览信息,对用户浏览站点的行为进行跟踪,为Web日志挖掘提供更精确有效的信息。结合前后端日志记录相结合的策略,提出了一个可伸缩的,独立于具体Web站点的页面推荐系统架构。实验分析结果表明,该方式能更准确全面的收集用户数据,同时个性化模块以一种非侵入的方式与系统集成,提高了系统的灵活性,方便系统重用。 无双线性对的无证书聚合签密方案 无证书聚合签密是把多个用户对不同消息产生的不同签密聚合成一个签密,不仅保证信息传输的机密性和认证性,而且降低了信息传输的功耗,因此应用于大规模分布式通信中的多对一模式。聚合签密方案大多需要进行双线性对运算,效率不高。为此,提出了一种高效的无线性对的无证书聚合签密方案。该方案在随机预言模型下应用离散对数,对原有的无双线性对聚合签名算法进行了改进,形成了更为安全、高效的聚合签密方案。基于所提出的聚合签密方案安全模型,分析研究了随机预言模型下提出方案的不可伪造性和机密性,并对其有效性和可行性进行了验证。理论分析表明,所提出的方案在多个签密者存在的条件下,不仅具有机密性、不可伪造性,还具有更高的计算效率。 时空数据库变体最近邻查询问题探讨 最近邻查询在地理信息系统、智能交通系统、多媒体应用以及数据挖掘等领域有着广泛的应用,随着对最近邻查询问题研究的深入,其应用前景和发展空间将更为广阔。针对近几年时空数据库中提出的最近邻查询的多种变体查询问题进行了详细地介绍和分析,总结了解决这些变体最近邻查询问题的有效方法,最后对最近邻查询问题的发展方向进行了展望。 一种网络主题用户数量计算模型 提出一种基于数据挖掘的网络主题用户数量计算模型。从网络服务器访问日志中挖掘网络用户使用记录,应用窗口函数识别多个IP相同的用户,通过分析用户行为的时间特征实现对虚假点击的过滤,构建能够表达用户主观兴趣取向的用户向量,从而自动计算各个网络主题在给定时间片内的用户数量。实验结果证明,该模型具有较高的计算准确性,能为管理人员决策提供技术支持。 基于概念图和权重优化的智能学习模型 提出一种基于概念图和权重优化的智能学习方法。通过将教学内容中的知识点抽象为概念图,在学生学习后,利用测试栈依据权重优化的方法进行有针对性的测试题目抽取,根据测试的结果向学生个性化地投放教学内容,以此实现智能化、个性化的学习。通过模型分析可知,与传统智能教学系统相比,基于概念图和权重优化的智能学习可提高学生的学习效率。 三维模型孔洞修补方法的研究 针对三维模型中带有各种原因造成的孔洞,为后续的模型分析操作带来困难,提出了一种基于曲率特征的三维模型孔洞修补方法。其基本思想是利用波前法对孔洞进行快速填充获得初始的修补网格,再运用网格优化的技术依据孔洞边界点的曲率特征对初始网格进行调整。首先根据邻接三角形中边界边的性质识别出孔洞的边界,然后使用波前法和三角形顶点的夹角关系完成孔洞的初始填充,接着结合曲率标准对孔洞网格进行细化,最后对修补孔洞的网格顶点进行几何形态的调整,使其与周围网格自然过渡。实验表明该算法简单、稳定,可以完成不同类型的孔洞修补。 一种新的决策树选择性集成学习方法 个体学习器的差异度是集成学习中的关键因素。流行的集成学习算法如Bagging通过重取样技术产生个体学习器的差异度。选择性集成从集成学习算法产生的个体学习器中选择一部分来集成,结果表明比原集成更好。但如何选择学习器是个难题。使用Q统计量度量两个学习器的差异度,提出一种新的决策树选择性集成学习方法。与C4.5,Bagging方法相比,表现出很好的效果。 面向视频超分辨率重建的混合粒子群优化算法 针对多帧视频序列图像超分辨率重建问题中图像序列的像素相关性,建立了一种有效的视频图像超分辨率重建数学模型,将视频超分辨率重建问题转化为从低分辨率图像像素序列到高分辨率图像像素序列的寻优问题。针对基本粒子群(PSO)算法的缺陷,将遗传原理及蚁群机制引入PSO,提出了一种改进的MPSO算法。结合视频序列超分辨率重建问题特性,图像/视频可以表示为粒子像素序列,并且相邻视频帧之间序列具有规则性、相关性以及约束性等特性。重新定义了算法的粒子的输入、粒子交叉、粒子变异以及粒子的位置和速度运动方程,并设定了粒子优化目标以及运动适应度准则。最后通过典型的室内监控人物运动的视频图像超分辨率重建实例,验证了提出的模型和算法的可行性。 自适应三维形变模型结合流形分析的人脸识别方法 为了在人脸姿态和表情归一化后减少人脸外观的信息损失,提出自适应三维形变模型(3DMM)结合流形分析的人脸识别方法。首先,描述人脸姿态变换引起的2D和3D坐标的不对应性,提出自适应3DMM拟合方法;然后,通过三维变换来保留尽可能多的身份信息,将整个图像网格化映射成3D对象,姿态和表情的归一化保证了变换的稳定;最后,利用多流形判别分析计算流形与流形之间的距离,并利用最近邻分类器完成识别。在Multi-PIE,LFW以及自己采集的数据库上的实验验证了所提方法的有效性,在3个数据库上的识别率分别高达99.8%,95.25%,98.62%。所提方法显著改善了人脸识别性能,在约束和无约束环境下均优于其他几种较新的识别方法。 智能电网信息安全关键技术在中新天津生态城的应用 在分析中新天津生态城智能电网系统安全风险和需求的基础上,研究安全接入、身份认证、访问控制、数据加密等智能电网信息安全关键支撑技术,结合信息系统等级保护要求,提出一种安全防护模型,从终端、通信传输和主站系统几个层面描述信息安全防护建议,以提升系统整体信息安全防御能力,对保障系统信息安全风险可控、能控、在控具有一定的指导意义和作用。 嵌入式数据库的现状和发展趋势 从嵌入式系统结构入手,阐述了嵌入式数据库的发展现状,并具体介绍了当前主流嵌入式数据库的共性、基本特点、关键技术、构件、分类,并提出了发展趋势和进一步研究的技术突破点。 机载音频管理组件自动测试系统的设计与实现 音频管理组件是民航飞机话音通信系统的传输中枢,交联复杂,测试项目繁多,且主要机型的相关组件标准各异,造成目前该类组件的测试效率低下,人为差错率高,测试成本高昂;通过对各机型相关组件自动测试需求的分析,建立测试模型,整合测试资源,完成了基于PXI总线的多机型音频管理组件综合测试系统的设计;测试系统采用模块化结构,结合自主设计的多种测试仿真组件,通过统一的测试执行管理平台控制测试资源完成自动测试;实践证明,该系统工作稳定,完全满足多种机载音频管理组件的测试需求,并显著提高了的测试的效率和准确率。 无线Mesh认知网络仿真研究 介绍一种基于C++的认知无线电仿真平台,针对平台中广度优先路由算法相对单一的问题,根据跨层设计思想,提出一种动态频谱分配的路由算法,实现吞吐量最大化、动态频谱分配和路由传输功耗控制。仿真结果表明,该算法能有效提高认知用户接入率、节点传输功率和端到端吞吐量等方面的性能。 基于粒子群优化算法的一种智能水印技术 提出的核心方法是在小波域利用粒子群优化算法的一种智能盲水印技术。利用粒子群的最优化算法自适应地计算出量化增量,将二进制数字水印序列0和1逐位嵌入到每一个系数模块中,解决嵌入水印图像质量和水印鲁棒性之间的矛盾。即:将原始图像按照规定阶进行离散小波变换DWT分解出高频子带,随机地将高频子带系数构成固定长度的系数模块,确定每一个模块的有效系数差,根据嵌入规则嵌入水印,按照相逆的方法提取水印。实验仿真说明了该方法的有效性。 随机加密图像中高效特定图像定位方法仿真 在随机加密图像中高效特定图像定位过程中,由于图像在加密后,源图像的像素变换会较为置乱,使得图像定位特征衰退明显,无法形成可以查询的规则。当前的图像定位算法,是通过提取图像共有特征的方法进行特定图像定位,加密后的图像不能精确剖析特定的细致特征,特征无法形成关联。为此,提出改进关联挖掘算法的随机加密图像中高效图像快速定位方法。对随机加密图像中所含有多余及重复的特定图像特征信息进行二次校验过滤,进而缩小定位特定图像错误范围,将在随机加密图像中定位特定图像的问题转换为关联规则挖掘中寻找频繁项集的问题,通过在随机加密图像中查找与特定图像的特征相似的频繁项集,完成对特定图像精准定位。仿真结果证明,提出的改进关联挖掘算法的高效图像快速定位方法精准度高,耗时短,为高效图像快速定位提供了科学手段。 基于聚类方法的空间度量物化选择算法 在空间数据仓库中,由于物化视图中空间度量的聚集结果需要占用较大的存储空间,因此只能选择部分空间度量进行物化。而现有的物化视图选择算法大部分只是针对视图选择设计的,没有考虑视图中度量的选择。为此,针对空间度量的区域合并操作,提出基于聚类方法的空间度量物化选择算法。把可合并的空间对象组进行聚类,在每个聚类中计算合并组的收益,当选择收益最大的合并组物化后,只需重新计算该类中合并组的收益,即可较大幅度地减少收益计算的开销。通过实验验证了该算法的优越性。 高效能计算机系统虚拟化技术研究 高效能计算机对系统的性能、安全性、可靠性和易用性等方面提出了更高的要求。虚拟机技术由于具有安全性好、配置和管理灵活等特点,已广泛应用于服务整合和安全管理等领域。但是,由于虚拟机技术在性能、管理和体系结构适用性等方面原因,在高性能并行计算机系统上尚未真正实用。我们提出了一种面向高性能并行计算机的虚拟化技术:高性能虚拟计算域(HPVZ)。HPVZ技术在保证系统性能的前提下,提供了具有用户独立可定制运行环境、服务质量管理、安全隔离和动态迁移的虚拟化高性能计算环境。测试表明,HPVZ在保证用户可以获得高性能计算机的原始计算能力的基础上,方便了用户使用,并改变了高性能计算机的传统使用模式。 基于改进词包模型的车型识别算法 针对基于原始词包模型的车型识别算法识别速度慢、识别率低的问题,提出了一种基于改进词包模型的车型识别算法。首先使用Dense-SURF算法提取图像特征,并通过改进稠密采样策略进一步提高特征提取速度;然后提出特征上下文-矢量量化(FC-VQ)编码算法,并用其对特征向量进行编码,使编码后的特征包含空间位置信息,进而提高识别率;最后采用快速直方图相交核作为核函数,将提取到的特征送入SVM分类器进行训练或识别。实验结果表明:与其它车型识别算法相比,论文算法识别速度更快且识别率更高。 R-演算中若干问题的研究 "李未教授提出了R-演算系统,它是形式理论的修正演算系统,是OPEN过程模式和GUINA过程模式的基础.R-演算在这2种过程模式中的核心作用是,当一个形式理论与事实产生矛盾时,找出矛盾的必要前提,从而获得一个协调的子理论.通过3种不同的方法细致刻画R-演算的基本概念""必要前提"",第1种方法来自R-演算,第2种方法基于极大协调子集与极小非协调子集的,最后一种方法是对于R-必要前提的归纳定义.通过比较这3种方法,指出各自的优缺点,并从第3种方法推演出一个可靠并且相对完全的系统.在比较这3种方法的同时,还细致地探讨了R-终止式的上下界以及极大协调子集的不可枚举性.其中极大协调的不可枚举性在一定程度上表明了不存在一种同时满足可靠并且完全的系统." 基于特征线条的三维模型检索方法 为了避免在三维模型检索中对输入源的限制,提出一种以自然图像为输入源、基于特征线条的三维模型检索方法.首先基于最优视点提取算法训练并获取三维模型较优视点集;然后在较优视点集下渲染三维模型混合轮廓线视图,并为各视点混合轮廓线视图提取Gabor边缘响应特征,建立特征库;最后对输入的自然图像提取相同的边缘响应特征,采用视觉词袋方法从特征库中检索相似模型,并根据相似度排序.实验结果表明,该方法利用自然图像与模型特征线视图的边缘相似性实现三维模型检索,降低了退化视图与自然图像纹理对三维模型检索的干扰,符合人类视觉辨识三维物体的机理,具有良好的检索效果. 广义分子计算模型在0-1背包问题中的应用 生物分子计算在实现上有很多局限性。借鉴了广义图灵模型(Generalized Turing Model,GTM)[1]。该模型是由分子计算粘贴模型与图灵机相结合而得到的,并且已证明可以在多项式时间内准确获得0-1整数规划、集合覆盖等多个NP完全问题的全体可行解集。在此基础上将GTM应用于求解0-1背包问题,仿真展现了该模型的优点。 飞机气动力加载系统控制软件设计与实现 针对飞机气动力载荷加载试验的特点,在分析气动力加载系统工作原理的基础上,设计了上下位机结构的计算机控制系统,重点论述了基于LabWindows/CVI平台的上位机监督控制与数据采集软件的具体实现;在软件开发过程中利用多线程机制及高精度定时技术,解决了多通道分布式控制系统中的多任务及实时性等技术难题;该测控软件在某型飞机地面模拟试验中运行良好,很好地满足了多通道气动力加载控制的实时性和可靠性要求。 三叉树结构下的群认证密钥协商协议 群密钥协商是密钥协商协议的一个重要研究分支。提出了一种在三叉树结构下基于身份的群认证密钥协商协议,充分考虑了成员加入和离开时的子协议。还对方案的安全性和性能进行了分析。结果表明,新方案在计算量减少的前提下实现了协议多方的安全密钥协商。 一种使用边缘方向图的去马赛克算法 提出一种适用于Bayer格式图像的去马赛克算法,其核心在于为重建插值产生准确的边缘方向图.首先,由改进的边缘检测算子产生初始的边缘方向图,并使用十字形中值滤波器对初始的边缘方向图进行滤波,最大程度地去除初始方向图中孤立的错误插值方向.其次,基于滤波后的边缘方向图,运用统计分析以确定细致纹理及边界交界处的插值方向.最终,对在准确的边缘方向图的指导下完成重建插值的图像进行细化以消除插值人工痕迹.实验证明,本算法不仅颜色峰值信噪比(color peak signal-to-noise ratio,CPSNR)高于其他几种经典及近期算法,在边缘细致区域依然保持了很高的恢复质量,而且保持了较低的计算量,在性能和开销之间取得了很好的平衡. 抵御侧信道分析的AES双路径掩码方法 为抵御功耗、电磁辐射等侧信道分析攻击,提出一种高级加密标准(AES)双路径掩码方法。采用2条数据路径,将随机数和随机S盒用于掩码操作,使一个加、解密轮次内的所有中间运算结果与AES算法的标准中间结果都不相同,且各中间结果的汉明重量随明文随机变化。实验结果表明,AES算法中间结果的汉明重量与该方法产生的能量特征之间的相关性被完全消除,可抵御各种侧信道分析攻击。 不平衡数据的软子空间聚类算法 针对受均匀效应的影响,当前K-means型软子空间算法不能有效聚类不平衡数据的问题,提出一种基于划分的不平衡数据软子空间聚类新算法。首先,提出一种双加权方法,在赋予每个属性一个特征权重的同时,赋予每个簇反映其重要性的一个簇类权重;其次,提出一种混合型数据的新距离度量,以平衡不同类型属性及具有不同符号数目的类属型属性间的差异;第三,定义了基于双加权方法的不平衡数据子空间聚类目标优化函数,给出了优化簇类权重和特征权重的表达式。在实际应用数据集上进行了系列实验,结果表明,新算法使用的双权重方法能够为不平衡数据中的簇类学习更准确的软子空间;与现有的K-means型软子空间算法相比,所提算法提高了不平衡数据的聚类精度,在其中的生物信息学数据上可以取得近50%的提升幅度。 基于LabVIEW的时钟脉冲式位移测量系统 基于时空坐标转换理论,提出将物理刻度虚拟化为脉冲刻度进行位移测量的方法在LabVIEW环境下实现系统的搭建和数据的处理;指出用频率可调的脉冲刻度来代替栅线刻度从而简化了系统结构,避免了精密刻线带来的技术难题和满足了不同测量系统的精度要求;20组测量值与真值精度的对比曲线表明,时钟脉冲式位移测量系统能够进行位移的测量并可以通过调节脉冲的频率来满足测试精度要求,达到设计要求。 利用FIHS和BT的遥感影像融合改进算法 影像融合可以显著提高影像的空间分辨率,但融合时高频信息的注入势必会造成融合影像的光谱失真。在对FIHS(Fast Intensity-Hue-Saturation)算法和BT(Brovey Transformation)算法光谱失真原理研究的基础上,提出一种能有效减弱光谱失真和提高影像空间分辨率的FIHS-BT算法。该算法通过利用全色影像的低频信息和多光谱影像生成模拟全色影像,减弱全色影像低频信息对融合影像光谱信息的影响,削弱多光谱影像高频信息对融合影像高频信息的干扰;然后,采用FIHS和BT乘积的平方根生成融合影像,以减小饱和度在变换中被拉伸或压缩的程度。选取IKONOS影像为数据源,采用BT等九种融合算法与FIHS-BT算法进行融合比较实验,并对融合结果从光谱保真度和高频信息融入度两个方面进行定性和定量评价。实验结果表明,FIHS-BT算法在光谱保真度和高频信息融入度方面较FIHS算法和BT算法均有显著改善。 双正交小波滤波器组的提升构造与优化 从编码增益的角度,考察了双通道双正交小波图像编码系统的性能。首先,对双正交滤波器组的多相位矩阵进行提升分解,并结合消失矩和归一化条件,得到了含自由变量的提升参数。根据Vaidyanathan编码增益表达式求出编码增益的最优值。然后重点构造了三种9/7小波。尽管MIT小波的图像压缩质量略低于CDF9/7小波,但它不仅系数简单,易于硬件实现,而且编码增益更大。这在编码器设计时具有重要实用价值。 社交网络大数据下贪婪式实时网站推荐算法 社交网络每天都会产生结构化、半结构和非结构化的大数据,数据的增长速度超过了对硬件需求的摩尔定律。在社交网络中还存在各种恶意评价、刷分和刷网站关注度等不良现象,对大数据的分析处理带来了巨大挑战。为了提高数据的处理效率和网站推荐的准确性,提出了一种在Hadoop云平台下基于用户的贪婪式实时近似网站推荐的RT-G算法。算法通过迭代寻优算法找到最合适的用户数量作为网站推荐评价的用户标准,应用频度近似算法完成对网站的推荐,通过实验证明了方法的效率和有效性。 多逻辑通道DMA快速启动的设计与优化 DMA启动时间是评估DMA设计优劣的重要指标之一。在多核系统中,由于DMA需要响应的同步触发事件相对单核中DMA有大量的增加而影响到DMA的启动时间。在查询DMA同步事件时采用传统的令牌环方式不仅消耗的启动时间长而且还有很大的随机性。为了优化DMA的启动时间,在DMA查询同步事件时,文中采用轮转仲裁的方式实现了单周期查询到同步触发事件。此外,在设计结构上通过设置旁路的方式避免了逻辑通道写入事件队列的过程,最终使得DMA启动时间降低到5周期,相对采用传统DMA的启动速度有了较大提高。文中介绍了DMA启动设计实现方法,深入分析了影响DMA启动时间的主要因素,详细说明了令牌环和轮转仲裁的实现原理以及旁路的选择判断条件。 基于遗传算法和案例推理的软件费用估算方法 为了提高类比法的估算精度,减少人工检索案例的工作量和难度,提出了一种基于遗传算法和案例推理的软件费用估算方法。给出了案例推理过程的估算步骤,构造了案例的相似性度量函数;设计了用于案例推理问题的遗传算法,利用该算法在历史数据库中搜索与目标案例最相似的项目,并对软件项目的特征权重进行优化;借助Albrecht和Desharnais数据库,对提出的方法进行分析。实验结果表明,该方法可以在软件生命周期的早期显著提高软件费用的估算精度,与类比估算和线性回归方法相比,具有更小的平均误差率,其估算性能符合相关行业软件的估算需求。 多重光照色差下图像平滑美化处理算法 夜间多重光照下采集的图像存在色差,采用白平衡偏差补偿方法实现夜间多重光照下图像的平滑美化处理,以提高图像的成像质量。传统的图像平滑算法采用循环跟踪像素点特征提取算法,出现白平衡偏差时图像的色差补偿效果不好。提出一种基于白平衡偏差补偿的夜间多重光照下的色差图像平滑算法。首先进行图像特征采集和自适应均衡预处理,对夜间多重光照下的色差图像进行白平衡偏差补偿,然后采用图像盲去卷积算法进行图像平滑,通过目标特征模型在空间上的相似度函数来确定图像光照色差的特征权值,沿梯度方向求得图像边缘信息,再通过特征聚类对夜间多重光照色差图像自动划分目标空间,最大程度地对夜间多重光照下的色差图像的细节特征进行平滑美化。仿真结果表明,采用该算法进行白平衡偏差补偿的夜间多重光照色差下的图像平滑美化处理方法具有较好的图像平滑性能,计算开销较小,图像的细节特征平滑效果最佳,其优于传统算法。 基于帕累托改进的多机器人动态任务分配算法 针对多机器人系统动态任务分配中存在的优化问题,在使用合同网初始任务分配的基础上提出了一种使用帕累托改进的任务二次分配算法。多机器人系统并行执行救火任务时,首先通过初始化任务分配将多机器人划分为若干子群;然后,每个子群承包某一救火任务,子群在执行任务的同时与就近子群进行帕累托改进确定需要迁移的机器人,实现两子群之间帕累托最优;最后,使用后序二叉树遍历对所有子群进行帕累托改进实现全局帕累托最优。理论分析和仿真结果表明,相较于强化学习算法和蚁群算法,所提算法的救火任务时间分别减少26.18%和37.04%;相较于传统合同网方法,所提算法在时间方面能够高效完成救火任务,在系统收益方面也具有明显优势。 基于信道分配与功率控制的无线局域网优化方法 在不修改现有802.11协议的情况下,采用基于功率控制及信道分配的比例均衡方法对大规模无线局域网进行优化,尽量最大化无线局域网的有效带宽,同时保证每个接入用户的公平性。在网络建模时,不对模型做仅考虑下行链路的假设,将信道分配问题引入,建立更加全面符合实际情况的网络模型。本文找到了该模型的理论上限及达到上限的条件。通过仿真验证,证明了本文描述的方法比以往研究工作中的无线局域网优化方法更加有效。 一种基于小波变换和ARIMA的短期电价混合预测模型 为在实时电价情况下预测未来24小时电价,提出一种基于小波变换和差分自回归移动平均(ARIMA)的短期电价混合预测模型。该模型分别根据是否受到需求量影响使用ARIMA模型对多尺度小波变换分解后的时间序列进行预测。同时提出一种电价突变点发现和处理算法。使用澳大利亚新南威尔士州2012年真实数据验证表明,相对ARIMA预测,改进后的混合模型在不考虑需求量影响时预测精度更高;电价突变点发现和处理算法能够准确处理电价异常点,提高预测精度。 变化字典学习与显著特征提取的单样本人脸识别 针对单样本问题,基于不同的人脸区域在识别过程中的重要性不同这一事实,提出将能显著区分其它类的人脸区域作为提取的显著特征,并视为有较大区分度的块,剩下的区域视为普通块;再根据不同组中每块的稀疏表示重构残差给予不同的权重,以抑制普通块的影响同时保持有区分度的块的作用.为了减小人脸之间未对齐的影响,将每块训练图像对应的8邻域增加到训练集中,以实现样本的扩充;同时提出新的类内变化字典学习方法,学习得到共享的类内变化字典,以减小测试人脸未知变化的影响.文章的方法可以有效减小人脸局部信息缺失造成的影响,使得在AR、Extended Yale B、CMU-PIE人脸库上的表现超过其它单样本识别相关的方法,取得了最好的识别效果. 边界扫描测试技术在存储器测试中的应用 边界扫描测试技术是一种基于集成电路可测性设计的测试技术,通过对集成电路内部测试寄存器输出响应的分析完成电路系统的测试及故障诊断。它提供了对器件的功能、互连及相互间影响进行测试的接口,极大地方便了对于复杂电路的测试。文章介绍了边界扫描的基本结构、边界扫描测试操作流程、测试接口和IEEE 1149.1标准规定的数据寄存器和指令寄存器,结合Xilinx公司可编程器件用配置存储器XCF系列芯片的进行基于边界扫描测试技术的测试方案设计。 基于均值运算和系数分类的半脆弱水印算法 提出一种基于均值运算和系数分类的半脆弱水印算法。在空域对原始图像进行分块,根据每个子块均值运算所得矩阵系数小数部分的规律性将系数分为2类。将图像各像素的高4bit向右移位,通过每一子块2类系数的个数比较产生零水印。利用篡改前后每类系数个数的不一致性检测算法的篡改定位能力。实验结果表明,该算法的不可见性和鲁棒性较好,能抵抗常规图像处理操作,且具有定位篡改的能力,漏警概率低,能抗拼贴攻击。 面向CPS系统仿真的建模方法研究与设计 CPS仿真建模在构建CPS系统时具有重要意义,不仅能够在系统开发过程中对系统进行验证和测试,而且是模型驱动开发中的重要环节。CPS系统通过精化和提炼可分为物理实体和计算实体,采用具有动态连续性、基于时间的运动状态行为模型构建物理实体;计算实体模型则采用基于有限状态机的离散系统行为模型进行构建。通过面向时间的状态精化对两种行为模型进行扩展,将两种扩展模型进行融合,完成CPS系统的建模仿真。分析了构建计算实体模型的统一建模语言(UML)以及构建物理实体模型的simulink/RTW建模工具的可行性,提出了基于UML框架的两种异质模型融合方法。 一种基于贝叶斯网络的软件项目风险管理方法 提出一种新的软件项目风险管理方法,采用贝叶斯网络同时对风险发生概率和风险影响进行推理。该风险管理方法能够随着软件项目的进行持续地评估潜在风险,并采取适当的措施应对风险。实践证明,在软件开发过程中引入该风险管理方法能够有效地对风险进行管理,提高软件开发的成功率。 基于MapReduce的基因读段定位改进算法 由于高通量测序技术产生了海量基因读段数据,并行的基因读段定位算法成为近年来的研究热点。对基因匹配算法进行研究,提出了一种基于MapReduce的基因读段定位改进算法,并且通过在读段定位过程中融入生物信息以及利用Hadoop分布式缓存机制,在一定程度上降低了算法的复杂度。在拟南芥菜基因数据集上进行的实验表明,该算法能够有效提高算法执行效率,减少算法执行时间。 基于插桩的硬件虚拟化测试驱动设计 为了解决软件测试工作常受制于硬件部署的问题,设计了一种基于插桩的硬件虚拟化测试驱动;通过对被测软件中与硬件相关的类的继承,减少了测试桩开发的复杂度,模拟硬件设备的正常运行剖面和多种预设的故障模式,采用软件FTA及故障模式的先验知识指导测试用例生成;针对特定软件的显示信号通道个数、信号类型、帧结构等参数,实现了测试时的硬件隔离,提高了测试的准确性、有效性和自动化程度。 基于空间剖分的碰撞检测算法研究 针对虚拟环境中物体碰撞检测效率不高的问题,提出了一种基于空间剖分的碰撞检测算法。利用物体空间分布特性以及运动物体碰撞行为的局部性,先用空域分割中定性-定量结合的方法快速确定可能碰撞的物体对,再用混合层次包围盒进行精确测试,明显地提高了碰撞检测速度。实验分析表明,该算法不仅可实现复杂场景下多个物体同时发生碰撞的检测,也能保证算法在物体高速运动时的有效性。 基于预测模型算法控制的动态配料系统仿真分析 模拟人的思维特点,分析混凝土搅拌站动态配料系统的控制过程,在系统动态称重配料过程中引入迭代自学习控制策略和预测模型算法控制(MAC),并对该系统的非线性误差的控制过程进行了分析;MATLAB仿真表明,系统的配料精度和速度都达到要求,在模型匹配时性能良好,在模型失配时依然能满意运行,表现出响应快、鲁棒性强及超调量小的特点。 视觉注意力机制在货运列车车号定位中的应用 为了定位货运列车车厢上的车号信息,提出并实现一种基于视觉注意力机制的定位新方法.该方法利用眼动跟踪技术获取真实眼动数据,结合提炼的车厢图像显著特征,建立车号注意力机制模型,并利用该模型预测车号显著区域,最后采用图像处理技术完成车号区域的分析定位.实验表明,相比传统基于灰度的处理方法,该算法更能适应不同光照条件下各类车厢的车号定位,具有更好的鲁棒性和普适性. Zernike矩和曲率的圆形中心亚像素定位 提出了一种基于Zernike矩和曲率不变的圆形标记椭圆图像中心的亚像素精确定位方法。首先采用多结构元多尺度形态学边缘检测算子提取椭圆图像的像素级边缘并滤除噪声,其次构造出椭圆图像的Zernike矩求解模型并结合曲率不变性计算出椭圆的亚像素边缘,最后利用最小二乘拟合对椭圆中心进行精确定位。实验结果表明:该方法具有计算速度快、定位精度高的优点,可用于高精度视觉测量。 基于高斯尺度空间的核相关滤波目标跟踪算法 核相关滤波(KCF)跟踪算法因其计算效率及速度的优势在目标跟踪领域受到了极大关注,但是该算法仍无法实现尺度自适应,针对此问题提出了一种基于高斯尺度空间的解决方法。根据KCF跟踪算法估计目标位置,将目标及其周围的区域作为搜索区域,并与高斯核卷积建立高斯尺度空间。对高斯尺度空间进行双线性插值,得到目标的多尺度估计图像。用平均绝对误差(MAD)作为匹配准则,将模板与图像匹配,从而得到目标的缩放比率。实验结果表明,与CSK算法、KCF算法等相比,所提出的基于高斯尺度空间的KCF在跟踪精确度上有了显著提升。 基于遗传算法的多路径路由研究 针对Ad Hoc网络节点能量受限、拓扑结构易变、对路由算法收敛速度要求较高等问题,提出一种基于确定性遗传算法的简单相关多路径路由算法。采用按确定性比例选择和交叉的遗传算法,以提高收敛速度,通过修改中间节点的转发条件充分利用控制信息。仿真实验表明,该算法在寻优特性上优于简单相关的多路径算法。 可重构片上系统设计流程中的动态重构问题研究 近年来,可重构片上系统已成为科学研究及嵌入式应用领域中应对复杂计算需求的有效技术解决方案.针对目前缺少一个从系统级设计到应用实现,统一、综合规划动态重构问题的系统设计流程,以及动态重构过程对系统设计人员不透明等问题,在系统设计层给出了一种过程级软硬件统一编程模型.在此框架内,设计人员通过调用已根据应用特性进行优化的软硬件协同函数,即可利用高级语言完成系统功能描述;在细节设计层提出了基于单位面积加速比的软硬件任务调度算法,实时管理动态可重构资源;在应用实现层,以可重构专用图形加速卡为原型系统,论述动态可重构系统实现中的关键技术.实验及测试结果验证了通过将动态重构问题置于整个系统设计流程中予以考虑,能够达到提升系统开发效率之目的. 标签时态特征分析及其在标签预测中的应用 标签作为用户生成的对资源的描述,反映了资源的语义和用户的兴趣。由于Web资源的动态性,标签数据相应地表现出较为明显的时态特征,已有相关研究中标签的时态特征却很少受到关注。针对这方面的不足,对标签数据的时态特征以及基于时态特征的标签间语义关联进行分析,并提出发现标签时态特征的时间段划分准则;为了评价标签时态特征的价值,以经典的统计主题模型为基础,提出新的模型用于分析数据时态特征对所生成主题的影响,并将其用于标签预测。在多个数据集上的测试验证了标签数据的时态特性及其对提高标签预测性能的影响。 基于RST-QFD-Bayes的新型装甲装备通用质量特性评价指标体系构建研究 针对新型装甲装备通用质量特性评价指标体系难以确定的问题,在明确评价指标体系构建原则的基础上,结合新型装甲装备特点,确定了通用质量特性初始评价指标及指标筛选要求,运用QFD将新型装甲装备通用质量特性需求映射为评价指标筛选要求,采用粗糙集理论(rough set theory,RST)确定了指标筛选重要度,考虑到关联度的不确定性及多个专家估计值的平均值和估计值的波动程度,将贝叶斯理论引入关系矩阵的确定中,用区间数确定了关联度,进行了评价指标筛选,构建了新型装甲装备通用质量特性评价指标体系,为新型装甲装备通用质量特性评价奠定了基础。 软件测试中可靠性模型的设计与研究 软件测试是保证软件质量和提高软件可靠性的关键所在,而软件可靠性是衡量软件质量的重要指标之一,二者有着非常紧密的联系。软件可靠性模型既是软件可靠性进行定量分析的基础和保障,也是软件可靠性进行预测的核心和关键,对保证软件质量起到了非常重要的作用。文中结合软件测试与软件可靠性及其模型的相关理论,通过对Seeding模型的分析与改进,提出了一种适用于软件测试的可靠性模型。实验结果表明,使用文中提出的软件可靠性模型能较好地满足软件对可靠性评估的要求,较好地应用在软件测试中。 面向移动感知服务的数据隐私保护技术研究 为解决物联网移动感知服务的数据隐私安全问题,在分析移动感知服务框架及其隐私安全需求的基础上,设计了一个面向移动感知服务的数据隐私保护模型,并采用ε-差分隐私保护算法进行模型的实现与分析。安全性与性能分析表明,该保护模型可满足感知数据、查询请求、控制信息和查询结果等物联网移动感知服务数据的隐私安全保护需求。 一种改进粒子群分类算法的图像检索 传统基于内容的图像检索算法计算量大、精度低.为克服这些缺陷,在传统算法基础上,提出一种将图像匹配方法转化为根据模板图像数据,对目标进行锁定的方法:首先,将模板图像和源图像分区并取灰度直方图信息;然后,将寻找模板图像最相似的的问题转化成通过粒子群优化进行分类问题;最后,通过对相似度大的图像进行精确匹配得出最相似的图像.实验结果表明:基于粒子群和新分类算法的图像检索算法,能够在源图像数据库中快速匹配出相似的图像组,平均检索精度和查全率分别达到88.0%和93.3%,平均检索用时仅有3.48s. 基于HTCP-net的造船流程仿真与优化 船舶建造流程中,各环节的产能既受内部资源的限制,又受流程间共享资源的限制。本文根据赋时层次有色Petri网HTCP-net的相关理论,利用ML语言对造船流程进行建模,并采用CPNTools工具对模型进行仿真分析。仿真结果预测了S船厂的产能水平,表明了各主要资源的利用率。通过对各资源利用率的分析,识别了流程中的瓶颈资源,并提出了相应的解除瓶颈的方案,最后通过再次仿真证实了方案的可行性。文中的研究方法对复杂的造船流程的建模、仿真和分析具有一定参考价值。 基于改进样本熵的金融时间序列复杂性研究 金融时间序列的复杂度分析对研究金融市场的内在规律性具有重要意义。但是,复杂度衡量方法样本熵在以往的实验中,被证实熵值的大小并不总是和序列的复杂度相关。样本熵在计算时间序列复杂度时,没有考虑到序列中相似向量的分布以及构成序列向量的复杂性对时间序列复杂度的影响。针对这个问题,在样本熵的基础上提出了二维熵。该方法的创新性主要体现在:二维熵在计算序列中向量的自相似性概率时,向量之间的相似性不仅取决于向量之间的模式距离,还和两个向量之间的时间距离有关;二维熵熵值的大小不仅和两种模式下向量的自相似概率的条件概率值有关,还和模式自相似概率的值相关。通过模拟时间序列证实了二维熵的有效性及优越性,最后将二维熵以及互二维熵应用在四只金融股指序列中,衡量它们之间的复杂度关系。发现中国市场的两只股指的复杂度在不同时间段的趋势是一致的,并且其异步性相对其他股指也是最小的。美股和港股的复杂度在不同时间段趋势大致也是一样的,且两者的异步性相对中国市场的两个股指也是相对较小的。 NAND FLASH在嵌入式袜机提花系统中的研究及应用 深入探讨提花系统,指出提花系统是嵌入式袜机最复杂且速度要求最快的部分,在此基础上,根据袜机的特点以及NAND FLASH的特性,给出花型文件存储模块的硬件设计方案及软件实现方法。并且为了保证提花过程中花型数据的存储安全性及读取高效性,提出基于坏块表的FLASH坏块管理方案及花型数据分段读取算法。经过一年时间的实践表明本袜机提花系统运行稳定、可靠。 基于贝叶斯统计推理的故障定位实验研究 故障定位的目的是帮助程序员寻找引发失效的原因或故障位置,以加快调试过程.故障和失效间的关系往往非常复杂,难以直接描述故障到失效的转化.最新的研究多采用差异分析的方法,基于可疑模式,构建故障推理贝叶斯网络,其节点由可疑模式及组成可疑模式方法的调用者构成;定义了贝叶斯网络的构建算法、各个相关概率的定义及BBN中各个边的条件概率计算公式.提出基于该BBN的推理算法,推理得到包含故障的模块,并计算得到每个模块包含故障的概率.提出了评价方法,详细设计了参数调整与定位性能的关系实验和定位结果分析实验.实验数据表明,该故障定位方法取得了平均0.761的定准率和0.737的定全率,定位结果良好,具有较高的实用价值. RBAC模型中角色互斥研究及应用 应用系统的用户数量和系统角色越来越多,使得角色间的互斥也更加频繁。而角色互斥作为一种实施职责划分的有效手段,在基于RBAC模型的访问控制中具有非常重要的作用。目前关于角色互斥的研究还不够完善,为了更好地处理角色间的互斥关系,文中对RBAC下的角色互斥进行了研究,通过分析角色的本质列举了角色互斥的各种类型,并在此基础上设计了角色约束表,通过形式化的方法给出了角色约束表的组建和角色互斥撤消的方式。最后实现了一个带角色互斥检测的角色分配控制器,能够很好地根据角色互斥关系来进行角色分配,并成功应用到了一个简单系统中。 基于聚类和Spark框架的加权Slope One算法 针对传统Slope One算法在相似性计算时未考虑项目属性信息和时间因素对项目相似性计算的影响,以及推荐在当前大数据背景下面临的计算复杂度高、处理速度慢的问题,提出了一种基于聚类和Spark框架的加权Slope One算法。首先,将时间权重加入到传统的项目评分相似性计算中,并引入项目属性相似性生成项目综合相似度;然后,结合Canopy-K-means聚类算法生成最近邻居集;最后,利用Spark计算框架对数据进行分区迭代计算,实现该算法的并行化。实验结果表明,基于Spark框架的改进算法与传统Slope One算法、基于用户相似性的加权Slope One算法相比,评分预测准确性更高,较Hadoop平台下的运行效率平均可提高3.5~5倍,更适合应用于大规模数据集的推荐。 大型应急医疗物资调度系统的设计与实现 针对现有应急医疗保障系统不够完善,各医院部门医疗应急物资指挥调度能力不足的问题,提出构建基于灰色关联度的大型应急医疗物资调度系统。在系统设计中根据实际应用需求与扩展的需要,采用物资管理控制层、应急通信接入层、终端设备应用层三层结构设计,建立具备丰富接入模块和各种应急通信资源互通的医疗应急物资指挥调度系统平台。设计并建立医疗应急物资需求预测模型与调度模型,可以为系统准确提供医疗应急物资调度执行方案,并通过仿真实验验证了构建的医疗应急物资调度方案的合理性,从而提升了政府相关部门应对突发性事件的指挥调度能力。 基于动作评价算法的体感舞蹈交互系统 广场舞是群众参与度极高的体育锻炼、娱乐社交的方式,针对广场舞活动的特点以及实际实施中存在的问题,研究分析并改进动作评价算法,对Kinect实时捕捉的人体骨骼动作序列进行评价,设计并集成一套基于Kinect的面向基层公共文化服务的广场舞体感交互应用系统。该技术充分发挥数字化体感交互体验的作用,用户在参与过程中能够充分调动身体主观能动性,为基层群众文化活动提供了新的活动方式以及极大的便利性。 基于滑动窗口的定性趋势分析方法及应用 传统定性趋势分析方法中,存在划分片段宽度难以自适应、依赖人为设定阈值、算法复杂等问题,针对这些问题,提出了一种新的基于滑动窗口的定性趋势分析方法。方法采用一个滑动的窗口,并对窗口内的数据进行线性拟合,根据拟合的情况扩大或缩小窗口,确定每个片段的最佳大小,将每个片段识别为上升、下降或不变,最终获取数据的定性趋势。在化工过程趋势分析应用的结果表明,该方法能够更为有效地提取、识别出趋势,具有较高的准确性和较低的复杂度,为之后的数据压缩、故障诊断等打下坚实基础。 基于TrustZone的指纹识别安全技术研究与实现 随着指纹识别技术在智能终端设备中的大量应用,指纹技术本身的安全问题也日益突出。为增强智能终端指纹识别的安全性,借助于ARM TrustZone安全扩展机制,提出了一种基于TrustZone的指纹识别安全保障技术和方法,其为指纹识别程序提供了可信执行环境,以保证其执行过程的安全性并防止恶意程序的攻击。同时,对指纹数据和指纹特征模板进行加密,并将密钥存储在受TrustZone保护的安全区域中以防止被窃取。此外,还实现了指纹数据的安全传输通道,以进一步确保敏感数据传输过程的安全性。最后,设计并实现了一个原型系统来验证所提技术和方法的有效性,实验结果证明所提出的技术和方法是可行的。 多路高清YUV视频GPU实时拼接研究 为提高高清视频拼接的实时性能,提出一种基于GPU的多路高清YUV视频实时拼接方法,推导出YUV422图像拼接中的透视模型,并结合计算统一设备架构技术,实现透视变换、无缝融合等关键拼接步骤在GPU上的并行优化。在4路1080p高清视频上的实验结果表明,相比基于RGB颜色模型的拼接方法,该方法的实时拼接性能在不同GPU架构上有20%~40%的提升,并且在GRX780上能达到33 frame/s的视频帧率。 面向环境与需求不确定性的系统自适应决策 软件系统在运行时会与其他软硬件系统、设备和用户发生密切交互.软件运行环境呈现出不稳定的特点,同时软件需求也可能会发生变化.由于难以准确预测和描述软件运行时的环境及需求,两者的变化具有不确定性.为提供持续服务,软件需要通过自适应能力,根据环境和自身的变化来调节其行为.不确定性给软件的自适应过程带来很大挑战.现有相关工作主要关注环境变化给需求带来的影响,以及环境变化时如何调节软件行为来满足固定的需求.这些方法难以处理需求不确定时自适应过程中的变化性和复杂性.针对该问题,提出一种基于模糊控制的自适应决策方法,以应对运行时环境与需求的不确定性.首先通过模糊逻辑建模与规约环境和软件中的变化要素,并构建要素间的推理规则;其次基于前馈-反馈控制结构和模糊控制器设计自适应机制;最后通过模糊推理和遗传算法实现决策求解.不同环境和约束下的自适应结果表明:软件能够通过自适应机制和算法求得优化决策.为验证方法的可行性和有效性,通过一个移动端比特币采矿机案例进行了评估. 基于GSM的高速公路管理系统 高速公路管理系统是基于GSM的综合软件管理平台,能将高速公路各个站点的突发警报进行实时显示、记录。本系统以STC12C5A32S2作为系统核心处理器,控制GSM模块进行信息的收发,并把通过STC12C5A32S2读取的GSM模块上存储的信息上传到计算机进行处理、显示及记录。 基于KMNF和BP神经网络的高光谱遥感影像分类 为了对高维非线性的高光谱影像进行降维及信息提取,提出了高光谱影像核最小噪声分离变换(kernel minimumnoise fraction,KMNF)特征提取后利用BP神经网络分类的方法。以高光谱影像KMNF特征提取后的前几个特征分量作为BP神经网络的输入,进行BP神经网络分类,并与单独的高光谱影像BP神经网络分类进行比较。美国内华达州CU-PRITE矿区AVIRIS数据的实验结果表明,基于KMNF和BP神经网络的高光谱影像分类较单独BP神经网络分类总体精度及时间性能均得到提高。 分子动力学模拟LINCS约束算法的GPU并行化 分子动力学模拟(Molecular Dynamics,MD)是计算化学和生物模拟领域一种重要的计算手段,由于计算强度大,目前MD可模拟的时空尺度还不能满足真实物理过程的需要,计算速度是其主要瓶颈之一。2007年以来,比CPU具有更强大的存储器带宽和计算能力的GPU(Graphics Processing Units)的可编程能力获得了显著提升,为数值计算的并行加速提供了一种新的选择。除了使用并行技术加速MD,合理地使用约束算法可增大模拟的时间步长以降低MD计算量。本文首次建立了GPU加速的LINCS(Linear Constraint Solver)约束算法GMD_LINCS,使用线程组织、合并访问、全局同步等对其进行了优化。GMD_LINCS是基于GPU的MD程序(GMD)的约束算法部分。采用GROMACS官网提供的基准算例二氢叶酸还原酶(DHFR)对GMD_LINCS的测试结果表明,GMD_LINCS程序和GROMACS4.5.3CPU版本的计算精度吻合较好。对含有19万个粒子(27条链)的聚丙烯腈(PAN)算例的测试结果表明,GMD_LINCS程序的计算性能获得明显提升,比GROMACS4.5.3相应的LINCS约束算法的单核CPU性能可加速约17倍、是其八核CPU性能的4.5倍左右。 一种改进的FastICA ADS-B 1090ES信号分离技术 针对多点定位技术中广播式自动相关监视(ADS-B)1090ES信号脉冲交叉重叠的问题,提出一种改进的三阶收敛的牛顿迭代快速固定点(Fast ICA)算法,通过对多个ADS-B辐射源混合信号进行分离,恢复和重建原始信号,实现对目标源信号的提取。比较基本Fast ICA算法与改进Fast ICA算法的收敛速度,通过计算相似系数矩阵,分析该算法的分离性能。最后,通过仿真实验,验证了该算法的可行性和有效性,实验结果表明该算法具有较好的分离效果,收敛速度更快。 移动数据收集器在WSN中的运动策略研究 在无线传感器网络中引入移动数据收集器(MDC)可以缓解传统静态网络能耗不均衡与能量空洞等问题。为使MDC对网络的节能效果达到最佳,通过算法设计使节点在远离或靠近sink的不同方向上拥有移动概率,在网络节点密度不同的地方建立大小各异的数据收集树平衡网络能耗,数据收集前调整收集器的位置,以减少数据传输能耗。给出MDC运动策略,并与DSDV路由协议相结合,提出带有移动数据收集器的路由协议MDSDV。实验结果表明,MDSDV能够提高网络的数据传输成功率,延长网络的生存期。 网络数据超维联合模型下的信道损伤估计 为了对网络信道损伤进行全面预测分析,改进传统的信道损伤预测方法,采用一种建立合理的超维分析模型,将影响分析结果的信道损伤参数与模型参数匹配,并基于时域特性对信道损伤的渐变过程进行详细分析,依据超维联合预测结果,实现对于网络信道损伤的准确预测分析,为网络信道维护提供帮助.通过对多种网络信道进行实际分析测试,结果显示,采用基于超维联合分析模型,损伤预测分析结果准确率大大提高,对于网络信道损伤具有很好的指导分析意义. 由共面圆确定摄像机参数的线性方法 考虑到基于二次曲线这种几何基元的摄像机标定方法比基于点或直线的方法具有更好的鲁棒性,给出了一种新的基于共面圆的摄像机标定方法。该方法的主要特点是模板形式简单、易于制作,仅需任意分布的三个或三个以上共面圆,且不需要进行圆环定位;不需要模板与图像之间的匹配;也无需求解任何非线性方程组。从几何角度对算法进行形象描述,并从代数的角度给出了严格论证。模拟和真实图像实验表明,该算法精确度高,鲁棒性强,表现出了十分良好的实用性。 一种基于改进K均值算法的跳频信号分选方法 为了在估计聚类数目的同时避免收敛到局部极小值,提出了一种改进的初始聚类中心选取算法。该算法通过形态学处理搜索统计直方图的峰值,根据合并门限正确估计聚类中心的数目和初始位置。将其与传统的K均值算法相结合,可用于跳频信号分选。实验结果表明,与其他K均值算法相比,该改进K均值算法能够以很高的正确率分选跳频信号。 安全事件采集关键技术研究与实现 针对目前安全事件采集数据格式不统一,且需要通信的安全事件数目过多等不足,提出应用于数据采集代理端的数据解码技术和安全事件分析技术。数据解码技术主要对安全事件数据进行解码,从而使不同的安全事件具有相同的格式。安全事件分析技术主要对解码后的安全事件进行过滤和关联,实现一个简单的分布式安全事件采集系统,并对所提出的两类技术进行了测试。测试结果表明,这两类技术能够较好地应用于安全事件采集代理端。 Windows Mobile智能手机中Flash数据读取功能的设计与开发 阐述了如何在windows mobile 5.0的环境下越过文件系统直接读取OneNand Flash。首先介绍了OneNand Flash的特点,然后简要地介绍了windows mobile5.0系统,最后介绍了如何按块读取OneNand Flash中的数据并将读取的数据传输给PC。结合Windows Mobile上开发GHOST软件的实例,详细介绍了数据读取以及数据传输的全过程,并给出了部分关键代码。 基于Spi演算的安全协议验证 在安全协议的各种验证方法中,进程代数方法依托完善的进程演算理论得到了很好的应用。Spi演算在PI演算的基础上扩充了密码操作原语来刻画安全协议,并使用测试等价验证安全属性。讨论了利用Spi演算进行验证的过程,并对经典NSSK协议进行分析。 拦截弹电磁发射过程的控制与仿真 在电磁拦截系统动能优化问题的研究中,拦截弹的电磁发射过程,是一个涉及多物理场的复杂动态过程,求解困难。传统分析方法存在电磁场分析与电路分析相互孤立的问题。为提高动力性能,提出场路耦合分析方法,利用Maxwell 2D与Simplore的协同仿真对拦截弹的电磁发射过程进行了仿真研究,得到了发射过程中放电回路的电流波形、发射装置的磁场和涡流分布,以及拦截弹所受电磁力、拦截弹速度和位移随时间的变化规律。并进行了拦截弹发射实验,实验结果与仿真数据的一致性表明所建立的场路耦合模型是正确的。 网络拓扑的超能整循环图构造 循环图是一类重要的网络拓扑结构图,在并行计算和分布计算中发挥重要作用。图G的能量E(G)定义为图的特征值的绝对值之和。具有n个顶点的图G称为超能图如果图G的能量E(G)>2n-2。一个图称为循环图,若它是循环群上的Cayley图,即它的邻接矩阵是一个循环矩阵;整循环图是指循环图的特征值全为整数。借助Ramanujans和,利用Euler函数和Mobius函数,讨论了整循环图的超能性。利用Cartesian积图给出了一个构造超能整循环图的方法。 世博中的物联网 [正文]·感知中国博览园感知中国博览园专业馆已于2010年5月1日正式开放。感知中国博览园(传感网应用展示中心)占地面积230亩,分专业馆和综合馆、由震泽路、净慧东道、菱湖大道和清晏路合围而成。五大网络运营 飞机无线电设备总装测试系统研制 为提高飞机总装生产的效率和质量,弥补飞机无线电总装测试的短板,采用先进的计算机测试和控制技术,利用模块化仪器设备,通过软硬件动态配置方法,构建飞机无线电设备测试系统;该系统主要提供先进的飞机无线电测试设备及工装,自动化仪器设备控制软件,网络化的生产控制管理软件,及电子化生产工艺单,由工人操作便携终端和站位式计算机系统,通过专线网络实现控制与管理信息的传输与共享,通过数据库完成生产资料的管理及生产数据的存储,总装测试生产工作全程由计算机信息辅助工人完成,减轻工人劳动强度,减少了错误率,提高了生产管理水平,保证了飞机生产的可靠性;经过某飞机生产线的使用证明该系统能切实减少飞机无线电设备的装配测试时间。 伪并行遗传算法及其在桥梁维修管理优化中的应用 遗传算法(Genetic algorithms,简称GA)是模拟生物在自然环境中的遗传和进化过程而形成的一种全局优化概率搜索算法。但该算法有时存在着早熟现象,导致搜索过早收敛,无法得到全局最优解。为此,分析伪并行遗传算法的优缺点,探讨用伪并行遗传算法(pseudo parallel genetic algorithm,简称PPGA)求最优桥梁维修管理计划的解的可能性,并用于桥梁维修管理计划的优化。实验结果验证了该方法的有效性和GA相比,具有较快的收敛速度,为桥梁维修管理提出了新的方法。 一种新的字符特征向量相似度函数 为分析车牌字符特征向量和比较字符特征提取方法,在街区距离的基础上构造了一种线性相似度函数的定义sim(M,N),讨论了该相似度函数的相关性质,给出了完全不相似概念的数学解释。与几种常见的相似度函数相比,sim(M,N)能够线性、平权地直接反映向量中各分量的差异,且计算极为简单。分析、比较了欧氏距离与sim(M,N)在分析字符特征向量方面的差异,并通过实验证实了欧氏距离的不足。通过车牌中相似字符的平均可分裕度实验,表明在比较字符特征向量方面,sim(M,N)能够获得整体好于几种常见相似度函数的使用效果。 光学图像中一种新的边界断点连接方法 针对光学元件表面划痕不连续而导致的划痕长度难以确定的问题,提出一种基于斜率控制的不连续划痕连接方法。该方法首先经预处理确定不连续划痕区域;然后寻找划痕断点,并从划痕本身特点出发限定断点间距和所连边界的曲率;最后以单像素形式对断续处进行连接,从而得到完整连续的划痕区域。经理论分析与实验验证,提出的方法能够明显避免边界变形,减小测量误差,具有良好的实用性。除光学图像外,该方法也可用于其他涉及到断点连接的图像中。 基于生物特征认证的用户密钥保护机制 密码技术广泛应用于信息安全领域,如何安全地保存密钥都是需要解决的关键问题。论文提出了一种基于生物特征认证的用户密钥保护机制,描述了基于指纹认证的用户密钥保护系统组成及用户密钥获取流程。该方法保证了用户密钥的安全,同时也便于用户密钥的使用。 基于传感信息的合成孔径雷达图像仿真系统 合成孔径雷达(SAR)是一种高分辨率微波成像雷达,在军事侦察、地形测绘等领域发挥着重要作用。目前SAR仿真大多是对雷达成像算法及干扰对抗的仿真,而利用传感信息进行雷达可视化仿真的研究不多。为满足军事训练的需要,提高雷达分辨率和得到清晰测绘图像,建立了战场环境数据库的传感信息模型和半实物的SAR雷达仿真平台,利用Radar-works对真实地貌和军事目标的散射截面进行计算,逼真地仿真了SAR的传感器效果并解决了图像分辨能力偏低和测绘带过窄的问题。通过建立颜色空间模型实现了在复杂气象条件下SAR对强雷雨云回波的屏幕测绘,解决了军事训练中的难题。 移动卫星网络信息资源管理策略仿真研究 移动卫星网络节点具有高度动态性,在进行拓扑构建会受到卫星服务时间、星间距离、星间链路剩余能量、带宽等信息资源的影响,最终带来网络资源消耗多和稳定性差的问题。针对上述问题,提出了一种分布式拓扑生成算法策略。上述策略从链路特性出发构建代价模型,利用层次分析法进行权重的计算,然后在度的约束下,每颗卫星根据代价值分布式选择局部最优路径生成全局最优拓扑,构建过程综合考虑卫星各信息资源,提高了拓扑网络的合理性和真实性。仿真结果表明,改进算法有效的减少了链路切换次数、平衡了卫星资源使用率,最终改善了网络的稳定性。 基于FPGA实时错误检测技术 高可靠性的系统都要求具备实时错误检测。针对内建错误检测,提出了三种在线模型的自我实时检测方法。错误检测模型利用了现场可编程门阵列(FPGA)中的两个管道,通过比较当前配置信息与FPGA外配置内存中的原始信息是否一致,可以实时地检测错误,而且可以通过比较它们的配置数据来定位那些具有单粒子翻转(SEU)错误的逻辑块。仿真测试结果表明所提出的方法比在线BIST有着更好的性能。 基于区域分簇的大规模无线传感器网络生命周期优化策略 针对环境监测、电网冰灾监测等大规模监测系统中监测区域覆盖广、传感器数量大等特性,为节约网络能耗以延长生命周期,提出了一种基于区域分簇的大规模无线传感器网络生命周期优化策略(RCS)。该策略首先利用传感器节点的位置信息进行凝聚的层次聚类(AGNES)算法将大规模网络分区以优化簇首的分布;其次,候选簇首节点竞选簇首成功后进行不均匀分簇,同时加入时间阈值来均衡簇首节点的能耗;最后,采用簇间多跳路由,根据节点剩余能量、与汇聚点距离计算网络能耗代价来构建最小生成树进行路由选择。在仿真实验中,该策略与经典的低功耗自适应分簇(LEACH)协议和能量高效的非均匀分簇(EEUC)算法比较,簇首能耗平均分别减少了45.1%和2.4%,网络生命周期分别延长了38%和3.7%。实验结果表明,RCS在大规模网络中能有效均衡整体网络能耗,显著延长了网络的生命周期。 一种基于结构划分概率的口令攻击方法 选择有效的口令结构是提高口令字典攻击命中率的有效方法.人们在记忆口令时,通常不会一次性进行整体记忆,而是将口令划分成块分别记忆的.基于此,文中分析了已有的口令结构,提出了依据划分概率对口令结构进行再次划分的口令攻击方法.该方法将攻击口令的焦点放在高概率的口令结构上,通过对高概率的口令结构进行再次划分,产生更有效的口令结构,并用它们来产生候选口令,从而提高攻击命中率.最后通过真实口令测试数据对该方法进行了验证,实验结果表明,给定相同数目的高概率口令具体结构,通过划分,文中的方法与Matt Weir方法相比能够多恢复20%~30%的口令.根据攻击结果,文中的方法还可以更新所使用的字典,以达到学习的目的,提高后续攻击效率. 一种改进型动态无功优化模型及其求解算法 动态无功优化是提高电力系统运行经济性和安全性的重要措施。在动态无功优化常规模型的基础上,将同一节点的若干组电容器等效为1个集中变量,用对集中电容器组的约束代替常规的单组电容器约束,给出与之完全等效的电容器组动作次数约束值和投切方法;针对动态无功优化模型的非线性、大规模性、控制变量的离散性和连续性共存的难题,对用于离散非线性优化的蚁群算法作了充分研究,提出了内点法与蚁群算法相结合的动态无功优化混合算法。IEEE14测试系统的仿真结果验证了改进模型及算法的有效性和可行性。 高效的线性预测语音编码信息隐藏方法 为在线性预测语音编码器中进行信息隐藏,将语音码流中由线性预测系数矢量量化过程产生的所有可嵌入位置映射为一个信息隐藏空间,在空间中利用矩阵编码方法找出需要修改的位置并使用量化索引调制进行修改。引入了混沌理论以及可调安全等级的概念对嵌入位置及嵌入模版进行选择,进一步提高隐写的隐蔽性。实验结果表明,与现有方法相比,该方法在同等嵌入容量下具有失真小、隐蔽性高等优点。 基于光伏发电系统功率跟踪优化控制研究 在光伏发电系统功率跟踪优化控制中,针对不同照度水平和拓扑结构光伏阵列的功率输出具有复杂性和多变性,传统的最大功率点能量跟踪带来困难。为了解决实际照度的复杂性和输出功率曲线的多峰值性,使用电路原理的分析方法,并采用改进的双二极管电池模型,建立在不均匀光照下串联和并联阵列的输出特性的数学模型。将传统的两个照度扩展到N个照度,串联阵列采用基于电流的分析方法,并联阵列采用基于电压的分析方法,进行分段函数建模,克服传统特定照度和阵列结构的简单建模方法;模型给出最大功率点个数和估值范围,为任何光照条件下最大功率点跟踪提供精确地计算模型和寻优区间。通过Matlab编程仿真实验证明,上述模型运算精度高,适用于复杂光照条件下串联和并联阵列的输出特性仿真,为构建串、并联阵列的拓扑结构提供了理论依据。 MP稀疏分解快速算法及其在语音识别中的应用 提出一种新的基于Matching Pursui(tMP)的语音信号稀疏分解算法。在对语音信号稀疏分解中使用的过完备原子库进行划分的基础上,将内积运算转换成互相关运算,并结合语音信号与原子是实的特性,利用Fast Hartley Transform(FHT)快速实现互相关运算。从而比利用FFT实现基于MP的信号稀疏分解节省一半的存储空间,提高分解速度约24.8%。此外,应用改进后的算法对语音信号进行特征提取,并结合语音信号的美尔(Mel)频率倒谱参数一起作为该信号的特征向量,通过Support Vector Machine(SVM)进行识别,最后通过实验验证了方法的有效性。 基于DSP的矢量控制变频调速系统 论文以TMS320F2812为控制核心,功率主回路采用的是智能功率模块IPM,通过电流检测电路和转速检测电路构成闭环控制系统,用TMS320F2812汇编语言编译了系统程序。最后使用Matlab/Simulink仿真平台建立仿真模块,并结合矢量控制的基本原理对模型进行了仿真。 基于修正传播算子的高分辨波达方向估计算法 将循环平稳和共轭重排理论引入传播算子算法中,提出修正的循环传播算子波达方向估计算法。该算法对采集数据共轭重排,使相关矩阵的估计更加准确,并充分利用有用信号与干扰信号循环频率的不同和噪声在感兴趣的循环频率上不呈现谱相关的性质,有效滤除了干扰信号和噪声的影响,实现了高分辨的波达方向(DOA)估计;同时它不需要对高维空时协方差矩阵进行特征值分解,所以与基于特征子空间分解的算法相比运算量低,利于实时处理。仿真结果证明了其有效性。 无线Ad hoc网络匿名通信技术研究 无线Ad hoc网络(MANET)的多跳、自组织、无固定设施以及运算资源有限等特性,使得传统网络中复杂度高的安全算法难以应用于其中。而采用与匿名技术相结合的安全机制,可较好地解决节点隐私和通信关系保密的安全问题。针对现有的匿名技术,采用对比分析的方法,对传统网络的匿名技术进行分析,总结技术上的优缺点,研究Ad hoc网络的匿名技术,并对比分析各种匿名通信协议的安全性能,为后续的研究与应用提供帮助。 一种基于局部能量消耗最优的分簇协议 在无线传感器网络中,先确定簇头分布方式、后考虑网络能量消耗的分簇方式降低了网络能量消耗的有效性。针对减小能耗问题,提出了一种基于局部能量消耗最优的非均匀分簇协议。在该协议中,各区域根据与基站的距离安排簇头分布,折中簇头能量消耗,使得簇内所有节点的平均能量消耗最小。仿真结果表明,相比现有的分簇协议,协议生成的簇头数稳定集中并且将网络生命期延长了20.7%到43.9%。这说明按照最小化簇内节点平均能量消耗的原则安排簇头分布,可以有效减少和均衡网络中节点的能量消耗。 基于BREW MP平台的手机触摸屏游戏的实现 "随着手机无线增值业务的不断发展,手机游戏也越来越受到大众的追捧。BREW是高通推出的基于CDMA网络的""无限互联网发射平台""上增值业务开发运行的基本平台。针对以往BREW仅在功能机上的应用程序,通过一个简单的贪吃蛇的例子,利用Brew API中的IDISPLAY接口和timer定时器定时描画和更新游戏画面,和EVT_PEN触摸屏事件来完成触摸屏效果的贪吃蛇游戏。由于贪吃蛇游戏的画面简单和图形简单不需要加载图片。本系统采用的是BREW技术,用C语言代码进行编写,该项目具有贪吃蛇的基本功能,在整个贪吃蛇游戏中,很好地完成了触摸屏的结果。" 基于块级的分级存储数据特征模型及其应用研究 随着信息爆炸式地增长,以高效、合理使用存储资源为目的的数据分级存储管理已然成为存储领域的研究热点.但是,数据分级存储管理过程中存在热点数据定位不准确的问题,主要原因是对基于块级的数据特征研究不够充分.针对上述问题,提出一种基于扩展块的数据特征模型EDM.EDM在基于扩展块级粒度的基础上建立分级数据管理的特征模型,通过对被管理数据对象的特征进行统计分析,自动进行数据管理决策,将冷/热数据迁移至对应层级以便能够高效合理地使用存储资源.最后,设计实现了基于EDM模型的原型系统,通过对原型系统的测试表明EDM模型具备可行性,能够有效管理存储资源. 基于改进群搜索优化算法的群体路径规划方法 针对群体动画中传统路径规划算法搜索时间长、寻优能力差等问题,提出一种利用群搜索算法进行多线程路径规划的方法。该方法首先将模拟退火算法引入到搜索模式中,克服算法易陷入局部最优的问题;其次,通过结合多线程和路径随机拼接技术,将算法应用到路径规划中。仿真实验表明该算法无论在高维还是低维情况下都具有较好的全局收敛性,能够很好地满足在复杂动画环境下路径规划的要求。 自适应的Haar型LBP纹理特征提取算法研究 在提取纹理图像的Haar型LBP特征中,人为设定的判断阈值主观性强、局部性差,导致提取的纹理细节和边缘模糊、纹理图像的局部性易被忽略。为此,提出了一种自适应的Haar型LBP纹理特征提取算法。该算法在二值化Haar型特征时引入高斯加权矩阵,以此获得客观、符合纹理图像局部特征的自适应判断阈值和Haar型LBP特征。实验结果表明,该算法能够有效地避免人为设定阈值对纹理特征的影响,可以准确地描述图像的纹理特征,Brodatz标准纹理库分类的正确率也得到了进一步的提高。 对位二取代苯电离能中取代基效应的研究 本文以取代基电子效应加和项(∑σ_p),取代基相互作用项(△σ~2)和分子权重平均极化效应指数(WAPEI)为参数研究1,4-二取代苯电离能中取代基效应规律,成功得到111个1,4-二取代苯电离能的相关方程,其相关系数为0.9631,标准偏差仅为0.21eV。该方法为芳香化合物电离能的研究提供了一种新的思路。 基于分段可调节OMP算法的图像压缩感知算法 压缩感知(CS)理论作用在稀疏信号或可压缩信号,用很小的采样速率,保证信号采样与压缩同时进行,并可以精确恢复原始信号。文中侧重CS重构算法中经典的贪婪算法研究,介绍了四种经典的贪婪算法:正交匹配(OMP)算法、正则化正交匹配(ROMP)算法、压缩采样匹配追踪(Co Sa MP)算法和分段正交匹配追踪(St OMP)算法。从重构精度和重构耗时两个方面,结合横向和纵向详细的比较,详尽地给出了不同算法的区别以及优缺点。在St OMP算法增加考虑稀疏度和观测矩阵行列关系的可调节因子,提出了一种改进算法—分段可调节OMP重构(Str OMP)算法。通过仿真实验发现,提出的改进算法既提高了图像重构精度,又保证了其重构时间短的优越性。 基于图像噪点去除的微波设备火情预警系统 物料在微波干燥过程中,由于物质处于运动状态,起火时,色彩、颗粒大小、反光程度都有其特殊性,容易造成火苗或闪光的光源变得模糊,特征难以提取,令传输的图像出现噪点,导致感温探测器和红外探测器误报率高,有时甚至出现设备停机的情况;针对微波设备火情的这种特殊性和复杂性,提出了采用基于图像处理技术搭建微波设备的火情预警模型,通过采用带防护装置的CCD摄像头对火情的图像进行采集,以解决复杂工作环境下传统探测仪器有时停机的问题;该图像处理中采用火焰图像色彩识别与灰度图亮度识别方法相互结合,以防止传感器误报,针对性解决图像噪点去除问题,补充采用条件语句循环结构算法和嵌入阈值过滤程序;数据线上的USB接口连接到NI myRIO的硬件接口,借助内嵌于硬件系统的LabVIEW软件编程,对接收的图像进行处理。实验数据的分析和测试显示:该系统能有效的克服感温、感烟、感光,气体,复合型传感器所带来的误报问题。 社团结构迭代快速探测算法 作为复杂网络研究的重要组成部分,社团结构分析对于理解和分析现实世界中各种社会、工程和生物等系统具有非常重要的意义.该文利用动态迭代技术,提出了一种新型的社团探测技术,能够准确而快速地识别网络中的社团结构.首先引入一种动态系统,可以使社团归属从随机状态逐步收敛到最优划分,进一步利用严格的数学分析给出了社团归属在离散时间内收敛到最优的条件.该文创新性地提出了划分指标函数的一般化形式,通过选择不同的参数,可以引申到几乎所有著名的指标函数.为了使动态系统不需要任何参数选择即可完成向最优社团的收敛,文中设计了一种新颖的图生成模型,使得算法能在无参数的情况下方便高效的运行.该算法具有较高的效率,计算复杂性分析显示算法需要的时间与稀疏网络节点的数量呈线性关系.最后,文中将算法应用到人工网络和实际网络中,结果显示算法不仅具有极高的准确性,还能够高效地应用于大规模现实网络的分析和计算中. 二元决策图和故障树相融合的无线传感器网络可靠性分析 为了提高无线传感器分析网络可靠性,提出一种基于二元决策图和故障树相融合的无线传感器网络可靠分析方法;首先根据无线传感器网络拓扑结构建立了故障树模型,然后将无线传感器网络故障树转化为二元决策图结构,最后采用仿真实验分析了不同节点冗余度、不同跳数条件下无线传感器网络的可靠性;仿真结果表明,文章方法的分析结果可以帮助无线传感器网络性能的优化,可以提高无线传感器网络的可靠性。 网格曲面特征的稀疏性优化检测算法 针对现有的特征检测算法大多数基于微分几何量,对噪声比较敏感、运行速度比较慢、对于过渡特征处理得不够理想的问题,提出一种基于稀疏性优化的网格特征检测算法.该算法主要包括3个过程:首先利用带l1范数稀疏性约束项和l2范数误差项的Laplacian能量函数对网格进行光顺,得到光顺后网格顶点的移动距离;然后根据顶点的移动距离提取初始特征点;最后对提取的特征点进行后处理,使得特征点更为完整.其中,l1范数稀疏性约束项用来约束发生移动点的数目;l2范数的误差约束项用来控制光顺后模型的退化程度.该算法易于实现,能够处理尖锐特征、弱特征和过渡特征.与基于微分几何量的特征提取方法相比,文中算法不仅简单有效、运行时间短,而且提取的特征线也更好. 基于PMIPv6的域间切换管理方法及性能分析 针对代理移动IPv6(PMIPv6)中域间切换时延较大的问题,提出了一种基于PMIPv6的域间切换管理方案。新方案通过在PMIPv6域间发送PBU绑定更新消息,使得切换目标PMIPv6域提前知道移动节点(MN)的家乡网络前缀,避免了移动节点参与移动性管理及重新配置转交地址,从而有效减小了切换时延。分析和仿真结果表明,与现有的全局移动性管理方案移动IPv6和快速移动IPv6相比较,新方案更加适应移动性管理中低时延、低复杂度、易于操作的要求。 一种混合的基于分区策略的应用层组播恢复算法 应用层组播技术由于依靠终端主机转发组播数据,任意中间节点的退出,都将导致其下游节点中断组播数据的接收,因此构建高效的组播恢复算法是提高组播效率的重要措施之一.针对该问题,该文在充分考虑节点性能异构性的基础上,提出了一种混合的基于分区策略的应用层组播恢复算法HPLR,在该算法中,将节点的服务能力定义为其子孙节点的数目与其根路径长度的比值,再根据节点的服务能力将组播树划分成中心区域和边缘区域,并分别提出了相应的组播恢复算法,以在系统的计算开销和时间开销方面达到平衡.位于中心区域的节点服务能力较强,一旦离开将会造成大面积的节点失联情况的发生.因此,针对中心区域节点失效的情况,该文提出了一种前向式的组播树重构策略HPLR-CD算法,在节点失效之前为其孩子节点寻找备份父节点,受影响节点通过与备份父节点联系以恢复数据传输,避免了在节点失联之后仍需计算备份父节点所产生的时间开销,能够快速进行组播树重构,提高组播的效率和性能.位于边缘区域的节点服务能力较弱,针对边缘区域的节点失效情况,该文提出了一种后向式的组播树重构策略HPLR-MD算法,当节点失效后,受影响节点通过与祖父节点联系以恢复组播连接,以此避免了为所有节点都计算备份父节点所产生的计算开销,并将节点离开事件对组播树所造成的拓扑结构的变化限制在局部范围.仿真实验表明,HPLR算法的累计百分比在同一重加入时延内均大于对比算法NICE以及BFN,并当累计百分比达到100%时,HPLR算法平均所需的重加入时延比BFN算法小0.16s、比NICE协议小0.24s.HPLR算法的平均重加入时延分布在1.05s至1.4s之间,随着组播规模的增加其波动范围较小,并且在同一组播规模下其值均小于NICE协议和BFN算法.此外,HPLR算法由于采用混合式恢复策略,其在同一规模下维护组播树所需的控制数据包总量均比对比算法Yang小约50KB. 基于QoS属性的云服务信任计算方法 针对云计算环境中实体信任评估问题,提出一种基于QoS属性和用户满意度的信任计算方法。根据系统监测到的QoS属性数据以及用户对交互行为的满意度,计算实体的信任评价;引入自信因子,动态自适应调整直接信任和推荐信任的比例,抑制恶意推荐的影响。该方法从主客观两方面进行评价,使信任计算更加客观准确。实验结果表明,该方法能有效评估实体信任,具有较好的自适应性,提高了系统成功交互率。 一种高效的视频背景提取方法 针对现有背景提取算法中存在鬼影等使得背景提取不清晰等问题,提出了一种高效的背景提取算法,根据每相邻两视频帧之间的同一像素点位置的灰度值变化情况,判断出每一像素点在不同视频帧中属于前景或背景,最后剔除掉每一位置像素点属于前景的序列,得到完全属于背景的部分帧,最后得到完整的背景图像.实验结果表明,该算法原理简单,提取的背景清晰,效果优于其他现有的背景提取算法. 基于XACML的策略冲突检测与消解方法 基于XACML(extensible access control markup language)的访问控制策略在云计算服务中得到广泛使用,其存在的问题也日益凸显,策略集的冲突检测与冲突消解问题就是其中之一。然而,目前学术界在冲突消解方面研究较少,现有的研究也仅能对冲突进行逐对消解,没有针对大量冲突的一次性消解方法,这在大规模云计算环境中是很难适用的。针对这个问题,从算法的角度出发,改进了原有的策略冲突检测方法,并设计了一种新的策略冲突一次性消解算法。该算法将安全规则映射到N维空间中,每一个维度表示一个属性,将定义复杂的安全策略在每一个属性上统一表示为几种基本数据类型的属性值集合,通过对简单集合的交集运算来进行冲突和冗余检测。在冲突消解时,将所有的冲突汇集到一起,运用有向无环图的拓扑排序来计算规则优先级,按优先级的顺序为每个规则构建一棵空间区域选择树,选取其对应的消解后的N维空间区域,完成大量冲突的一次性消解。实验表明,冲突检测和一次性消解算法是正确、高效和可行的。 Java Servlet模式的WebGIS性能优化研究 探讨了使用Java Servlet模式实现WebGIS的优势与方法,采用GeoServer与OpenLayers结合的方式设计并实现了一种Java Servlet模式的WebGIS系统。由于服务器端性能的优劣直接影响到客户端用户的使用和体验效果,因此对WebGIS服务器端性能问题进行了深入研究,提出了JVM(Java虚拟机)性能调优、建立并改进缓存服务器、使用tmpfs(一种基于内存的文件系统)存储缓存图片等从根本上解决服务器端性能问题的一系列方案,同时设计了一系列实验分析比较性能优化的效果。研究结果表明,这些方案能有效提升服务器端性能,且经济、简单、方便,具有重要的实用价值。 基于压缩感知的信道互易性补偿方法 多输入多输出(MIMO)技术能够在不增加系统带宽的情况下,有效提高系统容量和传输可靠性,满足人们对于数据传输速率和可靠性的要求。时分双工(TDD)MIMO系统相对于频分双工(FDD)MIMO系统而言,一个主要的优势就是上下行信道的互易性。文中分析了信道时变对于TDD-MIMO-OFDM系统容量的影响,在此基础上,提出了一种基于压缩感知的信道互易性补偿方法。该方法主要利用信道的稀疏特性,运用压缩感知理论,通过改进的重构算法估计出信道特性,然后进行预测以补偿时变对信道互易性的影响。由仿真结果可看出,该方法可以有效地降低信道估计误差,补偿了由信道非互易性所产生的系统容量的降低,且复杂度较低。 基于字典扩展的快速人脸识别算法 利用比l1-范数最小化更高效的l2-范数最小化算法,提出了一种在多种人脸数据库上整体更为准确,且比经典基于稀疏表示的人脸分类算法更高效的人脸识别算法。它在传统的训练字典中加入了一个特征矩阵,增大特征信息在字典矩阵中的比重,从而提高识别的准确性。在一系列的实验结果中得出,该人脸识别算法比现有的其他几种典型算法更加准确,而且对噪声和遮挡块的抗干扰性也更强。 基于嵌入式Linux和MiniGUI的心电监护仪设计与实现 引入嵌入式技术,采用高性能的S3C2440微处理器,设计一种基于Linux和MiniGUI的心电监护系统。实验证明,所设计的系统能够较好地实现对心电信号的采集、显示、存储以及分析等功能,为产品进一步研究和开发奠定了基础。 USB移动存储设备的惟一性识别方法研究 人员和设备的认证识别是信息系统安全首要解决的问题,根据涉密存储介质保密管理的要求,论述了移动存储设备惟一性标识的必要性。为了更加安全可靠地做到移动存储设备的惟一性识别,研究了移动存储设备的惟一标识管理技术,以U盘为例概括了几种不同的USB移动存储设备的标识方法。根据惟一性标识码的选择和存放要求,对这几种方法的存在问题及优缺点进行分析比较,提出了一种基于特殊命令请求的惟一性识别方法。 基于VHDL的模拟自动取款机设计 以QuartusⅡ为开发环境、VHDL为描述语言,采用自顶向下的设计方法,对自动取款机的基本功能进行设计并仿真。自动取款机模块的设计具有相对独立性,可以根据ATM机的基本工作流程,将系统划分为识别模块、信息模块、密码验证模块、业务选择模块、取款模块、查询模块和密码修改模块等模块,依次对各模块进行语言描述、编译、仿真和调试。顶层文件设计采用元件例化的方完成,并进行硬件测试。 基于Linux的嵌入式实时视频跟踪系统 将ARM与DSP相结合,设计并实现了一套嵌入式实时视频传输跟踪系统;该系统以集成ARM和DSP双内核的OMAP3730作为核心处理器,首先通过ARM上搭载的Linux操作系统实现基于V4L2的图像采集功能,然后调用DSP完成H.264视频编码,压缩后的图像由基于实时流传输协议的流媒体服务器传输至远程计算机端解码并显示,最后利用camshift跟踪算法实现对运动目标物体的实时跟踪。该系统是小型无人机自主导航与控制的视觉导航以及以无人机为平台的运动目标检测的基础,有很好的应用前景。 基于外部环境的关联规则挖掘 传统的关联规则挖掘不能发现具有潜在价值的关联规则,如在挖掘交易数据库时,一些包含新商品的关联规则往往由于其信任度低而被删除,但是外部环境的动态性使得这些规则在某些特定时期对用户有很大的价值性。为了解决这个问题,保留具有潜在价值的关联规则,文中提出了基于外部环境的关联规则数据挖掘方法。在挖掘过程中,重新定义了信任度,并提出经济价值度的概念,根据信任度和经济价值度,可以有效地实现关联规则冗余性大小的排序,保留具有潜在价值的关联规则,适应用户的需求。实验表明,该方法可以有效地保留具有潜在价值的关联规则。 面向个性化云服务的动态信任模型 为更好地实践云计算为用户提供廉价按需服务的宗旨,满足服务请求者的个性化需求,提出一种面向个性化云服务的动态信任模型。基于细粒度服务思想定义个性化云服务,通过引入时间衰减因子和建立高效激励机制修正直接信任值,以灰色系统理论为基础计算实体间的评价相似度,并将评价相似度和推荐者的推荐可信度作为合成推荐信任值的重要因素,同时提出一种基于评价相似度的自信因子赋值方法,以提高合成综合信任值的准确性。实验结果表明,与GM-Trust模型及CCIDTM模型相比,该模型的交互成功率分别平均提高了4%和11%。 模糊互补矩阵的加性一致性阈值仿真 由于事物的不确定性、信息获取的限制、甚至专家知识的局限性,决策者在决策过程中很难用精确数来表示其偏好,而喜欢用模糊语言来表示。当决策者给出的模糊互补判断矩阵不满足加性一致性,加性一致性指标阈值的确定是一个值得研究的问题。一般来说,决策者给出的模糊互补矩阵具满意一致性,且加性一致性模糊互补矩阵一定是满意一致性模糊互补矩阵。MATLAB中计算机仿真方法构造了大量的满意一致模糊互补判断矩阵,通过计算其加性一致性指标值及其概率分布,获取模糊互补矩阵的加性一致性指标的逼近阈值(0.2)。如果模糊互补判断矩阵的加性一致性指标值小于等于0.2,则矩阵是可接受的;否则需要修订。 基于博弈论的负荷重分配攻击最佳防御策略 电力系统是国家最关键的基础设施之一,其可能遭受包括负荷重分配攻击在内的各种网络攻击。考虑到防御资源的有限性,有必要对攻击者和防御者的相互作用关系加以研究,从而以最佳方式分配有限的防御资源。在攻击和防御资源均有限的条件下建立了负荷重分配攻击双层优化模型,并使用博弈论的方法加以研究,攻击者和防御者的相互作用关系通过零和游戏进行建模以求得需要保护的关键测量信息。上述模型在具有代表性的IEEE 14-bus系统上进行实验分析并得到了最佳的防御策略。研究对于如何更加有效地阻止和防御负荷重分配攻击提供了一些有益的启示。 基于多角度关联模型的实体检索方法 针对信息检索领域特定类型实体的检索问题,在传统搜索引擎的基础上,提出一种基于多角度关联模型的实体检索方法,综合运用实体名识别(NER)、文本向量、关联规则等技术以及Wikipedia、Stanford NER等工具,并在TREC2010实体检索项目中进行评测。实验结果表明,与基于BM25和贝叶斯模型的检索方法相比,该方法的nDCG@R值平均提高11.49%和18.09%。 CoSy C语言编译器程序缓冲区溢出研究 CoSy是ACE公司开发的编译器构架,为了保证CoSy C编译器输入程序的安全性,避免产生编译器缓冲区溢出问题,提出了编译器缓冲区溢出判断模型。根据C源程序编译器缓冲区溢出漏洞的特征,建立了编译器缓冲区溢出判断模型;给出了重建CoSy中间表示CCMIR(Common CoSy Medium-level Intermediate Representation)的方法;最后,给出了CC-MIR程序安全性判定算法。实验结果表明,这种模型可以有效地判断输入程序的安全性。因此,通过重建CCMIR模型可以有效地避免CoSy C语言编译器编译过程中的缓冲区溢出问题。 双通道云数据存储安全方案研究 为了改善解决云计算中热点问题之一-数据存储安全,该文在研究现有经典云数据存储体系架构的基础上,根据云本身的超强计算能力和代价低廉等特性,提出了一种优化的双通道并行云存储架构,配以非对称数据加密手段,在硬件与架构层面直接针对安全问题作出优化部署。在大量的节约成本、提高效率、精简架构的情况下保证了云环境下数据存储安全性的提升。模拟部署表明,双通道并行云架构及非对称数据加密能有效的提高云数据存储的安全性。 考虑属性关联的人群聚集区应急方案选择方法 人群聚集区域公共安全应急响应面临多个可能状态的应急方案选择问题,是一个值得探讨且具有实际价值的研究课题。在考虑属性关联的前提下,给出一种基于离散Choquet积分的人群聚集区域应急方案选择方法。将应急方案在各可能状态下针对属性的损益矩阵进行规范化;在考虑属性关联的前提下,借助于离散Choquet积分的思想,依据规范化损益矩阵构建评价矩阵;结合各可能状态的概率向量计算出各备选应急方案的综合评价值,并根据综合评价值的大小来确定当前人群聚集区域应急响应的应急方案;通过一个算例说明了提出方法的可行性与有效性。 面向托管的数据库即服务系统及其隐私保护技术 数据库即服务(DBaaS)是云计算的一个研究热点,而数据应用托管则是当前DBaaS的一个重要应用领域。针对托管数据隐私保护问题,提出了基于虚拟机和CryptDB系统构建支持多副本的多租户数据托管方法及相应的数据库即服务系统,该系统实现了托管数据的隔离和加密存储并且能基于加密数据执行SQL查询。相关实验表明,和全同态加密系统相比,系统具有较低的性能损耗,较好地解决了隐私保护和实用性问题。 一种改进的基于K-SVD字典学习的运动目标检测算法 提出了一种基于字典学习的运动目标检测方法.该方法首先使用多帧平均方法从训练样本中得到初始背景,再通过BP算法建立背景的初始稀疏表示模型;然后利用视频序列中当前时刻的近邻五帧图像,通过K-SVD方法自适应更新背景数据字典中的原子,使背景稀疏表示模型最优逼近近邻帧背景的观测值;最后将当前帧图像与背景模型进行差分,完成前景运动目标的检测.仿真和对比实验结果表明,对图像信号进行稀疏表示可以有效降低数据的冗余度,减小运行时间,同时在字典更新阶段利用近邻帧图像的相关性特性,能获得鲁棒性较好的背景字典,自动排除伪前景的干扰,从而提高视频序列中的运动目标检测的准确率. 基于FLUENT的城市生活垃圾风选仿真与试验研究 针对城市生活垃圾风选困难的问题,将其简化为球形颗粒模型,利用FLUENT软件,研究了垃圾颗粒粒径、颗粒密度和入风口风速对不同类型城市生活垃圾风选的影响规律,并通过试验验证了仿真结论。研究表明在一定的颗粒粒径和风速下,重、中、轻组分密度分别有最优的参数,此时风选效果较好,风选后的垃圾可落在预设的垃圾收集槽中,提高了风选的准确性。以上研究为合理选择风选工艺参数,进一步优化垃圾风选装置,提高风选效率提供了理论支持和试验依据。 一种基于独立性统计的子串归并算法 现行的子串归并算法都是采用一对一的方式针对同频子串提出的。但是在使用词法分析工具对文本进行切分时,不可避免地会产生很多的分词碎片,这直接导致了很多无意义子串的产生。通过分析这些无意义子串和众多父串之间的这种一对多关系,提出了一种基于独立性统计的子串归并算法。最后将该子串归并算法应用在中文术语抽取系统中,使得系统的准确率从91.3%提升到了93.32%。 在线考试系统中试题上传方法的优化 试题库是在线考试系统的数据基础。现有的试题上传方法需人工预处理操作,代价高,效率低。针对这一问题,提出了一种基于语义的高效、智能解析方法 IDP(Intelligent Document Parsing)。IDP根据试题的类型特征,定义解析规则,并对所有段落进行规则匹配,实现类型识别。此外,针对特定类型的试题,进行分割段落、语段分析,从而完成智能解析,实现试题上传功能。实验结果表明,IDP省去了人工预处理的步骤,可以直接使用任意格式的试题资源文档完成上传任务,效率明显提升,具有很好的通用性和实用性。 基于多目标蚁群优化的虚拟机放置算法 针对目前对云数据中心虚拟机放置算法大多为优化能耗和考虑单目标,很少综合考虑多个目标的优化,论文提出了一种多目标蚁群优化放置算法来解决该问题。首先,形式化描述能量消耗、资源浪费、能量通信成本最小化为目标,提出了多目标蚁群优化放置算法;然后建立了蚁群优化算法数学模型;最后通过CloudSim平台进行仿真实验。仿真表明,相比FFD、DVFS、LR和MGA等传统虚拟机放置算法,该文提出的算法具有更高的性能优势。 基于本体和局部共现的查询扩展方法 将语义扩展与统计扩展相结合,提出了一种基于本体和局部共现的查询扩展方法,该方法利用本体和局部共现分别得到语义候选扩展概念集和统计候选扩展概念集,对这两个扩展集进行二次筛选以得到最终的查询扩展概念;并给出了一种计算扩展词权重的方法。实验结果表明,扩展后的查询更能反映用户的查询请求,在设计的语义检索系统中,该方法能有效提高查全率和查准率。 非线性工艺规划的资源优化配置 为提高设计工艺的车间生产可执行性,在分析典型机械加工车间制造资源状态信息的基础上,针对非线性工艺规划的资源优化配置这一多目标优化问题,通过合理假设和定义,结合对制造资源状态的定量分析,建立了以最短加工时间、最低加工成本和最优制造资源状态为目标的非线性工艺规划资源优化配置模型。利用染色体分层编码遗传算法求解模型,实现了最优工艺路线和资源配置方案的同时生成。给出了工程应用案例,验证了所建立的非线性工艺规划资源优化配置模型的有效性及求解方法的可用性。 西替利嗪及其盐酸盐电子结构及CD谱的理论解析 采用量子化学密度泛函理论在B3LYP/6-31++G**水平上对西替利嗪及其盐酸盐分子几何构型进行了优化;在优化的基础上进行红外光谱(IR)、振动圆二色谱(VCD)、紫外光谱(UV)和电子圆二色谱(ECD)研究。计算结果表明:盐酸盐中Cl-与季胺N+之间的静电吸引作用可能通过Cl…H—N氢键作用进行传递。涉及到或者靠近手性中心的振动在VCD谱上表现出强的Cotton效应;远离手性中心的振动,尽管在IR谱上出现较强的吸收,在VCD谱上也可能不产生Cotton效应。ECD谱分析发现,涉及到手性中心的电子跃迁,在ECD谱上产生Cotton效应。 基于ASP.NET的学生健康管理系统的设计 构建了基于ASP.NET的学生体质健康管理系统,旨在采集学生体质测试数据,计算学生体质成绩,统计分析学生各项得分,汇总学生体质测试成绩并制定出适合学生体质的运动处方,从而实现提高学生体质健康的目标。该系统采用ASP.NET技术设计开发,通过Plupload插件上传学生体质测试数据,上传时系统对数据的格式及合理性进行审查,审查通过后进行自动计算;各级用户可以查看所辖区域内的数据、统计结果等,系统使用Highcharts插件在页面生成多样化的图表;系统根据学生最新的体质测试成绩生成运动处方,运动处方包括学生体测测试分析结果和健身指导方案,这些健身指导方案是由处方管理员上传的运动处方和学生体质测试结果综合计算生成的;处方管理员可以实时更新运动处方,从而保证运动处方的科学性。 结合供应链设计的产品族模块配置的主从优化 针对考虑供应链设计的产品族配置优化问题,根据对产品族模块配置与供应链协同优化问题的属性分析,提出一种新的设计理念,即产品族的模块配置与供应链设计是主从关联的。基于主从对策的决策机制,建立了这种关联协同优化的混合0-1非线性双层规划模型,该模型上层是整个产品族的配置优化,以顾客效用与成本之比为优化目标,决策产品族的模块配置;下层是相应生产供应链的设计优化,选择不同的模块供应商与不同产品的制造商并以整个生产供应链过程的成本最低为优化目标。针对模型为0-1混合非线性的特点,上下层分别采用遗传算法设计求解,并以一个简化的发电机产品族的例子来加以说明,结果表明所提双层模型能够有效解决产品族模块配置与供应链协同优化问题,并能得到较优的产品族配置方案。 微安级电流数字源表的自动校准系统 "目前,实验室注1校准数字源表的主要标准器为5700A多功能校准源以及8508A数字多用表,由于其电流功能的最小量程为200μA,导致微安级电流的自动校准还无法实现。针对这个难题,通过Met/Cal软件开发平台,利用现有硬件基础,采用""间接测量法""攻克了这一难关。文章以校准Keithley2400数字源表为例,详细介绍了解决方案的实现。最后通过评定测试数据的不确定度证明了方案的可行性。" 云计算平台中监控视频摘要任务调度方法研究 随着视频监控系统的大量部署,产生了大量的监控视频数据,视频摘要技术应运而生。如何优化大量的视频摘要算法服务器的工作效率,高效的视频摘要任务调度方法成为关键。提出一种基于视频摘要任务执行时间预测模型的分布式任务调度方法。该方法对视频摘要处理过程中的监控视频数据块所需的服务器处理时间进行预测,从而合理调度任务,使服务器负载均衡,提高了云服务器的资源利用率,降低了视频摘要任务的分布式处理时间。 卫星姿态机动控制球体平台设计 针对卫星控制力矩陀螺姿态控制系统中存在构型冗余复杂、体积重量大等问题,提出了一种新型三自由度球形动量交换装置以降低控制系统冗余并提高系统集成度。分析并建立了上述装置的动力学和电气模型,证明了其应用于卫星姿态机动的可行性;由于装置转子的偏转角度有限,传统反馈姿态控制律无法克服倾角饱和引起的奇异问题,提出采用一种具有n级分步的欧拉轴Bang-Bang增量机动控制策略。Simulink仿真结果表明,改进控制策略能够克服球形转子倾斜范围的限制,单个装置能够实现卫星大角度姿态机动控制。 国防工程震害风险分析体系的ISM研究 "为探究国防工程震害风险体系内部规律和结构元素之间的相互关系,设计和实现了一种基于解释结构模型的国防工程震害风险分析结构模型组件。遵循解释结构模型的本质思想和工作程序,在确定国防工程震害风险分析体系逻辑关系的基础上,给出国防工程震害风险解释结构模型组件方案,研制基于窗体界面线程设计的国防工程震害风险分析解释结构模型算法组件,求解系统可达矩阵,构建多层递阶的国防工程震害风险结构模型。模型解释了国防工程震害风险体系的神经支撑结构、关键特征等层次关系和功能映射,风险评价的立足点在于""国防工程整体性工程风险"",关键特征包括区域地质环境变异元素、国防工程毁伤评估、国防工程主体结构风险等元素,为研究、探索、完善国防工程震害风险系统设计方法提供科学依据。" 采用对象特征向量表示法的标签聚类算法 在社会化标记系统中,常采用聚类等数据挖掘技术来解决标签冗余和语意模糊的问题.现有标签聚类算法大多根据不同标签在对象中共同出现的次数来计算它们之间的相似度,但是这种方法聚类的精确度与召回率并不高.针对此问题,提出一种新的标签聚类算法,充分考虑标签的标记信息,采用基于对象的特征向量来精确地表征一个标签,根据余弦相似度公式得到较为准确的标签相似度,然后采用K-Means算法将用户标签进行聚类.实验结果表明该算法能够得到更加精确的聚类结果. 基于3G的SCADA系统虚拟专用监控网络 针对传统监控网络无法满足SCADA系统发展的现状,并结合3G技术的优势及其对工业监控应用的适用性,提出了在3G公共网络平台上通过VPN技术实现SCADA系统虚拟专用监控网络的解决方法。对3G标准、VPN技术以及3G网络VPDN业务的实现进行了分析与论述,通过系统应用实例论述了3G网络、VPN技术在SCADA系统监控网络中的应用与集成方法,验证了基于3G的虚拟专用监控网络应用于SCADA系统的可行性、适用性和可推广性。同时结合移动通信公共网络和SCADA系统的发展趋势,对基于3G的虚拟专用监控网络的应用前景进行了展望。 基于动态属性的加密方案 现有大部分属性加密方案中的用户属性都是静态的,不利于实际应用。针对该问题,基于条件加密的思想,利用双线性映射的技术,设计一个基于动态属性的加密方案。在用户满足某属性后,由认证方给用户提供数字签名,并让用户自行计算其属性密钥和密文。对该方案的安全性进行讨论,证明在选择集合模型下给定2个等长明文的加密,方案攻击者无法在计算上分清其密文。 高阶路由器结构研究综述 "随着高性能网络规模的增加,高阶路由器结构设计成为高性能计算中研究的重点和热点。使用高阶路由器,网络能实现更低的报文传输延迟、网络构建成本和网络功耗,同时高阶路由器的应用还可以提高网络可靠性。过去十年是高阶路由器发展最快的时期,对近年高阶路由器的研究进行了综述,并对未来发展趋势进行了预测,主要介绍了以YARC为代表的经典结构化设计以及""network within a network""等近年来涌现的新型设计方法。未来的研究重点是解决高阶路由器结构设计中遇到的缓存和仲裁等各种问题,并利用光互连等技术设计性能更好的结构。" 基于证据理论的三支决策模型 三支决策模型和证据理论在概念、信息处理方式上存在着互通互补之处。首先,将证据理论基本概念引入到三支决策中,分析其延迟信任区间可能包含的可变语义,分别构建了基于证据理论的确定和可变三支决策模型。然后,通过调节信任系数取值,结合贝叶斯风险分析形成了乐观策略、悲观策略及其相应的决策规则,以满足特定语义环境下的应用需求。最后,通过对防空作战态势评估的风险分析说明了模型的具体应用过程。 基于认知用户移动性的协作频谱感知研究 协作频谱感知能在一定程度上消除多径和阴影的影响,然而现有协作频谱感知方案均假设各认知用户处于静止状态,未考虑认知用户在协作感知期间的移动对检测性能的影响。针对上述问题,在集中式频谱检测方法的基础上,提出一种认知用户移动状态下的协作检测模型,使主用户处于静止状态,认知用户以一定速度作匀速直线运动。仿真及分析结果表明,随着移动认知用户速度的增大,运用线性融合规则的移动认知用户与静止认知用户协作检测性能均逐渐降低,因此需选择移动速度较小的认知用户作为协作对象以提高频谱感知的整体检测性能。 一种高效的求解函数优化问题的人工蜂群算法 为了克服人工蜂群算法在求解函数优化问题中所存在的收敛精度低、收敛速度慢的缺点,提出一种高效的求解函数优化问题的人工蜂群算法.该算法将细菌觅食优化算法中的趋向性操作引入到跟随蜂的局部搜索策略中,并且跟随蜂在雇佣蜂逐维变异后的当前最优解周围进行局部搜索,从而提高了人工蜂群算法的局部搜索能力.6个标准测试函数的仿真实验结果表明,与基本人工蜂群算法相比,改进后的人工蜂群算法在寻优精度和收敛速度上均有明显提高. 基于双通道卷积神经网络的航班延误预测模型 针对航班延误预测数据量大、特征提取困难而传统算法处理能力有限的问题,提出一种基于双通道卷积神经网络(DCNN)的航班延误预测模型。首先,该模型将航班数据和气象数据进行融合,应用DCNN进行自动特征提取,采用批归一化(BN)和Padding策略优化,提升到港延误等级的分类预测性能;然后,在卷积神经网络(CNN)基础上加入直通通道,以保证特征矩阵的无损传输,增强深度网络的畅通性;同时引入卷积衰减因子对卷积通道的特征矩阵进行稀疏性限制,控制不同网络深度的特征叠加比例,维持模型的稳定性。实验结果表明,所提模型与传统模型相比,具有更强的数据处理能力。通过数据融合,航班延误预测准确率可提高1个百分点;加深网络深度后,该模型能保证梯度的稳定,从而训练更深的网络,使准确率提升至92.1%。该基于DCNN算法的模型特征提取充分,预测性能优于对比模型,可更好地服务于民航决策。 过程控制系统的HAZOP分析 在过程控制系统中,为了系统地识别运行过程中潜在的安全问题,提出一种危险与运行(HAZOP)分析技术。该技术从化工行业安全分析中发展而来,能够应用于过程控制系统。研究HAZOP分析的基本过程,论述过程控制系统进行HAZOP分析时,设备条目的选取方式、参数和引导词的选取原则,并针对计算机控制化学反应过程系统进行实例分析。 自主无线电中调制识别辅助同步算法研究 对于深空自主无线电调制方式的未知情况,在通用载波同步环路的基础上提出了一种调制识别辅助同步的算法,分别采用决策论法和简化神经网络法来辅助载波同步环路,根据识别结果可以快速有效地选择出环路的鉴相方式,从而完成环路锁定。通过分析比较,说明了简化神经网络法的识别概率明显高于决策论法的识别概率。仿真结果表明,简化神经网络法在极低信噪比的条件下依然具有较高的识别概率,能够满足深空接收机的实际应用。 基于Stentiford视觉模型的改进 "基于视觉模型的图像感兴趣区提取方法,在图像感兴趣区域提取领域,有着得天独厚的优势:首先,它贴合人眼视觉效果,因此可以较为准确地表示视觉的真实""意图"";其次,方法过程便于实现,处理效率比较高。基于Stentifold视觉模型的方法因其实现简单且效果显著而成为其中的众多代表之一,但其也存在结果随机性过大,对细节过于敏感,计算耗时的缺点。为此,尝试通过直方图统计、均匀局部二值模式(uniform LBP)结合的方法,对其进行改进,并取得了理想的效果。" 嵌入式以太网数据采集与控制系统设计 为了提高嵌入式以太网数据的操作精度,减少数据运行时间,增加数据运行灵活性以及稳定性,方便对嵌入式以太网数据进行的有效管理,需要对嵌入式以太网数据采集与控制系统进行设计;当前的嵌入式以太网数据采集与控制系统设计方法对以太网数据进行采集与控制系统设计时,因为无法对其进行灵活、高效、全面地采集和控制,存在数据运行盲区较多,成本较大的问题,所以提出一种基于LonWorks的嵌入式以太网采集和控制系统设计方法,即采用局部网格方式进行数据采集,使嵌入式以太网进行自维护、自组织、自控制的操作;该方法先利用μC/OSⅡ平台完成对嵌入式以太网数据采集与控制系统的硬件设计,然后依据互式数据迁移技术对嵌入式以太网进行数据采集,以采集的数据为基础,采用模糊关联空间理论对采集得到的以太网数据进行过滤,最后以上述过程为依据,利用OPC服务器程序对过滤后的嵌入式以太网数据进行控制,由此完成了嵌入式以太网数据采集与控制系统设计;实验结果证明,所提方法可以全面精确地对嵌入式以太网数据进行采集和控制,提高以太网运行速度,增强网络使用寿命,为该领域研究发展提供了强有力的依据。 ATLAS自检程序中的资源分配与代码优化 ATLAS语言测试程序只描述UUT信号和测试流程,不描述和控制某个具体仪器和开关通道。而ATS系统自检则要测试和校验某个具体仪器和具体开关通道。针对该问题,给出了能检查期望具体仪器和开关通道的ATLAS自检程序设计方法。并采用在测试循环中用单动作语句或简单多动作语句代替复杂多动作语句的代码优化方法,减少仪器的多余动作,提高测试效率。 基于分块方向梯度能量的图像修补算法 "为了对图像破损区域进行修补和前景去除,提出一种基于样本的图像修补算法.首先引入""分块的方向梯度能量""来评估像素块在某个方向上的整体颜色变化情况;然后利用最大方向梯度能量估算该像素块中存在的边缘的强度与方向.该算法包括像素块填充优先级计算、像素块匹配和更新未知区域边界、可信任度等全局参数的更新3个步骤.在计算像素块填充优先级时,考察像素块在待修补区域边界法向上的方向梯度能量,优先选取包含更强边缘信息的未知区域;而在像素块匹配过程中,除比较像素块对应的颜色值之外,还利用方向梯度能量对它们可能存在的边缘进行比较与匹配.实验结果表明,该算法能够使图像的结构信息正确地传播到待修补的未知区域中,填充后的图像具有较为平滑的边缘." 基于随机共振的航空发动机转子多域融合神经网络故障诊断 航空发动机转子早期故障征兆具有强噪声、时变、非线性、多混叠的特点,传统的特征提取方法只针对时域、频域或时频域等单一域的特征,难以全面、准确的代表故障特征;为了提高故障诊断效果,提出基于随机共振的航空发动机转子多域融合神经网络故障诊断方法;采用随机共振(SR)理论,提高原始振动信号的信噪比,应用主成分分析法(PCA)构造多征兆域融合特征,并与神经网络相结合,诊断结果与单纯EMD、不加随机共振的融合方法相比,训练时间明显缩短,诊断精确度显著提高,表明该方法能提高故障诊断的准确性、有效性和可靠性。 基于能耗的分簇频谱感知方法 在协作频谱感知中,当信道经历衰落和阴影时,各用户的感知性能会有不同程度影响,某些受影响严重的次用户甚至可能会严重影响到整个感知网络的性能。同时参与协作的用户数越多,认知传感器网络面临的问题越大。为了解决这些问题,提出了一种基于能耗的分簇协作感知算法。该算法引入基于节点能量的LEACH分簇算法,将认知传感器网络中的各个节点按该分簇算法分入若干簇内;在每个簇内,构造了同时考虑检测性能和能量消耗的效用函数,基于该效用函数对最佳协作用户数和用户进行选择,使每个簇内的检测性能和能耗达到很好的折中;在汇聚节点运用OR规则将簇头发送过来的感知信息进行融合,得到最终判决结果。仿真结果表明,该基于能耗的分簇协作频谱感知算法可以有效地延长认知传感器网络的生存时间,节省网络的能耗,并且保证良好的检测性能。 基于Java安全API的数字签名 网络数据安全形势日益严峻。使用Java安全API可以实现对数据文件的数字签名,从而确保文件在网络上传的安全性。本文介绍数字签名的基本过程,说明数字签名使用的算法,描述如何在发送方编写Java程序对文件进行数字签名和在接收方编写Java程序对数字签名后的文件进行验证,并对这种方法潜在的不安全因素进行讨论。 基于非下采样Contourlet变换和区域特征的医学图像融合 针对非下采样Contourlet变换具有多尺度分析及平移不变的性质,结合计算机断层成像(CT)和核磁共振(MRI)医学图像各自的成像特性,提出了基于非下采样Contourlet变换和区域特征策略来对低频、高频子带进行融合的医学图像融合方法;介绍了图像融合的评价标准,阐述了非下采样Contourlet变换的原理及实现;从视觉效果和客观数据指标方面对融合图像进行主观评判和数值评价。下颌骨系统CT和MRI图像的融合实验结果表明,该方法相对于小波变换和Contourlet变换方法,可有效综合这两种断层图像的有效信息和细节信息,融合后图像具有更优的视觉质量和量化指标。 基于IPSec网络协议的VPN测试系统 针对基于IPSec协议的VPN产品的测试问题,设计并实现一个面向基于IPSec协议的运行在Linux上的VPN测试系统。介绍IPSec协议和体系结构,对IPSec协议工作原理进行分析,给出面向IPSec协议VPN测试系统的设计与实现。实验结果表明,该测试方法有效、可行。 基于奇异值分解和小波变换的医学图像识别 在提高诊断与治疗准确性的研究中,医学影像识别是重要手段。为了克服由于光照条件不同以及成像设备的限制,使得不同条件下获取的图像之间不仅存在较大的灰度差异,而且还存在旋转、偏移等几何形变而导致无法识别匹配的问题,提出了一种利用奇异值分解得到图像的空间信息,然后用小波变换提取纹理信息,再利用奇异值分解得到图像的能量信息来进行识别匹配的方法。仿真结果表明,可有效地解决诊断的准确性,而且对图像的旋转和偏移也具有很好的鲁棒性,能够准确和高效地查找所需的图像,与其它算法相比具有较高的识别率。 基于计算思维的大学计算机基础课程改革方法探析 "随着计算机的逐渐普及,《大学计算机基础》课程教学面临着多重挑战。针对军校学员特点,在分析目前该课程所存在问题的基础上,通过探索计算机基础教学中计算思维的""思想""和""方法"",提出从""计算思维意识""、""计算思维方法""和""计算思维能力""三个层次培养学员计算思维能力的教学改革思路。" 基于Spark的并行遗传算法在旅行商问题中的应用 传统遗传算法存在早熟现象,而且其在海量数据模型下的求解精度和可扩展性也有待提高。为了改进上述问题,在研究孤岛模型和细粒度模型优势基础上,利用遗传算法自身的并行性,提出一种仿细粒度的粗粒度并行模型,基于Spark实现了一种双层并行的遗传算法。将改进算法应用于旅行商问题Berlin52数据集的求解,实验结果表明,与传统的并行模型相比,改进后的算法可以明显缩短计算时间,增大搜索范围,早熟现象也得到了改善。 基于马尔科夫链的大学生自主学习能力预测方法 以反映大学生自主学习能力的现时状况数据指标为基础,利用马尔科夫链工作原理,分析研究自主学习能力的有效评估方法,构建大学生自主学习能力预测方法模型并提供算法流程,测试表明预测与实际结果高度吻合。所提供的研究模型精细、稳定、实用,能有效应用于提高自主学习能力和相应的预测软件开发当中。 用于转录因子结合位点识别的定位投影求精算法 定位转录因子结合位点,也称模体发现问题,对于理解基因调控关系非常重要.文中提出了一种新的定位投影求精算法(Fixed-Position Projection Refinement algorithm,FPPR)用于DNA序列中的转录因子结合位点识别.通过一个基于数据集对应位置频率矩阵的投影过程,将DNA数据聚类为不同的子集,过滤选出其中具有一定信息量和复杂度的子集,作为初始状态,进而使用期望最大化算法进行求精.FPPR通过对定位投影过程中阈值的设定,实现了对OOPS、ZOOPS、TCM这3种模型中不同模体实例分布的处理.同时,结合高阶马尔可夫背景设计目标函数,使得算法的概率模型更加符合真实生物数据.此外,通过相似函数WIC评估,FPPR可拓展为解决多模体识别问题.真实数据测试表明,FPPR可以在合理的时间内准确找寻模体,与MEME、GAME、Motif Sampler和GALP-F等算法相比有更好的性能,并且可以有效地解决多模体识别问题. 基于硬件模拟的SAT求解框架 使用硬件方法求解SAT问题,采用现场可编程门阵列(FPGA)技术,针对大规模实际系统的CNF公式实例,定制化编译和转换为FPGA芯片,并完全依据FPGA硬件完成SAT满足性求解过程. 基于周期规律的加权时态关联规则挖掘算法 数据挖掘过程中只考虑数据项权重或者只考虑时态语义会导致挖掘结果不全面。针对该问题,对加权关联规则、时态关联规则和时态数据周期规律进行研究,将权值、K-支持期望和周期等概念引入到时态关联规则中,提出一种基于周期规律的加权时态关联规则挖掘算法。以某管理系统审计数据为例进行实验验证,结果表明该算法能够准确地挖掘出数据库中的加权时态关联规则,与加权关联规则算法相比,在时间复杂度相同的情况下能使关联规则的挖掘结果更加全面。 换流站内冷水在线监测系统的研究 "直流输电是我国""西电东送""战略规划的重要环节,也是输电技术的主要发展方向之一。直流输电中换流站内冷水结垢是影响输电系统稳定运行的主要因素。为了实时监测内冷水的水质情况,文章研制了一套换流站内冷水在线监测系统,能够在无人工干预的情况下,实时监测内冷水的PH值、铝离子和电导率等指标,综合分析后可以为换流站确定最佳运行条件提供依据,并起到故障预警的作用。现场试验测试表明,该套系统功能齐全、性能稳定。" 基于云存储的冗余分片自适应分发策略 水利信息化的发展促进水利大数据的快速增长,在实际生产中发现,水利数据的整合与共享能充分发挥水利大数据的综合效益,但由于各数据中心存储能力不均衡等问题,很容易产生数据交换热点。本文在混合存储模型的研究基础上,根据数据中心之间交换需求量以及数据中心存储能力,设计基于水利数据领域空间特性的冗余分片自适应分发策略,并利用该策略进行数据分片的分发决策。实验表明,该策略能够基本保证各数据中心存储负载趋于均衡。 基于FPGA的细粒度并行CYK算法加速器设计与实现 "基于随机上下文无关文法(SCFG)理论模型进行RNA二级结构预测是目前采用计算方法研究RNA二级结构的一种重要途径.由于基于SCFG模型的标准结构预测算法(Coche-Younger-Kasami,CYK)巨大的时空复杂度,对CYK算法进行加速成为计算生物学领域一个极具挑战性的热点问题.CYK的并行性能受限于算法多维度、非一致性的数据依赖关系和较低的计算/通信比,现有的基于通用微处理器结构的大规模并行处理方案不能获得令人满意的加速效果,并且大规模并行计算机系统硬件设备的购置、使用、日常维护的成本高昂,其适用性受到诸多限制.文中在深入分析CYK算法计算特征的基础上,基于FPGA平台提出并实现了一种细粒度的并行CYK算法.设计采用了对三维动态规划矩阵""按区域分割""和""逐层按列并行处理""的计算策略实现了多个处理单元间的负载均衡;采用数据预取、滑动窗口和数据传递流水线实现处理单元间的数据重用,有效解决了计算和通信间的平衡问题;设计了一种类似脉动阵列(systolic-like array)结构的主从多PE并行计算阵列,并在目前最大规模的FPGA芯片(Xilinx XC5VLX330)上成功集成了16个处理单元(processing elements),实验结果表明作者提出的CYK算法加速器结构具备良好的可扩展性.当RNA序列长度为959bps,CM模型状态数为3145时,与运行在Intel双核E5200 2.5GHzCPU、2.0GB主存通用计算上的Infernal-1.0软件相比,可获得超过14倍的加速效果.配置一个FP-GA算法加速器的通用计算平台的综合处理性能与包含20个Intel-Xeon CPU的PC集群相当,而硬件成本仅为后者的20%,系统功耗不到后者的10%." shellcode攻击与防范技术 针对Windows系统环境下,攻击者通过shellcode代码威胁系统安全的问题,研究shellcode攻击与防范方法。分析shellcode代码的工作原理、攻击过程及多种变化,介绍新型Windows系统采用的GS和ASLR保护对shellcode攻击的防范机制,并通过实验验证其防范效果。结果证明,实施shellcode攻击需要一定的条件,而GS和ALSR可破坏这些攻击条件的形成,有效阻止攻击。 稀疏信号重构 传统采样是在预先确定好采样时间的情况下记录信号的电平,这样采样得到的电平通常是均匀的,另外还有一种隐式采样模型,原理是记录事先确定好的电平交叉点的时序,这种情况下信号决定了采样的次数而不是电平。在信号存在一个尺度因子的前提下,Logan理论是零交叉点信号还原的充分条件。不过时序测量存在一定的噪声,故信号的重构不具鲁棒性。为此本文引入附加假设,即信号存在一些稀疏基,因而可以把重构问题当成对诱导稀疏性成本函数的最小化来处理,并提供一个求解算法。尽管存在非凸的问题,实验表明在典型案例中算法是收敛的,并且求出正确解的概率很高。 航空交通多目标运输效率优化仿真研究 对航空交通运输效率的优化,能够有效提高航空交通系统运行效率。对航空交通多目标运输效率的优化,需要构建多目标运输格序决策模型,计算不同运输区间方案的可能度,完成多目标运输效率的优化。传统方法构建航空运输机空中管理优化模型,对运输拥挤时段进行优化处理,但忽略了对区间运输不同方案的可能性计算,导致效率优化效果不理想。提出航空多目标运输效率优化方法。建立航空多目标运输方案的集合,通过计算各决策目标在集合中的权重向量得到航空多目标运输方案中的决策矩阵,构建航空运输多目标运输方案的格序决策模型;采用可能度两两比较矩阵对比不同航空多目标运输方案的优劣次序,,选取最优的航空多目标运输方案,提高航空多目标运输的效率。仿真实验结果表明,所提方法可以有效的提高航空多目标运输的效率。 改进的关联规则挖掘算法在Web个性化服务中的应用 文章重点研究了Web日志挖掘以及关联分析中的关联规则挖掘算法FP_Growth算法,提出了一种改进的关联规则挖掘算法,并将该算法应用于某高校图书馆个性化服务系统My Library的设计过程中,从服务器日志中得到用户感兴趣的隐式模式,并将该隐式兴趣集推荐给用户,从而在一定程度上实现了个性化服务。 PWM-CUK型电子束焊机电源系统分析与设计 研究电子束的焊接技术问题,设计一个PWM-CUK型高压稳定电源系统,使之满足电子束焊机用电源对稳压精度的要求,同时提高系统的能量转换效率。设计电路系统,为了提高稳压精度和性能,通过SABER仿真确定关键电路参数;全面分析系统的开闭环特性,对系统在工作过程中可能出现的过流现象,提供可靠的保护措施;设定PID控制器参数,控制电压稳定。理论分析和仿真结果显示,系统具有能量转换效率高、输出电压纹波系数小、电压控制精度高和动态响应快等特点。结果证明,所设电源系统能够满足电子束焊机所用电源技术性能的要求。 通信层数据交换中间件设计实现 针对企业级信息系统通信层数据交换量大,数据帧编码协议不统一,难于利用和管理的问题,设计通用数据交换中间件解决方案,将系统的数据通信层独立封装,利用DLL、ACE(自适配通信环境)、XML等技术为上层应用提供数据交换服务。设计了基于XML的数据帧结构、TCP粘包处理算法、数据流压缩、节点故障检测技术等。适配器便于数据共享与集成利用,并提供节点故障发现能力。 基于分布式假设的弱监督蛋白质交互关系识别 蛋白质交互(protein-protein interaction)是生物医学领域一项重要的研究内容,目前由生物医学进行的PPI实验结果主要以文献的形式存储,随着生物医学文献的大量增加,以手工收集信息的方式已经难以满足实际需求。对此,提出一种基于分布式假设的弱监督蛋白质交互识别方法。首先,从描述蛋白质交互关系的上下文中提取表达语义关系的词汇模式,以少量有交互关系的蛋白质对构成初始种子集,基于分布式假设理论,根据词汇模式在种子集中的分布构建向量空间模型。然后依据相似性对词汇模式进行聚类,形成具有语义相似性的模式簇,利用这些簇在语料中找到新的具有相似分布的模式加入候选集。最后对候选集里的蛋白质对及其模式进行评估,挑选出满足条件的蛋白质对加入种子集进行迭代,最终得到有交互关系的蛋白质对。相比于现有方法,该方法考虑了上下文的语义相关性,实验结果表明,该方法以很小的种子集规模取得了较高的精确度与召回率。 指控系统信息服务质量评价指标 指控系统的信息服务质量在某种程度上决定了指控系统的效能。在分析信息质量、服务功能、服务性能等影响信息服务效果的质量要素基础上,提出了指控系统信息服务质量的评价指标。针对各指标的特点,分别设计了定量评价、半定量评价和定性评价3类评价方法,给出了典型指标的具体评价算法和步骤,并进行了详细讨论。研究结果可为指控用户开展信息服务质量评价提供理论依据和参考。 基于HOOK技术的本地打印监控系统开发 针对中小企业存在的对本地打印机管理的需求,提出了采用HOOK核心技术的系统实现方案。对Microsoft公司提供的打印机开放程序Printjob进行修改完善后得到用户打印信息,并存储到数据文件。利用HOOK技术进行计算机系统底层操作,通过对打印机消息截获处理,实现了打印机的启动关闭功能。以数据文件为纽带,采用VC++6.0程序开发平台,结合Access与Excel操作技术,设计打印管理主控软件,实现了打印机信息优化管理。 基于多Agent的恶意环境下的控制系统任务分配方法 为了保证控制系统的执行,研究了恶意节点环境下分簇控制系统的任务分配。首先采用多Agent方法对分簇控制系统进行建模分析,将系统中节点的不同功能组件建模为自治Agent,采用Agent联盟子系统对簇进行建模;然后在多Agent建模分析的基础上提出簇信誉的概念,通过簇信誉度量每个簇执行任务的可靠性和能力;最后提出基于簇信誉和控制单元信誉的多Agent分簇控制系统的安全任务分配方法,该方法可以有效提高任务执行的安全可靠性。 基于种群多样性的自适应PSO算法求解VRPSPD问题 为有效求解逆向物流车辆路径(VRPSPD)模型,本文提出一种基于种群多样性的自适应PSO算法(SDAPSO)。在SDAPSO运行时,根据种群多样性,自适应地对种群中运行较差的粒子进行扰动操作,提升这些粒子向最优解收敛的能力;同时,对全局最优粒子进行概率扰动,以增加种群的多样性。标准检测函数的仿真结果表明SDAPSO算法是对基本PSO算法的有效改进。在对VRPSPD模型求解中,通过与其它粒子群算法相比,表明SDAPSO是求解该类问题的一种有效方法。 移动目标防御技术研究进展 易攻难守是当前网络安全面临的核心问题之一.移动目标防御为解决这一问题提供了一种全新思路,其核心思想是通过内部可管理的方式对被保护目标的攻击面实施持续性的动态变换以迷惑攻击者,从而增加攻击者实施成功攻击的代价和复杂度,降低其攻击成功的概率,提高系统弹性和安全性.首先对移动目标防御的基本概念加以介绍,并依据研究内容的不同对已有的研究成果进行分类;然后对每类成果加以描述、分析和总结;最后对当前研究现状进行总结,并对未来研究方向进行了展望. 基于语义关联和结构分析的WEB到WAP转换器的研究 随着3G移动通信等无线传输技术的迅猛发展,越来越多的用户开始利用无线设备上网获取所需信息。现有的WEB系统信息量大、费流量,版面复杂,不利于手机浏览。作为面向无线环境定制的一套协议,WAP解决了以上问题。而针对所有现有互联网信息重新构造WAP系统将花费大量的人力物力。本文通过构造一种有效的WEB到WAP的转换机制来实现现有互联网系统向手机应用的迁移,包括网页分块、广告去噪、语义关联、页面重组等步骤,这一解决方案保证了转换后内容的连贯与页面布局的合理。通过实验证明是一种行之有效的转换机制。 基于免疫的网络入侵检测与风险预测模型 借鉴人工免疫思想,提出一种动态网络入侵检测与预测模型,给出网络攻击检测过程、抗体浓度计算方法及基于时间序列的自回归滑动平均模型的风险预测过程。实验结果表明,该模型可实时定量地分析网络当前的安全态势并对网络面临的风险做出预测,对于突变性网络风险预测效果优于GM(1,1)模型,且与实际风险状况较接近,具有较高预测精度。 船闸监控系统目标检测的改进背景差方法 研究船闸视频监控系统中的目标检测问题。由于船闸中的光照环境复杂,船只图像面积较大,速度较慢,传统的背景差方法检测目标形状效果不佳,提出一种改进的检测方法。首先使用静态背景建模,采用色度差和亮度差分离,并利用色度差进行目标前景检测。其次使用一种密度算子去除前景检测结果中的噪音。最后使用Canny算子获取图像边缘,并结合目标前景以分割出多个船只的船头边缘线。在视频检测实验中,系统能克服光照变化的干扰,获得的船只形状边缘线光滑准确,并能保持良好的实时性能。实验结果表明了提出的改进方法对复杂光照环境下目标形状提取的有效性。 基于数据驱动的航天器三维可视化系统设计 航天器数据庞大繁杂,为了给设计人员提供快速检验设计思想的便捷工具,对基于数据驱动的航天器三维可视化系统进行了研究;在分析航天器可视化系统特点的基础上,提出系统功能要求,继而提出了基于数据驱动的三维可视化系统构建方案,介绍了可视化系统组成、核心模块关系;在基于MFC框架及Vega Prime为主的开发的前提下,对系统模块划分进行了研究,给出模块功能和实现方案;为了提升系统展示效果,对消息缓冲与插值延迟、特效集成与驱动机制、相机管理和场景切换等关键技术提出了优化设计方案,使之更加适应航天器可视化展示需求;设计系统较传统可视化系统展示更为流畅,场景视角切换更为智能;系统已应用于多个航天器研发工作中,应用效果证明系统具有良好的通用性和实用性,具有一定的推广价值。 基于AVR单片机的电动执行器控制模块检测仪的设计 电动执行器控制模块采用传统的控制电路结构较复杂,发生故障的概率相对较高,另外,电机在运行时会产生很多热量,导致电机发热过高,增加了机械的磨损,结果造成执行器运行速度慢,耗费时间,寿命短;采用一种基于AVR单片机ATMEGA329为核心的电动执行器控制模块检测仪,很好地解决了传统电动执行器控翩模块存在的问题,实现了较高的可靠性和稳定性,可以长距离的传输信号,且速度较快,与其它一些仪表可以做到调试、功能和技术性能测试;文中简介了该控制模块检测仪的基本原理、设计方案和实验测试结果;最后经过试验证明,该电动执行器运行稳定,可靠,能够满足技术更新的要求。 用混合包围盒优化碰撞检测方法 提出一种利用混合包围盒优化的碰撞检测方法。该方法根据碰撞相交刚体不同组件的复杂度进行层次设置,在层次包围盒树顶层使用包围球,在其他层使用OBB包围盒以实现碰撞检测功能。与传统方法相比,缩短了碰撞检测时间,提高了碰撞检测速度,实验结果验证了方法的有效性。 CS-Chord:基于聚类分离的分布式高维向量索引 M-Chord是一种基于P2P网络的高维向量索引,其聚类边缘的向量容易与搜索圆频繁相交,使得查找的区域增多,降低了M-Chord的效率。提出一种基于聚类分离的分布式高维向量索引(CS-Chord),将边缘区域的高频检索向量从Chord环中分离出来,集中存储在服务器上,中心区域的向量仍存储于Chord环中,节省了大量资源的定位时间,从而提高检索效率。实验结果表明:在查询半径为0.2时,CS-Chord距离计算次数约为2000,比M-Chord减少了约2500次;CS-Chord消息转发次数约降低150次,仅为M-Chord的50%。 基于PI3000平台的分布式任务调度研究与实现 在PI3000平台中任务调度是应用系统中很重要的部分,应用环境的复杂程度导致各式各样调度的框架和算法。文中提出一个新的分布式负载均衡的任务调度框架,以解决在并行任务中特定的应用环境下的任务调度问题。这个框架是动态的、可重用的,通过提供给各种不同的资源环境对应的接口,来进行跨服务的调度。整个框架关注于实际应用环境下任务、资源的动态不稳定性和任务计算的快速响应。 基于视觉显著性与霍夫森林的腰椎间盘定位与分割 为了对腰椎疾病的计算机辅助诊断提供腰椎间盘的具体位置和形状信息,提出一种基于CT图像的腰椎间盘定位与分割算法.首先针对腰椎CT图像中骨骼干扰较多、背景纹理复杂的特点,使用小波分解获得局部特征,并引入独立元分析方法将高维特征空间分解为相互独立的多个一维特征空间,提出基于视觉显著性检测的腰椎间盘区域预选取算法,实现了小波特征的概率密度估计,并生成了腰椎间盘显著性分布图;然后提出基于显著性分布与方向信息测度相融合的局部样本随机提取算法,同时设计了面向稀疏特征的弱分类器来提高随机森林的分类准确性,从而实现腰椎间盘区域的精确定位与分割.实验结果证明,该算法能够有效地提高腰椎间盘检测的准确率与计算速度. 具有学习及十字交叉搜索的人工蜂群算法 为克服人工蜂群算法搜索策略的局部搜索能力较弱且计算资源分布不均匀等缺点,提出了一种改进人工蜂群算法。首先对雇佣蜂和瞭望蜂,分别设计了新搜索策略,提高了在精英解和全局最好解邻域内的搜索能力;其次对依概率选取的瞭望蜂,采用局部学习策略,加快了收敛速度并增强了全局寻优能力;最后为平衡全局搜索和局部开发,利用十字交叉搜索增强瞭望蜂和全局最好解的局部搜索能力,维持了种群多样性,从而避免了早熟收敛现象。对10个标准测试函数和30个CEC2014测试函数集进行仿真实验,并与四种人工蜂群算法和两种非人工蜂群算法进行比较,结果表明改进的人工蜂群算法全局寻优能力强且提高了收敛速度和精度。 巨型数字阵列光传输系统设计 光传输技术是数字阵列雷达系统中的重要技术,主要实现高精度的定时同步和高速海量的数据传输。巨型数字阵列由于阵面规模大、组件数量多,加大了光传输系统设计的技术复杂度和工程实现难度。文中首先分析了巨型数字阵列信号传输的特点和具体要求,给出了巨型数字阵列光传输系统的设计方案和技术途径,对系统中的光纤传输网络、高速串行传输和系统测试等关键技术进行了详细分析,通过采用PON、SERDES等多项先进技术解决了设计中的技术难点,最终实现了巨型数字阵列的光传输系统设计。 基于SQLite和SVF的边界扫描测试生成设计 针对边界扫描中的测试生成问题,研究了一种SVF文件解析器;通过解析SVF文件,生成符合JTAG时序的测试信号,包括TCK、TMS、TDI以及预期的TDO值,这些测试信号可直接与被测系统相连,控制边界扫描测试过程;为方便查找与参数信息共享,将编译信息分类存入嵌入式开源SQLite数据库中;最后利用CY7C68013通过并口方式验证SVF解析器产生的测试信号;验证结果表明,所设计的SVF解析器可正确解析SVF文件,产生符合JTAG时序的测试信号,符合边界扫描测试生成要求。 超声在预测不同部位肝细胞肝癌术后复发率中的诊断价值 目的:应用超声观察不同部位肝细胞肝癌的声像图特征,评估超声检查在预测不同部位的肝细胞肝癌术后复发率中的诊断价值。方法:收集经过手术切除、且有术后随访结果的肝细胞肝癌178例,依据其不同发病部位进行分组,对肿块进行超声评估,使用卡方检验分析不同声像图特征组间肿瘤复发率的差异。结果:不同发病部位、大小及边界组肿瘤术后复发率有统计学差异。不同血供组肿瘤复发率无统计学差异。结论:超声检查通过分析肿块的声像图特征,可以在术前帮助预测患者术后复发率及判断预后,并可以为不同部位肝细胞肝癌的治疗方案的提供参考。 大数据环境下用户口令认证风险分析及对策 认证是信息安全的基本服务之一,口令认证是最常用的认证方法,但是目前用户口令设置存在许多隐患和风险。在分析目前用户口令设置存在的问题的基础上,提出了大数据环境下用户口令防护的攻防博弈模型,分析指出攻击者借助大数据分析技术能提高对用户口令的破译能力,而用户要想确保其安全性或更进一步降低其风险性则需要设置更有效的口令,使用身份交叉认证技术或动态跟踪用户访问信息系统行为的技术,降低大数据分析成本。提出相应的对策并使用用户数据画像思想建立大数据环境下信息系统用户身份交叉认证模型,通过模拟实验对模型的有效性进行验证。 SQL服务器注入攻击的主动防御技术研究 针对MSSQL注入攻击,研究认为只有主动防御,方能变被动为主动,进而从根本上使SQL服务器达到真正意义上的安全。设计开发了一套主动防御软件,它通过远程线程注入技术,挂钩SQL Server进程的API函数,实现主动防御策略。主动防御程序拦截到SQL Server的进程创建行为后,将进程创建参数发送到蜜罐主机去执行,并将蜜罐主机中的执行结果作为SQL Server的执行结果。结合第三方的计算机监控软件,对蜜罐主机进行监控,可以收集入侵者的信息,进而追踪入侵者。本软件还具备端口映射功能,可以将真实主机的端口映射到蜜罐主机上,从而增强蜜罐主机的欺骗性。当检测到恶意攻击时,系统提供了短信警报和邮件警报两种警报方式,以保证在第一时间通知系统管理员。 基于SM2与零知识的射频识别双向认证协议 为保证射频识别系统中阅读器与标签的无线通信安全,以国产公钥密码算法SM2为基础,引入零知识证明思想,提出一种双向认证协议。给出安全性分析和效率分析,并利用BAN逻辑进行形式化分析。结果表明,该协议在阅读器和标签只需交互2次的情况下即可完成双向认证,具有较高的安全性和通信效率。 基于FPGA图像分析的路面破损检测系统的研究与实现 以路面破损之一的横向裂缝为例,提出一种基于FPGA图像分析的路面破损检测装置的实现方法.该装置采用Sobel算法,并通过Verilog HDL语言编程在FPGA开发板上实现对输入路面图像分析和特征提取.为了提高检测准确性和抗干扰能力,在Sobel方法算法中加入可变分母的差分运算,并在图像二值化处理后进行形态学膨胀腐蚀.仿真和实验表明该检测装置能够准确检测路面裂缝. IT咨询服务支撑平台的设计与实现 开发一套IT咨询服务支撑系统,以促进服务标准化、规范化。在了解信息系统工程监理发展现状的基础上,结合平台的建设目标和建设任务,介绍平台的设计思想和设计依据,对平台进行系统的描述,提出平台的主要功能,设计与实现资源库和文档目录体系,描述平台的具体功能和用户的类型。采用Web2.0技术和Java程序设计语言,开发完成IT咨询服务支撑平台。该平台为信息系统监理企业和信息化项目提供一套专业的业务管理系统,进一步推动了IT服务业的发展。 国产操作系统PHP服务部署策略的设计与实现 "自从""棱镜""计划曝光以来,国家高度重视信息安全,大力推广国产操作系统,而国产操作系统数量依然很小。公开发布的国产操作系统都采用Linux内核,而大众对Linux不了解是发展缓慢的原因之一。LAMP通常指Linux操作系统、Apache服务器、MySQL数据库和PHP服务器,主要用于构建Web网站。由于这些都是开源软件,也全是国际上成熟的框架,无论是在价格、开发速度还是在跨平台以及性能等方面都有巨大的优势。因此,LAMP成为中小型企业搭建网站的首选。对LAMP以及相关的技术进行了介绍,设计LAMP的网站部署方案,并在中标麒麟系统上实现,对国产操作系统的普及具有一定的推动作用。" 2018年度部分CCF活动计划 [正文]9月21日—23日成都2018中国计算机应用大会会议编号:CCF-18-TC10-01N主办:中国计算机学会(CCF)承办:CCF计算机应用专委会成都信息工程大学联系:陈学斌(chxb@qq.com)网址:tcapp.dbw.org.cn9月21日—23日昆明第十二届传感器网络(物联网)学术大会会议编号:CCF-18-TC24-01N主办:中国计算机学会(CCF)承办:CCF物联网专委会昆明学院联系:申时凯(kmssk2000@sina.com) 一种基于Netlink和Libipq实现安全模块联动的设计 当今流行的各安全软件模块具有各自的优势和劣势。入侵检测系统Snort将信包流和规则进行匹配以检测入侵,对可疑行为只产生报警,对拒绝服务攻击无法抵御,抗攻击能力弱;而Linux的Netfilter/iptables机构能按照预设的规则对信包进行过滤,但过滤粒度大,规则缺乏灵活性,也不能检测基于过程的攻击。在安全模块间设计实现交互联动能够弥补各自的缺陷,实现更高的安全性。在对Snort和Netfilter/iptables进行分析的基础上,基于Netlink和Libipq对这两种安全软件进行模块联动设计,提出了联动设计的总体架构和设计思路,并对联动系统的详细实现流程进行了阐述,最后对运行测试结果进行了分析。 基于Hadoop的多特征协同过滤算法研究 协同过滤是互联网推荐系统的核心技术,针对协同过滤推荐算法中推荐精度和推荐效率以及数据可扩展性问题,采用灰色关联相似度,设计和实现了一种基于Hadoop的多特征协同过滤推荐算法,使用贝叶斯概率对用户特征属性进行分析,根据分析结果形成用户最近邻居集合,通过Hadoop中的MapReduce模型构建预测评分矩阵,最后基于邻居集和用户灰色关联度形成推荐列表。实验结果表明,该算法提高了推荐的有效性和准确度,且能有效支持较大数据集。 改进的FastICA算法研究 独立分量分析是目前盲源分离算法中最常用的一种方法,其中快速独立分量分析(FastICA)以其收敛速度快而被广泛应用,但FastICA对初始值的选择比较敏感,而且在使用牛顿迭代法时,每迭代一步都需要计算一次函数值和一次导数值,当函数比较复杂时,计算它的导数值往往不方便,用单点弦截法进行迭代,将最速下降法与单点弦截法结合,在保证分离效果的同时使FastICA的迭代次数减少,同时使计算式更加简洁,而且减小了对初始值的敏感性,仿真实验验证了其有效性。 《中文核心期刊要目总览》入编通知 [正文]尊敬的主编先生/女士:我们谨此郑重通知:依据文献计量学的原理和方法,经研究人员对相关文献的检索、统计和分析,以及学科专家评审,贵刊《计算机仿真》入编《中文核心期刊要目总览》2011年版(即第六版)之自动化技术、计算机技术类的核心期刊。 基于隐马尔科夫随机场邻域选择的细节保护图像分割 由于马尔科夫随机场(Markov Random Fields,MRF)区域标识模型的滤波效应,在合成孔径雷达(SAR)图像处理过程中,细节结构会被部分保留或者完全丢失。本文提出一种基于散射描述子的自适应邻域系统隐MRF(Hidden MRF,HMRF)图像分割方法,以实现更好地保留图像细节特征和边缘区域,从而改善图像的分割效果。为了提高可靠性和自适应性,将模糊c均值(Fuzzy c-means,FCM)聚类算法与散射变换相结合,实现邻域形状的自适应选择。从不同的邻域形状中,选择具有最高模糊隶属度的邻域形状进行HMRF区域标识过程。实验结果表明,相比较于一般HMRF使用固定形状的邻域系统,本文所提出的算法改善了分割效果,特别是图像细节结构信息得到了很好的保护。 基于可配置LFSR的低功耗确定性矢量生成技术的研究 针对组合电路内建自测试过程中的功耗和故障覆盖率等问题,提出了一种能获得较高故障覆盖率的低功耗测试矢量生成方案.该方案先借助Atalanta测试矢量生成工具,针对不同的被测电路生成故障覆盖率较高的测试矢量,再利用插入单跳变测试矢量的方法以及可配置线性反馈移位寄存器生成确定性测试向量的原理,获得低功耗测试矢量.通过对组合电路集ISCAS’85的实验,证实了这种测试生成方案的有效性. 使用角度选择策略的第二代Pareto强度进化算法 现实世界中的许多优化问题都是多目标优化问题,其中有许多多目标优化问题无法用传统的数学方法解决。进化优化的方法能在一次运行后获得一组逼近真实Pareto前沿的折衷解。针对第二代Pareto强度进化算法收敛性不足的问题,提出使用角度选择策略的第二代Pareto强度进化算法。采用个体之间的角度信息来表示个体的收敛性及分布性,使用该方法改进第二代Pareto强度进化算法的种群修剪方法,进一步增加收敛压力,同时保持好的分布性。实验结果表明,SPEA2+算法能有效地解决具有2个和3个目标的优化问题。 基于核的图像欧氏距离人脸识别 引进了两幅图像之间的一种新的距离度量方法——图像欧氏距离,该距离是利用核函数对传统的欧氏距离进行改进而得到的。在此基础上,设计了一种新的分类识别方法——基于核的图像欧氏距离人脸识别方法,并应用于人脸识别中。为验证该算法的可行性,对人脸图像进行DCT变换得到预处理样本,并在ORL和Yale人脸库上进行多角度的比较实验。分析实验结果表明,该方法优于其它距离分类器算法。 传感器网络中加入助理簇头的分簇算法研究 在基于分簇算法的无线传感器网络中,簇头的能量消耗远高于簇内成员。考虑在相应簇内的成员节点中产生助理簇头,由其分担簇头的负担,对降低簇头能量消耗具有极大帮助。提出了一种助理簇头算法(ASCH),算法根据簇头的自身条件动态地确定簇内是否需要产生助理簇头,同时选择合适的成员节点成为助理簇头。实验结果表明,提出的算法与LEACH算法相比,能耗更加均衡,有效地降低了网络能耗,延长了网络生命周期。 一种无信任权威的基于身份的签密方案 签密是在一个合理的逻辑步骤内同时完成数字签名和公钥加密两项功能,其计算量和通信成本都远远低于传统的先签名后加密。分析现有的基于身份的签密方案,利用双线性对提出了一种新的无需可信任中心的基于身份的签密方案,并对该方案的安全性及效率进行了分析。分析表明,该方案满足签密的安全性要求并具有更高的效率。 MIMO信道中基于功率分配的SLNR预编码算法 为了消除或降低多用户MIMO系统下行链路存在的共信道干扰(CCI),提出一种结合功率分配的基于最大化信漏噪比(SLNR)的预编码算法。首先,根据SLNR算法求出最优预编码矩阵,再结合最优功率分配算法,借助拉格朗日乘数法,优化分配每个用户的发送功率,从而提高系统和容量以及降低误码率(BER)性能。为了简化计算复杂度,还提出了SLNR算法结合次优化功率分配算法。仿真表明,所提出的算法比块对角化(BD)算法和最小均方误差准则(MMSE)算法在系统和容量以及误码率性能上都有所改善。 手机三维菜单绩效预测模型 菜单是手机界面的重要交互部件,手机功能增加所带来的菜单规模增大与手机小屏幕形成日益突出的矛盾.三维界面技术可以提高界面信息容量,因此,在手机上引入三维菜单概念是一个值得研究的课题.相应地,需要解决手机三维菜单用户操作绩效的评估问题.模型预测评估法能使研究人员和设计人员快速和以较低成本对用户界面进行评估.因此,基于Fitts定律和Hick-Hyman定律,建立了预测评估手机三维菜单操作绩效的定量模型.通过实验检验了模型的有效性,并将其与相关模型进行了对比分析.实验结果表明,实验得到的数据与该模型预测数据吻合较好,并且与相关模型相比该模型预测数据与实验数据的相关度最高. 基于城区距离的自适应加权均值滤波算法 针对传统滤波窗口不能自适应扩展以及标准均值滤波易造成图像边缘模糊的缺陷,提出一种基于城区距离的自适应加权均值滤波算法。首先,利用开关滤波思想检测出噪声点;其次,对于每一噪声点,依据城区距离扩展窗口,窗口的大小根据窗口内信号点的个数自适应地调节;最后,将窗口内足够数量信号点的灰度的加权平均值作为噪声点的灰度值,实现对噪声点的有效恢复。实验结果表明,该算法能够有效地滤除椒盐噪声,尤其对噪声密度较大的图像,去噪效果更加显著。 基于结构张量的Non-Local Means去噪算法研究 非局部平均是当前一种新兴而有效的图像去噪方法。为了能充分利用数字图像局部几何结构的自相似性,同时由于结构张量可有效刻画数字图像的局部几何结构特征,进而提出了基于结构张量相似性度量的非局部平均去噪算法。实验结果验证了该算法抑制噪声的有效性,同时能很好地保持边缘等细节特征,峰值信噪比得到有效提高。 考虑犹豫度的Vague集相似度量方法 "研究了本刊2008年第4期王伟平的论文""Vague集之间相似性度量的基本准则与一般方法""中的Vague集间相似度量方法的优点和不足,考虑到犹豫度之差对相似度的影响,提出同时考虑真隶属度、假隶属度、相对优势、犹豫度之和以及犹豫度之差的Vague集相似度量方法,并证明王伟平相似度量方法的不足和新相似度量方法的优点,并把新相似度量方法应用于病症诊断中。" 社交网络中基于CP-ABE的隐私保护属性匹配方法 针对社交网络中用户属性匹配的隐私保护问题,提出一种可保护隐私的用户属性匹配方法。该方法基于匿名属性加密技术构建,可应用于集中式属性匹配场景中。在该方法中,用户用两个属性列表分别表示自我描述和交友偏好,并通过将自我描述转化为属性密钥以及将交友偏好转化为密文访问控制策略来实现属性信息的隐藏。服务器通过判断是否能够正确解密进行匹配判定。运用该方法,服务器可以在不必了解匹配双方具体属性信息的情况下完成双向属性匹配判定。分析和实验结果表明,在保证隐私安全性的同时,该方法也具备较高的计算效率,具有较强的实用性。 基于感兴趣区域的边缘结构相似度图像质量评估 目前常用的评估算法忽视了图像中携带重要信息的边缘特征。基于感兴趣区域的双尺度边缘结构相似度算法虽然考虑了边缘信息的重要性,但对边缘信息的识别效果不理想。针对以上问题,提出边缘结构相似度图像质量评估算法,将图像分为感兴趣区域与不感兴趣区域,分别用边缘结构相似度算法和结构相似度算法对2种区域进行质量评估,并实现加权组合。实验结果表明,该算法对边缘信息的识别能力更强,对图像质量的变化更加敏感。 面向高性能应用的MPI大数据处理 针对消息传递接口(MPI)在高性能计算领域的应用场景,为了优化MPI现有数据集中管理模式,增强其对大数据的处理能力,借鉴并行与分布式系统思想,开发设计一套适用于大数据处理的基于MPI的数据存储组件(MPI-DSP)。首先,创建接口函数,以对MPI系统影响最小的方式实现“计算向存储迁移”的设计目标,将文件分配与计算进行分离,使MPI突破大数据文件读取时的网络传输瓶颈。然后,分析阐述设计目标、运行机制、实现策略,通过描述接口函数MPI_Open在MPI环境下的应用,验证设计理念。通过Wordcount实验对比使用MPI-DSP组件与原MPI在数据文件处理方面的时间性能,初步验证了MPI“计算向存储迁移”模式的可行性,使其具备在高性能应用场景下的大数据处理能力。同时分析了MPI-DSP的适用环境和局限性,界定了其应用范围。 基于量子逻辑的确定型正则文法 基于量子逻辑的文法理论是量子计算模型的一个重要研究方向。给出了基于量子逻辑的确定型正则文法的概念,证明了基于量子逻辑的确定型正则文法与基于量子逻辑的确定型自动机的等价性。利用此等价性,给出了量子确定正则语言的代数刻画和层次刻画,并得到量子确定正则语言关于正则运算的封闭性。 改进的排序变异多目标差分进化算法 针对多目标差分进化算法在求解问题时收敛速度慢和均匀性欠佳的问题,提出了一种改进的排序变异多目标差分进化算法(MODE-IRM)。该算法将参与变异的三个父代个体中的最优个体作为基向量,提高了排序变异算子的求解速度;另外,算法采用反向参数控制方法在不同的优化阶段动态调整参数值,进一步提高了算法的收敛速度;最后,引入了改进的拥挤距离计算公式进行排序操作,提高了解的均匀性。采用标准多目标优化问题ZDTl~ZDT4,ZDT6和DTLZ6~DTLZ7进行仿真实验:MODE-IRM在总体性能上均优于MODE-RMO和Plat EMO平台上的MOEA/D-DE、RM-MEDA以及IM-MOEA;在世代距离(GD)、反向世代距离(IGD)和间隔指标(SP)性能度量指标方面,MODE-IRM在所有优化问题上的均值和方差均明显小于MODE-RMO。实验结果表明MODE-IRM在收敛性和均匀性指标上明显优于对比算法。 第一届CCF大数据学术会议在京成功召开 [正文]中国计算机学会第一届大数据学术会议(CCF BigData2013,会议编号:CCF-TC-13-35)于2013年12月7日至8日在北京国家会议中心成功召开.CCF BigData2013由中国计算机学会主办,中国计算机学会大数据专家委员会承办,中国人民大学、中科院计算所、南京大学协办.本次大会由中国科学院计算技术研究所李国杰院士和香港中文大学华云生教授担任大会名誉主席,哈尔滨工业大学李建中教授和金蝶国际软件集团首席科学家张良杰担任大会主席,中国人民大学孟小峰教授和华中科技大 一种面向加密算法共性子图的指令定制方法 为提高处理器对加密算法的处理效率和扩展性能,本文提出一种基于共性子图的指令定制方法.该方法通过挖掘常用加密算法中的共性计算模式,用一条模式指令替换多条被共性模式覆盖的指令,并使用查找表(LUT)对S-盒间址访问进行处理,达到减少算法指令数的目的.我们针对MD5,SHA256,RC5和AES等4种常用加密算法进行了实验,结果表明该方法可以有效的减少4种算法的核心循环体指令,使这些算法得到15.4%~60.6%的指令减少率. 等几何分析中的r-p型细化方法 在CAD模型的性能模拟分析过程中,经常需要对计算域模型进行细化以提高模拟的精度.针对等几何分析这一新兴的模拟分析方法,提出一种新的细化方法,称之为r-p型细化方法.该细化方法包括2个步骤:首先通过极小化后验误差估计,对初始计算域的内部控制顶点的位置进行优化(即r型细化);然后对通过r型细化得到的计算域模型进行升阶操作以增加自由度(即p型细化).将r-p型细化方法应用于二维热传导问题的等几何模拟分析,通过实例验证了r-p型细化方法在等几何分析中的有效性,并与经典的h型细化方法进行了比较. 基于Gauss和LLL规约的新型格基规约算法 格是多维空间中点的规则排列,基于格的公钥密码体制是密码学中研究的热点。针对传统格基规约算法效率较低、消耗时间较长的问题,分析Gauss和LLL规约算法,在此基础上提出一种新型格基规约算法(Gauss-LLL),对算法进行正确性验证,并给出实现伪码。该算法可对格的任意一组基进行规约,最终获得一组长度较短的规约基。分析结果表明,与LLL算法相比,Gauss-LLL算法得到的规约基较优,规约效率较高。 基于计算机口语考试的一种同步性协调方法 基于计算机的口语考试系统为大规模口语考试的组织和实施提供了可行的方案。由于考试计算机软硬件环境不可预测的差异,因此基于计算机的口语考试可能会在不同的考试客户端上出现略有差异的考试进度。在口语考试过程中,为了尽可能降低相邻考生之间的干扰、避免考生非法的交流,考试系统必须通过专门设计的机制来保证考试进度的同步性。给出一种基于试卷定义的分布式考试同步协调方法,通过在试卷中设定适当的同步检查点,完成全考场不同计算机的考试自动同步。现场考试实验说明该方法对不同计算机配置的机房和不同试卷编排都是有效的。 基于运行信息融合的大型设备视情维修系统 现有视情维修系统研究中缺乏对处于正常运行阶段的设备因加工过程操作不当所引起的运行故障进行预测维修。针对该问题,设计并开发了一种基于设备加工运行状态信息融合的大型设备视情维修系统。提出了基于运行状态信息融合的大型设备视情维修系统的体系结构,并对系统的工作流程进行了详细阐述。以大型机床为例,阐述了运行状态信息采集和基于运行状态信息融合的故障预测方法。通过对某大型机床的应用实例,验证了该系统的可行性和有效性。 基于水下网络的多UUV协同作战效能评估 水下网络中心战的核心是实现水下多武器的资源共享、协同探测和协同攻击;文中以多UUV(Unmanned Underwater Vehi-cle)协同作战为例进行分析,来定量的评估水下多武器协同作战效能;建立基于水下网络的多UUV协同作战模型,运用层次分析法(AHP)确定水下网络中心战中多UUV协同作战效能评价体系中各指标的权重,使用模糊综合评价方法对各模糊指标进行评价;此实例为评估多武器协同作战效能提供了一种有效的论证方法。 距离选通超分辨率三维成像同步控制的研究 在目标图像优化的研究中,高精度同步控制对于距离选通超分辨率三维成像系统获得目标的距离信息、大小和形状信息、准确识别目标具有决定性的作用。但是目前同步控制精度只能达到所用同步控制器件的时钟精度,以通用的同步控制器件FPGA为例,最高时钟频率可达640MHz,即时钟精度最高为1.56ns,但实际设计完成综合后的时钟频率要远低于上述频率,使同步控制精度远低于1.56ns。为解决同步控制受限于所用同步控制器件时钟精度的问题,提出了移相相或提高同步控制精度的可行性,采用ISE14.7组件搭建了高精度同步控制系统并使用ISIM仿真实现了200MHz时钟频率即5ns时钟周期情况下1ns同步控制精度。仿真结果表明,移相相或能够在低的时钟频率下可实现高的同步控制精度。 不确定移动对象的查询处理技术研究综述 由于数据采集设备不精确,移动对象延迟更新和隐私保护等原因,移动对象的不确定性普遍存在。在传统数据库中增加概率维,使得对象表达、查询定义、查询处理以及最终结果的呈现,都与传统方法不同,基于确定数据的移动对象查询处理技术无法进行有效处理。因此,基于不确定对象的查询处理技术受到越来越多的关注,成为当前数据库领域的研究热点问题之一。讨论了不确定对象查询面临的挑战;从查询定义、剪枝策略和算法等角度,分别对基于不确定移动对象的范围查询、最近邻查询、反最近邻查询和轨迹查询的研究现状进行了详细的介绍和对比;探讨了今后的研究重点和发展趋势。 基于Android的蕾丝花边检索系统的研究与实现 针对目前蕾丝花边花型种类繁多、重复率较高且产品管理过于人工化等问题,对蕾丝花边检索系统进行研究,提出一种基于内容的图像检索方法,并开发一款基于Android的蕾丝花边检索系统。使用该系统对蕾丝花边进行检索时包括两个阶段:注册阶段和辨识阶段。注册在辨识之前,将所有蕾丝花边图像的特征存储到远程服务器的特征数据库中。辨识是一对多的层次匹配,将由智能手机获取的被检索蕾丝花边图像的特征与存储在远程服务器的特征数据库中所有的蕾丝花边特征进行比对,并将检索结果信息返回到手机。测试结果表明,该方法能实时准确地实现蕾丝花边检索,能够满足企业的实际需求。 统计聚类模型研究综述 聚类分析在工程领域如生物序列分析、图像分割、文本分析等广泛应用。聚类方法涉及广泛,而基于概率统计理论的方法是其中的一大类。从最基本的FCM模型出发,阐述了势函数(Potential)、山脉(Mountain)函数聚类方法、信息熵方法,分析比较了这些方法的适用范围和优缺点,介绍了当今流行的核聚类、谱聚类和高斯混合模型聚类方法及其求解过程,并分析了它们的优缺点、计算复杂性等指标。最后,介绍了一些崭新的聚类模型的研究方向。 面向车载自组织网络路由的轨迹预测算法 在车载自组织网络(vehicular ad hoc network,VANET)(也称车联网)中,基于地理位置的路由协议能够较好地适应网络拓扑的动态性变化和链路质量的不稳定性.由于位置信息需要在邻居节点间采用信标分组进行交互,信标分组间隔内的转发决策可能因车辆节点位置的移动而不准确,需要进行位置预测来修正车辆节点的位置.已有的位置预测算法存在普适性差或预测误差大的问题.针对上述问题,提出了一种新的预测算法,首次通过测量得到车辆加速度服从正态分布的结论,利用线性回归进行预测,并采用反馈机制进行结果修正.利用真实车辆轨迹进行测试,新的预测算法的预测精度大为提高.然后,提出了一种新的基于位置的即时路由协议.在该协议中,发送节点利用邻居节点位置和目的节点位置计算出转发下一跳.将新的位置预测算法加入到即时路由协议中,实时预测和更新车辆的位置.利用SUMO软件生成了基于真实地图道路轨迹的车辆运动模型,结合NS3网络仿真平台进行了仿真实验.实验结果表明:采用新的预测算法后,相比传统的GPSR协议和不带预测的即时路由协议,新方法的收包率提高、延迟下降,并且协议开销显著降低. NTFS文件系统空间回收方法的研究 传统配置技术中配置容量往往得不到充分利用,并且已经分配的存储资源占用的存储空间即使被释放了也无法再次使用。为此,应用自动精简配置(TP)技术按需分配存储资源,解决存储资源的浪费问题。针对一般文件系统中空闲空间回收方法均需要占用额外的系统资源问题,提出SAN环境下基于NTFS文件系统簇位示图的空间智能回收方法。实验结果表明,NTFS文件系统的空间回收方法可有效回收空闲空间,当TP页大小设置在KB级时,回收效率可达90%以上,同时该方法推迟了容量预警和在线扩容的时间,提高了存储利用率。 基于二值数据贝叶斯子空间的人脸识别算法 基于贝叶斯空间的人脸识别算法均假定样本空间满足高斯分布,实际上样本空间很复杂,不一定能满足高斯分布。提出一种新的在贝叶斯空间进行人脸识别的算法,该算法通过设定图像灰度级的阈值,统计其出现频率,计算其类条件概率密度,利用贝叶斯公式求后验概率。该方法克服了传统贝叶斯方法难求类内和类间协方差矩阵的缺点,简单易用。实验结果证明,该方法具有可行性,识别率高于传统的基于代数的人脸识别算法(PCA、LDA和PCA+LDA)。 基于图的半监督协同训练算法 在分类器训练过程中,无标记数据的引入容易产生噪音,从而降低分类精度。为此,提出一种基于图的置信度估计半监督协同训练算法。利用样本数据自身的结构信息,计算无标记样本所属类别概率。采用多分类器对无标记数据进行置信度估计,以提高无标记数据挑选标准,减少噪音数据的引入。在UCI数据集上的对比实验验证了该算法的有效性。 欢迎订阅2016年《计算机工程与应用》 [正文]中国科学引文数据库(CSCD)收录来源期刊、北大中文核心期刊、中国科技核心期刊、RCCSE中国核心学术期刊、《中国学术期刊文摘》首批收录源期刊、《中国学术期刊综合评价数据库》来源期刊,被收录在《中国期刊网》、《中国学术期刊(光盘版)》、英国《科学文摘(SA/INSPEC)》、俄罗斯《文摘杂志(AJ)》、美国《剑桥科学文摘(CSA)》、关国《乌利希期刊指南(Ulrich’s PD)》、《日本科学技术社(JST)中国文献数据库》、波兰《哥白尼索引(IC)》,中国计算机学会会刊、计算 基于刻面描述的数据空间原型系统 提出一种基于刻面描述的数据空间存储模型(FADSM)。以FADSM模型为基础构建一个数据空间原型系统,实现对数据空间中异构异质数据源内部及外部属性的提取,以Pay-as-you-go的管理模式实现统一管理,并提供对数据源添加、删除和浏览、索引等数据源管理功能以及数据源索引、查询和数据空间演化功能。 联合LBS和Snake的3D人体外形和运动跟踪方法 为了解决基于多目视频轮廓信息的3D人体外形和运动跟踪问题,提出一种联合线性混合蒙皮和Snake变形模型的算法框架.首先建立人物对象的蒙皮模型,以每一帧多目同步视频的轮廓作为输入,采用一种基于剪影轮廓的可视外壳重建算法,使得作为3D特征的可视外壳保持了局部细节且更加光滑;并使用关节型迭代最近点算法进行匹配以捕获出每一帧骨架子空间下的人物3D外形及运动;再一次使用当前帧的多目轮廓信息,让Snake内外力共同作用于人物网格模型上的顶点,使之自由地趋近于目标对象.使用带ground-truth的合成数据进行对比实验的结果表明,该方法因同时使用3D误差约束和2D误差约束,提高了跟踪精度. 基于模糊函数SVD和改进S3VM的雷达信号识别 为提升在日趋复杂的电子对抗环境中对雷达信号识别的准确率,提出了一种基于启发式采样搜索(Heuristic Sampling Search,HSS)改进S3VM的雷达辐射源信号识别算法。根据模糊函数理论,通过对雷达信号的模糊函数进行奇异值分解(SVD),提取出奇异向量作为雷达信号识别的特征参数;针对传统的半监督支持向量机(Semi-supervised SVM,S3VM)的不足,利用改进的S3VM构建分类器对雷达信号进行分类,完成对测试样本的识别。该方法通过启发式采样搜索来寻求具有代表性的多个大边缘低密度的分类决策面,有效解决传统S3VM分类精度低且分类性能不稳定等缺点。实验结果表明,在雷达信号识别中,该算法明显提高了分类准确率。 基于海量图像的真实感故事可视化生成 通过对故事可视化领域进行深入研究,提出一个完整的故事可视化系统.首先利用自然语言处理对故事脚本进行解析,自动形成场景单元及关键字,根据关键字从互联网上搜索相应的图像序列;然后提出一种改进的前景自动提取算法,并分别采用不同的过滤策略获取所需的候选前景和背景图像;最后根据用户选定的图像合成单幅场景,并采用一致性优化算法来保持场景之间的连续性与一致性.实验结果证明,该系统能够智能地辅助用户生成故事图像序列,增加其认知和创作乐趣,有效地减轻用户创作的负担和约束,而对用户本身无需任何艺术背景要求.文中工作可用于儿童认知以及导演创作等应用领域. VxWorks下多协议转换硬件电路及其驱动设计与实现 针对嵌入式系统外部接口各异,无法直接支持SATA接口的问题,采用多款协议转换芯片,设计并实现了PCI接口转换为SATA接口的硬件电路及其在IDE模式下的驱动程序,成功将SATA固态盘应用于机载存储,提高了系统的存储容量和存储速度;测试结果表明工作在此硬件电路上的SATA盘,最高连续读速度为65MB/s,最高连续写速度为45MB/s,完全满足航空项目中机载存储设备的要求。 新型LeNet-FC卷积神经网络模型算法的研究 针对已有的卷积神经网络(Convolutional Neural Network,CNN)在人脸识别训练中出现过拟合、收敛速度慢以及识别准确率不高的问题,提出了新型的LeNet-FC卷积神经网络模型。通过增加网络层、缩小卷积核等结构改进以及采用优化的对数—修正线性单元(Logarithmic Rectified Linear Unit,L_ReLU)激活函数,该模型在人脸识别训练的准确率达到了99.85%。同时基于LeNet-FC卷积神经网络模型设计了一个人脸识别系统。该系统在ORL人脸库的仿真测试实验中识别准确率达到了96%。 永磁同步电机的交流伺服控制系统仿真 在交流伺服电机控制系统中,系统调节器的参数决定系统的动态性能,并且负载变动、对象参数变动对系统各环节都有很大影响,使实际电机控制中参数的调节比较困难。针对此问题,通过对永磁同步电机(PMSM)数学模型的分析,根据实际程序搭建了基于Matlab/Simulink仿真软件的控制系统仿真模型。实验结果表明,上述模型在负载变动和对象参数变动时,具有较好的鲁棒性和准确性,为实际PWSM控制系统的参数调节提供了很大的方便。 基于RTSP/RTP的视频点播服务器设计与实现 针对网络带宽有限的网络环境中丢包率较高的问题,基于RTSP/RTP(Real Time Streaming protocol/Real-time Transport Protocol)协议,提出一种新颖的点播服务器解决方案。点播服务器采用轮询式处理模式,提高多用户并发请求的响应能力。对播放终端处理能力较弱、网络带宽有限的平台,支持只传输I帧,降低对网络带宽、处理器能力的要求。所提出的点播服务器结构和提高服务器性能的方法对点播服务器的开发,尤其是网络带宽有限环境中的流媒体服务器的开发,具有重要的参考价值。 具有落角约束的空地导弹最优导引律 在空地导弹制导精度优化控制的研究中,特定的碰撞角度能够有效提高导弹的精确打击效果。为此以最优控制理论为基础,结合空地导弹的精度要求,提出了一种具有落角约束的最优导引律。首先,推导了适用于任意阶系统的具有落角约束的最优导引律一般形式;然后,给出了零滞后系统的导引律表达式;最后,通过数字仿真验证了所提出导引律的有效性。仿真结果表明,所提出的导引律具有较高的精度,脱靶量和落角误差能够满足要求,并且能够以任意角度打击地面目标,可以满足空地导弹制导精度的需求。 一种采用接口错误注入的构件安全性测试方法 构件特别是第三方构件的安全性是影响构件技术发展的重要因素.当前甚少将构件安全性问题作为一个专门的课题来研究,也没有确实可行的方法和技术用来检测构件安全漏洞.构件的安全性问题仍然没有得到较好的解决.提出一种基于接口错误注入的构件安全性测试方法.方法首先给出构件脆弱性错误注入算子、断言规则库及其脆弱因子,然后基于错误注入算子和断言规则库给出一种构件安全测试算法.算法根据错误注入算子有针对性地产生测试用例并执行测试,动态监测机制能实时监测构件运行状态及异常信息.算法根据断言规则库、脆弱性因子及异常信息给出构件安全异常报告及构件安全等级.最后在一集成测试平台中实现了该方法,同时做了大量实验,并和FUZZ法进行了对比分析.实验结果表明所提出的测试方法可操作性强,且对构件脆弱性具有较好的检测效果. 无线多跳网络基于位势博弈的传输调度方法 为了进一步提高无线多跳网络的吞吐量,采用物理干扰模型,考虑链路速率可以随信干噪比(signal tointerference plus noise ratio,SINR)动态可调,提出了基于位势博弈的传输调度算法。通过设计合适的位势函数,使得纳什均衡点的存在性和收敛性都得到保证。同时,每个参与者在最小化自己支付的同时,使全局函数达到最优。仿真结果表明,该算法具有较好的吞吐量性能,而且有较快的收敛速度。 基于无线传输的高速列车轴温集中监测系统 为避免高速列车在运行中产生热切轴现象,采用2.4GHz无线通信技术设计了轴温集中监测系统,系统由安装在车轴上的监测节点和监测台组成;监测节点采用ATmega128L作为微控制器,利用温度传感器PT100实现轴温的采集,并通过无线模块nRF24L01实现数据的传输;监测台主要负责集中接收、处理、显示和存储各监测节点发送来的数据;当轴温过高时,报警提醒驾驶员采取紧急措施,避免发生事故;经试验表明,该系统能够准确测量运行列车的轴温状态,给出了实验结果并进行了分析。 城市场景车用自组织网络有效路由 为了提高城市场景车用自组织网络通信的高效性,提出了基于道路网络连通性和交叉路口的路由协议。通过分析城市场景车用自组织网络的特征,构建基于道路交通网络连通概率模型,并根据该模型选择连续道路交叉路口构成最优路径;数据包沿这条最优路径传输,道路段内采用优化的地理转发策略传输数据包;为了应对无线信号在交叉路口拐角处急剧衰减引起的链路突然断裂,选择最佳的队列头负责交叉路口拐角处数据包的有效转发。仿真结果表明,该路由协议能够有效增强网络的稳定性,提高网络性能,并减小路由断裂事件。 基于N步不变集的时滞切换系统的饱和控制 构造离散时滞切换系统的不变集,提出基于N步不变集的切换控制器设计方法,估计执行器饱和非线性的吸引域范围。首先,考虑时滞的影响,选取依赖于时滞的Lyapunov函数,构造时滞切换系统的不变集,并将其表达为若干个椭球集的凸组合,椭球集的个数与时滞常数相关。其次,在系统的前N个采样时刻,分别施加不同的饱和约束,求解得到一组椭球集,椭球集的个数与常数N相关,而每一步计算得到的椭球集均为时滞切换系统的不变集。再将N个不变集用一组凸包系数拟合,即可获取较大的吸引域估计。最后,在满足平均驻留时间约束的条件下设计切换律,并设计状态反馈控制器,保证闭环系统渐近稳定。控制器的求解转化为线性矩阵不等式的可行性问题。仿真结果验证了所提方法的可行性和有效性。 改进的随机森林算法在乳腺肿瘤诊断中的应用 为了解决乳腺肿瘤诊断中误差代价敏感的不平衡分类问题,提出一种改进的随机森林算法的乳腺肿瘤诊断模型。首先,在随机森林算法的基础上,将良恶乳腺肿瘤样本的诊断性能分开考虑,利用随机森林的泛化误差上界相关因素推导出ROC曲线的查全率(TPR)和误警率(FPR)的上界值。给出针对特定类别优化分类性能的基准,绘制出不同决策阈值下的TPR和FPR值的ROC曲线,调整平均关联度,再次训练,依据ROC曲线性能,确定最优平均关联度的诊断模型。最后,将该改进的随机森林算法与传统方法的诊断性能进行对比。实验结果证明,提出的方法模型在保证整体的诊断性能的前提下,对于提高恶性肿瘤的识别能力具有可行性和有效性。 一种可支持多种多媒体业务的基于IMS的IPTV架构 IP多媒体子系统用于实现端到端的IP多媒体通信,具有接入无关性、对各种接入技术广适性的特点,因此,下一代网络采用IP多媒体子系统作为核心架构.提出一个基于IP多媒体子系统的IP电视架构,在IP多媒体子系统核心模块的基础上,以一组互相独立的基于会话初始化协议的功能模块构成应用服务器,并设计了能够支持和提供不同IM S业务的客户端与内容提供商端,使该IPTV框架可以在下一代网络上支持基于IP多媒体子系统的包括IPTV业务在内的多种多媒体业务. 一种开放式水力机械状态数据应用平台 本文针对水力机械状态监测数据的多样化应用需求,设计了一种开放式模块化数据分析与应用平台,并提出了这种软件平台的设计思路、功能组成与实现原理,对模块标准化和模块间数据共享的难点,采用动态链接库和内存共享方式给出解决方案.通过开放模块的模板和接口,能够实现多方协作开发集成,减小系统功能的耦合,最终为水力机械的数据挖掘提供易用的软件框架与基础. 改进的小脑控制神经网络算法研究 研究小脑控制器神经网络(CMAC)在模式识别中的应用问题。在算法优化过程中,针对模式识别中训练样本中存在着高维、大量冗余信息而传统CMAC不能够对输入信息空间维数降低,从而常导致CMAC网络训练速度慢、识别率低等问题。为了加快CMAC学习速度,提高识别率,提出一种基于粗糙集(RS)的CMAC模式识别方法(RS_CMAC)。利用粗糙集约简并删除训练样本中的冗余信息,降低样本的维数,从而优化网络的结构;同时网络中引入了自适应动态学习率,加快网络的收敛速度和学习速度,从而提高识别率。以数码管模式识别为例对算法进行了验证性实验,仿真结果表明,与传统CMAC相比,识别精度有了明显提高,学习速度加快。RS_CMAC方法克服了传统CMAC缺点和不足,是一种有效的模式识别方法,为实际应用提供依据。 AM-OLED驱动控制芯片中电荷泵的设计 AM-OLED(有源矩阵-有机发光二极管)被称为下一代平板显示技术.在AM-OLED驱动控制芯片的电源电路设计中,电荷泵电路的设计尤为关键.电荷泵的功能是对输入电压按一定倍数进行DC-DC升压、降压和反压,为其他模块提供供电电压.在详细分析AM-OLED驱动控制芯片对电源电路需求的基础上,完成了对电荷泵系统的Verilog-A建模和设计,最后采用0.16μm HV工艺对电路进行了HSPICE仿真验证. 内容中心网络中基于可用带宽的多接口路由策略 针对内容中心网络(content-centric networking,CCN)中过多的兴趣包容易造成链路拥塞和负载不均衡的问题,提出一种MRSAB算法。采用三颜色机制标识可用接口,利用加权移动平均(weighted moving average,WMA)数学模型预测节点的可用链路中数据包的个数;预测的数据包个数反映链路中带宽占用情况,选取可用带宽较大的链路进行兴趣包的转发。仿真结果表明,该路由算法能够有效减少链路拥塞和服务器负载,提高链路的负载均衡。 锂电池内阻参数的研究 随着电池的更新换代,锂电池渐渐地成为了该行业内的主要研究对象;针对内阻是反映锂电池状态的重要参数,对松下18650型号锂电池设计充放电实验;对得到的实验数据,用文中提出的改进灰色关联分析算法进行分析研究;并将该算法用作遗传粒子群算法的适应度函数进行最小二乘支持向量机算法的参数选优,达到了全面分析时间序列参数变化的目的;通过Matlab的建模分析,用变差函数改进的灰色关联分析法能够证明内阻的变化与锂电池的荷电状态变化关联度最高,适合作为荷电状态估计的主要因素;为了进一步分析锂电池内阻的性能,使用改进算法对锂电池内阻与各参数的变化关系进行分析,可得内阻的大小变化受电流、温度等参数变化的影响;因此,正确估计锂电池的状态,必须综合考虑锂电池的各项基本参数。 基于jQuery框架的页面前端特效的设计与实现 阐述jQuery框架的概念和特点,分析jQuery语法和选择器功能及事件响应机制。以实现复选框、表格等页面前端特效为例,描述使用jQuery技术的简洁性、有效性和独立性。 室内双色数据集上的反向最近邻查询 随着Wi-Fi、RFID等室内定位技术的发展,产生了越来越多的基于室内空间的位置服务需求。目前已有文献提出了针对室内环境的范围查询和最近邻查询,而双色反向最近邻(bichromatic reverse nearest neighbor,BRNN)查询作为常见的空间查询类型,在室内空间中尚未有相关的研究。为此,提出了基于兴趣点集合的兴趣点融合图模型,并提出了基于路径、基于楼层和基于单元的3种剪枝策略,用于在查询处理时削减搜索空间。在兴趣点融合图和剪枝策略的基础上,提出了室内双色反向最近邻(indoor bichromatic reverse nearest neighbor,IBRNN)查询算法Smart。Smart算法通过对兴趣点融合图中的图元素的检查,从而判断与该图元素关联的移动对象是否有可能属于结果集。最后通过实验,对所提算法的有效性和高效性进行了验证。 一类异构多智能体系非线性协议下的一致性分析 针对一阶、二阶混合异构多智能体系统一致性问题研究中,存在状态不可测和系统最终仅可以获得静态一致性的问题,提出了一种具有参考速度的非线性一致性协议。在此基础上,首先,将一致性分析转化为稳定性证明;然后,构造李亚普诺夫函数;最后,基于李亚普诺夫稳定性理论和拉塞尔不变集原理,分析得出了该异构系统获得一致性的充分条件。仿真结果表明,满足文中的条件,系统在所提出的协议下获得了一致性。 一类带有扩散的Lotka-Volterra竞争系统的共存态 考虑了一类带有三次功能反应项和扩散的Lotka-Volterra竞争生态系统的平衡态解。运用谱分析的方法,通过构造上下解,给出了系统存在共存态的一些充分性条件。 三自由度双旋翼直升机的离散动态分析 三自由度双旋翼直升机模型是动力学系统研究中的重要实验对象,也是研究直升机飞行控制技术的理想平台。针对非线性三自由度直升机模型系统,考虑在多率采样保持条件下,使用Taylor方法导出了比经典的Euler模型更精确的近似离散时间模型,进一步分析并给出该近似离散模型的局部截断误差为T5,其中T为采样周期。在此基础上,论证了导出的近似直升机离散模型包含着新增加的采样零动态,同时也探讨了这类采样零动态相应的精确渐近特征和稳定条件。 基于区域小波统计特征的遥感图像融合方法 提出一种基于区域小波统计特征的遥感图像融合方法,对多光谱图像的I分量与直方图匹配后的高分辨率图像进行小波变换融合。对分解后的低频分量采用加权平均的融合规则,高频分量则根据其区域的小波系数特征,采用基于区域方差匹配度的融合规则。对SPOT多光谱图像和高分辨率图像进行融合实验,并利用信息熵和相关系数对融合结果进行客观评价与比较分析。仿真实验结果表明,基于区域小波统计特征的融合方法能达到较好的融合效果。 一个基于属性的密钥协商协议 在基于属性加密方案的基础上,提出一个基于属性的密钥协商协议。讨论基于属性的密钥协商协议的特性及可能的应用背景,其具有可实现模糊鉴别的特性,所实现的密钥策略为访问树结构。分析协议的安全属性并给出安全性证明,结果表明,与已有的协议相比,该协议可实现较复杂的访问结构,同时在标准模型下,基于判定双线形Diffie-Hellman假定证明了协议的安全性。 推模式和拉模式下无线传感器节点的能耗研究 以规模相等单跳分簇无线传感器网络为背景,针对传感器节点在数据传输过程中的推和拉两种模式,分别提出了簇内普通节点和簇首节点在数据传输过程中的能耗计算公式,并计算出两种数据传输模式下簇内节点的能耗。利用实验验证了所提出的能耗计算公式的有效性,方便用户根据不同需求选择传感器节点传输机制,最大限度地延长无线传感器网络的生命周期。 变权重MRF算法在图像自动无监督分割中的应用 为了实现图像的自动无监督分割,本文提出类自适应变权重马尔可夫随机场分割算法。首先结合最小描述长度准则,自适应计算马尔可夫随机场框架下的图像分类数;然后引入变权重的马尔可夫随机场算法,扩大势函数的选择范围,消除势函数的复杂计算;最后用迭代条件模式进行优化,获得最大后验概率准则下的分割图像。在Matlab环境中的测试结果表明,该算法具有实效性,能正确计算分类数,同时有效减少了分割错误。 一种超宽带幅度补偿均衡器的设计仿真 在通信宽带的优化设计中,幅度均衡放大器能够有效扩大超宽带接收机的动态范围,但是,放大器放大信号的同时也同时放大了噪声干扰。传统的幅度均衡器在使用的过程中,无法避免信号传输过程中非主动放大的杂波干扰,增加了信号增益在超宽带接收机各个链级上的不稳定性。提出一种基于小波神经网络算法的超宽带小型化幅度均衡器设计方法。利用小波变化的方法进行滤波,消除了杂波干扰。构建幅度均衡器神经网络模型,对系统中各个层的信号传输误差进行补偿,最后对各个层次的补偿信号进行融合,实现了对超宽带接收机各个链级上的增益信号进行有效补偿的目的。仿真结果表明,利用改进方法能够对波形信号进行补偿,避免了欠激励和过激励现象。 基于独立成分分析的高光谱图像有损压缩方法 提出一种结合小波变换和独立成分分析(ICA)的高光谱图像有损压缩方法。采用最大似然估计与最大噪声分离相结合的方法对原始高光谱数据进行维数估计。依据维数估计的结果在光谱方向上采用ICA,在空间上运用离散小波变换。对于变换后的系数,使用多级树集合分裂算法和算术编码分别进行量化编码和熵编码。在机载可见光/红外成像光谱仪220波段高光谱数据上的实验结果表明,该算法可以在获得较高压缩率的同时,保留高光谱图像的光谱特性。 基于游标模型的沉浸式医学可视化非接触式手势交互方法 在高度沉浸式的虚拟环境中,由于用户的整体视觉空间被渲染的可视化映射所覆盖,传统鼠标、键盘以及触控屏幕等交互方式无法直接应用到沉浸式环境下,大大影响了分析和理解3D医学数据的效率与准确性.为实现沉浸式虚拟环境下对3D医学数据的交互操作,提出一种基于游标模型的非接触式手势交互方法.首先借助游标模型快速确定手势状态,定义4种手势动作实现位移、缩放、旋转以及剖切4种医学可视化交互操作,并借助弹簧模型改善手势抖动问题;最后以HTC VIVE+Leap Motion构建沉浸式医学可视化系统,定义6项分析任务,对比鼠标、手柄等交互方式,从训练时间、完成时间、操作难度和用户反馈4个方面验证文中方法的有效性. 基于坐标变换的矩阵函数计算 根据矩阵函数的理论,调整了矩阵函数计算过程中的步骤及方法,对通过最小多项式求矩阵函数的算法,采用基坐标变换的形式进行了调整,通过换元思想,使计算过程得以简化,中间计算结果能够复用。调整后的计算过程,更不容易出错,并通过示例说明了计算步骤。 基于改进Walsh-Hadamard变换的删除卷积码盲解码算法 针对高误码率情况下删除卷积码的盲解码问题,提出了一种删除卷积码的盲解码算法。通过采用改进的沃尔什—哈达马变换(Walsh-Hadamard translate,WHT),识别出删除卷积码的高维校验矩阵;再基于源卷积码的生成矩阵与校验矩阵间的约束关系,求出了源卷积码的最佳生成多项式和删除模式,并提出了码字同步的方法,最终按识别出来的参数构建盲解码模型,实现了删除卷积码的盲解码。仿真结果表明,在误码率低于0.01的情况下,该算法的译码正确率能达到93%。 实时浮游生物图像目标智能识别系统设计 根据浮游生物目标的现场分类统计需求,提出一种改进的实时目标识别系统设计方案。使用CCD传感器进行实时图像采集,在逐帧图像处理中引入目标帧筛选以减少运算。采用背景减算法替代传统的迭代算法实现快速目标分割,利用自适应特征选取的快速线形分类器进行目标分类,并通过多核负载均衡实现并行处理。实验结果表明,该系统的平均单帧识别时间低于29.1 ms,识别率高达91%,达到实时识别要求。 线性插值投影次梯度方法的最优个体收敛速率 投影次梯度算法(projected subgradient method,PSM)是求解非光滑约束优化问题最简单的一阶梯度方法,目前只是对所有迭代进行加权平均的输出方式得到最优收敛速率,其个体收敛速率问题甚至作为open问题被提及.最近,Nesterov和Shikhman在对偶平均方法(dual averaging method,DAM)的迭代中嵌入一种线性插值操作,得到一种拟单调的求解非光滑问题的次梯度方法,并证明了在一般凸情形下具有个体最优收敛速率,但其讨论仅限于对偶平均方法.通过使用相同技巧,提出了一种嵌入线性插值操作的投影次梯度方法,与线性插值对偶平均方法不同的是,所提方法还对投影次梯度方法本身进行了适当的修改以确保个体收敛性.同时证明了该方法在一般凸情形下可以获得个体最优收敛速率,并进一步将所获结论推广至随机方法情形.实验验证了理论分析的正确性以及所提算法在保持实时稳定性方面的良好性能. 三浮陀螺一体化电路的设计与仿真 为实现三浮陀螺电路的小型化、高度集成化并提高系统控制精度,进行了温控、磁悬浮回路数字一体化研究。将三浮陀螺的温控回路控制电路数字化,利用单片DSP时分复用一个控制器实现三只陀螺共六条回路的分时控制。仿真结果表明三浮陀螺一体化电路的方案可行,提高了控制精度,并使得各控制参数调整灵活方便,满足系统精度和稳定性要求。为控制系统的优化设计奠定了基础,并有利于进一步提高三浮陀螺的性能。 语义Web搜索技术研究进展 语义Web搜索技术是综合本体论、信息检索、自然语言处理等多学科理论和方法的新兴技术。介绍了语义Web和语义Web搜索的现状。在此基础上,给出了实现语义Web搜索技术的一般体系结构,并进一步分析了各组成模块的基本任务、现有技术和评价体系。最后给出了所做的相关工作和对语义Web搜索技术的展望。 区间值(α,β)-模糊格蕴涵子代数 把拟重合的思想应用到区间值模糊集上,引进了一种广义模糊格蕴涵子代数,即区间值(α,β)-模糊格蕴涵子代数。研究了区间值(α,β)-模糊格蕴涵子代数的性质,并研究了区间值(α,β)-模糊格蕴涵子代数与格蕴涵子代数的关系,最后得到了该类模糊子代数的等价刻画。 基于鲁棒性的钢铁信息化项目计划模型与算法 为了在钢铁信息化项目计划执行过程中优化资源配置,提高进度控制能力,提出了基于鲁棒性的信息化项目计划方法。分析了钢铁信息化项目管理的特点、影响计划鲁棒性的主要因素;在此基础上,综合考虑资源、工期等约束条件,以最大化项目计划鲁棒性为优化目标,建立数学模型;针对模型特点,提出钢铁信息化项目计划的粒子群优化算法,依据变量和约束设计了粒子编码矩阵和初始解,通过粒子位置更新和解修复方法逐步迭代出满意解。通过仿真实验验证了模型与算法的可行性和有效性。 MIMO系统中的快速联合天线选择算法 针对联合天线选择算法计算复杂度较高的问题,提出一种基于改进的二进制粒子群算法的快速联合发送端和接收端天线选择算法。通过推导粒子群算法中关于联合天线选择的适应度函数,将联合天线选择问题转化为组合优化问题;改进粒子群算法的位置更新,增加粒子的多样性,增加算法的收敛精度和寻优效率。仿真结果表明,该算法大幅降低了计算复杂度,保持了较高的容量性能。 Python与R语言混合编程方法的研究和应用 在大数据时代,需要大量的算法从杂乱无章的海量数据中挖掘出重要的信息,实现数据的商业价值。Python和R语言都是主流的开源编程工具,定位于数据挖掘和算法编制。Python是一种基于Web、免费、轻量级的解释型语言,而R语言是具有强大算法包的全球流行数理统计软件。结合2种语言的优点,通过Python调用R语言进行混合编程,解析Python调用R的本地和远程两种方法。在阿里云ECS上布置R语言服务器,发挥Python语言强大的胶水功能,在本地机搭建Flask Web框架,实现R语言Holt-Winters预测算法的ECharts网页数据面板的编制。 仿真应用问题中通用框架的技术研究 基于仿真的类似应用问题,具有很大的相似性,在构建新的应用系统时可以把相同的东西,如方法、模型、框架、数据提取出来共享,其它不同的地方根据需要做出相应的改造,可以达到快速开发、迅速升级的目的。基于这种共享的思想,提出一种基于仿真的通用框架规范SBX,给出仿真应用通用软件的四层结构体系,并介绍有关软件设计的4项主要内容及其6种关键技术。基于SBX仿真通用框架规范,不断完善相应的应用理论,能快捷和方便地实现系统的更新换代,使各类仿真应用系统发挥更大的作用。 项目管理中任务时间进度不确定性可视化 项目管理中对任务时间进度不确定性的处理是至关重要的.针对现有项目管理软件不能直观地展现项目任务时间进度不确定性和人员协作的问题,提出使用不确定性字形结合时间线法表示任务时间进度不确定性的可视化方法.首先总结项目任务进度不确定性特点,对影响项目进度的不确定性因素进行详细定义,建立项目进度不确定性模型;然后使用时间线法可视化项目整体流程,设计不确定性可视化字形隐喻任务时间进度不确定性,并为用户提供多种交互手段和多个视图帮助探索分析项目任务进度不确定性和人员协作情况,根据用户的色彩感知偏好提供多种配色方案.以软件开发项目管理为例,在Program Line可视化系统中验证了文中方法的有效性和实用性. 基于用户隐性反馈与协同过滤相结合的电子书籍推荐服务 随着电子设备的普及,越来越多的人更愿意在他们的手机或者平板上阅读电子书籍.推荐服务的出现是为了从海量电子书籍中找到符合读者兴趣的书籍,其中协同过滤(Collaborative Filtering,CF)作为推荐系统的主流方法,也被应用在书籍推荐服务中.传统基于CF的书籍推荐在解决用户显性评分缺失问题时,仅考虑了用户对书籍的喜好程度与阅读时长和阅读频次等隐性反馈内容有关,忽略了在阅读书籍时不同用户间阅读速度可能存在差异.从阅读速度出发展开研究,提出阅读速度感知模型(Reading Speed-aware Model,RSA)和书籍阅读权重模型(Reading Book-weight Model,RBW),把用户的阅读时长转换为阅读速度,最后结合上述两个模型提出一个混合的速度-权重模型(Speed-Weight Model),将用户的隐性反馈转换为喜好程度的评分来补全CF评分矩阵.通过对现有方法的实验对比分析,本文所提方法能够在一定程度上提高书籍推荐的准确度. 嵌入灰度级信息的粗糙模糊熵阈值分割法 针对现有基于信息熵、最大模糊熵、最大粗糙熵和粗糙模糊熵等分割方法在去噪以及保留图像细节信息等方面存在的不足,提出一种灰度级大小信息融合粗糙模糊熵的阈值分割法。将传统灰度图像采用粗糙模糊集描述所对应的不确定粗糙模糊熵与图像灰度级大小相融合,获得一种参数化的变权粗糙模糊熵的图像阈值化分割新准则。实验结果表明,该方法有较好的抗噪性和保留图像细节信息能力,在参数选取特定值时,可将传统粗糙模糊熵阈值法视为该方法的特例。 结合自适应核回归和全变差的乘性噪声去除 为了更好地去除图像中的乘性噪声,提出一个新的三阶段乘性噪声去除算法。第一阶段在图像的对数域用自适应的掌舵核回归(SKR)对图像进行去噪处理;第二阶段用全变差(TV)方法对第一阶段处理的结果进行补充处理;第三阶段通过指数变换和误差纠偏,把图像变回到真实的图像域。新方法具有掌舵核回归与全变差两种方法的优点,实验结果证明了其去除乘性噪声的有效性。 基于语义的安全规则冲突检测 在分布式系统中,基于策略的管理能满足复杂系统模块松耦合的要求,为了满足其复杂的安全需求特别是对资源控制细粒度的要求,其安全策略不仅要描述针对数据本身的细粒度,还要描述数据公开接口的粒度,由此利用视图的概念扩展了RBAC模型描述客体的细粒度;为确保策略规则的正确性,利用约束状态和规则状态描述分析了主客体属性层次操作关联带来的多种冲突类型,并通过词法和语法分析分解策略元素;利用分解结果,基于语义图设计算法,从而判断并定位策略中的冲突. 基于Rails的RESTful Web Service研究与实现 随着Web服务的快速发展,基于REST(Representational State Transfer)风格的Web Service越来越受到业界的关注。在实现RESTful Web Service的众多框架中,Rails完整实现了MVC并对RESTful Web Service的开发作了极大的封装和简化,使得开发人员用Rails实现RESTful Web Service变得容易。对RESTful Web Service特征和Rails框架结构进行了概述,分析了Rails实现RESTfulWeb Service的原理,在此基础上结合实例给出了基于Rails的RESTful Web Service以及RESTful Web Service客户端的开发步骤和方法,并且利用Ruby进行了实现。 去身份识别在安防监控中的应用仿真 去身份识别技术是在视频及图像数据中去除可以确定前景身份的相关信息以达到隐私保护的目的,但是要保留足够的信息去判断人物身份的行为。传统的模式识别算法需要固定的、具有统一形式的特征,但是去识别要求最大程度去除前景特征,而存留特征无统一形式,造成识别效果不理想。为了避免上述缺陷,提出了一种类模糊模式的去身份识别方法。利用主成分分析法,对采集的安防监控图像进行特征提取。利用学习集训练方法,获取初步选取的人脸中心,根据人脸特征计算人脸中心与所在类均值的误差,利用迭代处理,使误差达到极小值,从而确定人脸中心,识别对象的身份。实验结果表明,改进算法能够提高安防监控过程中去身份识别的准确性。 基于云重心Shapley值法的电子商务声誉联盟利益分配策略 由于电子商务声誉联盟的声誉能力、声誉风险和声誉成本三个主要分配因素存在模糊性和随机性的缺点,难以得到量化,以及Shapley值法本身存在的局限性,因此利用云重心评判法对Shapley值法进行修正。云理论主要体现定性与定量之间的不确定性转换,有效地解决概念的模糊性和随机性。修正后的Shapley分配法有效地提高了联盟分配的准确性,并通过算例证实了此方法的合理性和适用性,为电子商务声誉联盟的收益分配问题提供依据。 “数字图像处理”课程双语教学的探索和实践 "数字图像处理是我校信息工程专业大四学生的一门专业必修课,该门课程从2009年开始采用双语教学。首先介绍了在""数字图像处理""课程中开展双语教学的意义与目标,然后针对目前该课程双语教材匮乏、授课方法单一、理论联系实际不足等问题,结合我校目前的具体情况,阐述了在双语教材和参考书选择、课件制作和授课方式、课堂组织和教学理念、课程实验和考核方式等教学环节上所进行的有益探索和实践,最后提出了在双语教学中的几点思考。" 2012年中国计算机大会优秀论文专辑 前言 [正文]中国计算机大会是中国计算机学会2003年创办的系列学术活动,是我国计算机科学和技术领域规模最大、级别最高的学术会议,所涉及的内容涵盖计算技术的重要领域,旨在展现我国计算技术及相关领域的研究进展,并展望学科的发展趋势,是一个为业界人士提供学术交流,促进产、学、研、用相互沟通和合作的重要 彝文网页文本分词平台 在机器翻译、自动分类、搜索引擎等技术中,彝文分词具有很重要的作用,同时也是彝文信息处理至关重要的环节.本文以当前的彝文分词技术为基础,通过构建彝文词库,并用彝文网页获取平台抓取彝文网页文本,结合彝文特有的优势,从分词词库、分词算法、结构流程、系统界面和模块、实验结果等方面进行了详细的分析,最终实现彝文网页文本分词平台.最后的结果表明,本平台分词准确率较高,实用性和通用性也较好. 英文专利文献中名词短语的翻译 提出了一种基于句法分析与词语相关性相结合的方法实现英文专利文献中名词短语的翻译,建立了一个面向专利文献的名词短语双语实例库,形成名词短语(NP)树库。对待翻译的术语NP,先进行句法分析,再在NP树库中搜索与该术语NP匹配的NP树,对匹配的NP树,用《知网》计算词语间语义相似度,找到最相似NP树,然后计算词语的翻译候选之间的相关性找到词语翻译,最后调整语序生成译文;若不存在匹配的NP树,搜索与该NP树的子NP相匹配的NP树,递归生成译文。使用BLEU作为机器评价准则,实验结果表明,该方法优于基于短语的统计翻译系统(Pharaoh)。 Zodiac密码算法的多维零相关线性分析 分组密码算法Zodiac支持3种密钥长度,分别为Zodiac-128、Zodiac-192、Zodiac-256。利用零相关线性分析方法评估了Zodiac算法的安全性,首先根据算法的结构特性,构造了一些关于Zodiac算法的10轮零相关线性逼近,然后对16轮Zodiac-192进行了多维零相关分析。分析结果显示:攻击过程中一共恢复了19个字节的密钥,其数据复杂度约为2124.40个明密文对,计算复杂度为2181.58次16轮加密。由此可得:16轮(即全轮)192 bit密钥的Zodiac算法(Zodiac-192)对于零相关线性分析方法是不安全的。 支持远程动态重构的嵌入式系统设计 为使嵌入式系统具备远程在线更新和维护能力,基于软/硬件统一多任务编程模型,应用互联网可重构逻辑设计方法设计并实现支持远程动态重构的嵌入式系统。提出的统一多任务编程模型为软/硬件任务提供统一接口和管理方式,可降低设计可重构系统的复杂度,同时远程重构功能增加了系统远程在线更新和维护的能力。实验结果表明,该模型可用于远程可重构系统的设计,同时硬件任务也具有较快的加速比。 基于双向关联规则的网络消费者偏好挖掘研究 通过分析电子商务网站的Web服务器日志提取网络消费者的商品浏览行为信息,利用消费者的浏览频率、浏览时间、链接路径数及路径深度估计商品对网络消费者当前浏览期间偏好的影响权重.结合双向关联规则理论和Apriori算法挖掘具有相互依赖关系的商品,找出网络消费者的商品偏好浏览路径,根据消费者当前的浏览行为发现其可能感兴趣的商品,并进一步计算消费者对商品的偏好程度.最后利用自主开发的旅游电子商务网站的Web日志数据进行仿真实验,挖掘网络消费者的旅游偏好.实验结果表明,在相同的实验条件下,与基于关联规则的偏好挖掘方法相比,基于双向关联规则的偏好挖掘方法的推荐精度增加,推荐覆盖率扩大. 汉语分词中上文和下文重要性比较 上下文是统计语言学中获取语言知识和解决自然语言处理中多种实际应用问题必须依靠的资源和基础。近年来基于字的词位标注的方法极大地提高了汉语分词的性能,该方法将汉语分词转化为字的词位标注问题,当前字的词位标注需要借助于该字的上下文来确定。为克服仅凭主观经验给出猜测结果的不足,采用四词位标注集,使用条件随机场模型研究了词位标注汉语分词中上文和下文对分词性能的贡献情况,在国际汉语分词评测Bakeoff2005的PKU和MSRA两种语料上进行了封闭测试,采用分别表征上文和下文的特征模板集进行了对比实验,结果表明,下文对分词性能的贡献比上文的贡献高出13个百分点以上。 基于集成学习的多重集典型相关分析方法 特征提取是模式识别中的关键问题之一,对提高系统分类性能具有重要意义。常用的特征提取方法包括主成分分析、线性鉴别分析、典型相关分析等等,多重集典型相关分析是基于传统的典型相关分析基础上发展而来,利用多组(大于2)特征数据集进行特征提取。基于集成学习的多重集典型相关分析的方法(EMCCA),是通过将样本化分成若干小的样本,形成若干个特征数据集,利用多重集典型相关分析对这组数据集做特征提取,并结合集成学习对样本进行分类。在UCI上的多特征手写体数据集上的实验结果表明:相比于传统的PCA,CCA特征提取方法,多重集典型相关分析具有更优的特征提取效果,结合集成学习后具有更好的分类效果。 重启进化提高较大搜索规模时柔性作业解质量 种群多样性下降导致的早熟收敛限制了进化算法的求解质量与搜索效率。为应对收敛,提高较大搜索规模时的求解质量,引入随机算法中重启策略。种群收敛时,利用算法前期搜索结果(优势元素)和新产生的随机元素重新构造新种群继续进化。提高柔性作业车间调度问题解质量对实际工业生产有重要的现实意义。将重构思想应用于协同进化算法求解复杂柔性作业调度问题并跟踪种群进化状态。仿真实验结果表明,改进算法在进化过程中维持了较好的种群多样性,大幅提高了算法求解复杂柔性作业调度的搜索性能,并可以简单通过扩大搜索规模提高作业调度解质量。 基于分类超平面的非线性集成学习机 针对支持向量机面临的大规模数据分类问题,提出基于分类超平面的非线性集成学习机NALM。该方法借鉴管理学中协同管理的思想,将大规模数据分成规模较小的子集,然后分别在子集上运行分类超平面算法,最后将各子集上的分类结果进行非线性集成得到最终的分类结果。该方法不仅继承了分类超平面的优点,而且还将分类超平面的适用范围从小规模数据扩展到中大规模数据,从线性空间推广到Hilbert核空间。若干数据集上的实验表明:NALM能以较少的支持向量来解决大规模样本分类问题。 WSN角度协作路由协议中的中继选择 通过仿真与Zigbee硬件实验研究了角度与距离对无线传感器网络角度协作路由协议中继节点选择的影响;通过仿真分析中继节点的角度与距离两个因素对随机转发角度协作路由协议的目的节点中断概率的影响;通过RSSI测距实验间接测量源节点与目的节点之间的距离,并采用高斯模型处理测量数据,得到在不同角度及距离的中继节点条件下源节点与目的节点距离的测量相对误差。对Zigbee硬件实验与仿真结果的分析表明,无线传感器网络角度协作路由最佳中继节点的选择取决于侦听节点与源节点的角度及距离。 基于SVM的网络安全风险评估模型及应用 网络安全风险评估是解决网络安全行之有效的措施之一,本文以支持向量机为基础,将网络安全风险评估归纳为一个支持向量回归问题,结合组合核函数的优点,建立了基于SVM的二分类网络安全风险评估模型,并给出了模型实现方法;以资产、威胁和脆弱性为风险评估指标,以及低、较低、中、较高、高五个风险程度等级建立了综合评估体系。结合某企业6月份网络安全记录样本,以组合核函数为评估模型的核函数,通过交叉验证和最速下降法,得到了最优预测模型。实践证明,该模型对网络安全风险评估是可行的。 基于灰色模型的概念簇聚类算法 针对目前聚类算法的目标函数中尚未有能判别数据在时域上发展趋势差异性的情况,基于灰色理论中被广泛应用的预测模型GM(1,1),利用其所得到的预测公式,通过推导演算,设计新的聚类目标函数,构造了一种新的概念簇聚类算法,其聚类目标簇是在时域上具有共同发展趋势的数据集合。该算法在实际应用中的具体意义是:设计者可根据该算法的结果,对不同簇的数据设计不同的有针对性的预处理方案。以中国各城市人口发展统计数据为实例,验证了该算法的可行性。 面向双目立体视觉的迭代式局部颜色校正 在双目立体视觉中,由于相机参数设置差异、环境光照变化、拍摄物体表面非理想漫反射等因素,拍摄获得的立体图像对可能存在颜色差异,进而降低视差计算的准确性.针对这一问题,提出一种面向双目立体视觉的迭代式局部颜色校正方法.首先使用Meanshift算法以不同粒度对2幅图像进行分割,并基于SIFT特征匹配、区域分布及颜色差异初步建立2幅图像中物体间的对应关系;然后使用加权局部颜色校正方法,逐区域进行颜色校正,由于双目图像中物体遮挡范围不同,初步的区域对应存在误差,因此利用校正的双目图像计算视差图,基于视差图像对之间稠密的像素对应,优化立体图像对的区域分割,建立更准确的对应关系,并再次进行颜色校正;迭代地进行立体匹配、优化图像区域对应和颜色校正,直至获得最佳的立体匹配结果.与已有颜色校正方法对基准测试图像集的处理结果表明,文中方法可以有效地提升立体图像对的颜色相似度,提高立体匹配视差结果的准确性. 基于运动特征与序列袋的人体动作识别 针对当前的动作识别算法难以有效识别复杂动作的问题,提出一种人体行为识别算法。利用改进的密集轨迹,将视频表示为多个基础动作序列(primitive actions,PA),将其编码为PA的特征序列,通过仿射传播,将其变为索引序列;基于序列模式挖掘(sequential pattern mining,SPM),形成不同的序列袋(bag of sequence,BOS)模型;对BOS模型进行学习,计算其序列比对特征、外观匹配特征、序列集特征,构成动作的评分函数;引入线性判别分析(linear discriminat analysis,LDA),对动作的评分值进行分类学习,完成动作识别。在MSR3D与UCF-Sport数据集上进行测试,实验结果表明,面对各种复杂动作,所提算法具有更高的识别精度与稳定性。 绿色网络PDF提取系统 随着信息技术迅猛发展,很多不良信息与文化通过PDF文档传播,而传统的提取PDF内容的方法无法适应绿色网络提供优质内容并过滤不良PDF的社会需求。文中提出通过建立层次关键字自动机快速提取PDF内容并过滤不良PDF内容的方法。在提取准确性基本相同的情况下,文中方法提升了绿色网络系统提取PDF文档的速度,所用的时间仅为PDFBox方法的16%~36%,并能提供更好地过滤不良PDF的服务。 格的模糊滤子与模糊素滤子的度量 主要研究了模糊代数中格的模糊(素)滤子的度量。首先给出了模糊(素)滤子度的新概念,并利用模糊(素)滤子度讨论了格的模糊子集是模糊(素)滤子的程度。其次,利用格的模糊集的(强)水平集得到了模糊(素)滤子的等价刻画。最后,讨论了任意多个模糊子集的交、直积的模糊(素)滤子度以及格的模糊子集在同态映射下像与原像的模糊(素)滤子度的性质。 无线传感器网络含有缺失数据的数据融合研究 针对含有缺失数据的无线传感器网络数据融合问题,提出了一种简单易行的二次数据融合算法(TFA)。考虑到感知数据的时—空相关性,对缺失数据进行线性插值插补和回归分析插补,对插补结果利用线性组合融合算法进行融合。综合考虑各节点的信息,利用自适应加权融合算法进行融合。实验结果表明,该算法在含有缺失数据的前提下,能够以较低的计算开销和较高的估计精度实现数据融合。 CPC自动对中控制系统的设计 针对目前在线运行的CPC(center position control)自动对中控制系统存在响应速度慢、容易受到现场干扰以及检测精度低的问题,设计开发了一种新的高性能CPC自动对中控制系统;该系统主要是采用电磁感应原理检测带钢的位置,然后采用电子电路对控制对象的位置信号进行放大、滤波,再经过STM32微控制器、控制柜、液压控制等单元调整导辊位置来实现对控制对象的对中控制;整个电路系统的检测和控制精度为1mm,并且信号线性度以及稳定性好,提高了产品的可靠性。 融合SORM背景模型和DTCNN阈值模型的运动目标检测 为降低动态背景对运动目标检测性能的影响,提出一种运动目标检测方法。该方法融合自组织视网膜映射图(SORM)背景模型和离散时间卷积神经网络(DTCNN)阈值模型。依据SORM构建背景模型,结合运动目标检测要求,改进DTCNN算法,构建阈值模型,在检测运动目标的过程中降低噪声干扰,自适应更新SORM和DTCNN模型中的相关参数,以适应场景变化。实验结果表明,与经典的高斯混合模型、自组织背景差分和增长自组织映射图方法相比,该方法的目标检测性能更好,尤其是对动态背景的适应能力更强。 考虑处置和服务水平影响的混合系统库存决策 为确定最优的制造与再制造策略,对混合系统进行了研究。在该系统中,因再制造能力不足,返回的旧产品仅有一部分用于再制造,其余的被处置。用于再制造的旧产品构成了可翻新品库存。顾客需求恒定且由服务性产品库存来满足,该库存由制造新品与再制造品组成。顾客允许延迟交货,但企业的最大缺货量受其服务水平制约。在两类策略下分别构建了库存决策模型,即一次制造准备和至少一次再制造准备策略与至少一次制造准备和一次再制造准备策略,并利用消元思想和差分函数对模型求解,得到了最优的制造与再制造批量等求解公式。结果表明,能找到最优的制造与再制造策略,并存在一个最优的服务水平控制点。 几何立体图形光顺算法的研究与比较 在计算机图形学和计算机辅助设计中,用多边形网格可以表示复杂的三维实体。但由多边形网格所构成的表面往往不够光滑,难以构成表现实体的曲面真实形状,网格平滑可以有效解决三维几何实体表面的光滑问题。曲面细分是实现网格光顺处理的主要方法,论文以Loop细分法、Butterfly细分法、Sqrt3细分法、PN-triangle细分法等四种三角网格细分算法为例,讲述了网格细分的几何规则和拓扑规则,并用这四种算法分别对相同网格模型进行细分,从细分效果和运算效率等多个方面对这四种细分方法进行了比较分析。 基于SVM_KNN的老人跌倒检测算法 跌倒是老年人伤害和死亡的主要诱因之一,我国每年约有4000万65岁以上的老人意外跌倒。本文基于智能手机的加速度、气压计等传感器提出一种人体跌倒检测算法。该算法首先采用支持向量机(SVM)对训练集进行训练,得到一个弱二分类器(包含最优超平面和支持向量集),然后计算待测样本到最优超平面的距离。若该距离大于设定的间隔,直接采用SVM分类;否则,利用支持向量集作为有标签的训练集进行K近邻分类(KNN)。考虑到特征值的多维性,本文引入标准化欧氏距离替代传统的欧氏距离。仿真与实验结果显示,与传统的支持向量机算法相比,该算法能有效提高跌倒检测的准确率,且不受智能手机放置位置的限制。 周期性运动物体的特征提取及其分类 提出视频中周期性运动物体两种特征。第一种是通过傅里叶变换得到视频中周期性运动的频率。第二种是采用了一种基于行走模版的相似性度量作为人体运动的特征。通过支持向量机对六种行为特征进行分类实验检测,结果表明上述特征能够充分地表示视频中的行为,得到了较高的分类效果,而且该方法、简单快速。 基于词向量的产品评论有用度评估方法 产品评论的快速增长以及质量的参差不齐,使得消费者获得有用的产品评论变得困难。为此,提出一种新的产品评论有用度评估方法。引入词向量作为评论文本的深度特征表示,结合结构特征、情感特征、元数据特征等训练回归模型,自动地对评论进行有用度评估并基于有用度对评论进行排序。在Amazon真实数据集上的实验结果表明,该方法在回归性能和排序性能上均优于UGR+LEN+STR方法和基准方法。另外通过挖掘特定领域的词向量特征,该向量模型在RMSE,NDCG等评价指标上可有效地改善评估效果。 迎接智能时代——“人工智能”专刊篇首语 "[正文]1956年夏,以John McCarthy,Marvin Lee Mingsky,Nathaniel Rochester和Claude Samuel等为首的一批年轻科学家在达特茅斯大学召开了两个月的学术研讨会,研究和探讨用机器模拟智能的问题,首次提出了""人工智能""这一术语,标志着""人工智能""这门新兴学科的正式诞生。60年来,人工智能的发展道路波折起伏,形成了符号主义、连接主义、行为主义三大学派。云计算提供了强大的信息计算和处理能力,大数据提供了丰富的数据信息资源,人工智能迎来了新一轮的发展高潮。近年来,人机大战、人脸识别、语音识别、智能搜索、机器人等领域纷纷取得了" 基于新型小波滤波器的语音识别特征提取方法 介绍一种基于新型小波听觉滤波器组的语音识别特征提取方法。按照人耳听觉临界频带带宽设计一组新型小波带通滤波器组,并详细计算给出构建新型小波滤波器所需要的尺度参数。采用SDA9000串行信号分析仪进行频谱分析,使用型号为MIC3000 Compact PCI Industrial Computer的LSP设备进行FPGA硬件仿真,使用协同神经网络进行模式识别,建立基于Matlab GUI的仿真界面,与高斯小波滤波器组模型所得仿真结果进行对比,从功率谱图和识别结果上进行分析,证明新型小波滤波器组具有更优的识别率和抗噪性。 一种基于密度和滑动窗口的数据流聚类算法 总结目前主流数据流聚类算法的优缺点后,提出了一种新的数据流聚类算法——DsStream。该算法采用双层聚类框架,应用滑动窗口技术,基于密度对数据流进行动态聚类,可以挖掘具有任意形状的数据流,且能够动态掌握数据流的分布特征。 灰色关联投影下的模糊多属性群决策方法 针对属性评价信息为区间直觉梯形模糊数的多属性群决策问题,给出一种基于灰色关联投影的群决策方法。在规范化处理各决策矩阵的基础上,定义负极端决策矩阵及平均决策矩阵,根据各决策矩阵与这两类矩阵的距离大小确定决策者权重,由区间直觉梯形模糊数加权算术平均算子及决策者权重得到群体决策矩阵。由各方案与正、负理想方案的相对贴近度最小化确定各属性权重,以正理想方案为参考,计算各方案与参考序列关于每个属性的灰色关联系数,并计算各方案到正理想方案的灰色关联投影值,根据各方案投影值大小实现对方案的排序择优。将所给群决策方法应用到生鲜冷库空调系统选择决策问题中,算例分析的过程体现了该群决策方法有效性与可行性。 一种机场噪声等值线三维动态绘制的任务分配方法 任务分配方法是影响集群系统性能的关键所在,影响着集群系统的负载均衡和系统资源利用率。在机场噪声等值线三维动态绘制过程中,网格间距大小决定绘制精度和噪声计算量,如何将机场周围区域中的噪声计算任务分配到集群中不同服务器节点并动态控制网格间距是提高集群系统资源利用率、缩短平均响应时间和提高噪声等值线绘制精度的重要环节。针对上述目标,分析了机场周围环境结构因素和机场噪声分布特性,建立了噪声计算量与服务器负载之间的关系,从而构建面向机场噪声等值线三维动态绘制的任务分配模型,并采用遗传算法对模型进行求解。仿真结果表明,该方法能够均衡地分配任务,充分利用系统资源,缩短系统响应时间,达到机场噪声等值线三维动态绘制的实时计算要求。 基于Sync Framework的矿用防爆手机数据同步技术研究与应用 煤矿瓦斯灾害监控预警系统不仅需要采集在线的安全监控信息,而且需要采集井下离线的检测、观测信息,防治煤与瓦斯突出所使用的日常预测、观测信息是瓦斯灾害监控预警重要的数据来源之一。为解决煤矿井下基于Android防爆手机的防突信息采集App与地面基于SQL Server的防突动态信息数据库间双向同步问题,提出一种基于Sync Framework的SQLite与SQL Server数据库慢同步方案,实现了防突信息采集App与防突动态信息数据库按需双向同步,并在河南能源集团演马庄矿进行了现场实验,取得了较好的应用效果。该方案实现了数据同步模块与具体业务数据库及业务管理系统解耦,因此可推广至防爆手机乃至普通Android手机App与SQL Server数据库同步,具有较高的工程研究和应用价值。 基于加权补集的朴素贝叶斯文本分类算法研究 朴素贝叶斯分类方法由于其简单快速的特点,被广泛应用于文本分类领域。但是当训练集中各个类别的样本数据分布不均匀时,朴素贝叶斯方法分类精度不太理想。针对此问题,提出一种基于加权补集的朴素贝叶斯文本分类算法,该算法利用某个类别的补集的特征来表示当前类别的特征,且对特征权重进行归一化处理。通过实验对比了该方法与传统的朴素贝叶斯方法对文本分类效果的影响,结果表明,基于加权补集的朴素贝叶斯算法具有较好的文本分类效果。 基于VDE-PSO的汽油调合设计公式挖掘 提出一种将多变量非线性问题线性化的VDE-PSO-MLR的建模方法。该方法基于变量扩维、微粒群优化等手段选择扩维变量,在此基础上再建立拟线性的多元回归方程;并通过对所建立的各回归方程及其回归系数的显著性检验结果确定最佳回归模型。将该方法用于某炼油厂的汽油调合设计公式的挖掘,研究表明,与直接用自变量建立的线性回归方程以及二次回归方程相比,只有该方法建立的最佳模型方程和方程变量同时通过显著性检验。最后将最佳模型用于生产数据预测,计算调合汽油辛烷值测定值与预测值误差绝对值AE最大为0.185,符合AE≤0.3的要求。 基于分形SMOTE重采样集成算法圈定区域化探异常 基于分形自相似性理论改进SMOTE算法,实现数据集的均衡化。结合集成学习Adaboost技术更新样本权值,改善非均衡数据的分类性能,并对云南个旧锡铜多金属矿床进行了仿真实验,结果表明新算法预测结果能较好地检测成矿异常,为成矿预测与评价提供新的解决途径。 基于图层优化与融合的2D—3D视频转换方法 为了缓解3D片源的不足,在结构化重建技术的基础上提出一种基于图层优化与融合的2D—3D视频转换方法.首先通过分段化结构重建框架得到场景离散的3D结构信息;然后以此为深度线索,使用一种扩展的GrabCut交互式分割方法提取出不同物体所在的图层,在每个图层上先对深度进行稠密扩散,再结合多种约束采用优化算法来增强区域层次感;最后将不同图层的深度根据视觉显著性特征进行融合,从而完成2D—3D视频转换.实验和评估结果表明,该方法可以得到令人满意的转换效果. 多处理器固定优先级算法的可调度性分析 针对多处理器实时调度中的固定优先级(FP)调度算法,提出了一种改进的可调度性判定方法。引入Baruah的最早截止期优先(EDF)窗口分析框架,将高优先级任务带入作业的最大数量限定为m-1(m为处理器个数),进而对任务的干涉上界进行重新界定,并由此得到一个更加紧密的可调度性判定充分条件。仿真实验结果表明,该方法增加了通过判定任务集的数量,体现出更优的可调度判定性能。 汽车前纵梁冲压筋薄壁管结构强度设计 研究了提高汽车碰撞安全性问题。在不减少汽车前纵梁变形吸能量的前提下,降低结构的质量和汽车峰值加速度。针对上述问题,首先采用试验和有限元方法,研究了普通单、双帽薄壁管的轴向吸能特性,仿真结果与试验结果能够较好吻合,验证了有限元模型的准确性。然后通过有限元方法,研究了冲压筋薄壁管件和壁厚调整后的冲压筋薄壁管件的轴向吸能特性。结果表明,与普通薄壁管件吸收同样多能量的前提下,减小冲压筋薄壁管的壁厚,可以使管件的质量和峰值压溃力更小,而比吸能更高,为汽车纵梁强度优化提供科学依据。 不同攻击模式下城市公交网络抗毁性分析 为了保证城市公交网络的安全运营、提高整个交通网络运行效率,以成都市公交网络为例,分析了该网络的拓扑结构特性,研究了该网络在随机攻击和蓄意攻击下的抗毁性。仿真结果表明,网络在随机攻击和基于节点度的攻击下连续移除节点的数目几乎不对网络的抗毁性产生影响,而在基于节点介数和效率的攻击下连续移除的节点个数越少,网络的抗毁性越差;基于节点介数的攻击对网络抗毁性产生的影响要比随机、基于节点度和效率更为显著。 PSO算法在多约束染色工艺优化模型中的应用 针对染色工艺优化设计,存在周期长、成本高、无法精确定量的问题,以生产成本最小化为优化目标,构造染色工艺优化设计的数学模型。从模型可知,染色工艺优化问题是一个具有大量局部极小值、不连续、多变量、多约束的复杂优化问题。粒子群(PSO)算法是一种基于群体智能的启发式算法。它具有简单易行、收敛速度快、优化效率高、对种群规模不十分敏感、鲁棒性好等特点,能方便地被用于求解带离散变量、不连续、多变量、多约束、非线性的复杂优化问题中。因而,提出粒子群算法来求解染色工艺优化模型。考虑到粒子群算法(PSO)易陷入局部最优解的局限性,提出一种基于改进惯性权重粒子群算法。该方法通过引进指数因子改进标准粒子群算法的惯性权重,平衡了其全局和局部搜索能力,在速度和精度上满足了计算要求。仿真结果表明,在满足实际生产要求的条件下,该方法优化后的生产成本节约了25%。证明该优化模型及算法是一种可行而有效的方法,对生产成本的预测以及染色工艺参数的制定具有指导意义。 基于描述逻辑的CWM元数据冲突的检测和消解 元数据内容的冲突能够对数据仓库系统的稳定性和可靠性造成极大影响。在基于公共仓库元模型(CWM)建立元数据的过程中,参与建立元数据的团体的不同经验以及描述数据的不同视角不可避免地会带来元数据的这些冲突。然而,CWM的图形化特点导致了它缺乏精确的语义,所以如何自动检测和消解这些冲突,至今没有得到很好的解决。首先提出了一种支持概念之上的同一性约束的描述逻辑,用来对CWM元模型和元数据进行描述,然后阐述了利用该描述逻辑形式化CWM元模型和元数据的方法,接着研究了利用描述逻辑的查询推理能力检测元数据冲突的方法,最后研究了通过在知识库中定义冲突消解规则,消解元数据冲突的方法。利用推理引擎RACER所进行的实验结果表明提出的方法是可行的。 基于移动Agent和混合蛙跳算法的网格计算资源管理 为了克服传统网格系统采用Globus集中式模型或P2P网络分布式模型具有的无法兼顾全局控制性和扩展性的问题,提出了一种基于移动Agent和混合蛙跳算法的网格资源管理模型;首先,引入移动Agent将资源管理模型划分为应用管理模块、资源管理模块和资源表示模块,然后通过在经典的混合蛙跳算法加入差分扰动设计了一种改进的混合蛙跳算法,最后,在资源管理模块中的资源分配Agent中运行改进的混合蛙跳算法实现用户任务到资源的调度;采用GridSim工具进行仿真,实验结果表明,文中的资源管理模型具有较强的全局控制能力和扩展性能,资源利用率高达95.65%,较其它方法具有较小的时间跨度和较高的资源利用率,是一种适用于网格环境的有效资源管理模型。 一种优化的可变形物体碰撞检测算法 对可变形物体的碰撞检测提出了一种优化的加速算法。算法在Sean Curtis[1]等人提出的特征三角形检测算法的基础上,增加了点和边的基本元素动态分配,并且用多叉树代替二叉树,提高了碰撞检测的效率。新算法可以应用于所有以三角形为基本单位的模型,能够显著地减少基本元素对的检测,并且可以和传统的层次包围盒法结合使用。用提出的优化加速算法对连续碰撞检测中的球-布模型和人体模特-服装模型进行了检测,实验表明,改进的算法相比原算法减少了元素检测的对数,缩短了检测时间,性能提升非常明显。 基于加权序列模式的推荐算法研究 由于考虑了用户的访问顺序,基于序列模式的推荐方法正在成为推荐系统研究的热点之一。为提高推荐结果的个性化程度,提出了一种基于加权序列模式的推荐算法PRWSP。首先,给出了新的加权序列模式模型,该模型在设置权重时充分考虑了项目在不同序列中的不同重要程度。其次,通过近似估计序列权重的方式,论证了挖掘加权序列模式时同样满足反单调性,从而约简了搜索空间。最后,定义了序列模式匹配程度的度量标准。实验结果表明,PRWSP算法具有较高的挖掘效率和推荐精度。 跨域的混合包标记编码方案 在自适应概率包标记的基础上提出了一种基于跨域的自适应概率包标记编码方案。模拟实验表明:采用该方法在重构路径时,所需要的包个数低于同类型的自适应概率包标记方案和高级包标记方案。 基于HHT的液压管路裂纹故障诊断方法研究 将HHT方法应用于液压管路裂纹的故障诊断,提出基于HHT的液压管路裂纹故障诊断方法,并以正常液压管路和有裂纹液压管路为例进行实验验证。首先进行EMD(经验模态分解法)振动信号分解。将EMD和HHT方法引入航空发动机液压管路裂纹的振动信号分析,某发动机液压管路的裂纹振动信号的分析结果表明,该方法能够克服傅里叶谱无法同时获得时域和频域信息的缺陷。同时边际谱能够比较真实客观地反映有裂纹液压管路的频率和幅值分布情况。此外由边际频谱图中可知,无裂纹液压管路、有裂纹液压管路振动信号的频率能量分别集中于25 Hz,有裂纹的整体系统刚度大于无裂纹的。据此,有裂纹的管路,其振动加大的现象得以由HHT方法明显呈现。 图像局部模糊的自动检测方法 目标运动或对焦不准可能造成图像局部模糊。针对该问题,提出一种包括粗糙定位及模糊区域求精2个步骤的模糊区域自动检测和提取方法。通过对空域上的梯度统计结果进行双高斯混合建模以及对频域上的功率谱进行统计分析,实现模糊和非模糊区域的粗糙定位。根据粗糙定位结果,利用改进的懒惰抠图技术实现模糊区域的精确定位和自动提取。实验结果证明,该方法可以有效检测出图像中的局部模糊区域。 图像中边缘信号高斯平滑后的偏移问题 高斯平滑是一种常见的预处理方式,但小尺度下的高斯平滑不能完全消除噪声,而大尺度下的高斯平滑可能引起图像边缘的偏移.对此进行了分析,提出了大尺度高斯平滑下的边缘偏移补偿方法,并利用多尺度理论解决了下获得图像的精确的、少噪声的边缘难题. 应用于地理信息数据自动分类的高性能聚类算法 针对现有地理信息数据分类不能体现数据归属的多类别共存性问题,提出一种使用聚类和并行计算技术提高数据分类的有效性和效率的并行地理信息数据聚类算法,并实现相应的数据自动分类系统。采用文本向量建模和抽取数据的类别特征,然后采用基于GPU的并行k-means聚类算法对数据进行类别划分。实验表明,设计的并行聚类算法体现了特征属性归属的多类别交叉性,具有较好的运行性能和扩展性。 绳长变化的桥式起重机位置跟踪迭代控制 研究桥式起重机定位跟踪优化控制问题,要求负载运动定位准确。但在运输过程中,由于摆动引起小车位置定位不精确,容易造成货物侧翻等事故。随着运输速度的提高,精确定位花费更多的时间,影响了运输效率。实际中运载物品或部件经常是批量处理,为提高效率,提出采用迭代学习控制的桥式起重机位置跟踪及防摆控制方法,建立了绳长可变的二维桥式起重机数学模型,并设计了PD型迭代学习控制算法。采用压缩映射方法证明了算法的收敛性。实验表明,迭代学习控制可有效利用桥式起重机运行的重复信息,实现有限时间区间内的位置完全跟踪,同时可有效抑制绳索的摆动。仿真结果验证了跟踪优化控制的有效性。 图像拼接技术研究 随着人们对获取视觉信息的要求的提高,图像拼接技术成为了当前图形图像学领域的研究热点。图像拼接是将若干个具有重叠区域的图像进行拼接最终形成大场景图像的技术,相比单张图像,它能提供更大尺寸的图像画面,从而可以同时展现更多的内容。 遥感图像辅助的折反射全向图三维重构 提出了一种针对室外场景的单幅折反射全向图三维重构方法,能够自动重构出全向图中360°视野内景物的三维模型,并实现自由漫游。基于全向图与遥感图匹配把全向图分为水平地面、垂直建筑物立面和垂直背景景物面三类区域,得到全向图场景的基本结构;在此基础上利用折反射光路投射模型计算出全向图中每个像素点的三维几何位置,从而实现了折反射全向图的重构。实验证明该方法具有采集简单、视野大、处理过程全自动化、能够重构非平面场景等特点。 LM-BP神经网络在大坝变形预测中的应用 为了对大坝进行切实有效的监控,需要建立一个良好的大坝预测模型。针对传统BP(Back-Propagation)神经网络存在的收敛速度慢和泛化能力弱等缺陷,利用LM-BP(Levenberg Marquardt Back Propagation)算法对大坝变形进行预测,并根据丹江口大坝1996和1997两年的变形观测数据,对大坝挠度预测结果进行分析。结果表明,所建立的LM-BP神经网络的预测精度和收敛速度明显提高。 基于Relief和SVM-RFE的组合式SNP特征选择 针对SNP的全基因组关联分析面临SNP数据的高维小样本特性和遗传疾病病理的复杂性两大难点,将特征选择引入SNP全基因组关联分析中,提出基于Relief和SVM-RFE的组合式SNP特征选择方法。该方法包括两个阶段:Filter阶段,使用Relief算法剔除无关SNPs;Wrapper阶段,使用基于支持向量机的特征递归消减方法(SVM-RFE)筛选出与遗传疾病相关的关键SNPs。实验表明,该方法具有明显优于单独使用SVM-RFE算法的性能,优于单独使用Relief-SVM算法的分类准确率,为SNP全基因组关联分析提供了一种有效途径。 面向虚实融合的卧室箱体建模与布局算法 目前室内家居设计平台有以下问题:室内场景建模操作的复杂性大,布局设计人工干预比较多,自动布局响应速度不理想等问题。对此以卧室家居为例,面向由真实室内图片转换而来的箱体模型和虚拟家具模型之间的虚实融合,采用基于图像渲染的技术TIP(tour into picture)将二维室内图片转换为三维箱体模型,以实现和三维家具模型的兼容,实现维数的一致。同时,在箱体模型中,对家具模型实现自动布局。自动布局采用基于放置场能量分布的自动布局算法。首先确定家具布局顺序,接着初始化能量分布,然后按序布局过程中动态更新能量分布,为下一个家具布局做准备,最后根据布局后的能量分布计算漫游区域和视觉朝向。实验表明,该算法可针对不同大小、不同家具组合的卧室,实现较快和较好的自动布局,规划出较好的漫游方案,实现虚实融合。 基于“天河一号”的并行电磁计算求解器 "阐述了基于""天河一号""超级计算机的并行电磁计算求解器。该求解器采用并行有限差分算法,并行有限差分算法是求解电大目标的有力工具,它具有天然并行的优点,能够充分利用集群的计算资源。""天河一号""是世界上最快的计算机之一,它提供了强大的计算资源,充分利用其丰富计算资源的电磁求解器在工程上有着迫切的需求。基于""天河一号""建立的电磁计算求解器,能够用以求解复杂、电大目标的电磁计算问题。在测试中利用7200个进程花费少于48小时解决的电磁问题在普通PC机上要花费几年甚至十几年的时间。通过定标体和复杂电大目标的案例测试,求解器的准确性、计算规模和并行效率都得到了验证。" 基于全身协调的仿人机器人步行稳定控制 提出利用机器人质心(CoM)雅克比矩阵,实现全身协调补偿的算法。提出机器人的简化模型;分析基于CoM雅克比矩阵的补偿算法;采用CoM/ZMP(零点矩点)、减振和软着陆控制器实时控制双足步行,实现机器人全身协调的稳定控制;通过仿人机器人AFU09的双足步行实验证明该控制方法的有效性。 基于OPC技术的高压开关柜无源无线温度监测系统设计 现有高压开关柜温度在线监测系统存在安装维护复杂、传感器供电不可靠、接口开放性差等不足。针对该问题,基于面向过程控制的OLE技术(OPC),设计一种新的温度监测系统。利用无源无线的声表面波温度传感器采集温度信息,通过ZigBee无线网络进行数据传输,实现高压开关柜温度在线监测与集中显示,同时采用OPC服务器软件架构屏蔽设备的底层私有协议,便于与其他测控平台实现无缝集成。实验结果表明,该系统能够克服协议私有、接口不开放的弊端,具有较好的工程应用价值。 IT服务管理中分布协作支持的研究与实现 分析IT服务管理在企业中的运作模式及在协同运作模式下的应用场景,在此基础上提出基于工作单管理流程和配置管理委托机制的分布协作方案,设计并实现一个支持分布协作的IT服务管理工具。协作方案和工具已运用于试点企业,运行结果表明,该方案能够有效地实现IT服务管理的分布协作。 基于过程数据的空分系统参数估计 根据空分系统模型特点确定模拟计算中待估计参数,包含热力学参数以及设备参数。文献中通常采用常规浓度的实验气液平衡数据回归热力学参数,由于实验浓度达不到高纯度范围,热力学参数用于高纯度体系模拟时误差较大,故采用过程数据估计。考虑到待估参数较多,提出了通过分析参数全局灵敏度来判断关键参数,以减小参数估计的规模,估计参数由原来的10个减少到2个关键参数。基于过程数据采用最小二乘法对关键参数进行参数估计。将优化后的关键参数值应用于模拟计算,计算结果与5个实际工况测量值进行对比,可以看出模拟结果与实际工况吻合较好;将优化热力学参数代入热力学方程进行相平衡计算,并与实验气液平衡数据以及未优化的参数计算的结果进行比较,优化后参数的计算结果更加逼近于实验相平衡数据。 摸石头过河算法与分布估计混合算法 依据摸石头过河算法与分布估计算法的优点,提出了一种混合算法。该算法以一个解为起点,向该起点附近邻域随机搜索若干个解,找出这些解中最好的一个解;并挑选部分优秀个体的中心与最好解进行交叉操作,以此解作为下次迭代的结果,然后以此点为起点,再向附近邻域随机搜索若干个解,以此类推。对几个经典测试函数进行实验的结果表明,利用摸石头过河与分布估计算法能够极大地提高收敛速度和精度。 基于LBP卷积神经网络的面部表情识别 针对传统方法面部微表情识别率低的问题,提出局部二值模式(LBP)图像的卷积神经网络对6种基本面部表情进行识别。采用Viola-Jones框架提取面部表情的感兴趣区域,提取感兴趣区域的LBP图像,输入到一个六层的卷积神经网络(两个卷积层、两个降采样、一个全连接层、一个Softmax)并得到识别的结果。在3个公共数据集(CK+、JAFFE、Oulu-CASIA)上进行实验,识别率分别为94.58%、93.48%、93.15%,结果表明,该方法对面部微表情的识别优于其它主流方法。 基于复杂网络理论的编队网络化作战架构研究 为了实现网络化作战资源的有效整合,不仅需要装备与技术的信息化转换,同时需要建立合理的网络化作战拓扑结构,因此提出了基于复杂网络理论的编队网络化作战(CNC)架构。首先给出了CNC架构的基本概念与特征,提出了CNC架构下物理层与功能层的协调方法,设计了组网约束规则和约束因子;然后从物理层对编队作战网络化体系进行了建模,同时给定了CNC架构的评估指标,并对编队作战网络的物理层设计参数进行了分析,使网络化作战体系的功能架构与物理架构得到了更好的匹配;最后对CNC架构设计参数进行了评估。通过仿真实验验证了CNC架构的合理性以及网络设计参数的重要性,并得到了编队作战网络优化的参数修正策略,为网络化作战理论与技术应用提供可靠的平台支撑。 基于变长元组的文件类型识别算法 快速准确地判断文件实体的真实类型对保护计算机信息安全具有重要意义。通过分析现有基于二进制内容的文件类型识别算法中存在的问题,提出采用变长元组描述文件的统计特征,并结合结构化文件中元组的分散度、稳定度以及条件广泛度设计出一种特征评估函数,从而更加准确地选取有效的特征。该算法不依靠特定文件类型的结构和关键标识,适用范围更为广泛。实验表明该算法能有效提高文件类型识别的查准率和查全率。 概率数据库中图类型的不等式查询语句的置信度计算 在元组独立的概率数据库中根据不等式的结构特性,不等式查询语句被分为三类:路径类型、树类型和图类型,针对现有secondary-storage算法不能很好地处理图类型的查询语句,本文提出了一种Split算法来计算不等式查询语句的置信度,其将图类型的查询语句分解为多个路径类型的查询语句,并分别把这些路径类型查询语句的溯源表达式编译为有序二叉决策图(OBDD),最后将这些OBDD合并起来计算原溯源表达式最终的置信度.Split算法不仅可以处理图类型的查询语句,而且在处理树类型的查询语句时,也能够大大降低溯源表达式的大小,从而提高置信度计算的效率. SOAP消息多密级安全的研究与设计 为了满足多密级、特殊密码环境下的Web服务安全性,分析了SOAP消息多密级安全的实现原理,提出了SOAP消息多密级安全标识协议和SOAP消息多密级安全保障协议,设计并实现了一个基于上述协议的SOAP消息安全模型。该模型利用多密级密码服务接入技术,实现了SOAP消息多密级安全保障,验证了多密级安全协议的完整性、可用性。 基于多层特征融合可调监督函数卷积神经网络的人脸性别识别 为了进一步提高性别识别的准确率,提出了一种基于多层特征融合与可调监督函数机制结合的卷积神经网络(L-MFCNN)模型,并将之用于人脸性别识别。与传统卷积神经网络(CNN)不同,L-MFCNN将多个浅层中间卷积层特征输出与最后卷积层特征输出相结合,融合多层卷积层的特征,不仅利用了深层卷积的整体语义信息,还考虑了浅层卷积的细节局部纹理信息,使得性别识别更加准确。此外L-MFCNN还引入具有可调目标监督函数机制的large-margin softmax loss作为输出层,利用其调节不同的间隔(margin)的机制来有效引导深层卷积网络学习,使得同种性别间的类内间距更小,不同性别间的类间距更大,获得更好的性别识别效果。在多个人脸数据集上的性别识别实验结果表明,L-MFCNN的识别准确率要高于其他传统的卷积网络模型。L-MFCNN模型也为将来的人脸性别识别研究提供了新的思路与方向。 基于EM的非监督图像多标签区域标定算法 提出一个基于EM迭代的非监督图像多标签区域标定算法,它能够非常有效地将基于全图的标签自动标定到图像的对应局部区域上。首先对所有图像进行SIFT特征点的密集采样,然后对所有的SIFT特征点进行K-m eans聚类,获得词典,再构造EM迭代过程计算出每幅图像中每个标签对每个存在WORD的置信度,最后选择那些置信度较高的WORD,确定每幅图像中每个标签置信度最高的对应区域。实验表明,在样本数据充分的情况下,该算法在解决非监督自动标定、标签表观的多样性以及多标签等问题上都取得了不错的效果。 一种用于构建用户画像的二级融合算法框架 "用户画像是根据用户社会属性、生活习惯和消费行为等信息而抽象出的一个标签化的用户模型。构建用户画像的核心工作是给用户贴""标签""。基于用户的查询词历史记录,提出一种用于预测用户多维标签的二级融合算法框架。在第一级模型中,分别在各个标签预测子任务上建立多种模型,使用传统机器学习方法与Trigram特征相结合来抽取用户用词习惯的差异,使用doc2vec浅层神经网络模型来抽取查询词的语义关联信息,使用卷积神经网络模型来抽取查询词之间的深层语义关联信息。实验表明,doc2vec在处理用户查询这样的短文本相关任务时有着相对较好的预测准确性。在第二级模型中,针对用户画像这样的多标签预测任务,使用XGBTree模型及Stacking多模型相融合的方法提取出用户各标签属性之间的关联信息,使得平均预测准确率进一步提高了2%左右。在2016年中国计算机学会(CCF)组织的大数据竞赛《大数据精准营销中搜狗用户画像挖掘》中,所提二级融合算法框架在894支队伍中夺得了冠军。" 扩散加权成像在新生儿早期脑白质损伤的诊断价值 目的:探讨磁共振扩散加权成像(diffusion-weighted imaging,DWI)在新生儿早期脑白质损伤的诊断价值。方法:选取有明确围生期窒息史,日龄≤7天的早产儿缺氧缺血性脑损伤(HIBD)412例、足月新生儿缺氧缺血性脑病(HIE)566例作为研究对象,另随机选取日龄相同临床及颅脑MRI检查结果正常的156例早产儿、227例足月新生儿作为正常对照组,全部行颅脑常规MRI和DWI检查。分别测量DWI上研究组异常高信号区和正常对照组同一位置表观弥散系数(ADC值)。将两组ADC值分别计算其平均值±标准差,组间数据分别进行t检验分析,P值小于0.05表明有统计学意义。结果:①412例HIBD中382例常规MRI显示脑室旁短T1信号。156例DWI表现为脑室旁、内囊前后肢、胼胝体膝压部有异常高信号占37.86%,病灶呈局灶性分布74例,弥漫性分布82例,其中30例常规MRI未见异常但在DWI显示异常;566例HIE中,轻度267例、中度206例、重度93例。DWI上表现为皮质下深部白质异常高信号为227例,病变显示率为40.1%,异常高信号呈局灶性分布150例,弥漫性分布77例,其中18例在常规MRI未见异常。②DWI上,HIBD灶状病变和弥漫性病变平均ADC值分别为(0.976±0.041)×10-3mm2/s,(0.949±0.039)×10-3mm2/s;HIE灶状病变和弥漫性病变平均ADC值分别为(0.639±0.108)×10-3mm2/s,(0.626±0.112)×10-3mm2/s,研究组脑白质异常高信号区平均ADC值分别显著低于正常对照组同一部位,P<0.05,表明ADC值研究组与正常对照组组间存在差异。结论:常规MRI检查与DWI序列结合可显著提高新生儿脑白质损伤的早期诊断率,为临床早期准确诊断脑瘫、早期干预、评估预后及康复治疗奠定基础。 基于云模型的最接近支持向量机增量学习方法 针对经典支持向量机在增量学习中的不足,提出一种基于云模型的最接近支持向量机增量学习算法。该方法利用最接近支持向量机的快速学习能力生成初始分类超平面,并与k-近邻法对全部训练集进行约简,在得到的较小规模的精简集上构建云模型分类器直接进行分类判断。该算法模型简单,无须迭代求解,时间复杂度较小,有较好的抗噪性,能较好地体现新增样本的分布规律。仿真实验表明,本算法能够保持较好的分类精度和推广能力,运算速度较快。 东华大学实验室管理云计算平台 云计算的兴起为教育带来了新的机遇与挑战,讲述了利用VMWare技术和Citrix技术搭建的东华云在高校计算机专业实验室管理中的应用,实现了服务器虚拟化管理,教学资源统一管理,提高了服务可用性,资源利用率,保障了服务可靠性,节省了管理成本. DDR3系统混合建模与协同仿真 DDR3存储器已经成为目前服务器和计算机系统的主流应用,虽然DDR3采用双参考电压、片上校准引擎、动态ODT、fly-by拓扑以及write-leveling等技术在一定程度上提高了信号完整性,但设计实现高数据率仍然比较困难.针对某自研处理器及服务器主板设计,采用混合建模方法,建立了由芯片I/O、封装、PCB、过孔、连接器和DIMM条组成的DDR3的全通道信号完整性仿真平台,通过频域仿真,比较通道中各种无源组件引入的插损和回损,通过时域仿真,分析各组件对接收眼图的不同影响程度,实现Chip,Package,PCB的协同仿真与设计优化,达到了预期指标. 包含运动物体的图像拼接关键技术 提出一种基于特征点的运动场景图像拼接算法。基于相似变换消除选取在运动物体上的特征点,并使用RANSAC算法进行外点的剔除。在融合阶段,根据齐次变换矩阵求得对2幅图像的差异图像,并执行区域增长算法,从而分割出运动区域。使用一种分段映射算法生成全景图像。实验证明了算法的有效性。 基于位运算的RFID系统密钥无线生成算法 为了解决标签与读写器之间的共享密钥事先设置好而存在的安全缺陷问题,提出了一种基于位运算的共享密钥无线生成算法。算法采用无线生成密钥的方式,将读写器与标签产生的随机数通过位运算函数进行加密,最终动态生成两者之间的共享密钥,从而可以使共享密钥不用事先设置。通过全面的性能及安全性分析,表明该算法不仅能够确保标签及读写器端的计算量与原算法计算量相当,而且能够解决原算法中存在的安全缺陷问题。 基于视觉的无人直升机着陆位姿参数估计方法 针对无人直升机的着陆过程,设计一种由同心圆和矩形组成的人工地标,并基于该地标给出一套无人直升机位姿参数估计的方法。该参数估计方法首先在图像中通过检测同心圆来确定目标区域,然后在区域内提取人工地标的特征点,最后利用特征点通过线性求解和非线性优化对无人直升机的位置和姿态参数进行解算。在多个高度位置进行了仿真试验,结果表明该方法能准确计算出无人直升机与降落平台的相对位姿参数,能够满足无人直升机降落过程中实时测量位姿参数的要求。 基于多层级联视觉显著性模型的肇事车辆锁定方法 肇事车辆的锁定是智能交通系统中一个十分重要的问题,因此针对肇事车辆的锁定,提出了一种基于多层级联视觉注意模型的肇事车辆匹配方法。在模型的每一层中,基于传统视觉注意模型的思想,通过生成显著图的方式提取车辆的一个显著性特征,如颜色、车标,并将其与肇事车辆进行匹配,过滤掉特征不相似的车辆,经过多次显著性特征提取和匹配,最终获得唯一的肇事车辆。实验结果表明,该模型可以准确地从车辆数据库中锁定肇事车辆,且对光照变化和噪声有较强的鲁棒性。 结合反卷积的CT图像超分辨重建网络 医学图像的质量对于患者疾病的诊断、治疗乃至科学研究起着重要的作用.然而,受医疗设备和放射剂量等因素的影响,医学CT图像的分辨率普遍较低.为了实现医学CT图像超分辨重建,提出一种结合反卷积的神经网络算法,通过引入反卷积操作,有效地建立了低/高分辨率图像之间端到端的映射.首先选取肺部、脑部、心脏和脊椎等部位的1 500幅CT图像作为训练数据,将训练数据下采样后输入网络模型;然后建立正反卷积网络模型学习图像特征,网络模型用caffe框架实现,激活函数使用PReLU;最后基于学习到的这些特征重建出高分辨率图像,采用平均方法重建图像.实验结果表明,文中算法能够更好地重建出图像的轮廓和边缘纹理;与已有算法相比,所构建的4层网络结构在重建结果的峰值信噪比、结构相似性、信息熵及重建速度等性能指标上均取得了更好的效果. 一种基于稀疏编码的鲁棒跟踪改进算法 针对在跟踪过程中目标易受到遮挡、姿态变化、光照变化、复杂背景等问题的影响,提出一种改进的鲁棒跟踪算法。采用局部块稀疏编码方式,结合尺度不变特征及空间金字塔特征匹配技术进行目标表观建模。在粒子滤波的框架下引入运动估计以获取目标最优位置,并在跟踪过程中实时更新模板。实验结果表明,与DFT、FCT、OAB等常用跟踪算法相比,该算法在复杂情况下仍能保持较好的跟踪鲁棒性。 基于信息系统属性同态的数据压缩 数据压缩是数据处理的一个重要主题,同态是实现数据压缩的一种有效工具。根据信息系统属性集及其上的依赖关系,定义了信息系统属性同构和信息系统属性同态的概念。研究了信息系统属性同态的性质,利用属性等价关系诱导出了信息系统属性理想同态,应用信息系统属性理想同态实现了信息系统属性集的无损压缩。最后通过比较原信息系统与同态像信息系统的距离,给出了度量任意属性同态理想程度的方法。 面向平面几何命题理解的融合算法研究 随着初等几何命题可视化机器证明的发展,如何让几何教学辅助软件能够直接理解几何命题的含义,自动生成对应的几何图形并进行推理证明,成为当前研究的一个新问题。现有的几何命题理解方法虽然在一定程度上实现了几何命题向形式化命令的转化,但是这些方法均没有考虑几何命题中分句间的逻辑关系,无法解决分句间形式化命令的冗余和冲突问题,导致后期自动作图和推理的准确率不高。针对这一问题,在前期工作的基础上提出了一个几何命题分句间形式化命令融合算法,并将该融合算法集成应用于已有的自然语言几何作图接口进行实验论证。结果显示,几何命题分句间形式化命令融合算法将自然语言几何作图的准确率从原来的84.17%提高到了91.67%,能够有效提高几何命题理解的准确性。 基于自适应逆的飞机空投纵向控制系统设计 针对现代飞机空投时对系统稳定性与鲁棒性的要求,采用自适应逆的控制方法对飞机纵向姿态保持系统进行了控制律设计;首先基于飞机动力学与运动学方程,建立了飞机空投的纵向非线性数学模型,然后分析了空投时所产生扰动对飞机稳定性的影响,并应用自适应逆的方法设计了飞机的纵向控制系统,最后对某型飞机纵向控制系统的输出特性以及姿态保持的效果进行了仿真验证;仿真结果表明,设计的控制系统响应迅速、跟踪精确,具有良好的控制效果和抗干扰能力。 非结构化对等网络中的信誉管理机制 针对因特网上网络资源共享中存在的恶意节点行为,提出并描述了一种非结构化对等网络中的信誉管理机制。该机制使用非集中式的策略,根据节点与应用的需求计算节点的信誉度,具有独立性,不需要其它节点的参入与辅助。把加入对等网络的节点行为划分为恶意行为与友好行为,既可以统计节点对系统的贡献,也可以根据恶意行为而减少节点的信誉度。描述了信誉管理机制的设计与实现,各种节点行为下的仿真实验结果表明,该信誉管理机制是对等网络中一种真实的、高效的、信誉机制良好的资源共享策略。 基于语义技术的柑橘园土壤环境判定决策支持系统的设计与实现 农业生产管理决策支持系统对提高相关农产品的产量与质量起到越来越重要的作用。针对柑橘生产中土壤环境影响柑橘生长的问题,提出了基于语义技术的柑橘园土壤环境判定决策支持系统,重点讨论了该决策支持系统的系统结构、土壤语义数据库的建立以及推理规则的定义等,并应用语义数据库软件AllegroGraph实现了柑橘土壤语义数据库。 一个医保数据仓库的元数据管理解决方案 利用数据仓库的集成管理能力来辅助医保业务分析是当前我国医疗保障基金管理的研究热点。由于数据仓库构建过程中的每一个环节都可能发生变化,因此一个灵活的元数据管理系统是这个过程不可缺少的。基于一个医保基金风险防控平台数据仓库的构建过程,提出一套适应该平台变化需求的元数据管理解决方案,深化对医保数据仓库中数据的理解,从而完善医保基金的管理和改善数据质量。 全球最先进口腔颌面CT落户上海 [正文]全球最先进的口腔颌面CT——NewTom锥形束CT落户上海仁爱医院口腔科,上海仁爱医院由此成为沪上首家引进这款口腔颌面CT的医疗机构。据了解,NewTom是锥形束CT的发明者和全球市场的领导者,其设立了三维颌面成像的行业标准。上海仁爱医院口腔科陶肃雄主任表示,该款口腔颌面CT的引进必将给口腔患者带来更为安全便捷的高端服务。 一种基于负载均衡性的网格任务调度算法 针对二分图匹配算法在任务之间存在时序关系时无法进行有效调度以及EFT算法没有充分考虑各处理机性能及网络通信状况的问题,提出基于二分图匹配的改进ETF算法。该算法综合考虑任务之间的时序关系、处理机的性能、处理机之间的通信情况及已处理任务的调度情况,利用二分图最佳匹配思想对局部任务进行调度。实验表明该算法具有较小的调度长度和较好的负载均衡性。 基于LLVM架构的NiosⅡ后端快速移植 编译器后端移植是目前嵌入式系统研究的重要领域,如何快速实现编译器后端移植仍然是嵌入式系统研究的热点。采用新的编译器架构LLVM,移植NiosⅡ处理器来分析LLVM快速后端移植架构。使用LLVM后端移植架构的TableGen描述NiosⅡ体系结构例如指令、寄存器等,使用完备LLVM C++函数库实现复杂或特殊的操作。TableGen与C++函数库互相配合,最终实现LLVM架构对NiosⅡ后端的支持。实验结果表明与GCC编译器后端移植方法相比,基于LLVM架构的编译器后端移植方法的工作量减少了64.2%~83.9%,大大节省后端移植时间。 动态修正下的神经网络盲均衡算法 针对现有的神经网络算法收敛速度慢以及精确度低的问题,通过对传统的神经网络盲均衡算法以及前馈神经网络进行研究,提出一种具有自动修正效果的前馈神经网络盲均衡算法。该算法通过对算法中的代价函数以及迭代步长因子进行改进,来提高算法的收敛速度;通过对所获得的目标信号进行修正处理,来对所获取的信息进行修正。实验结果表明,该算法的实验结果与预期效果基本相符,具有可靠性强、收敛速度快的优势。 网络安全漏洞检测软件的设计与实现 分析了几种常见的网络安全漏洞的基本原理。采用具有跨平台运行优势的Java语言编程模拟FTP、SMTP、POP3等多个客户端,实现了弱密码漏洞检测,CGI漏洞检测,SQL注入漏洞检测和IIS解码编码漏洞检测。 基于PHD滤波的多目标跟踪算法研究 针对粒子PHD滤波中最优采样分布解析式获取困难及聚类算法提取目标状态导致的滤波性能下降问题,论文提出了一种免聚类的最优粒子PHD滤波算法。论文研究发现,前一时刻的粒子和最新观测集中的某个观测存在最大关联,而与其它观测关联度很小,于是可以将最优采样分布近似为只与单个观测相关的形式,将系统的观测方程线性化,便可以得到最优采样分布的近似解析形式;由于粒子和观测的这种关联,使粒子具有了类别信息,不需要聚类算法提取目标状态。实验表明:该文提出的免聚类最优粒子PHD滤波算法的跟踪性能优于传统的粒子PHD滤波算法。 基于深度八叉树的三维数据场LOD可视化 提出了广度八叉树、深度八叉树概念,分析了它们逻辑结构和存储结构,探讨了这两种数据结构在三维数据场可视化中的应用,把深度八叉树应用于三维数据场LOD体绘制算法中。算法在某三维震波数据场进行了体绘制实验,并与传统方法进行了比较分析。结果表明,该方法通过逐层简化细节来减少场景的复杂性,提高了渲染效率,将全局和局部体绘制相结合,既提高了绘制速度,又实现了精细观察。 结合遮挡级别的人体姿态估计方法 针对单目静态图像中姿态估计方法易受遮挡干扰的问题,提出基于部位遮挡级别的可形变姿态估计方法.首先定义遮挡级别为人体部位的被遮挡程度,其通过计算部位遮挡比例和部位方向获得;然后根据遮挡级别为每个部位建立对应级别的部位检测器,并给出基于遮挡级别的部位间形变模型;最后依据以上2个模型的总体匹配得分,获得最合理的人体姿态.在标准数据集IP和LSP上的实验结果表明,该方法提高了姿态估计的整体准确率,特别是减少了有遮挡情况下的部位误匹配问题. 面向海量病毒样本家族聚类方法的研究 计算机反病毒厂商每天接收成千上万的病毒样本,如何快速有效地将这些海量样本家族化是一个亟待解决的问题。提出了一种可伸缩性的聚类方法,面对输入海量的病毒样本向量化特征集,使用局部敏感哈希索引技术进行初次快速聚类,使用扩展K均值算法进行二次细致聚类。实验表明该聚类方法在有限牺牲准确度的情况下,大为提高了病毒聚类的时间效率。 基于贝叶斯网络的混沌时间序列预测 混沌时间序列预测是混沌理论的一个重要方向和研究热点,在气象、水力、经济和通信等各个领域有着广泛的应用。然而,由于混沌时间序列高度复杂的非线性特征,很难从理论上定量研究。利用贝叶斯网络(BNs)在处理不确定知识方面的优势,并结合相空间重构理论,建立了混沌时间序列非线性全局预测模型,实现对其动力学特性分析,从而达到预测目的。实验结果表明:模型具有良好的稳定性和预测能力,并能够有效地克服过拟合现象。 云制造典型特征、关键技术与应用 "在近两年来云制造理论研究、技术攻关、工程实施与应用示范的实践基础上,进一步讨论云制造的内涵,提出云制造的典型技术特征,即在数字化的基础上,具有硬软制造资源和制造能力的""物联化、虚拟化、服务化、协同化、智能化"",以实现全生命周期制造的智慧化;进而分析了云制造与制造信息化和云计算的关系。基于对云制造典型技术特征的分析,进一步讨论了支持这些特征实现的技术体系与关键技术;给出了正在实施的面向集团企业和中小企业的四个典型案例,最后对云制造的进一步工作提出几点建议。" PX氧化反应尾气冷凝系统的模拟与优化 精对苯二甲酸(PTA)是我国最重要的化工原料,本文以某大型PTA装置的对二甲苯(PX)氧化反应尾气冷凝系统为对象,基于工艺机理,建立了该系统的模型,实现了流程模拟,获得了工艺操作条件下各流股的组分信息。并在PX氧化反应热计算的基础上,利用模型,对PX氧化反应尾气冷凝系统进行了用能评估与优化,工业装置应用实施后,调整了两级副产蒸汽,有效提高了氧化反应热的利用率,改善了PX氧化反应过程的运行性能。 求解最大子团的随机抽样免疫遗传算法 针对遗传算法在最大子团求解中保持群体多样性能力不足、早熟、耗时长、成功率低等缺陷,利用随机抽样方法对交叉操作进行重新设计,结合免疫机理定义染色体浓度,设计克隆选择策略,提出了求解最大子团问题的随机抽样免疫遗传算法。用仿真算例说明了新算法在解的质量、收敛速度等各项指标上均有提高,且不比DLS-MC、QUALEX等经典搜索算法差,对某些算例还得到了更好解。 水声传感器网络中一种基于多种群萤火虫的路由协议 针对水声传感器网络的特殊性,提出一种基于多种群萤火虫的路由协议,以确保数据包在水声传感器网络各节点之间正确、高效地转发。首先构造水声传感器网络的网络模型;然后,设计3种类型的萤火虫,通过各种萤火虫之间的协同工作提高路由路径构建的自适应性并实现路由路径的选择和优化。仿真实验结果表明,与传统的水声传感器网络路由协议相比,在节点数量相同情的况下,该路由协议的数据包传送率更高,平均端到端延时更低,并且在平均数据传送率相同情况下,网络吞吐量更大。 支持观众群体交互的超感影院系统 针对飞翔影院、4D动感影院等超感影院很少支持观众互动参与的问题,提出支持观众群体自然交互的超感影院系统.在播放影片前,用户预先定义影片与动作映射关系,对影片的交互节点进行配置,并生成配置文件;在播放影片时,当播放到交互节点时,系统启动动作与语音识别模块捕获相应动作与语音信息,同时读取配置文件信息,获取交互指令与待播放影片的关系;然后根据识别模块的结果,即观众群体的交互命令实现用户与剧情互动,进行剧情选择或控制.用户评估结果表明,与传统超感影院相比,该系统可以让用户感受到更强、更自然的沉浸式体验和娱乐效果. 一种求解最优路径的新型混合PSO算法研究 粒子群算法是新型智能优化算法且已被应用于诸多领域,但在求解最优路径时显现出易陷入局部最优的缺点。为此根据地理坐标数据通过数学公式推导得到PSO算法所需的初始化数据,在算法寻优过程中将自平衡策略和变异思想结合协助粒子群迭代与更新,提出一种求解最优路径的新型混合PSO算法。该算法引入了适合此问题的自平衡变异策略来提高算法求解精度,使得算法摆脱局部最优。实验以Visual Studio2005中C++编程实现仿真,结果表明此算法不但能有效求解最优路径问题,而且比离散PSO算法、自平衡PSO算法的解更优,从而性能得到改善。 基于贝叶斯网络的大型城市社区消防安全评估模型 针对大型城市社区的消防安全预控管理问题,分析了国内外消防安全评估的研究现状,提出了一个基于贝叶斯网络的消防安全评估模型,并结合层次分析法对消防安全进行定量评估。该模型利用贝叶斯网络推理反映评估指标之间的条件相关关系,运用层次分析法确定指标权重,有利于提高大型城市社区消防安全评估的客观性、准确性、全面性及智能性,进而改善城市社区消防安全预控管理的预测能力和服务效率,且实验结果证明了该模型的有效性和实用性。 多项目环境下time-cost置换问题建模与求解 针对多项目环境下的time-cost置换问题,以活动资源为决策变量,建立了以各项目总延迟最小与总成本最小的双目标time-cost置换模型。在总结前人对于多目标优化求解方法的基础上,针对多项目的特点,提出了基于NSGA-II非劣排序的局部直接搜索改进遗传算法,并考虑资源为连续与离散两种情况进行求解。与NSGA-II相比,算法可以较快地收敛到最优解,并且具有较好的离散变量搜索能力,与ZDT系列测试函数的比较也体现了算法的优越性。 基于角度信息的递推最小二乘无源定位算法 针对机载单站无源定位的定位精度和实时性等问题,提出了一种基于角度信息的递推最小二乘(RLS)无源定位算法;首先建立了机载单站三维无源定位模型,求出最小二乘(LS)解;然后依据机载单站无源定位的实时性要求将最小二乘估计转化为递推最小二乘估计的形式;最后通过不同的仿真实验研究了影响定位精度和收敛速度的因素;仿真结果表明:RLS算法与LS算法在定位精度和收敛速度方面性能相似,但RLS算法运算复杂度低,所需存储空间小,能够实时的对目标进行定位,满足机载单站无源定位的需求。 基于ARM9的嵌入式喷码机控制系统设计与实现 介绍了一种以ARM9+CPLD为微控制核心的嵌入式喷码机控制系统;系统硬件平台利用ARM9微处理器作为主控制器,采用CPLD作为协处理器;对嵌入式Linux在ARM9系统上的移植及驱动程序的设计进行了分析;在嵌入式GUI主流解决方案Qtopia-Core4的基础上,设计高效、稳定的图形化软件控制系统;实际应用表明,该系统具有性能稳定,成本低,系统扩展性强等特点,提供了一种可行的嵌入式喷码机系统方案。 基于异构代价敏感决策树的分类器算法 代价敏感学习方法常常假设不同类型的代价能够被转换成统一单位的同种代价,显然构建适当的代价敏感属性选择因子是个挑战。设计了一种新的异构代价敏感决策树分类器算法,该算法充分考虑了不同代价在分裂属性选择中的作用,构建了一种基于异构代价的分裂属性选择模型,设计了基于代价敏感的剪枝标准。实验结果表明,该方法处理代价机制和属性信息的异质性比现有方法更有效。 大规模MIMO中基于低复杂度雅克比预编码算法及实现 迫零线性预编码可以获得接近最优的系统容量,不同于传统MIMO系统,大规模MIMO将会配置成百根天线,随着天线数量增加,使得迫零线性预编码矩阵求逆计算复杂,不利于在应用中实现。为了减小线性预编码计算复杂度,提出基于低复杂度的雅克比迭代算法,该算法通过线性迭代,避免了矩阵求逆运算,减少了计算量。为了更进一步的减少计算时间,提出基于统一计算架构的异构多核并行算法,该方法利用GPU具有多核多线程结构特点,实现了异构多核并行计算。仿真结果表明,基于低复杂度雅克比预编码算法可以达到迫零预编码算法性能,同时与传统的线性预编码相比,该算法的计算量更少、时间更短。 基于动态膜计算系统的自组织网络广播算法 应用仿生学原理设计了一种新型的广播算法——基于动态膜计算系统的广播算法,提出了动态膜计算系统,并给出了解决自组织网络中广播问题的规则集。系统中节点转播信息的优先权通过节点间距离及邻居个数确定,适合不同密度的网络;并根据信息数与门限值的比较,取消部分节点的转播权,从而提高了可达率和转播节省率。仿真测试验证了此系统用于广播是可行的、高效的,为设计无线自组织网络中的广播算法提供了新思路。 基于分层路网的路径规划算法 为了提高路径规划的效率,提出了一种基于分层路网的二叉堆管理开启列表启发搜索算法。首先根据路网分级特点的存在,建立分层地图数据库,然后以启发式A*算法为主搜索方式,结合优先队列二叉堆来管理开启列表,完成路径规划。通过实验对比不同路径规划算法的平均耗时显示:启发式A*算法的效率是盲目式Dijkstra算法的4倍左右,同时在算法中引入二叉堆至少节省5%的规划时间。分层策略使快速路段所占比例达到90%以上,且将路径规划耗时控制在3 s以内。实现结果表明,所提算法具有很高的运行效率,同时能满足驾驶者多走快速路段的行车心理。 面向代码相似度检测的指纹选取方法 代码相似度检测是代码抄袭检测的核心组成部分,对于营造良好的学习氛围、提高知识产权保护意识具有重要作用。总结了应用指纹技术检测代码相似度的基本思路,分析了几种常见的指纹选取方法的优缺点,提出一种改进的面向代码相似度检测的指纹选取方法。该方法能够从疑似抄袭代码集中去除由代码编写规则产生的虚假相似代码对,有效获得代码之间准确的相似度值。 最大流最小截问题的遗传算法研究 遗传算法在众多领域中均有重要应用,运用遗传算法同样可以求解最大流最小截问题。遗传算法解决最大流最小截问题可以有效地解决对于网络规模增长,传统算法计算量呈指数级增长的局限性。根据最大流最小截问题的相关理论和遗传算法的原理,设计出最大流最小截问题的遗传算法,根据最大流最小截问题的定义设计了遗传算法中的编码方法、解码方法以及群体初始化方法,形成算法的初始个体。设计适应度函数计算个体适应度,根据个体适应度设计算法的选择算子选择个体,设计了交叉算子和变异算子,将选择的个体进行交叉变异产生新的个体,并且设计了具体的算法步骤。通过仿真实验发现,对于小型网络和大型网络,该算法均能稳定求解,并且随着算法迭代次数的增加,算法求得最优解就越接近于真实解。 基于垂直数据分布的大型稠密数据库快速关联规则挖掘算法 为进一步解决对大型事务数据库进行关联规则挖掘时产生的CPU时间开销大和I/O操作频繁的问题,给出了一种基于垂直数据分布的改进关联规则挖掘算法,称为VARMLDb算法。该算法首先有效地把数据库分为内存可以满足要求的若干划分,然后结合有向无环图和垂直数据形式diffset差集来存储和计算频繁项集,极大地减少了存储中间结果所需的内存大小,解决了传统垂直数据挖掘算法对稠密数据库挖掘效率低下的问题,使该算法可有效地适用于大型稠密数据库的关联规则挖掘。整个算法吸取CARMA算法的优势,只需扫描两次数据库便可完成挖掘过程。实验结果表明该算法是正确的,在大型稠密数据库中,VARMLDb算法具有较高的执行效率。 精英遗传K-medoids聚类算法 针对K-medoids算法易陷入局部最优和聚类结果不稳定的问题,提出了一种精英遗传K-medoids聚类算法。该算法使用精英策略来控制遗传操作的整体进化方向;根据种群的平均适应度引入若干随机个体来提高种群多样性,从而在一定程度上减少了遗传算法的早熟现象。为了提高进化效率,该算法设计出一种新的交叉方式;为了保证交叉变异结果的优秀性,该算法引入了一种竞争机制。8个数据集的仿真实验表明,该算法在提高聚类准确率的同时,聚类结果的稳定性也有所提高。 移动支付近场通信智能水表系统 针对传统的预付费水表缺乏便利性和实时性等问题,实现了一种具有移动支付功能和数据查询功能的近场通信(NFC)智能水表系统。首先,根据水表的预付费业务需求,设计了基于NFC技术的水表系统整体架构并且完成了软硬件设计;其次,提出了一种通过检测外部磁场变化唤醒水表的低功耗机制;最后,结合NFC安全协议分析了系统在移动支付水费过程中的安全性。经测试,该水表系统支持用户利用NFC手机等移动终端进行动态唤醒,并实现移动支付和数据查询上传功能。 一种改进的RFID高效认证协议 "标签的成本限制着标签的物理性能,从而制约RFID系统安全性的实现,因此在低成本的环境下,解决授权访问和隐私保护成为RFID应用中的重要技术问题。文中在对现有的分布式RFID挑战-应答认证协议进行深入分析的基础上,针对""后台""式电子标签,提出了一种改进的低成本高效3步RFID认证协议—3WRAP,并使用形式化分析方法 BAN逻辑对新提出的协议的正确性进行了推理证明。最后通过安全性和复杂度分析,表明文中所提出的协议具有安全、高效和成本低的特性。" 一种云存储环境下保障数据机密性的方法 为保证用户数据的机密性,业界普遍将数据加密后存储在云端。提出了一种云存储系统中保障数据机密性的方法,其特点有:(1)加解密系统部署于云存储服务器的前端,在客户端和云存储服务器之间对用户数据进行加解密;(2)对用户数据的加解密是实时进行的,在数据上传的传输过程中进行加密,在数据下载的传输过程中进行解密;(3)加解密系统对用户端和云服务器端是透明的。当前广泛使用的基于HTTP协议传输的云存储系统如Amazon S3、OpenStack Swift等可以直接使用该方法。测试结果表明:本方法在不降低数据传输吞吐率的基础上,能有效卸载云存储系统的数据加解密负担。 基于TensorFlow的俄语词汇标音系统 针对俄语语音合成和语音识别系统中发音词典规模有限的问题,提出一种基于长短时记忆(LSTM)序列到序列模型的俄语词汇标音算法,同时设计实现了标音原型系统。首先,对基于SAMPA的俄语音素集进行了改进设计,使标音结果能够反映俄语单词的重音位置及元音弱化现象,并依据改进的新音素集构建了包含20 000词的俄语发音词典;然后利用Tensor Flow框架实现了这一算法,该算法通过编码LSTM将俄语单词转换为固定维数的向量,再通过解码LSTM将向量转换为目标发音序列;最后,设计实现了具有交互式单词标音等功能的俄语词汇标音系统。实验结果表明,该算法在集外词测试集上的词形正确率达到了74.8%,音素正确率达到了94.5%,均高于Phonetisaurus方法。该系统能够有效为俄语发音词典的构建提供支持。 基于JSP的论文文献资源管理网站开发 论文文献资源管理网站是为论文需求者所开发的一个网站。网站使用Dreamweaver 8.0开发工具,采用JSP(Java Server Pages)开发技术,以SQL Server 2000为数据库,利用Tomcat作为应用服务器。网站分前台部分和后台部分,前台部分由用户使用,主要包括用户对论文文献资源的查看;后台部分由管理员使用,主要包括对论文文献资源的添加管理,修改管理和删除管理。 光伏并网发电系统软件锁相技术的研究 光伏并网发电系统是利用太阳能的主要技术之一,并网逆变器是实现其与电网连接的核心部分。为使光伏并网逆变器逆变输出电流能够更好地跟踪电网电压基波,完成同步锁相功能,本文以1kW单相光伏并网逆变器为研究平台,阐述光伏并网逆变器的工作原理和系统结构,分析并网逆变器的并网控制技术,提出一种基于坐标变换的双park鉴相器的软件锁相技术,最后通过Matlab仿真验证该方法的可行性。 基于B/S架构的校园地理信息系统设计与实现 校园作为一个小的社会集体,利用万维网地理信息系统(WebGIS)技术,对它进行科学、有效的管理,可以充分利用学校的资源配置,提高工作效率。在简要地分析WebGIS的技术特点的基础上,在.NET环境下,基于ArcIMS进行校园地理信息系统开发的解决方案,并以徐州师范大学为例,对系统进行了具体设计和开发。 基于蓝牙4.0的课堂签到系统设计与开发 针对校园环境下课堂签到耗时耗力的现状,设计并实现了一种方便、快捷且实现成本低的课堂签到系统。系统利用基于蓝牙4.0的信标设备使人物、事件、时间和地点之间相互联系,从而在课程指定时间和地点实现主动签到。系统采用B/S模式+C/S模式。对基于蓝牙4.0的室内定位技术进行研究,提出了在实际应用中易于实现并且满足定位精度需求的室内定位方法。实验结果表明,基于蓝牙4.0的课堂签到系统能够快速准确地完成主动签到过程,效率高、易于管理,系统可扩展性强。 基于经验模态分解和小波阈值的冲击信号去噪 冲击信号是非线性的并且容易受到噪声污染;为研究冲击信号去噪的问题,针对经验模态分解(Empirical Mode Decomposition,EMD)去噪和小波阈值去噪方法存在的不足,提出了基于EMD的小波阈值去噪方法;单纯的EMD去噪方法会在去除高频噪声的同时压制高频的有效信息;EMD与小波阈值去噪相结合,利用连续均方误差准则确定含噪较多的高频固有模态函数(Intrinsic Mode Function,IMF),对高频IMF分量进行小波阈值去噪,以分离并保留这些分量中的有效信息,同时保持低频IMF分量不变;对模拟数据和实际冲击信号进行去噪处理,结果表明,基于EMD的小波阈值去噪方法的去噪效果优于单纯的EMD去噪方法和小波阈值去噪方法。 面向相似性查询的时间序列距离度量方法述评 从一元时间序列和多元时间序列两个方面对当前提出的主要时间序列距离度量方法进行了述评。深入分析了各种算法的原理和特点,比较了算法对时间序列形变的支持情况以及时间复杂度。从客观上讲,各种算法之间并不具有绝对的优劣关系,每种算法的原理和特点各异,适用的问题领域也不一样。对于工程应用中选择时间序列距离度量方法具有指导意义,同时对于设计新的距离度量方法也具有参考价值。 基于构件技术的通用自动测试系统软件设计 "为实现自动测试系统软件平台的通用性要求,采用面向对象的程序设计思想和层次化、模块化的程序结构,实现了数据处理和测试流程分离;采用""软件插槽+构件""的开放通用的软件体系结构,实现了""核心层稳定、通用,构件层可配置、可扩展"";采用非编码式的开发方式,实现了组装式测试程序的开发;该软件平台拥有丰富可扩展的构件,支持仪器互换,支持多运行环境,具备强大的二次开发能力,大大提高了测试程序的开发速度,同时也提高了测试程序的可重用性和可移植性。" 虚拟场景中异步消息的通信设计 现有虚拟现实技术追求逼真的仿真场景,即使在网络环境下仍然沿用传统消息机制拓展应用,针对虚拟场景中消息通信机制研究较少的情况,从小型虚拟场景的网络应用需求出发,分析通信模式和任务对象的特点,按照不同业务逻辑封装任务类,使用状态机方式轮询任务,将任务提交到线程池后选择空闲线程执行,解决了多任务并发中事件响应延迟的问题,提出根据场景消息异步特性设计通信机制,重点分析了元素碰撞检测实例,实现了一款支持多人联网的虚拟应用软件.测试结果表明,该软件在主流操作系统平台上运行流畅,响应速度快,负载能力强. OpenStack云平台的监控系统算法设计与实现 随着虚拟化、云计算技术的迅速发展,传统的管理系统已经不能满足用户需求,因此,云计算平台的高效管理已逐渐成为社会关注的焦点。监控作为管理手段的重要举措之一,管理员能够通过监控收集系统运行的信息,并依据这些信息规划、调整云管理的行为,从而提供给用户更高的服务质量。文中部署了OpenStack云管理平台,并对传统的线性回归和马尔可夫链进行分析,总结出它们现有的弊端,并充分结合两种算法对云平台进行预测。由于OpenStack中的数据具有一定的规律性,在不同阶段采用不同的算法。实验结果表明,根据不同阶段采用不同的算法能够更加准确预测下一时刻的数据,从而减少数据在网络中的传输,减小了网络开销。 激光焊接系统的非线性辨识和自适应控制 激光焊接涉及到复杂的物理和化学变化,是一个典型的非线性系统,用机理建模法很难得到系统的数学模型,通常采用基于输入输出数据的系统辨识方法来建模;为了得到未知参数的无偏估计,采用相关最小二乘法进行辨识建立激光焊接系统的双线性模型,并通过比较实际焊接系统的阶跃响应和模型的阶跃响应验证了模型的准确性和有效性;在此基础上,采用反馈线性化方法,对激光焊接系统设计了最小方差自适应控制器;仿真结果表明了该非线性自适应控制方法的有效性。 基于软件测试与知识发现的软件定量评估方法 对软件质量进行准确客观的评估是软件评测乃至软件工程的重要研究内容。在软件可信性分解的基础上,提出了一种软件定量评估方法,该方法基于软件测试用例的执行结果,利用基于知识发现(KDD)的权值获取及数据拟合技术,给出定量的软件评估结果。工程实践表明,该方法可以大幅降低软件评估过程中的主观性,提高软件评估的准确度。 基于知识的人工蜂群服务组合优化算法 近年来,Web服务组合问题一直是研究热点,是典型的NP难题。随着Web服务技术的发展,用户更加注重服务质量。目前,将人工蜂群算法应用于连续性优化问题的研究比较多,然而将其用于解决Web服务组合这一离散化问题却不多见。为了提高在大量Web服务中快速有效找到针对特定问题的最优Web服务组合的效率,以满足用户对服务质量日益提高的需求,文中提出一种基于服务顺序知识的人工蜂群算法(KABC)来解决这一NP问题。首先,建立了单个服务的Qo S评估模型,并提出了应用于Web服务组合优化问题的Qo S数学模型。其次,算法运用当前较优解的服务顺序知识来指导后续解的更新,加快了算法的收敛速度,提高了精度。实验结果表明,与原始的ABC、PSO算法相比较,KABC具有更快、更优的搜索能力以及更好的求解质量。 混合算法求解着色瓶颈旅行商问题 基于着色旅行商问题(colored traveling salesman problem,CTSP),给出了一种适用性更加宽泛的组合优化问题模型:着色瓶颈旅行商问题(colored bottleneck traveling salesman problem,CBTSP).CBTSP可建模含有部分重合工作区域的规划问题,譬如有合作任务和单独任务的人员与车辆的路线规划,此类问题由于目标函数与旅行商问题不一样,因此不能够用CTSP模型来建模.由于CBTSP属于NP难问题,对于规模大的此类问题,自然启发式算法是个合适的选择.基于此,提出了一种自然启发式算法求解CBTSP,该算法是基于伊藤过程的粒子群算法(particle swarm optimization,PSO)、模拟退火算法(simulated annealing,SA)和遗传算法(genetic algorithm,GA)的混合算法(PSGA).PSGA首先用二重染色体编码来构建问题的解,然后运用遗传算法的交叉操作进行更新,其中交叉长度由伊藤过程的活动强度来控制,而活动强度由粒子半径和环境温度来决定.为了充分验证算法的有效性,使用小尺度到大尺度不同规模的数据进行实验,通过广泛的实验与分析表明:PSGA求解CBTSP问题的求解质量要优于对比算法. 基于TD-LTE的智慧油田测控系统的研究与实现 对基于TD-LTE的智能测控系统的设计进行了研究,进行了软硬件系统架构及组网性能的分析,提出了下一代IPV6协议的电子标签式设备管理模式;该智能测控系统以AT91SAM9263EK为微处理器,以Linux为操作系统平台,为传感器连接、电量监测、示功仪测量等扩展了各种接口,实时获取各个从站数据存储到modbus对应4个区地址内,并动态映射到sqlite3数据库中,实现了位移、载荷和电流数据的同步,通过4 G网络以MODBUS/TCP协议发送到数字中心;管理软件轮询采集各油井的各项参数,存储到本地Oracle数据库,为大数据挖掘分析作标准数据储备,对设备的运行状态、意外突发远程启停井等做全生命周期管理,实现了全油区油水井管理物联化、数字化、自动化的新型管理体系的目标。 一种新的基于身份的认证密钥协商协议 设计一种能够提供PKG前向安全的基于身份的认证密钥协商协议,在标准模型中证明其具有已知密钥安全、完美前向安全、抗密钥泄露伪装攻击等安全特性。该协议的安全性建立在BDDH假设的基础上,与安全性建立在其他困难问题基础上的同类协议相比,其运算效率相当,安全性更高。 混合策略遗传算法的公交线路优化模型研究 为了探讨轨道交通接运公交线路的最优布设方案,建立了接运线路生成的优化模型,该文提出了一种新的改进遗传算法,基于混合变异算子遗传算法,它混合了四种不同的变异算子(Gaussian、Cauchy、Levy和单点(Single Point)),利用该算法求解优化模型。仿真的结果表明了该算法的优越性和精确性。 基于GridGain云计算平台的监控管理信息分解聚合研究 针对监控管理系统中大数据集信息处理效率低的问题,利用GridGain云计算平台提出了一种信息分解聚合处理新方法;通过对信息标准化建模和大集信息应用的分析,利用Proxool中间件建立了监控数据库连接池,利用多播传输的云计算节点通信方法,实现了信息管理的分解聚合处理;将云计算节点数从4个增至8个对106个监测信息的压力测试表明:分解聚合处理效率与云计算节点规模相关,响应时间从117.5s降49.4s,信息处理效率提高了57.9%,云计算有效避免了大集信息处理延时过长问题。 多层微波吸收材料的遗传算法设计 利用遗传算法(GA)编写多涂层优化设计程序,该程序可以调用矢量网络分析仪测量的电磁参数,根据吸波材料的电磁参数对0.5~6.0GHz范围内不同材料的涂层厚度进行优化设计;讨论了不同的目标函数和厚度优化方法对优化结果的影响,提出多常数权重法建立目标函数。结果表明:此方法可以简单地从材料电磁参数的数据库中,按照设定的要求计算得到最优的电磁波吸收涂层;利用提出的多常数权重法建立的目标函数可以更好的设计宽频带高吸收的电磁波吸收涂层。 移动调度终端语音前端处理系统的设计与实现 移动调度系统广泛应用于诸多行业中,语音免提通信在移动调度系统中具有重要作用。但由于语音外放时移动终端易出现回声和噪声等问题,现有移动调度终端多数不支持语音免提通信,使得移动调度终端无法实现音视频同步通信。针对此类问题,基于归一化最小均方算法和最小均方误差-短时谱幅度估计谱减法,结合移动终端的数据处理能力,设计并实现集合回声消除和噪声抑制功能的语音通信前端处理模块,在编码之前对移动终端录制的语音信号进行回声消除和去噪处理。实验结果表明,该语音信号前端处理子系统可以在较低的计算复杂度下有效提升免提通信情况下移动终端的语音通信质量。 基于LASSO-SVM的软件缺陷预测模型研究 针对当前大多数软件缺陷预测模型预测准确率较差的问题,提出了结合最小绝对值压缩和选择方法与支持向量机算法的软件缺陷预测模型。首先利用最小绝对值压缩与选择方法的特征选择能力降低了原始数据集的维度,去除了与软件缺陷预测不相关的数据集;然后利用交叉验证算法的参数寻优能力找到支持向量机的最优相关参数;最后运用支持向量机的非线性运算能力完成了软件缺陷预测。仿真实验结果表明,所提出的缺陷预测模型与传统的缺陷预测模型相比具有较高的预测准确率,且预测速度更快。 无限狄利克雷混合模型的变分学习 有限高斯混合模型广泛应用于模式识别、机器学习和数据挖掘等领域,但现实中的许多数据都具有非高斯性,而高斯混合模型无法准确地描述这些数据。此外,有限高斯混合模型还存在参数估计和模型选择困难的问题。为了更好地拟合非高斯数据,解决有限高斯混合模型的参数估计和模型选择困难的问题,在研究一种适合于建模非高斯数据的无限狄利克雷混合模型的学习方法的基础上,提出了一种高效的变分近似推理算法。该算法能够同时解决参数估计及模型选择的问题。为了验证该算法的有效性,在合成数据集上进行了大量实验。验证实验结果表明,该算法能够很好地解决模型选择及参数估计的问题。所提出的无限狄利克雷混合模型还可应用于目标检测、文本分类、图像分类等方面。 信息安全素养测评系统的设计与实现 为了有效增强人们的信息安全意识,实现信息安全素养的科学测量,文中设计并开发了一套在线信息安全素养测评系统。文中首先从测评目标、内容、方法和指标体系四个方面阐明了信息安全素养测评的标准体系,然后提出了信息安全素养测评系统的结构模型,详细论述了题库管理、智能组卷、在线测评、成绩管理、统计分析等核心功能模块,最后结合第四军医大学的实践提出了一套可行的系统实施方案和必要的安全防护措施。结果表明,该系统可以详细测量出个体信息安全意识、知识、技能与伦理四个维度的素养水平,能够满足信息安全专项教育和人才选拔的需求。 混沌蜂群与单纯形搜索融合的低维复杂函数优化 在当前各种智能优化算法应用于函数优化问题中,鲜有专门讨论低维复杂函数优化的问题,因此针对低维复杂函数提出一种混合混沌蜂群全局搜索与单纯形局部搜索的优化算法.对基本的单纯形算法进行改进,以提高其局部寻优能力,对基于混沌搜索和排名映射概率的蜂群算法(ABC-HC)进行修改以便更能维持种群的多样性避免陷于局部最优更有利于获得全局最优解并将修改的ABC-HC算法与改进的单纯形算法融合获得更好的全局最优精确解.14个低维复杂函数仿真结果表明,提出的算法更能有效地找到低维复杂函数的全局最优解、而且优化精度更高,优于ABC-HC算法、改进的EP算法和OXBBO算法. 基于曲率信息的鼻子区域精确定位 提出一种三维人脸鼻子区域自动定位方法。采用一种基于近似平均曲率形态学分割算子来自动分割鼻子区域轮廓,该算子对种子的初始化位置具有很好的鲁棒性,可以对鼻子区域实现快速精确的分割。在国际通用的BU-3DFE人脸表情数据库进行测试,该方法对鼻子区域的分割达到了98.5%的准确率,验证了其有效性。 美军新一代支持联合训练的JLVC2020框架研究 搭建JLVC(Joint Live,Virtual,Constructive)联邦是支撑LVC训练的主要方式,是美国防部训练转型战略的重要内容。在综合分析当前JLVC联邦的建立背景、发展过程、现状和其在支持联合训练所面临的挑战的基础上,探究了美军为何要将当前松散的联邦结构发展成模块化框架的原由。并进一步研究了基于云使能模块化服务(Cloud-Enabled Modular Services,CEMS)的JLVC2020的框架与模块化服务、关键技术和发展规划,最后给出了相关结论。 基于卷积-自动编码机的三维形状特征学习 三维形状特征在三维物体分类、检索和语义分析中起着关键的作用.传统的三维特征设计过程繁复,而且不能从已有的大量三维数据中自动学习而得.在深度神经网络的研究领域中,卷积神经网络和自动编码机是比较流行的2种网络结构.在超限学习机的框架之下,将两者结合起来,提出一种基于卷积-自动编码机的三维特征自动学习方法.实验结果表明,文中方法的特征学习速度比其他深度学习方法提高约2个数量级,且提取的特征在三维模型分类、三维物体检测等任务中都取得了良好的结果. 基于径向梯度指数的肺结节假阳性筛除方法 针对肺结节自动检测中假阳性率高影响检测结果的问题,提出一种筛除方法。采用基于径向梯度指数的方法降低假阳性率,生成CT图像的基于径向梯度指数图,设定基于径向梯度指数滤波器滤除假阳性结节。实验结果表明,该方法能有效降低肺结节自动检测的假阳性率。 在SQL Server中使用CLR实现字符串分段排序的研究 T-SQL在实现一些复杂字符串操作时是比较困难的,由于在SQL Server中集成了CLR,可以使用C#语言开发程序来解决字符串操作问题。本文对CLR在SQL Server中的应用做了基本的阐述,详细给出如何使用SQL CLR集成来实现字符串分段排序的关键步骤和程序代码,并通过实例进行了验证。 基于位置服务中防止敏感同质性攻击的个性化隐私保护 基于位置服务中的隐私保护方法存在只关注保护用户位置和标识信息的问题,当匿名集中提出的查询均属于敏感查询时,将产生敏感同质性攻击。针对此问题,提出了个性化(k,p)-敏感匿名模型。并基于此模型,提出了基于树型索引结构的匿名算法——PTreeCA。空间数据库中的树型索引具有两大特点:1)空间中的用户已根据位置邻近性在树中被大致分组;2)在树的中间节点中可以存储聚集信息。利用这两个特点,PTreeCA可以从查询用户所在叶子节点和其兄弟节点中寻找匿名集,提高了匿名算法的效率。最后,在模拟和真实数据集上进行了实验,所提算法平均匿名成功率可达100%,平均匿名时间只有4 ms。当隐私级别较低和适中时,PTreeCA在匿名成功率、匿名时间和匿名代价方面均表现出良好性能。 基于朴素贝叶斯模型的单词语义相似度度量 单词语义相似度度量是自然语言处理领域的经典和热点问题.通过结合朴素贝叶斯模型和知识库,提出一个新颖的度量单词语义相似度度量途径.首先借助通用本体WordNet获取属性变量,然后使用统计和分段线性插值生成条件概率分布列,继而通过贝叶斯推理实现信息融合获得后验概率,并在此基础上量化单词语义相似度.主要贡献是定义了单词对距离和深度,并将朴素贝叶斯模型用于单词语义相似度度量.在基准数据集R&G(65)上,对比算法评判结果与人类评判结果的相关度,采用5折交叉验证对算法进行分析,样本Pearson相关度达到0.912,比当前最优方法高出0.4%,比经典算法高出7%~13%;Spearman相关度达到0.873,比经典算法高出10%~20%;且算法的运行效率和经典算法相当.实验结果显示将朴素贝叶斯模型和知识库相结合解决单词语义相似度问题是合理有效的. 基于SVD的超分辨率重建图像质量无参考评价方法 重建图像质量评价是衡量超分辨率方法优劣的决定性指标,现有的评价方法大都需要借助同一场景具有更高分辨率的图像作为参考图像,但在实际超分辨率重建图像过程中这一需求难以实现.针对上述问题,考虑到人眼对相对亮度变化更为敏感,且边缘清晰度与图像主观评价质量成正比,利用去均值图像、梯度图像以及灰度图像,提出一种仅依靠图像自身信息的、基于SVD的超分辨率重建图像质量无参考客观评价方法.首先将图像分割为相互重叠的局部图像块,然后在各局部图像块中利用SVD分别得到低分辨率图像间及其与超分辨率重建图像间的子评价结果,最后再将这些子评价结果整合为最终的客观评价指标SSQI.实验结果表明,SSQI指标是一种具有较高准确性和鲁棒性的无参考客观评价指标,与人类主观评价结果保持了很好的一致性. 基于POWER8的动态自适应池化算法 针对当前卷积神经网络(CNN)模型中池化层关键语音特征提取效率低下的问题,提出一种基于POWER8架构的动态自适应池化(DA-Pooling)算法。在深度学习工具Caffe上实现CNN模型,输入经过卷积层的梅尔域滤波带系数,提取局部相邻语音的特征数据,通过计算Spearman相关系数确定数据间的相关程度。根据特征权重对具有不同相关性的语音数据动态分配池化算法,以提高池化层对不同相关性数据的适应能力。DA-Pooling利用POWER8的高效浮点运算和多线程并行计算优势,提高了海量语音数据的处理效率。实验结果证明,相比现有主流Pooling算法,DA-Pooling可提高关键语音数据的识别准确率,保证CNN中语音识别的稳定性。 基于TPM的嵌入式可信计算平台设计 增强工业嵌入式系统的安全性是当今工业信息安全领域研究的核心议题。只依靠软件的安全机制已经不能充分地保护信息安全,而现有的可信平台模块是专为个人计算机设计的,不能满足工业嵌入式系统的特殊需求。通过研究可信计算技术,设计了基于可信平台模块TPM的嵌入式可信计算平台,并从软件结构和硬件结构,分析了可信平台模块和信任链的传递机制。最后,在ZYNQ硬件平台上进行可信验证,通过内核伪造攻击测试,验证了设计的正确性,从而确保了工业嵌入式平台的安全可信。 基于两阶段投票的小样本目标检测方法 为了在只有几个样本的情况下在待检测图像中采用模板匹配的方式定位目标,提出了一种两阶段投票的目标检测方法。首先采用概率模型通过几个样本离线构造投票空间,然后采用两阶段投票的方式在待测图像中检测目标:第一阶段在待测图像中通过投票检测目标的图像块,并记录下这些部件块在样本中所处的位置信息;第二阶段基于第一阶段所得到的图像块来投票计算样本整体的相似度,从而定位目标。理论推导和实验结果验证了所提出方法比前人的工作具有更低的时间复杂度和更高的目标检测准确率。 基于视频分析技术的车距测量及预警系统设计 车距测量及预警是汽车主动安全技术中的一个重要组成部分,而基于视觉的车距测量及预警系统一直是智能车系统和辅助安全系统中研究的热点。为了提高车距测量的精确度和实时性,以Visual C++6.0集成开发环境和OpenCV开源计算机视觉库为实验平台,设计并实现了一种基于视频分析技术的车距测量及预警系统。该系统具有车辆检测、车辆跟踪、距离测量及预警等功能。以Haar-like特征作为图像描述,结合Adaboost算法训练分类器实现道路中车辆的检测;采用CamShift和Kalman相结合的方法实现目标车辆的跟踪及预测;提出一种基于RBF神经网络的车距测量及预测方法。实验结果表明,该系统能较准确地实现1~15m范围内的车辆检测及车距测量,且具有良好的实时性。 P2P网络搜索技术 随着P2P技术的蓬勃发展,作为P2P应用中核心的搜索技术成为研究人员关注的焦点.P2P网络的搜索技术与其结构有着密切联系,不同网络体系结构下的搜索技术各不相同.介绍了P2P技术近几年的研究进展,阐述了目前P2P系统中不同结构下核心搜索算法,探讨了P2P搜索技术的发展方向. 基于改进特征跟踪的客流量统计 为实现多目标的精确跟踪,提出一种基于视频客流量的跟踪计数方法。利用图像差分法提取运动目标,对差分图像进行形态学处理,使用连通域分析创建人体结点,得到目标的形心,采用向前优先搜索像素点的原则搜索下一帧图像的所有像素点,通过搜索到的像素点确定目标的新中心位置,根据目标中心与计数线的关系进行计数。实验结果表明,该方法简单可行,统计数据具有较高的正确率。 基于混沌映射的图像Contourlet编码加密算法 针对图像Contourlet多级树集合分裂编码的安全性问题,利用混沌密码设计了一种新的图像加密算法。使用具有良好随机性、安全性的混沌映射构造置乱数组和混沌密钥流对图像进行加密,由两个步骤组成:基于有序扫描表的快速置乱算法;基于编码扫描输出比特的异或加密算法。经实验验证,该算法能对图像视觉内容达到良好的掩密效果,具有密钥敏感度高、加密速度快、安全性高的优点。 一种基于数据场的K-均值算法 针对K-均值算法在随机选取初始类中心时存在不足、对噪声和孤立点敏感、不适用于发现大小差别很大的类的问题,借鉴分子间的相互作用力模型,将文本模拟成数据场中的数据点,综合考虑文本间的相似度和相异度,提出一个新的数据势值计算公式。根据文本数据的势,剔除孤立点、确定初始类中心。实验结果证明,该算法可以提高收敛速度,消除噪声和孤立点对聚类结果的影响,提高聚类的精度,适用于主题分布不均匀的文本集。 基于改进A*算法的无线Mesh网拓扑状态实时监控方法 针对无线Mesh网络(WMN)边界难以确定,拓扑结构灵活多变,从而导致拓扑信息的收集和拓扑重构延迟较大,WMN监控精确性和实时性难以保证的问题,提出了一种基于改进A*算法的WMN拓扑状态的实时监控方法来了解网络的实时状态,从而对网络可能出现的异常作出反应。通过缩短A*搜索过程中的路径长度、缩小搜索范围,将重复搜索的边数作为影响因素增加到启发式中,解决了拓扑实时监控中存在的路径重复覆盖、搜索路径较长的问题。仿真表明,和原算法相比,当网络拓扑结构发生变化时,改进算法的拓扑收敛速度较高,可以在较短时间内完成对全网拓扑结构的更新。 一种新的社会化相似度计算方法 基于社交网络的推荐算法引入社交网络信息到协同过滤算法中来,使得用户朋友的偏好能够影响用户本身的偏好。这些算法需要用到用户之间的相似度信息。目前有两个流行的基于共同评分项目集的相似度计算函数(VSS、PCC)。在很多情况下,由于用户间没有共同评分项目集,故无法计算他们之间的相似度。为了解决这个问题,提出了一种基于矩阵分解的新的社会化相似度计算方法。在真实的包含社交网络的数据集上进行实验验证,该方法的性能优于几个经典的基于社交网络的协同过滤算法,而且能够解决新用户的冷启动问题。 基于MAS的集装箱自动化码头协同作业系统模型 ZPMC新型集装箱自动化码头使用低架桥轨道和电动小车构成立体装卸系统,将集装箱的装卸分解为多个起重设备和水平运输设备的协作,实现了集装箱的自动装卸。针对该码头的运作特点,采用MAS(multi-agent system,多智能体系统)方法,将码头中的装卸设备抽象为具有一系列属性和方法的智能体,提出船舶、堆场、任务管理、设备管理等智能体,构建出自动化码头协同作业系统模型。在系统的实现层类图中详细阐述了各agent的属性方法、交互关系,结合就近原则及时间估计优化策略,给出了集装箱装卸最短路径的搜索方案,通过实例分析说明协同作业系统MAS模型能较好地体现该码头分布、动态的运作特征。该研究将对ZPMC集装箱自动化码头的调度优化提供一定的理论指导,同时对完善MAS方法在集装箱码头生产作业中的应用体系起到积极的推动作用。 基于树型方法计算双基链的研究与实现 标量乘法是影响椭圆曲线加密算法执行效率的一个重要因素,椭圆曲线的标量乘法的方法很多,基于双基链的标量乘法是一种效率较好的算法,文中讨论基于树型方法计算双基链表示的基本原理和在Delphi环境下的实现。 体积连接性指数预测离子液体的室温粘度 "离子液体(ILs)被视为潜在的""绿色溶剂"",在溶剂的应用过程中粘度是最重要的热力学数据之一,但目前ILs的粘度数据仍然较为缺乏,除实验测定外,基团贡献、连接性指数等思想也为设计和筛选ILs提供了重要的性质预测方法。在已有的基于分子体积的粘度预测方法基础上,结合分子连接性指数来区分ILs不同基团的连接方式,建立了一个新的体积连接性指数模型用于预测ILs的室温粘度。由于该模型的基本参数来源于确定的基团物理体积值,因此为预测未知的ILs粘度等性质提供了可能性。通过对90种ILs室温粘度的预测,结果表明平均相对偏差为5.95%,方差检验所得的R~2和rmsd分别为0.9905和21cP,证明建立的模型可用于预测ILs的室温粘度。" 第八届中国系统建模与仿真技术高层论坛闭幕词 "[正文]尊敬的各位嘉宾,尊敬的各位代表:《第八届中国系统建模与仿真技术高层论坛》圆满地完成了各项程序,就要闭幕了!热烈祝贺高层论坛圆满成功!下面谈三点体会:一、一件事情,能够连续八年成功进行,充分说明它是一件有意义的好事!正象李国雄主任开幕词所说:""论坛致力于推动我国建模与仿真技术进步,成果转化和产业发展。""马正午教授所说:""八年来,论坛对中国系统建模与仿" 一种基于软件属性相互影响和重要性的属性权重分配方法 为了确定可信度量中的属性权重,提出一种新的软件属性权重的分配方法。对软件属性模型进行分层划分属性,引入软件属性互相影响的概念,结合基于属性相互影响和属性重要性的权重分配方法,从两个层次对属性的权重进行综合预测,最后通过实际的例子对软件属性权重进行量化的分析,详细描述了该方法的具体过程。提出的软件属性权重的分配方法是一个通用的方法,对于各种软件可信度量中的属性权重可以进行相应的分析,在可信度量中软件属性权重的确定上有一定的实际意义和作了积极的探索。 基于Contourlet变换的图像压缩感知重构 根据图像信号在Contourlet变换域的稀疏特性,分析Contourlet变换的基本原理,提出一种基于Contourlet变换的压缩感知重构方法。针对Contourlet变换的基函数并不严格规范正交、无法构造正交变换矩阵的问题,采用改进梯度投影算法恢复稀疏处理后的系数,在保证图像质量的情况下,实现图像的低速率重构。实验结果表明,该算法的鲁棒性较好。 基于图像的林木蓄积量计算方法研究与仿真 研究森林木材蓄积量准确计算问题。在大面积森林区域中树木种类比较多,不同种类的树木交织生长,差异化的林木在形态学特征和可识别传感信息区别较大,很难运用传感器对交叉分布的林木特征准确区分采集。传统方法多是基于小区域传感器网络对森林木材存储状况进行检测的,一旦区域较大,林区植被结构复杂,传感网络很难进行细化区分,计算准确性不高。提出了一种基于图像树木轮廓特征分类的森林木材蓄积量计算方法。提取图像中的森林不同树木区域的轮廓特征,建立轮廓特征分类模型,按照树木不同的种类对木材蓄积量进行计算,从而实现森林木材蓄积量计算。实验结果表明,这种算法能够有效提高森林木材蓄积量计算的准确率。 基于马尔可夫混合模型的电子商务搜索引擎用户行为聚类 对搜索引擎用户行为进行聚类分析有利于为用户提供个性化的服务。为了能准确地刻画用户行为的动态性,提出利用马尔可夫混合模型,对电子商务搜索引擎的用户行为模式聚类。模型假设每一类用户行为可表示为一个马尔可夫模型,当用户使用搜索引擎时,每个用户以一定的概率属于某一聚类;该用户的行为序列,由对应的马尔可夫模型产生。同时,为了解决参数估计和模型自动选择的问题,将贝叶斯阴阳和谐学习理论应用于该混合模型,提出针对该模型的和谐度函数及自适应梯度算法。仿真实验结果表明,与传统的最大期望(EM)算法相比,基于贝叶斯阴阳机的自适应梯度算法能更高效和准确地同时进行参数学习和模型选择。最后,将所提出的聚类方法应用于真实的电子商务搜索引擎点击日志,初步验证了本模型的有效性。 基于扩展领域模型的有名属性抽取 网页信息抽取是互联网挖掘的重要课题.为了自动化抽取过程,最新的研究利用特定领域的特征,通过机器学习方法对信息抽取过程进行统一建模.但是,对领域特征的依赖使得这类方法难以推广到其他领域中去.因此,对信息抽取问题进行了分析,从中分离出一个可以完全自动化的信息抽取子任务,即有名属性抽取任务.在多个领域的数据集上进行的统计表明,这个子任务覆盖了60%以上的待抽取属性,因此它在整个信息抽取中占有重要地位.并给出了一种基于扩展领域模型的有名属性抽取方法,实验结果表明,这种方法的准确率接近或大于80%,召回率大于90%. 基于关联规则的垃圾邮件分类模型 为了提高垃圾邮件分类精确,提出一种基于关联规则的垃圾邮件分类模型。首先通过改进的FP-grow算法挖掘垃圾邮件关联规则集,以关联规则集为基础构建垃圾邮件分类器模型,然后考虑垃圾邮件特征词权重对邮件进行分类,最后采用仿真实验测试模型的性能。结果表明,该方法提高了垃圾邮件分类精度,可以较好地对垃圾邮件进行分类。 改进的量子粒子群算法求解车辆路径问题 提出一种基于Tent混沌映射的改进的量子粒子群优化算法求解车辆路径问题,该算法在基本量子粒子群优化算法(QPSO)的基础之上,采用Tent映射对粒子群的状态进行初始化,在算法进入早熟时,选用Tent映射对最优粒子进行混沌搜索,从而提高了算法的寻优能力,有效避免算法陷入局部最优和过早收敛。采用该算法应用于车辆路径问题取得了较好的效果。 任意样例点激光对中系统降维简化数学模型算法研究 针对现有对中测量方法及计算模型复杂不利于计算机辅助编程的情况,根据激光对中仪的原理,突破传统的以主动及从动轴为标准分别建立独立的坐标系的方法,利用投影关系,将从动轴坐标投影至主动轴坐标系内,从而将多维空间关系简化为多个二维关系。根据主、从动轴不对中时从动轴坐标在主动轴坐标投影轨迹偏差,可任意选取差异样例点,对对中数学模型系统降维,简化对中数学模型,从而简化了对中模型的计算;实验分三组测定,每次6组转角,计算平均绝对偏差分别为0.073 mm和0.034 mrad,同轴度及同轴度公差的实验结果符合工程技术标准;最后,在该数学模型推证的基础上,讨论了该系统所存在的主要系统误差以及为消除系统误差的影响所应采取的措施。 P2P网络信任模型研究 由于P2P网络的开放性、匿名性、随机性以及网络节点的高动态性等特点,使得网络节点之间的交流和服务存在着很大的安全隐患。信任模型作为一种解决网络安全隐患的方案,近年来得到了广泛的研究和发展。本文从基于超级节点、基于推荐、基于反馈和基于博弈论这四个方面系统总结和分析了目前国内外最具有代表性的P2P网络信任模型,详细阐述了这四类模型的特征,并指出了各自的优势和不足。尽管P2P网络信任模型的研究成果不断涌现,但尚有一些问题有待进一步解决,本文最后结合当前研究热点和难点展望了P2P网络信任模型在未来的研究方向。 某通信设备的可测试性设计 为了提高对复杂通信设备的故障检测及隔离能力,提出了一种基于某通信设备的可测试性设计(DFT)方法,包括机内测试(BIT)设计和自动测试设备(ATE)设计;BIT将传统3种方式相结合,其中维护BIT设计了5种测试模式,实现了设备功能的全面检测,ATE通过原位测试和离线测试两种模式对设备性能进行了评估;实际工程应用结果表明,该测试性设计方法可实现对通信设备的故障检测和隔离,取得了预期的效果。 基于高斯混合模型聚类的Kinect深度数据分割 基于深度图像的室内场景理解是计算机视觉领域中的前沿问题。针对三维室内场景中平面较多的特性,提出一种基于高斯混合模型聚类的深度数据分割方法,实现对场景数据的平面提取。首先将Kinect获取的深度图像数据转换为离散三维数据点云,并对点云数据作去噪和采样处理;在此基础上计算所有点的法向量,利用高斯混合模型对整个三维点云的法向集合聚类,然后利用随机抽样一致性算法对各个聚类进行平面拟合,由每个聚类得到若干平面,最终把整个点云数据分割为一些平面的集合。实验结果表明,该方法得到的分割区域边界准确,分割质量较高。提取出的平面集合为以后的室内对象识别和场景理解工作奠定了较好的基础。 WSN环境监测系统节点功耗与能量预警研究 良好的核心机房环境条件对于各类园区网络的正常运行至关重要,因此配备环境监测系统已经成为核心机房的一项必备措施。针对传统监测系统布线的灵活性差等问题,本文提出了一种具有能量感知的节能无线传感器网环境监测系统。节点设计采用Jennic公司的JN5139 SOC芯片,集成编译环境采用开源软件Code::Blocks,其内部运行由简单任务调度器BOS来统一管理。最后结合本单位核心机房实际情况,经过实际测试,给出了恰当的节点布置方案、节点节能发射功率值,实现了能量监测预警功能。此方法不仅可以用于机房环境监测,对于其他环境监测,如现代化养殖场的环境监测同样适用。 基于自适应蚁群算法的无人飞行器航迹规划 为求解无人飞行器航迹规划问题,提出自适应蚁群算法,区别于标准蚁群算法的全部搜索模式,该算法采用局部搜索模式。首先根据起始节点与目标节点的相对位置关系选择相应的搜索模式,然后计算各个待选节点的转移概率,最后按照轮盘赌规则选择下一个节点。仿真结果表明,自适应蚁群算法具有搜寻节点数少、速度快等优点,在降低了航迹代价的同时,减小了计算时间。此外,自适应蚁群算法可以避免奇异航迹段的出现,从而保证所获的航迹实际可飞,表明所提算法整体性能明显较标准蚁群算法优异。 基于泊松分布的无线传感器网络分簇协议性能优化 针对LEACH协议中网络能量效率低的问题,提出了一种基于节点泊松分布的WSNs分簇协议性能优化方法。基于对协议中簇头、成员节点能耗和协议总能耗的分析,推导出优化的分簇数目和网络生命周期。然后基于节点泊松分布密度对网络性能进行优化分析。理论分析和仿真实验表明:适当增大节点泊松分布密度,并选取优化的分簇个数,能够有效改善网络的能耗性能,并可延长网络的生命周期。 数字图书馆统一身份认证及综合应用研究 主要探讨利用数字证书技术实现数字图书馆的用户或读者全国统一身份认证,并在此基础上探讨数字图书资料在科研项目立项和研究成果水平鉴定,教师的教学水平和研究水平评估,启发与激励科研和教学人员及学生的创造力、创新能力等方面的综合性应用。 基于R-Grams的文本聚类方法 针对传统文本聚类中存在着聚类准确率和召回率难以平衡等问题,提出了一种基于R-Grams文本相似度计算方法的文本聚类方法。该方法首先通过将待聚类文档降序排列,其次采用R-Grams文本相似度算法计算文本之间的相似度并根据相似度实现各聚类标志文档的确定并完成初始聚类,最后通过对初始聚类结果进行聚类合并完成最终聚类。实验结果表明:聚类结果可以通过聚类阈值灵活调整以适应不同的需求,最佳聚类阈值为15左右。随着聚类阈值的增大,各聚类准确率增大,召回率呈现先增后降的趋势。此外,该聚类方法避免了大量的分词、特征提取等繁琐处理,实现简单。 英语语音合理性优化识别建模仿真研究 对英语语音合理性进行准确识别,可以提高英语发音的准确性。在英语发音过程中,需要对在应力影响下出现变异语音进行过滤,但是传统的识别模型进行音准识别时,主要通过发音音准特征进行识别,无法消除应力影响下的变异语音,导致建模误差大的问题。提出基于改进粒子滤波的英语语音合理性优化识别建模方法。采集不同人的英语发音和其唇动信息,依据信息论中的最大互信息准则与盲源分离中的高阶统计量准则,获取英语发音音准合理性目标函数,并融合于动态时间规正原理,构造英语发音音准差别子空间,消除应力影响下的变异语音,对英语发音音准的主体部分进行识别,并将英语发音语音特征矢量进行长度对齐,组建英语语音合理性优化识别模型。仿真结果表明,利用优化模型进行英语语音合理性识别时识别精度较高,为英美发音差异教学提供了一定的科学依据。 人脸面部变化字典学习的单样本人脸识别问题 基于稀疏表达的分类识别方法(SRC)是近年来人脸识别领域的热点研究课题之一,该理论认为,可以用人脸数据库中的所有其他样本作为稀疏表达字典,并且理想状态下该样本仅与同类样本强相关.然而其对于样本数量有着较高要求,且在处理含有光照问题人脸图像时无法消除光照对数据库的影响.针对上述情况,在稀疏表达的基础上,利用随机投影和构造人脸面部变化模型来优化稀疏表达字典,提出一种新的单样本人脸识别算法.该算法在低维空间中不仅能保持原始数据的稀疏重构关系,还能提高单样本人脸识别准确率.通过AR,Yale B,Extended Yale B人脸数据库的实验证明了面部变化模型的框架和其算法的有效性. 基于低占空比的机会汇聚树路由协议 针对无线传感器网络(WSN)中存在节点剩余能量不足而导致的路由失效问题,提出一种基于低占空比的汇聚树机会路由算法CTOR。在CTOR中,首先根据网络的传输质量需求确定路由候选节点集;然后,节点以广播方式向所有候选节点传输数据包,收到数据包的候选节点再以一定概率转发该数据包,转发成功的候选节点即为选定的路由节点。概率的引进保证了高数据传输质量与轻网络负载;同时,网关节点发送时间同步控制信息以达到全网时间同步的目的;节点根据固定占空比进行休眠切换,以减少节点的能量消耗,延长网络的生存周期。仿真实验表明,基于低占空比的协议可有效地避免网络空洞问题,延长网络的生存周期;同时,机会性的转发可以有效地提高数据包的成功接收率,降低网络的丢包率。 基于低秩投影中稀疏误差矩阵分析的视觉跟踪 单目标跟踪是计算机视觉的重要组成部分,其鲁棒性一直受到目标遮挡、光照变化、目标尺度变化等因素的制约。针对这个问题,提出了基于低秩投影中稀疏误差矩阵分析的视觉跟踪算法。为了克服模板漂移对跟踪的影响,采用目标模板和候选目标的相似性关系动态选择目标模板的更新方式。在粒子滤波的框架下,利用鲁棒主成分分析和低秩投影原理求得候选目标的稀疏误差矩阵,根据稀疏误差矩阵的边缘信息和平滑度信息实现对下一帧目标的观测似然估计。在多个视频序列上的实验表明,算法具有较好的鲁棒性。 基于微分的增强型三维矢量场可视化 为提高3D矢量场可视化效果,提出了一种基于微分滤波的流线增强方法。首先对三维纹理进行线性卷积运算,生成具有空间相关性的卷积纹理;其次对卷积纹理进行分数阶微分滤波,增强流线之间强度对比;最后采用纹理映射体绘制技术实现三维矢量场可视化,并通过设计体绘制的传输函数来显示矢量场的内部结构。实验结果表明,该方法有效地增强了流线间的对比,使绘制的流线更加平滑,同时也有效地消除了卷积数据过多引起的紊乱与相互遮挡。 结合Rotation Forest和MultiBoost的SVM集成方法 针对如何提高集成学习的性能,提出一种结合Rotation Forest和MultiBoost的集成学习方法——利用Ro-tation Forest中旋转变换的思想对原始数据集进行变换,旨在增加分类器间的差异度;利用MultiBoost在变换后的数据集上训练基分类器,旨在提高基分类器的准确度。最后用简单的多数投票法融合各基分类器的决策结果,将其作为集成分类器的输出。为了验证该方法的有效性,在公共数据集UCI上进行了实验,结果显示,该方法可获得较高的分类精度。 基于边聚类的多层社会网络社团发现算法 针对目前多层社会网络(multi-layered social network,MSN)的社团发现算法较少、社团划分结果较粗糙等特点,提出了一种基于边聚类的多层社会网络社团发现(CLEDCC)算法。该算法综合考虑每层关系网中的任意两节点邻居及节点本身的关系强弱,并分别针对人造稀疏网、稠密网以及真实数据集进行仿真。实验表明,所提出的CLEDCC算法能有效地避免参数不确定性问题,并比跨层边聚类系数(CLECC)算法的社团划分结果更精准。 一种皮肤老化量化分割算法的计算机仿真 皮肤沟壑存在大量的细微褶皱和断纹,外在的亮度不均、纹路较浅和皮肤的多颗粒特征会使得皮肤的老化沟壑特征很难分割,造成很难进行特征量化。传统的高斯滤波和N层重构分水岭算法都很难提取这些几何特征值,也很难得到老化特征的量化表达,造成老化区域分割结果不准的问题。为解决上述问题,提出了一种皮沟特征渐进聚类算法,用于皮肤老化量化分割。先通过数据增强方法完成预处理;其次,通过执行分水岭变换从而获得粗略的检测结果。改变皮肤聚类中心的位置和增加像素聚类半径来进行更新。一个聚类当聚类半径达到域值时不再被更新,防止虚假皮沟的出现,完成皮沟细化分割。仿真结果表明,对不同粒度的皮肤图像,提出的方法提高了检测精度及有效性。 基于连通图的视觉显著区域检测研究 显著性区域检测是指自动识别出图像中最感兴趣、最重要的区域,目前在目标识别、图像检索等领域应用广泛。基于图的流形排序的显著区域检测算法虽然能够准确高效地检测出一幅图像中的显著性区域,但该算法中使用的K正则图描述的各顶点的空间连接性的图的结构存在局限。为解决上述局限性,研究构造一个更一般的连通图,在显著目标较大或显著目标不连续的情况下,能够更准确地检测出显著性区域。通过在CSSD、SOD、ASD和SED2四个标准数据集上进行大量验证性实验,与六种现有的代表性方法相比,实验结果在PR曲线、F值、MAE等多个指标均表明改进算法有明显的提高,有效验证了算法的有效性。 Xen虚拟机间的磁盘I/O性能隔离 针对当前的虚拟化技术无法使各个虚拟机平等地或按特定比例地共享磁盘带宽、无法保证虚拟机间的I/O性能隔离的问题,基于Xen半虚拟化技术中的块IO请求处理过程,提出一种适用于Xen虚拟机间的磁盘I/O性能隔离算法-XIOS(XenI/O Scheduler)算法,在通用块层调度各虚拟机的块IO操作(bio结构),在I/O调度层保障延迟需求.实验结果表明该算法有效地在虚拟机间按比例地分配磁盘带宽. 基于轨迹规划的纳米驱动器控制系统设计 为了满足大尺寸衍射光栅拼接调整架精密调整的需求,设计了一种滚珠丝杆的机械式微位移驱动器,能够实现十几毫米的大行程。为了达到高分辨率,在根据系统辨识得到的线性传递函数的基础上,设计了基于轨迹规划的控制器和不完全微分PID两种控制器,在进行仿真对比的基础上,采用双频激光干涉仪作为反馈环节进行微米级和纳米级阶跃响应实验,初步实验证明,改进的驱动系统能够实现很高的分辨率,且使用轨迹规划控制器与传统PID控制器相比,超调量较小,调节速度也更快,能够适用于伺服定位控制系统之中。 RS码和双总线结构的高性能闪存图像存储器 空间存储器存在高能粒子反转问题,提出了采用变长匹配的RS码纠错的大容量NAND型闪存图像存储器.为保证存储器实时纠错编译码时不影响持续读写速度,提出了主副总线的闪存和SRAM阵列备份的体系结构.在此基础上,针对图像数据源分辨率变化和NAND闪存是页存储体系的特点,提出了变长匹配的RS码字页编程方法,可纠错有限字节的随机错误,实现图像数据源、纠错码和闪存存储的匹配.针对突发长码错误,提出了固定周期的数据交织方法.固化参数后实验结果表明,在双总线系统架构下,能够保证系统存取速度,图像存储速率达到1.44Gbps,读取速率达到1.2Gbps,单页纠错能力突破30byte,同误比特率下信噪比提高了2dB以上. 基于改进“自适应转弯”模型的机动目标跟踪算法 提出了多模型(交互多模型)跟踪机动目标的一种有效算法。对机动目标跟踪的自适应转弯模型建模方法进行了深入研究,对通过计算转弯率而建立跟踪模型方法进行了总结,指出了相关文献中的自适应转弯模型在实际应用中存在的不足,由此提出了两种采用平均转弯率的建模的方法,即在算法中引入α和β参数调整转弯率的粗糙度,使用平均值的方法估计转弯率。通过仿真试验说明了改进算法的必要性和新建模型的有效性。 一种基于模糊Petri网的双向并行推理算法 基于模糊Petri网的并行推理算法的矩阵维数越大,其算法的时间复杂度也就越高。针对反向搜索压缩模糊Petri网模型的相关理论和并行推理算法的特点,结合矩阵命令提出一种实现双向推理的矩阵运算机制,以及其对应的基于模糊Petri网的双向并行推理算法。在使用一般模糊推理算法的过程中,推理矩阵为(11×8)维的模糊Petri网模型,而使用改进算法进行双向推理时所涉及的推理矩阵阶数仅为(7×6)。实验结果表明,与一般的模糊推理算法和反向搜索算法相比,该算法能够提高整个推理过程的并行度,降低算法的时间复杂度,从而提高推理效率。 基于ATE的单总线芯片测试方法研究 单总线芯片是指将地址线、数据线、控制线合为一根信号线的一类集成电路,论文通过研究基于自动测试系统的单总线芯片测试方法,以单总线温度传感器芯片DS18B20为例,具体介绍了其测试程序的开发过程。 面向农业应用的无人机遥感影像地块边界提取 针对无人机(UAV)影像农田场景地块边界提取过程中由于大幅面、高分辨率和地块尺寸大小不一致等带来的过分割问题,提出了一种基于多尺度分割的地块边界自动化提取流程。该流程采用分块分割策略,在多尺度组合聚合(MCG)分割方法框架下,通过对比实验研究并选取最佳地面采样距离和分析边界提取准确率关于尺度变化曲线选择最优分割尺度,进而实现了地块边界自动提取。以湖北省仙桃市为数据源进行的实验结果表明:面向地块边界提取的最佳地面采样距离为30 cm,最优分割尺度为[0. 2,0. 4],整场景总体地块边界提取准确率可达90%以上。该方法不仅能准确提取大幅面的农业地块边界,也可为后期农业无人机航拍规划提供参考依据。 嵌入式无线网络公共资源优化调度仿真研究 对嵌入式无线网络公共资源进行合理调度,可提高嵌入式无线网络信息资源的管理和检索效率。进行资源调度时,会出现资源规模的扩大和近似资源的信息干扰,应提取嵌入式无线网络有效的信息资源进行特征调度,传统方法通过建立信息资源,并置换调度模型完成资源调度,随着资源规模的扩大和近似资源的信息干扰,不能提取有效资源信息特征,降低了资源调度的效率,提出一种自适应均衡检索控制和信息资源查询集时间序列重构的嵌入式调度方法,首先进行嵌入式无线网络信息资源流时间序列分析,采用相空间重构方法对嵌入式无线网络信息资源进行特征信息提取和检索,在负载均衡约束条件下,进行资源配置优化调度和控制,采用特征压缩方法降低嵌入式无线网络信息资源配准存储开销,实现对嵌入式无线网络公共资源优化调度模型的改进。仿真结果表明,采用改进模型进行嵌入式无线网络信息资源的配置和调度,能提高信息的优化效率,降低资源信息库存储开销。 利用组件交互自动机测试基于组件的Web应用 Web应用有许多特殊性使得不能直接使用传统的测试方法进行测试,因而需要修改已有方法或完全提出新的方法用于Web应用的测试.把Web应用看成是不同交互组件的组装,一个复合组件由一些其它的组件构成.对于每个组件,都建立一个组件自动机.从被测Web应用的规格说明可以构造用XML描述的组件交互图(CID,Component Interaction Diagram).根据CID,可以产生组件测试序列(CTS,Component Test Sequence),它是组件的交互序列.测试用例是用一个个单独的XML文档表示的,是带有输入数据的CTS.这样,Web测试就变成组件交互自动机的测试.另外,给出了CTS覆盖度的评估过程(CTSC,Component Test Sequence Coverage),并阐述了如何提高CTSC的方法.论文的最后,对该测试方法的可用性进行了理论分析. 基于Bell态与其纠缠性质的量子密钥分发 为了提高量子密钥分发的可行性、安全性和效率,在通信双方间通过构建经典信道和量子信道,提出了一种基于Bell态与其纠缠性质的量子密钥分发协议。该协议可行、安全、简单有效,通过严格的数学推导证明了窃听者不可能获取密钥而不被发现。此外,得出了该协议效率与安全的数学模型,并通过MATLAB仿真分析了协议效率与安全的关系。 考虑漏洞间相关作用的风险评估方法 信息安全问题随着时代的变迁日益复杂,而科学、合理的风险评估为信息安全提供了一种比较根本、有效的解决途径。运用可靠性分析的方法,提出了在计算机网络空间下,基于相关性漏洞的风险评估模型,对系统的攻击建模的研究提供了一个新的思路。 利用邻域相似度的图像修复 图像修复的方法有很多种,目前最常用的有基于偏微分方程的方法和基于纹理合成的方法。这些修复方法运算复杂,运行速度慢。提出了一种快速有效的新方法,从修复区域的边界上选取一个优先级高的点,计算该点与该点邻域信息之间的相似度,利用邻域各点的相似度来估算待修复点的像素值。最后通过实验对结果加以分析,证明了算法是快速有效的。 基于商品分类的电子商务推荐系统设计 网络的虚拟性导致信任关系难以建立,交易决策困难。个性化推荐系统可以处理信任评估中的主观因素,提高交易预测的准确性。基于协同过滤的思想建立一个模糊信誉管理系统,突出对一手信息的处理与个性化共享。在一般节点上,采用二层的模糊推理逻辑适应人类的思维习惯,并通过对最近邻可靠值属性的调整定制信任评估;通过超级节点计算和汇总商品分类的信誉值、推荐力等全局变量,依据幂律分布规律设计取值的模糊化函数。最后通过示例说明了系统应用的可行性。 基于DO-178B的软件测试技术研究 为了满足适航要求,航空机载系统软件测试需要遵循DO-178B的要求;然而,传统的软件测试技术和过程并不能完全适应适航标准的要求;同时,国内缺乏基于DO-178B的软件测试研究和工程实践,适航相关领域研究现状与国外成熟的适航标准规范体系相比尚有一定的差距;因此,文中根据DO-178B对软件测试的相关要求,提出一套基于DO-178B的软件测试技术方案,通过工程实例进行应用,并对相关领域的发展前景进行总结和展望;实例应用表明,该软件测试实施方案可以成功指导基于DO-178B的软件测试工作。 基于社交网络的群体信任算法 社交网络(Social Network Service,SNS)中群体之间的信任关系是广泛存在的,目前大部分信任模型的研究基本只涉及一对一之间的个体信任关系,并没有充分考虑一对多、多对一以及多对多之间的群体信任关系。在现有信任模型研究的基础上,给出一种群体信任算法。该算法根据社交网络中群体的特点,将交互的两个群体抽象为两个群体节点,将复杂的多种信任关系转化为一对一之间的信任关系,从而对群体间的信任关系进行描述和度量。仿真结果表明,该算法能合理地度量与计算每个节点在群体中的可信度以及群体间的直接信任度。 空间自适应正则化的图像超分重建算法 为提高稀疏表示系数的精度和图像的分辨率,提出一种基于稀疏表示和正则化技术的超分重建算法.首先引入自回归正则化项,通过样本图像来训练出描述图像局部结构的自回归模型,每个图像块自适应选择一个自回归模型用以调节解空间,实现图像局部的自适应性控制.然后,引入非局部相似正则化项作为自回归正则化项的补充,用于保持图像边缘清晰度.从而,完整构造出一种基于自回归正则化和非局部相似正则化的稀疏编码目标函数.为了进一步恢复图像,实现图像去噪、去模糊,利用总变分正则化实现全局优化.实验结果表明,与L1SR、SISR、ANR、NE+LS、NE+NNLS、NE+LLE和A+(16 atoms)等算法相比,无论在主观视觉效果还是客观评价指标上,提出的算法都取得了更好的超分重建效果. 水下航行体舵板不对称布置情况下弹道研究 为保证具有舵板装置的水下发射航行体回落水后对发射载体不构成碰撞威胁,建立了水下航行体弹道模型并利用Matlab软件进行仿真,对比分析了对称舵板布置与不对称舵板布置两种情况下水下航行体对发射载体的安全性影响,并通过确定合理的舵板数量进一步优化了不对称舵板方案。总结仿真数据得出舵板不对称布置情况下水下航行体对发射载体的安全性优于对称布置情况,且舵板数量为5块时水下航行体再次入水后离发射载体距离最远,安全性最高。以上研究内容提高了水下航行体弹道对发射载体的安全性。 一种用于工作流引擎的任务预测与分配算法 为了检测工作流系统在中短期内是否会出现任务超载的情况,首先利用灰色预测算法来预测不同类型任务在预测窗口内的变化趋势,并根据预测结果建立一种负载均衡偏差可控的整数线性规划模型,以求解最优的任务分配策略。当工作流系统在预测窗口内出现任务超载时,该方法可计算出在保证不同工作人员之间任务负载均衡和任务分配合理性的情况下所需增加的最少工作人员数量,并且在一定程度上提高了任务处理的时效性。 求解双基链的改进型贪心算法 为了提升贪心算法的表现,更有效地求解整数的双基展开,文中采用了2-LineSearch方法,将树形分支结构引入了贪心算法.改进加速了贪心算法的收敛,使得在相同输入下,新算法返回的双基表达式的长度比普通的贪心算法减少了8%以上,在椭圆曲线标量乘法的计算代价方面也获得了至少2%的收益. 基于确定性退火的中文术语语义层次关联研究 研究现有术语语义层次关联的主要方法,针对如何自动获取并且准确描述术语语义层次关系这一关键问题,提出构建的术语语义层次获取流程,主要基于确定性退火的多重聚类算法获取层次关系。最后选取了部分中文专利文本摘要数据集对流程的效果进行测试与评估,取得了不错的实验结果。 面向流体系的细粒度异步访存调度 针对异步访存调度机制,设计一种细粒度化的调度方案以提升系统性能。该机制引入信号量和自旋锁,由异构核间协作运作,以实现对流级调度的局部加速。通过在一组测试程序集以及在对应平台上进行的实验,评估了引入该机制的加速效果,并分析了影响其性能的各种因素。 结合评分和信任关系的社会化推荐算法 针对推荐系统中普遍存在的数据稀疏和冷启动等问题,提出一种综合评分和信任关系的社会化推荐算法。首先对网络中新用户的初始信任值进行合理赋值,有效地解决了新用户的信任冷启动问题。鉴于用户的喜好会受其朋友的影响,推荐模型又利用朋友之间的信任矩阵对用户自身的特征向量进行修正,解决了用户特征向量的精准构建及信任传递问题。实验结果表明,所提算法较传统的社会网络推荐算法在性能上有显著提高。 油罐内四轮驱动爬壁机器人轨迹跟踪控制 在机器人跟踪优化控制中,油罐内四轮驱动爬壁机器人属于一种典型的非完整约束和非线性系统,全局轨迹跟踪是现代机器人运动控制中的难点。为了解决全局轨迹跟踪控制问题,提出了一种反推法的轨迹跟踪算法设计:先将系统分解成多个子系统,然后对每个子系统都构造一个李亚普诺夫函数和虚拟控制量,并反推至整个控制系统,采用运动学模型完成轨迹跟踪控制算法设计。基于运动学模型采用反推算法设计优化控制器稳定性好、鲁棒性强,有效地解决了机器人的轨迹跟踪问题。通过MATLAB进行数值仿真,结果表明上述方法有效。 信任网络中的信任实体重要性发现方法 信任网络是开放网络环境下抵御恶意欺诈、降低用户交互风险的有效手段,现有关于信任网络的研究集中于信任评价的传播和计算策略,对信任网络中实体重要性没有足够的重视.本文提出一种信任序列模式挖掘算法T-Seq,将信任传播过程作为信任序列,通过序列挖掘方法有效找出信任网络中的重要信任节点.实验表明了T-Seq算法在信任序列模式挖掘和重要节点发现上的有效性. 考虑离心力与热应力的组合转子裂纹扩展研究 组合转子工作在高温、高转速的工况下,启停过程中有较大的交变热应力和交变离心力,容易产生疲劳裂纹。针对某重型燃气轮机组合转子,利用有限元方法,分析组合转子启动过程中拉杆孔和透平拉杆的离心力和热应力分布以及离心力-热应力耦合时综合应力分布,确定应力集中位置,研究启停过程中离心力、热应力对组合转子裂纹扩展规律的影响。研究结果表明,综合应力最大、最易产生裂纹和裂纹扩展最快的位置是组合转子透平一级轮盘入口拉杆孔六点钟方向,离心力和热应力对组合转子综合应力分布有很大影响,在考虑裂纹扩展时不可忽略。 基于SARSA(λ)的实时交通信号控制模型 针对现有交通灯控制器缺乏过去经验的学习能力,导致其无法适应实际交通环境的动态变化,提出了一种基于SARSA(λ)的实时交通信号控制模型,并给出了一种交通信号优化模型及算法,该模型采用强化学习算法,得出交通控制的最优调度策略。仿真实验结果表明,所提模型优于现有交通控制模型,能更好地促进实时动态交通控制实现。 基于知识粒度的时间序列异常检测研究 时间序列的异常检测多以相似性分析方法来处理,时间代价高昂。为减少异常检测的时间,文中围绕知识粒度方法进行研究与探讨。知识粒度在数据异常检测中应用广泛,但在时间序列的异常检测上应用较少。文中针对时间序列上下文相关异常(点)检测,提出利用知识粒度异常检测方法对于输入属性越多检测粒度越细的特性,来查找时间序列中的异常数据。实验证明,基于知识粒度的方法无需先验信息,在整个处理过程中无需事先分析历史数据,而是通过属性间的组合粒度来划分异常数据与正常数据,提高了异常检测的效率。知识粒度方法在不确定信息处理研究中的表现十分突出,文中将知识粒度在时间序列异常检测中进行应用尝试,为时间序列异常检测提供了一种新的思路。 基于深度特征与LBP纹理融合的视觉跟踪 针对多数传统目标特征无法实现复杂场景下的鲁棒视觉跟踪问题,提出一种新的视觉跟踪算法。采用卷积神经网络(CNN)提取目标更加鲁棒的深度特征,同时融合具有旋转不变性的局部二值模式纹理特征,弥补CNN深度特征在旋转适应性上的不足。根据CNN网络训练速度慢的问题,引入离线预训练方法,提高在线特征提取效率。实验结果表明,与DLT算法相比,该算法在跟踪测试集上的跟踪精度提高14.08%,运算效率提高10.47%,能够较好地适应目标表观变化,具有较强的鲁棒性和跟踪时效性。 高维数据挖掘中特征选择的稳健方法 针对高维数据的特点,即数据中变量个数往往大于样本观测数目,并且数据往往具有异质性特点,基于众数回归分析和变量选择降维技术,提出了一种稳健有效的特征选择方法,利用局部二次逼近算法(LQA)和最大期望(EM)算法,给出估计算法和最优调节参数的选取方法。通过实验的模拟数据分析表明,所提出的特征提取选择方法整体优于基于最小二乘和中位数的正则化估计方法,特别当误差是非正态分布时,与已有方法相比具有较高的预测能力和稳健性。 基于仿射方法的图像抠图算法综述 数字图像抠图是数字图像处理与编辑领域中的一类经典方法,而仿射方法则是图像抠图中与采样法相并列的一个重要分支,较采样类方法的主要特点和优势是计算速度快、对结果的平滑性能好.本文以闭合形式方法中的早期方法为起点,着重阐述了由它们扩展得到的2种重要闭合类方法各自的优点和缺点,包括经典的Matting Laplacian类方法,以及目前非常流行的Nonlocal类方法.实验结果表明,Nonlocal类在前景与背景重合度不高、边界并不复杂的时候效果较Matting Laplacian类方法要好.最后,本文对闭合形式所衍生出的路径类方法及附加类方法也给出了一些未来的发展建议和规划. 基于MIMU/GSP的双核微系统的设计与应用 为了提高导航精度,设计了以DSP+单片机双处理器为核心的MIMU/GPS组合导航微处理器系统,采用单片机+双口RAM采集GPS数据并缓存,DSP对数据并行读取,既节约了CPU时间,又实现了对IMU的高采样率;采用TL16C550A与MAX232和主机异步串行通信,来实现DSP和上位机的高速通信,并对数据实时显示;整个系统采用CPLD为时序控制,并利用1PPS脉冲和CPLD时钟分频实现MIMU和GPS数据的同步采集。本系统在中北大学周边路段进行跑车实验,以5K的采样率对惯性测量单元采样,同时能够完成周期内的导航解算,避免了串口通信带来的CPU时间等待;同时系统以1PPS为时间基准触发DSP中断能够保证数据采集和读取的实时性。通过实验验证,本系统具有高采样率,实时性好的优点,为组合导航系统的研究提供硬件平台,在实际的工程应用中具有一定的参考价值。 基于异步映射的异步流水线设计 "论文提出了""异步映射""的概念,即在传统同步流水线基础上,试图将控制部分和数据计算部分分离,将控制部分用优化的异步电路替换,得到兼具异步和同步电路优点的流水线结构。论文首先证明了基于锁存器的流水线在同步时钟和异步流水线控制下能够获得相近的性能和完全相同的结果,然后以""异步映射""的方法实现了一款基于锁存器的16位异步DSP的设计。通过对DSP的性能进行分析,""异步映射""的可行性得到了验证。""异步映射""也可以被应用于基于寄存器的流水线和复杂流水线结构,达到利用同步设计的丰富资源发挥异步设计长处的目的。" 基于MRI图像纹理分析的应用及研究进展 医学图像的纹理特征与机体病理相关,因此基于医学图像的纹理分析有着十分重要的临床应用价值。具有高组织分辨的MRI多参数大信息的纹理数据能够更精准量化病灶组织的病理差异,使得基于MRI图像的纹理分析(MTA)受到医学及计算机工程等多领域的关注,随着纹理分析方法及MRI新技术的不断研发应用,近年来MTA被越来越多的应用于临床研究,为疾病尤其是肿瘤的诊断、分级与疗效预测评估提供有价值的医学影像依据。本文就MTA在人体各大部位的主要临床应用现状及其进展进行综述。 数据流聚类算法在入侵检测中的应用 处理数据流的能力成为入侵检测系统面临的挑战,针对这一现状提出DC-stream算法,该算法采用在线离线两阶段聚类,设计了一套缓冲式异常点处理机制,在保证数据流聚类效率和精度的同时,能够过滤噪音数据。实验结果证明,该算法能在海量的网络数据流中及时有效地发现入侵行为,并具有较强的抗干扰能力。 无人机视觉着陆中的图像去噪算法 针对无人机在视觉着陆过程中获取的图像受噪声影响的问题,设计了一种改进的中值滤波器。首先将物理学中的引力概念引入到图像像素间;其次给出了像素间的引力大小的数学表达式;最后通过像素间的引力来确定自适应窗口并进行相应的滤波处理。实验结果表明,与传统中值滤波以及开关中值滤波算法进行比较,该算法在图像去噪和细节保留方面有了很大的改善。 XML在移动多媒体服务系统中的应用研究 提出并实现了一个基于XML的TAG技术的移动多媒体服务系统,实现了在线播放、查找、增加文件和添加标签等功能。该系统采用基于XML的TAG来存储多媒体资源的相关信息,通过对XML文件和对标签的操作在尽可能大的范围内实现了资源共享。该系统具有高效、速度快、稳定等特点,是一种新的网络共享多媒体资源的管理模式,可更好的在手机(用户)终端播放和共享Internet上的多媒体资源。 第四届中国信息融合大会顺利召开 "[正文]为了促进我国信息融合技术的发展,深化信息融合理论和技术的研究与应用,广泛加强交流与合作,中船重工709所于201 2年9月21-23日承办了""第四届中国信息融合大会"",这是该大会连续三届由高等院校承办后,首次由工业部门科研院所承办。中国科学院院士、中国工程院院士" 基于Pentaho的数据中心研究及应用 数据中隐藏的价值不仅决定企业自身的命运同时承载整个行业的未来发展方向。在大数据时代背景下,无论是公司的发展还是政府的管理,搭建属于自身的数据仓库都显得尤为重要。本文借助中关村数据融合中心平台,阐述构建基于Pentaho管理系统的关键技术要点,主要包括数据仓库的设计,数据收集过程中的ETL设计,数据分析过程中的OLAP设计,数据展示过程中的报表设计。 一种改进的骨部钙化点CT图像增强方法 骨部CT图像中的钙化点是骨部疾病医学诊断的重要依据,而在高密度骨部区域的钙化点对比度很低,需要一种改进的增强骨部钙化点区域的图像处理算法。提出的算法首先依据钙化点像素所在的强度范围设计出较好的目标直方图,然后结合图像的全局信息与局部梯度信息建立偏微分方程并得到演化方程来求解,获得增强后的骨部CT图像。算法测试了由浙江大学医学院附属妇产科医院提供的骨部CT图像,并在感兴趣区域上取得了较好的效果。 网球技战术关联分析数据挖掘研究 网球比赛中技战术的决策水平对比赛结果有着十分重要的影响,如何从大量的技战术数据中找到运动员比赛中技战术特征与规律,以弥补传统统计手段的不足,为比赛中技战术正确决策提供科学依据是一个急需研究解决的问题。采用关联分析数据挖掘理论和依托Weka数据挖掘平台,建立了网球技战术击球落点与得失分之间的关联规则数据挖掘分析模型,进行了具体案例研究,为网球比赛技战术中的落点决策问题提供客观科学的决策支持。 补偿模糊神经网络在磨矿控制中的应用研究 针对典型两段式磨矿控制优化中系统机理复杂、影响因素多、难以建立精确的数学模型等诸多难点问题,采用补偿模糊神经网络对磨矿控制器进行设计,通过利用模糊控制对模糊信息的处理能力和神经网络强大的学习能力很好地解决了磨矿控制中非线性、难建模等问题。补偿模糊神经元的引入,能使网络从初始正确定义的模糊规则或者初始错误定义的模糊规则进行训练,使系统具有更高的容错性,系统更稳定。仿真结果表明,矿石粒度被很好地控制在了一个较理想的范围,证明了补偿模糊神经网络对磨矿控制的有效性和实用性。 基于手势识别算法的鼠标终端 提出了一种基于静态手势与动态手势的识别算法,并结合Windows API的鼠标类函数实现鼠标操作.首先,通过图像处理技术把从摄像头捕捉的原图像转换为可信度较高的二值图像;其次,调用静态手势识别算法识别展开的手指个数,根据手指个数,结合Windows API的鼠标类函数实现鼠标双击及移动功能;最后,当检测到手指个数为5时,调用动态手势识别算法来识别手势的上下左右四个方向,并结合Windows API的鼠标类函数模拟鼠标左右键按下、抬起及滚轮滑动等操作.实验表明,该手势识别算法的识别率达到了94.11%,对于一些开发平台没有鼠标或在使用鼠标不方便的情况下,用手势来替代鼠标输入具有一定的研究价值和意义. 动态环境中的多机器人协同搬运 "在多机器人协同搬运过程中,针对传统的强化学习算法仅使用数值分析却忽略了推理环节的问题,将多机器人的独立强化学习与""信念-愿望-意向""(BDI)模型相结合,使得多机器人系统拥有了逻辑推理能力,并且,采用距离最近原则将离障碍物最近的机器人作为主机器人,并指挥从机器人运动,提出随多机器人系统位置及最近障碍物位置变化的评价函数,同时将其与基于强化学习的行为权重结合运用,在多机器人通过与环境不断交互中,使行为权重逐渐趋向最佳。仿真实验表明,该方法可行,能够成功实现协同搬运过程。" 抗干扰攻击的多信道无线网络功率控制方法 对无线网络的功率进行控制可以减少能量在网络模块中的消耗,延长无线网络的生命周期.采用当前网络功率控制方法进行控制时,存在功耗高、抗干扰攻击性能差的问题.提出一种抗干扰攻击的多信道无线网络功率控制方法,采用网络流模型和信道模型完成无线网络模型的构建.在无线网络模型的基础上,采用小波阈值去噪方法去除无线网络干扰信号,提高抗干扰攻击性能.对无线网络中链路的功率分配和速率控制进行联合建模,完成多信道无线网络功率的控制.实验结果表明,所提方法的抗干扰攻击性能强、功耗小. 基于有限元插值方法的电磁覆盖范围重建 在电子对抗中,对电磁覆盖识别的研究,需要在已有少量电磁数据情况下利用插值方法对电磁场覆盖范围进行重建。在研究高斯函数有限元插值算法的基础上,针对伸缩因子难以确定问题,提出利用原始数据估算伸缩因子的方法,对区域划分方法建立自适应伸缩因子网格,以减少估算过程中的三角剖分操作和计算耗时。仿真分析结果显示,在自适应仲缩因子情形下的算法比固定伸缩因子情形下具有更高的精度,且与采用三角剖分的线性插值算法具有相近的计算效率,应用于电磁覆盖范围重建时取得了较好的效果。 基于AVR的静压气体轴承性能检测装置控制系统设计 为了实现对静压气体轴承气膜厚度,压力分布和承载能力的自动检测,设计了一种基于单片机的检测装置和控制系统;该系统采用ATmega16L单片机作为平台运动控制和数据处理的核心,结合软件控制步进电机的转向和转速,实现了极坐标定位,并采集承载力,气膜压力和气膜厚度等数据,通过LCD显示和键盘输入,较好地实现了人机交互;同时,装置可以通过RS232与上位机通讯,实现上位机的直接控制;把该系统对气体轴承性能参数的测量结果与仿真结果进行对比,结果表明该装置和系统是正确可行的。 软件复杂性研究综述 软件危机的根源在于软件的复杂性,当复杂性超过人们能够控制的程度时,软件项目的失败便是必然.系统地介绍和归纳总结了国内外软件复杂性研究现状,分析了目前研究存在的问题,对未来软件复杂性研究热点方向进行了探讨与展望. 迭代函数系统IFS码的获取方法及实现 迭代函数系统(IFS)是分形理论的一种重要的方法。根据迭代函数系统的基本理论,针对提取IFS分形码方法过程复杂、存在困难的问题,提出了轮廓多点法。方法在原图与拼贴图上沿图形轮廓提取多个对应的特征点,组成超定方程组求取近似解,从而得到仿射变换的IFS码。最后给出了算法及由该方法得到的二维分形图示例,并通过参数表与其他方法进行对比。实验证明方法获取的IFS码更加准确,达到了微调IFS码的目的,生成的分形图更加逼真。 一种用于求解0-1背包问题的动态伸缩算法 针对0-1背包这个非确定多项式(NP)完全难题,提出一种新的启发式搜索算法来解决0-1背包问题。算法采用多维实数编码,将物品按价值/重量比从大到小排序装包,通过用启发式策略选择交换背包内和背包外物品的位置,采用动态伸缩策略调整背包大小,选取种群中部分优秀解进入下一代继续进行优化。通过5个背包实例进行测试,实验结果表明该算法收敛速度快、求解精度高,并且具有良好的稳定性。 一种图编辑距离的软件体系结构变化性度量方法及应用研究 软件体系结构是一类重要的软件资产,对其变化性进行分析能够度量、分析和预测(不同)软件的演化趋势.而传统的软件体系结构演化分析方法并没有考虑软件结构上的差异性,亦未提出度量软件演化历史的方法.因此,本文在早期基于构件的软件配置管理模型,及支持演化的构件模型研究成果的基础上,提出了以软件体系结构为中心的软件演化分析框架,实现了基于图编辑距离的、软件体系结构规约层次的变化性度量;同时,针对4个开源软件系统,在软件体系结构层次分析了软件系统内部及不同系统之间的变化程度. 人工鱼群与微粒群混合优化算法 针对人工鱼群算法局部搜索不精确、微粒群优化算法易发生过早收敛等问题,提出一种新的人工鱼群与微粒群混合优化算法。算法的主要思想是先利用人工鱼群的全局收敛性快速寻找到满意的解域,再利用粒子群算法进行快速的局部搜索,所得混合算法具有局部搜索速度快,而且具有全局收敛性能。最后,以五个标准函数和一个应用实例进行测试,测试结果表明,提出的算法在一定程度上避免了陷入局部极小,加快了收敛速度且提高了搜索精度。 舰载机着舰拦阻力学建模与仿真 关于舰载机着舰拦阻优化控制问题,针对舰载机着舰冲击过大带来的诸多安全性问题,在综合考虑了舰载机过载,拦阻索拉力峰值,拦停距离等指标对拦阻索拦阻力力学模型限制基础上,提出建立舰载机对中拦阻系统着舰拦阻力学模型,并对拦阻模型变化规律表达式中阻拦参数的求解方法给予说明,仿真结果表明所建模型能够使拦阻索拦阻力变化均匀,避免了传统模型出现的拦阻峰值过高等缺点,同时满足舰载机定长着舰阻拦技术指标的要求。 基于集总式伯努利过程算法的高密度Ad Hoc网络MAC协议 针对高密度Ad Hoc网络的竞争类MAC协议由于报文冲突而不能对实时业务提供QoS传输和固定时隙分配MAC协议不适用于分布式网络的问题,提出一种新的动态时隙分配协议——CB-TDMA协议。该协议采用全新的基于集总式伯努利过程冲突消除算法解决了报文接入冲突,且控制开销较小。仿真结果表明,对于节点密度较高、时延敏感的Ad Hoc网络来说,CB-TDMA协议可以为实时业务提供有效支持,在接入效率、时隙利用率和接入时延方面呈现出较好性能。 基于FLOOD Fill算法的迷宫路径求解方法研究 "目前国际电脑鼠走迷宫竞赛中常采用的FLOOD Fill迷宫搜索算法存在硬件系统资源消耗较多和无法实现最短路径求解及判定等问题。根据FLOOD Fill算法和FLOOD Fill迷宫搜索算法的工作原理,提出修正的FLOOD Fill迷宫搜索算法及相应的最短路径求解算法。通过判断更新必要迷宫格编码值提高迷宫搜索算法的执行效率,建立""有墙迷宫""和""无墙迷宫""完成迷宫搜索后最短路径的最优性判定和迷宫搜索次数的决策。MATLAB平台的仿真分析和IEEE标准迷宫的实际测试结果表明,相对于FLOOD Fill迷宫搜索算法,该方法不仅减少了97%的冗余编码值更新,而且能够准确地求解出搜索后的迷宫最短路径。" 权重随机正交化的极速非线性判别分析网络 极速学习机(Extreme Learning Machine,ELM)以其训练速度快、易实现、泛化性能好等优点受到了广泛关注。然而在数据维度较高的场景,数据中往往蕴含着较多冗余信息,而经典ELM尚未能很好地应对这个问题。此外,经典ELM也未能对标记数据的判别信息有效地加以融合利用。针对传统ELM方法的不足之处,提出一种权重随机正交的判别分析网络(O-ENDA)。在O-ENDA中,一方面对ELM输入层权重施加正交约束,这就降低了输入特征的冗余信息以减低过拟合的风险(尤其在小样本场景下);另一方面将隐层特征与判别分析相融合进行联合学习,实现数据判别信息在ELM中的融合利用。实验结果表明,提出方法在保持数据判别特征的同时能够去除其冗余信息、提高模型的泛化能力并能获得更高的分类精度。 图像识别中的兴趣点匹配方法研究 针对图像检索识别的需求,提出了一种基于兴趣点的匹配算法,利用小波变换对图像进行降维和去噪,提取其SIFT点特征,同时进行PCA降维,最后采用基于K-d树的最近邻法进行快速匹配。通过对各种图像大量的实验,结果表明,该方法具有很强的匹配性和鲁棒性,是一种较好的图像匹配算法,可以广泛应用于图像的检索和识别中。 基于FPGA的Cholesky分解细粒度并行结构与实现 基于FPGA平台研究大规模矩阵Cholesky分解的细粒度并行结构与实现.首先在数据依赖关系分析的基础上,提出了Cholesky分解细粒度并行算法,然后提出了可扩展的一维线性阵列结构实现该并行算法.最后在设计的开发板上实现了单精度浮点Cholesky分解阵列处理器.综合结果表明,单个Xilinx Virtex5 XC5VLX330FF1760 FPGA芯片可集成32个处理单元.与运行在2.50GHz Pentium微处理器上的串行C代码相比,该阵列处理器取得最大104.413倍和平均78.789倍的性能加速. 基于链路共享的多源选播路由算法 "选播(manycast)作为一种新型的通信模式,吸引了研究人员越来越大的兴趣。MSPT(Manycast Shortest Path Tree)算法是一种应用广泛的选播路由算法。但是,它没有考虑整体网络资源的利用;DDMC(Destination-Driven for Manycast)算法较好地解决了单源点选播最小生成树问题,但应用场景只是针对""一个对多个中的任意个""。针对""多个对多个中的任意个""的多源选播路由问题,在DDMC算法的基础上作了一些改进。仿真实验结果表明,改进的选播路由算法MBLS(Manycast Based-Link-Share)基于链路共享机制,在空间复杂度和时间复杂度相同的情况下,在选播森林每源点成本上优于MSPT算法。" 一种低复杂度高性能的MIMO系统自适应检测算法 如何克服发射信号的重叠和码间干扰是MIMO系统信号检测技术面临的关键问题。信号检测算法的性能优劣是影响MIMO技术能否真正适于实际应用的关键因素。结合MLD算法高性能和MMSE-SIC算法低复杂的优点,对Hybrid算法进行了改进,提出了一种基于信道最大/最小特征值的自适应混合检测算法。该算法重新定义了自适应系数,并通过信道矩阵特征值的特性,自适应控制三种子混合算法检测数据流时的百分比,以达到更高的检测效率。仿真结果表明:无论信道在何种复杂环境下,该算法具有与MLD算法几乎相同的误码性能,计算复杂度也有很大的改善。 优化的信息中心虚拟化实施方案 基于传统信息中心建设中存在的一些缺点,提出了一种基于v Sphere架构的优化的信息中心虚拟化实施方案.相对于其他的虚拟化实施方案,本方案主要从可靠性和存储设备I/O性能两方面进行了优化.该方案从服务器、网络和存储设备等三个方面对系统可靠性进行了优化,分析了影响存储设备I/O性能的几大因素,并分别从是否采用多路径输入输出、Write-back cache写策略、磁盘I/O块大小、服务器性能等几个方面对系统I/O性能进行了优化.最后通过对整个系统的测试,验证了这一方案的优势. 基于Vxworks的TCP/IP网络通信设计在车载武器系统中的应用 结合实时嵌入式操作系统Vxworks在实现车载武器系统内网络通信的实际应用,文章提出了Vxworks系统下TCP/IP网络通信的设计和软件实现的方法。阐述了Vxworks网络通信设计的方法和程序实现的步骤,并给出了部分源代码作为示例说明。 面向ARMv8 64位多核处理器QTRSM的实现 在ARMv8 64位多核处理器上基于OpenBLAS实现了四精度三角矩阵求解(QTRSM)。基于两种数据格式分别实现了QTRSM,第一种实现利用GCC编译器对long double数据类型的支持来实现QTRSM,第二种实现采用double-double数据格式及其相应的四精度加减法、乘法和除法。以long double数据类型QTRSM为测试基准,就不同矩阵规模下测试结果精度和时间与double-double数据格式QTRSM进行比较。实验结果表明:两者得到近似相同精度的数值结果,但double-double数据格式QTRSM的性能是long double数据类型QTRSM的1.6倍。随着线程数的增加,两种QTRSM实现的加速比接近2.0,具有较好的可扩展性。 基于粒子群优化的PID控制器设计与应用 研究优化控制器设计问题,工业过程控制中广泛使用的PID控制器,参数的选取可等效为优化问题。针对PID控制器应用于实际的自动电压调节器(AVR)系统,为了有效地寻找AVR系统的最佳PID控制器参数,提出一种基于粒子群算法的PID参数优化策略。通过建立粒子群优化的PID控制器参数模型,在控制过程中将PID参数(比例、积分、微分)作为粒子群中的粒子,采用控制误差绝对值时间积分函数作为优化目标,在控制过程中动态调整PID的三个控制参数,从而进行PID控制参数的实时优化。仿真结果表明PID控制器可以获得较好的控制性能指标,进而改善AVR系统的瞬时响应特性,具有一定的实用价值。 GeoFS:一个广域文件系统的设计与实现 GeoFS是一个遵从POSIX标准的广域分布式文件系统,用于站点之间共享数据.GeoFS使用FUSE用户态文件系统库,为应用程序提供标准文件系统接口,现有应用无需修改源代码即可运行其上.详述GeoFS副本及缓存设计,使得GeoFS适用于高时延、低带宽的广域环境的同时,提高GeoFS的可靠性与可用性.性能测试表明GeoFS在不同时延下,并行两副本读比单副本读性能平均提高56%,其中在100ms时延下,并行两副本读比单副本读性能提高66%;而当客户端缓存命中时,读写性能与本地文件系统性能相当. 针灸对网络成瘾者神经系统影响的影像学研究进展 网络在带来前所未有便利的同时,网络成瘾作为一种新的成瘾现象已经受到广泛关注。网络成瘾的发病机制之一是神经生物学假说。随着脑功能成像技术的发展,神经影像学进展迅速,为我们观察大脑的功能情况提供了有效的手段。这些研究在神经生物学层面发现提示着网络成瘾可能与物质成瘾存在着相似的发病机制。目前关于网络成瘾的研究主要包括网络成瘾的诊断、分类及干预,对于网络成瘾的神经影像学研究相对较少。针灸对包括网络成瘾、物质成瘾等治疗效果是明确的,但具体机制不明确,神经影像学提供了一种可能的研究思路。 基于红外图像的眼睛开闭检测方法 基于红外眼睛图像,提出一种红外环境下判断眼睛开闭状态的方法,并应用于疲劳驾驶检测当中。对图像采用基于余弦的Gamma校正压缩灰度值域的高低两端,同时扩展中间灰度值范围提高对比度,使用多尺度Retinex变换消除阴影的干扰以获取更准确的眼睛形态,根据眼角角度和上下眼睑间的高度在平面坐标上的分布特征对眼睛状态进行分类。实验结果表明,该方法可以有效地判断眼睛的状态。 一种可配置数据结构模型在汽车工程数据库中的应用 为了建立支撑汽车研发的数据库平台,在分析汽车工程数据特点基础上,提出一种数据库结构设计方法。该方法借鉴部分面向对象思想,首先对技术指标体系进行抽象及划分,然后配置对象模型,最后实例化产生实体。基于此思路设计数据库表结构模型,并在汽车工程数据库建设项目中使用该模型作为基础架构。应用情况表明该模型能够有效地描述工程数据,并能满足扩展需求。 支持协作的强制访问控制模型 按照国家信息系统等级保护要求,3级以上的信息系统必须具备强制访问控制和标记.已有的强制访问控制模型的访问规则十分严格,难以满足协作环境下的访问控制新需求.提出一种支持协作的强制访问控制模型(collaboration supported mandatory access control model,CSMAC),将主体-客体为中心的访问控制与任务为中心的访问控制相结合,使访问控制模型更符合主动安全模型的特点,大大提高了模型的灵活性,使其更适合于协作环境下的访问控制.模型中通过控制主客体的安全标记,解决了符合安全策略的敏感信息的双向流动问题.通过无干扰理论,对所提出模型的安全性进行了证明. 磨矿粒度分布的概率密度函数跟踪控制研究 传统的磨矿粒度控制局限于百分比含量这一指标,未考虑粒度具体分布信息,而磨矿产品的粒度分布(PSD)对整个选矿系统能耗和精度的影响不容小视。为解决上述问题,用概率密度函数(PDF)表征PSD信息,对磨矿粒度的PDF进行跟踪控制,使其跟踪给定的最利于选别的粒度PDF。在每个采样时刻,首先测取磨矿产品的多个粒度样本,用核密度方法估算PDF;然后利用跟踪误差建立性能指标函数;最后,用粒子群算法优化性能指标函数,设计最优控制输入。仿真结果验证了所提方法的有效性,可为选矿系统的后续研究和实际应用提供参考。 四轮独立驱动电动轮汽车的平顺性仿真研究 "在电动轮汽车稳定性优化控制的研究中,为了更全面地分析电动轮汽车的平顺性,了解轮毂电机驱动对电动轮汽车垂向动力学特性的影响,应用动力学基本理论和状态空间法建立了路面和电磁双重激励下的1/4车辆动力学模型,根据电磁场基本理论导出了轮毂盘式永磁直流电机的电磁转矩和电机切向力的解析表达式,得到了轮毂电机电磁激励力的垂直分量,对车辆在""路面+电磁""双重激励作用下的平顺性进行仿真分析,得到了各平顺性指标的时域和频域的仿真结果,从而实现了电动轮汽车的平顺性优化设计的目的。" 基于历史认知的果蝇优化算法 "针对果蝇优化算法的早熟收敛问题,提出了一种新的基于历史认知的果蝇优化算法。新算法通过增加个体""历史认知""的改进策略,优化进化方程,从而避免潜在全局最优解因为不考虑自己的历史轨迹,仅依靠单纯的聚集行为,而使自己的寻优轨迹迂回曲折,错过成为全局最优解的可能;并且通过线性递增的动态变化系数ω调整在迭代寻优过程中个体的""历史""对本次学习的价值,增强算法跳出局部最优,寻找全局最优的能力。对几种经典测试函数进行了仿真和实例计算,结果表明新算法更好地平衡了全局和局部搜索能力,在收敛速度、收敛可靠性及收敛精度上比其他经典智能优化算法有较大的提高。" 光滑粒子流体动力学方法的高效异构加速 目前,光滑粒子流体动力学方法的GPU加速几乎都是基于简化的Euler控制方程,完整的Navier-Stokes方程的GPU实现非常少,且对其困难、优化策略、加速效果的描述较为模糊.另一方面,CPU-GPU协同方式深刻影响着异构平台的整体效率,GPU加速模型还有待进一步探讨.文中的目的是将自主开发的、基于Navier-Stokes方程的SPH应用程序petaPar在异构平台上进行高效加速.文中首先从数学公式的角度分析了Euler方程和NavierStokes方程的计算特征,并总结了Navier-Stokes方程在GPU加速中面临的困难.由于Euler方程只含有简单的标量和向量计算,是典型的适合GPU的计算密集轻量级kernel;而完整形式的Navier-Stokes方程涉及复杂的材料本构和大量张量计算,需要面对GPU上大kernel带来的系列问题,如访存压力、cache不足、低占用率、寄存器溢出等.文中通过减少粒子属性、提取操作到粒子更新、利用粒子的重用度、最大化GPU占用率等策略对Navier-Stokes方程的粒子交互kernel进行优化,具体实现见5.1节.同时,文中调研了三种GPU加速模型:热点加速、全GPU加速以及对等协同,分析了其开发投入、应用范围、理论加速比等,并深入探讨了对等协同模型的通信优化策略.由于通信粒子的不连续分布,GPU端通信粒子的抽取、插入、删除等操作本质上是对不连续内存的并行操作,会严重影响CPU-GPU的同步效果,而相关文献对此问题没有阐述.我们通过改进粒子索引规则解决此问题:粒子排序时不仅考虑网格编号,还要考虑网格类型,具体实现见5.2.3节.基于Euler方程和Navier-Stokes方程实现并分析了三种GPU加速模型.测试结果显示,三种模型下,Euler方程分别获得了8倍、33倍、36倍的加速,Navier-Stokes方程分别获得了6倍、15倍、20倍的加速.全GPU加速均突破了热点加速的加速比理论上限,对等协同比之全GPU加速又可以获得进一步提高.特别是对于Navier-Stokes方程,采用文中的kernel优化策略及对等协同模型,最终在异构平台上实现了20倍的整体加速.针对Navier-Stokes方程的对等协同版本这一应用范围最广、加速效果最好的实现,在Titan超级计算机的6个和1024个异构计算节点上进行了强、弱可扩展性测试,分别获得了67.1%和75.2%的并行效率. 求解高维函数优化的动态粒子群算法 针对基本粒子群优化算法对高维函数优化时搜索精度不高的缺陷,提出了一种动态粒子群优化算法。该算法采用了通过调节阈值对粒子运动轨迹进行动态改变的策略,使得粒子对周围环境的适应能力不受进化代数的影响,从而保证了算法在迭代后期仍具有较强的搜索能力。实验结果表明,与文献算法相比,该算法在处理高维函数优化时具有更强的寻优能力和更高的搜索精度。 GARBF在网络入侵检测中的应用研究 研究网络安全问题,提高入侵检测效率,针对网络入侵检测传统采用RBF神经网络方法在网络入侵中由于初始权值设定不当导致检测入侵耗时长、正确检测率低,误报和漏报率记的难题,为了解决上述问题,提出了一种GARBF神经网络入侵检测模型。GARBF神经网络模型在网络入侵检测过程中,采用遗传算法对RBF神经网络初始权值进行优化,然后将网络入侵数据输入优化的RBF神经网络中进行学习和检测。结果表明,相比较传统网络入侵检测模型,网络入侵检测误报率、耗时都较低,证明提高网络入侵检测的正确性和效率。 基于词典优化与空间一致性度量的目标检索 基于视觉词典模型(bag of visual words model,BoVWM)的目标检索存在时间效率低、词典区分性不强的问题,以及由于空间信息的缺失及量化误差等导致的视觉语义分辨力不强的问题.针对这些问题,提出了基于词典优化与空间一致性度量的目标检索方法.首先,该方法引入E2LSH(exact Euclidean locality sensitive hashing)过滤图像中的噪声和相似关键点,提高词典生成效率和质量;然后,引入卡方模型(chi-square model,CSM)移除词典中的视觉停用词增强视觉词典的区分性;最后,采用空间一致性度量准则进行目标检索并对初始结果进行K-近邻(K-nearest neighbors,K-NN)重排序.实验结果表明:新方法在一定程度上改善了视觉词典的质量,增强了视觉语义分辨能力,进而有效地提高目标检索性能. 遗传蚁群融合算法求解多项目资源能力平衡问题 为探索更高效的多项目资源能力平衡优化方法,提出了一种基于遗传蚁群融合算法的求解方法。建立了以单位时间内所有项目的总资源消耗方差为优化目标的问题模型,并设计了模型求解的遗传蚁群融合算法。该算法前过程采用遗传算法进行迭代求解,充分利用遗传算法的快速性和全局收敛性,生成初始信息素分布;后过程采用蚁群算法,充分利用蚁群算法的正反馈性和求精解效率高等特点收敛到最优解。通过具体算例验证了算法的可行性和有效性。 基因表达式编程算法及其应用综述 基因表达式编程GEP(Gene Expression Programming)是一种基于生物基因结构和功能发明的一种新型自适应演化算法。阐述算法的基本原理、技术特点,并对算法的性能进行了分析;同时介绍了算法的主要应用领域及国内外研究现状;最后探讨了GEP的研究方向。 基于用户兴趣与主题相关的PageRank算法改进研究 针对传统的PageRank算法存在主题漂移、忽略用户兴趣等不足,提出一种基于用户兴趣与主题相关的PageRank改进算法——ITPR。为了更好地提高用户搜索质量,利用网页浏览时间与页面篇幅共同构建用户兴趣度因子,用线性拟合月点击量的方法预测用户兴趣度的升降,同时结合网页内容引入主题相关度因子,共同对网页PR值进行适当的修正,使其分配更为合理。仿真实验结果表明,在相同的实验环境下,改进的PageRank算法提升了网页排序质量、查准率以及用户搜索满意度。 面向量子密钥分发的自适应LDPC双码并行机制 信息协调是量子密钥分发中的关键步骤,基于LDPC实现量子信息协调是当前国内外研究的焦点。目前QKD系统LDPC译码器普遍采用单码字顺序译码机制设计,且采用的是性能较差的准循环LDPC码,LDPC译码器吞吐量和纠错上限较低,无法满足高速率高误码下量子安全性及性能需求。设计了一种面向量子密钥分发的新型自适应LDPC双码并行机制ADCPM,采用随机型LDPC码,且在译码的同时进行双密钥串并行纠错,较传统方法吞吐量提升了近1倍。真实平台实验结果表明,ADCPM支持高达10%的误码率,吞吐量超过140 Mbps,可有效支撑高误码下高速安全量子信息协调。 引入数据平滑的增量式贝叶斯垃圾邮件过滤方法 朴素贝叶斯分类器在处理垃圾邮件过滤任务时,往往存在数据稀疏问题。由于语料库中特征出现遵循Zipf定律,所以单纯依靠增加训练语料方式难以解决该问题。为克服数据稀疏问题,引入数据平滑算法计算贝叶斯模型中缺失特征的补偿概率。通过领域术语抽取与概念相关模型增加分类中语义知识处理能力。采用增量式学习方法完成动态在线学习过程。Ling-Spam垃圾邮件语料库实验表明该方法提高分类精度2.51%,在国家863语料表明该方法比Laplace原则提高了3.05%。 几种时频分析方法的性能比较 在时频分析算法的研究中,传统傅里叶变换无法反映时变信号中信号频率随时间变化的关系,而时频分析方法则是处理时变信号的有效工具。为了比较谱图、魏格纳-维尔分布WVD、平滑伪魏格纳-维尔分布SPWVD、局部多项式周期图LPP、S-method、高斯径向核函数RGK时频分布等6种时频分析方法的性能,针对线性调频信号和正弦调频信号,以瞬时频率估计的最小均方误差、信号的时频聚集度、运算时间等方面为指标对上述6种方法进行了比较。并且给出了上述6种方法对多分量信号和蝙蝠声音信号的时频表示比较。为处理不同信噪比下的不同信号选择合适的时频分析方法提供了依据。 OceanBase数据库监控系统 针对目前Oceanbase数据库监控方面薄弱的问题,基于OceanBase的系统架构以及参考其集群部署,并借助其提供的系统接口,提出一套适用于OceanBase在系统监控、系统预警以及故障定位等方面的可执行方案,以此实现了OceanBase监控系统OBDMS。首先,调研OceanBase在系统架构及集群部署等方面的特点;其次,根据OceanBase提供的系统接口以及系统监控、预警和故障定位等目标,构建OBDMS的系统架构;最后,利用OBDMS的系统架构并结合分布式系统的原理,实现了OBDMS。通过分析对比、实验结果表明:只需在每个监控节点上部署监控代理,OBDMS就能自动收集各个节点上的监控信息,节省了运维时间;并且通过一个月的运行以及压力测试,OBDMS各模块均运行正常,具有较高的可靠性。因此,OBDMS具备部署简单、节省运维时间、可靠稳定等特点。 基于GPU的RDF类型同构并行算法 资源描述框架(resource description framework,RDF)作为W3C(World Wide Web Consortium)组织提出的语义网数据规范,描述了资源及其之间的关系.随着RDF数据规模不断增加,高效地检索RDF数据成为当前面临的重大挑战.在RDF数据上的查询响应问题可以被简化为子图同构问题.作为子图同构的重要部分,类型同构(type-isomorphism)在处理部分RDF查询,如星状查询和链状查询等,具有较高的性能.目前,现有解决类型同构的方法匹配效率均依赖于CPU的计算能力.近年来,图像处理单元(graphic processing units,GPU)的发展提高了图数据处理的性能.与CPU相比,GPU多处理器具有高并发、易扩展以及价格成本低等优势.由于CPU处理大规模RDF数据的计算能力有限,提出一种基于GPU的RDF类型同构算法,使类型同构问题在GPU架构上通过并行的方式解决.最后,实现了基于GPU的RDF类型同构算法,并在基准数据集LUBM上对该算法进行性能测试,实验结果表明:该算法显著优于基于CPU架构的算法. 基于圈复杂度的静态测试用例排序方法 针对如何排列测试用例的问题,提出一种基于圈复杂度的静态测试用例排序方法。首先介绍圈复杂度和基于方法覆盖的测试用例排序算法;然后将圈复杂度应用到排序算法中,设计了两种新的排序算法;最后通过实验,检测改进方法在错误检测方面的有效性。实验结果表明,与已有的几种优先级技术对比,上述改进方法能够达到更高的错误检测效率,有利于提高测试效率。 基于旋转不变NL-means迭代优化的CT图像POCS重建方法 鉴于NL-means算法在图像去噪领域的卓越表现,提出一种基于旋转不变NL-means迭代优化的POCS CT图像重建算法。第一步,进行POCS重建,使图像满足投影一致性和非负性,第二步,对重建图像进行旋转不变NL-means优化,平滑均匀区域,保护边缘信息。以上两步交替进行,直到满足停止迭代准则。在稀疏角度和有限角度两种情况下,对该方法进行shepp-logan体模重建实验,通过对重建图像的视觉和指标评估,所提方法能更有效地抑制图像噪声,信噪比更高,重建结果更接近理想图像。 一种基于环切割的约束满足问题求解算法 该文首先给出一种无环约束满足问题的无回溯搜索算法Tree_Search,然后将环切割思想嵌入到目前最流行的MAC3 rm算法中,给出一种新算法CCS.CCS将原回溯搜索过程分为两部分:第1部分通过回溯搜索求解环切割集中变量,将原问题化简成一个满足弧相容的无环问题;第2部分通过无回溯的Tree_Search算法求解化简后的无环问题,改进了MAC3rm算法.证明了MAC3rm算法在环切割集上求得的局部解一定可以扩展为一个全局解,并且如果原问题无解,则MAC3rm算法在环切割集上找不到局部解.实验结果显示,CCS的效率在大多数情况下高于MAC3rm.在求解随机问题相变阶段的测试用例时,CCS的效率最高可以达到MAC3rm的140倍.Benchmark中几组问题的测试结果显示,CCS在整体上效率高于MAC,最高可以达到MAC3rm的100倍以上. 多模态张量数据挖掘算法及应用 近年来,多模态数据挖掘技术备受关注,如何高效地挖掘大量多模态数据成为一个研究热点。其中,基于张量表示的多模态数据挖掘,即多模态张量数据挖掘,是一个重要的研究问题。综述了多模态张量数据挖掘算法进展及其在计算机视觉中的应用。首先根据算法的样本标记、任务和核心技术的不同,对这些方法进行分类,并给出了相应的介绍和分析。其次,讨论了一些多模态张量数据挖掘算法在计算机视觉问题中的典型应用。最后,就多模态张量挖掘在计算机视觉领域的研究现状与研究前景进行了简要的分析。 基于改进引力搜索算法的优化特征选择算法 针对引力搜索算法早熟收敛和局部收敛能力慢的不足,提出一种改进的引力搜索算法(BGSA-PS),并用于特征选择处理,从原始特征集合中寻找合适且数量较小的特征子集。加入多样性因子更新粒子的速度,扩展全局搜索空间,防止早熟收敛,结合模式搜索法增强并加速局部搜索能力。在UCI分类数据集上的实验结果表明,该方法同原始离散型引力搜索算法及相似算法相比,选取的特征数量较少、分类精度较高,是一种有效的特征选择方法,可广泛用于特征选择领域。 基于曲波变换的图像非线性增强改进算法 在传统曲波图像增强中,非线性增益函数通常需要调节多个参数。针对该问题,提出一种基于曲波变换的图像非线性增强改进算法。在处理低对比度图像前,对其空间域特征进行分析处理,将空间域非线性增强和曲波非线性增强处理相结合,通过较少的参数选择,结合曲波变换的多尺度特性,提高曲波增强方法的自适应度。实验结果证明,该算法具有较好的图像增强效果。 与数据挖掘相关的整数矩阵的左右可逆性研究 考虑了数据挖掘中基于整数矩阵的离散数据观测模型,并提出了观测矩阵的整数分解问题。该问题实质上是求解经典的线性丢番图系统,只是要求基矩阵是被分解矩阵的一个部分。提出了一个新的求解方法——左右逆法,并研究了与此相关的一类满秩非方整数矩阵的整数左右可逆性问题,提出了一些左右可逆的充分条件以及求整数左右逆的方法。该方法可以求出某些最小二乘法无法求出的整数逆,并与最小二乘法结合构成了一个整数分解的完整解决方案。 无线隧道施工监控系统瞬时故障恢复控制 隧道施工中采用无线监控技术具有减少网络布线、增加系统灵活性的优点,但是现场施工环境的复杂多变性及传感器节点软硬件不稳定性会导致监控系统瞬时故障而引发安全事故。针对瞬时故障,从保障系统功能出发,建立瞬时故障层次模型,提出了一种多层次故障恢复控制策略,对现场数据监测层、数据传输层、安全防护层、应急响应层四层瞬时故障进行处理。模拟实验表明,该恢复控制策略能提高监控系统对灾害的检测准确率和应急响应动作的执行有效率,有利于保障隧道施工人员安全。 基于UML交互概览图的测试线索的生成方法 针对单一UML模型测试不充分的问题,结合UML2.0交互概览图的特点开展测试方法研究,提出一种测试线索自动生成的方法。首先,给出UML类图、顺序图、交互概览图(OID)的形式化定义;通过提取交互概览图的流程信息和对象交互信息分别构造节点控制流图(NCFG)和消息序列图(MSD);将从MSD提取的消息路径嵌入到NCFG中,构造可测试交互概览图模型;最后采用两两覆盖准则生成测试线索。实验验证了该方法自动生成的测试线索在保证测试充分性的前提下可避免组合爆炸。 基于CN-M的邮件网络核心社团挖掘 在当今互联网时代,电子邮件的快速、低耗等特性,使其成为人们生活和工作中的必需工具。为了智能化地提取和分析邮件网络中的海量数据,以从海量邮件数据中挖掘潜在的有价值的信息,将社会网络分析方法应用于邮件网络分析,提出了基于CN-M(Core Node-Modularity)的邮件网络核心社团挖掘算法。首先用Java Mail对数据进行解析,将解析后的数据保存在数据库中,使用这些数据来构建邮件网络图,根据节点的连接中心度、紧密中心度和中间中心度计算加权中心度,由加权中心度最大的节点开始,根据模块度指标进行核心社团的挖掘。实验结果表明该算法可以很好地挖掘邮件网络中潜在的核心社团。 煤炭燃烧过程中重金属迁移及控制规律的热力学模拟 选择对人体和环境危害程度较大的有害元素汞(Hg)、铅(Pb)和铬(Cr)作为研究对象,利用FactSage软件模拟煤炭燃烧过程中温度、压力对3种重金属的迁移情况并探究若干种元素及化合物对其控制作用影响。结果表明,温度较压力对重金属迁移影响更为显著。Hg在燃烧全程以不同形态接近100%的迁移量进入气相;Pb在850℃开始大量迁移;Cr在1150℃产生迁移趋势。从热力学角度Hg无法被固定;Pb会与Al2O3形成高熔点的化合物得到有效抑制;Cr与碱金属、碱土金属形成高熔点的化合物被赋存在固相或液相中,MnO对Cr也存在间接抑制作用。3种重金属对Cl元素尤为敏感,高氯煤的燃烧除了其本身存在污染外势必会与重金属形成低沸点化合物产生强烈气相迁移并造成进一步的生态破坏。 基于Curvelet的彩色癌细胞分割新方法 针对彩色图像分割问题,将Curvelet变换与SVM理论相结合,形成了有效的彩色图像分割新方法。通过曲波变换将彩色图像分解到各通道,用Mean Shift找到各通道上特征图像的模式点,再用模式点周围的样本训练SVM,用训练好的SVM对各通道样本进行精确分类,把所有通道滤波后的图像进行重构,使癌细胞凸显并二值化。该方法可以快速,精确地定位到多目标物边界。通过MATLAB进行仿真实验,表明了该方法的有效性。 基于数据流模型的模糊聚类 模糊聚类是数据挖掘中一个重要聚类算法。当前,基于数据流模型的聚类算法已有了广泛的研究,但这些算法均为硬聚类,尚未见数据流上进行模糊聚类的文献。提出一种针对数据流模型的加权模糊聚类算法,基于真实数据集合和人工数据集的实验表明该算法比传统的模糊聚类算法具有更好的聚类性能。 用线程级推测技术在多核体系结构上并行化科学计算应用 线程级推测技术使在多核上加速传统上难以手工或自动并行化的串行程序成为可能,它不仅需要合理地选择线程的划分策略,而且需要合理地选择适合推测执行的应用.已有的大量研究主要集中在如SPEC CPU这样的桌面应用领域,为了全面地认识TLS技术的应用适用性,本文探讨TLS技术对科学计算应用的性能提升潜力,提出一套TLS适用性的基本判定准则,实验结果表明采用该技术加速SPLASH2中的多数应用可以有效利用16核及以上的计算资源. 拟陷阱同步自动机的最短同步字的长度 既非陷阱也非强连通的同步自动机称为拟陷阱同步自动机。对于任意的拟陷阱同步自动机A,利用其强连通子自动机的状态数给出了A的最短同步字的长度的一个上界,进而获得了A满足C∨ern猜想的一个充分条件。 可重构系统中基于空间邻接度的实时任务放置算法 提出了一种基于三维空间邻接度的放置算法,该算法将硬件任务按照长、宽及调度时间构成一个三维资源模型,将每个硬件任务看成是一个空间块。首先对可重构资源进行编码,到达的任务总是通过某个顶点依附另一个任务的边界被放置,确定候选的放置顶点;然后以到达任务与已放置在三维空间的邻接度为代价函数,选择代价函数值最大的顶点放置任务,从而使得到达任务与已放置任务在三维空间接触的邻接面最大。可使任务安排得更紧凑,减小对系统资源的浪费,提高芯片利用率。 基于深度学习的肺部肿瘤检测方法 随着现代计算机技术的发展与应用,计算机辅助诊断系统在医学影像分析领域的地位变得愈发重要。其技术的关键在于病灶的定位与分类。由于图像的特征提取十分复杂,若应用传统机器学习方法,则需对图像作大量的预处理。文中提出一种基于深度学习的肺部肿瘤检测方法,运用卷积神经网络对患者肺部肿瘤图像进行特征提取。结合区域建议网络预测肿瘤在图片中可能存在的位置,同时生成建议框。利用学习好的特征对目标区域进行分类并微调建议框的位置。该方法无需人工设计目标特征,通过卷积神经网络学习到的特征更加具有代表性,且能够较好地预测肿瘤的位置。在NLST以及Kaggle的数据集上对该方法进行了评估。实验结果表明,该方法具有较高的准确率和效率。 拓扑同构的计算机自动判定 拓扑同构问题是周转轮系机构的结构类型综合及优选的重要影响因素。针对现有判定算法的复杂性、局限性,且不能用计算机自动实现的缺陷,提出可对任意拓扑图实现同构判定的新算法,并成功地开发了一款软件,实现了拓扑的自动判定,最后给出了软件的开发过程。实例证明该软件实现的自动判定准确、简单、高效。 基于模糊PID的多类型轴同步运动控制技术研究 在风洞设备中,存在着大量多轴联动或同步运动驱动机构,多轴同步运动精度影响风洞试验的品质;为提高多轴同步运动精度,对常用的同步控制模型进行了研究和分析,提出了以模糊PID控制算法为核心的主-从式与耦合式相结合的控制模型,并开展了双电机轴系统、双液压轴系统以及混合轴系统的建模仿真与试验分析;试验结果表明该控制方法不仅能够减小多轴同步系统的同步运动误差,还能有效减小外部干扰的影响。 改进的自适应小波阈值去噪新算法 针对软硬阈值去噪算法中存在的边缘模糊等问题,分析含噪图像中噪声的分布特点,提出了基于最小均方算法的自适应去噪新算法。该算法基于分层理想阈值保留图像细节并抑制噪声,确定各个尺度级的自适应最佳阈值,提高峰值信噪比。实验对比表明:自适应小波阈值去噪新算法处理后图像的信噪比均提升了12%,图像去噪效果明显。 基于四元数法的固定翼微型飞行器姿态控制 由于无人驾驶飞行器更多的参与了单独的具有挑战性的任务,对其飞行时进行敏捷控制已经成为必须;当为飞行器设计控制器来执行某种飞行操作时,最关心问题之一就是选用哪一种姿态描述;四元数描述法具有较少的密集计算并且提供了奇异自由的解决方案以及具有特殊的属性,可以利用它设计简单的、通用的姿态控制器;文章的主要目的是描述一个基于四元数的姿态控制器的设计和实现;该控制器关注固定翼微型飞行器脱耦滚动控制和悬停到水平飞行模式的转换;仿真结果表明了基于四元数的控制方法所设计的控制器能够对飞行器进行有效性的控制并为其提供理想的飞行姿态。 基于移动IPv4/IPv6演进云计算框架设计 为满足云计算平台的资源需求,充分利用异构网络资源建设云计算基础平台,设计了一种基于移动IP技术的IPv4/IPv6虚拟机在线迁移框架。该框架的实现需利用设计的云计算控制引擎为核心转换连接异构网络并在NAT-PT与隧道技术协作下完成。框架建立的网络结构适用于IPv4与IPv6虚拟机之间的无缝迁移,并可向客户端跨IPv4/IPv6网络提供云计算服务。该框架可应用于IPv4/IPv6过渡期间云计算基础平台建设。 桥式起重机定位及防摆的最优迭代控制 在起重机定位防摇优化控制研究中,由于桥式起重机定位防摆控制系统是一个强耦合、非线性模型复杂系统,系统的实时性能差,传统的PID控制器往往难以进行高精度定位,防摇控制也并不理想。为解决桥式起重机运行的规律优化控制,提出应用最优迭代学习控制的方法,实现对系统的定位以及防摇精确控制。用拉格朗日(Lagrange)方程建立桥式起重机的数学模型和最优迭代学习控制模型,对桥式起重机进行定位防摇控制仿真。结果证明改进的控制算法有效,并通过仿真为桥式起重机精确定位,防摇控制提供了科学依据。 基于FPGA的视频采集系统设计 论文旨在研究一种基于FPGA的视频采集系统的实现方法,采用Verilog硬件描述语言设计并验证了系统中的I2C总线配置模块、ITU_R655视频解码模块、视频帧缓存模块和视频显示模块。通过该系统的设计,完成了对视频信息的采集,并能够进行实时显示,基于FPGA的系统设计为视频采集提供了更加灵活高效的实现可能性。该系统具有体积小、效率高、成本低等优点,可广泛应用在视频处理系统、安防监控系统和视频传输系统等。 基于多变量公钥密码体制的环签名变体方案 基于多元二次方(MQ)问题的多变量公钥密码体制是一种可以抵抗量子攻击的系统。分析基于多变量公钥密码体制的环签名方案,指出其存在密钥泄露和安全证明错误的问题。为解决上述问题,对环签名者和其他环成员采用不同的密钥构造方式,提出一种可证明安全的环签名变体方案。该方案最大程度地去除原方案对IP问题的依赖,使得方案的安全性直接规约于MQ问题,以提升安全性。在环签名的标准安全模型下,分别从正确性、匿名性和不可伪造性等方面对方案进行分析和安全性证明,结果表明,与原方案相比,该方案有较高的安全性。 软件体系结构的复杂网络社团特性研究 作为系统设计的高层抽象和系统实现的蓝图,SA体现了系统的基本思想和系统开发的早期决策.对所开发系统的SA结构的复杂网络社团特性研究,是了解整个系统的网络结构和功能特征的重要途径.介绍了复杂网络及复杂网络社团理论和方法,提出借助复杂网络社团思想进行SA复杂网络社团特性度量.将SA结构转化为复杂网络模型,并通过SA的模块度、SA的层次性及SA的重叠性等来进行SA结构的复杂网络社团特性研究.最后,通过实例对所提出的研究思路进行验证.实践表明,此方法能较好地对所开发系统的SA结构的复杂网络社团特性进行评估及度量,为系统后续开发等工作提供依据. 面向患者的智能医生框架研究 "目前,国内对中文智能医生的研究相对较少,针对患者需求的智能医生研究更少。鉴于此,提出了一种能及时准确地回答患者健康问题的""一问一答""智能医生框架。在该框架中,首先构建一个包含1 126 214个三元组的中文医学知识图谱和一个包含60万记录的问答库;其次提出一种基于依存关系的问题分析算法,以对用户的提问进行分析;再次提出一种将自然语言表述的临床表型数据转换成语义三元组的方法;然后提出一种问题综合评分算法对候选答案对应的问题进行评分;最后实现了一个妇产科智能医生原型系统,并利用真实的问答语料对其进行人工测试,实验结果表明使用该框架构建的智能医生框架可以有效地回答用户的问题。该项成果已成功应用于某公司的健康咨询APP中。" 支援干扰下战斗机突防段综合航迹规划 针对传统A~*算法搜索空间以及收敛时间等方面的不足,首先提出了改进的A~*算法;其次对战斗机突防段综合航迹规划影响因素进行分析,在此基础上建立了战斗机对地攻击突防段代价函数数学模型;然后对支援干扰下的雷达压制区进行研究,确定干扰机位置,由此条件构建支援干扰下战斗机突防段综合航迹规划模型;最后应用改进的A~*算法进行战斗机突防段综合航迹规划。仿真实例表明,基于改进的A~*算法可以有效地进行突防段的航迹规划,可以有效地减小航迹的代价,提高战斗机的突防概率,有利于我方战斗机成功突防。 一种基于熵和聚类理论的图像阈值分割算法 为了解决传统的Otsu法均分像素点的缺点以及Kapur法易受干扰的不稳定性,分析了这两种算法理论上的联系并结合它们的各自优势,提出一种新的算法,实现两者在图像阈值选取上的一种均衡。实验结果表明,新算法对图像直方图不具有明显双峰、目标像素点占比很小、图片存在干扰信息源等情况均能得到理想的分割效果。该算法可用于更大范围的图像分割处理,并且展现了更强的稳定性和自适应性。 基于KPCA-LS-SVM的工业锅炉烟气含氧量预测 排烟含氧量是评价燃烧过程好坏和锅炉优化运行的重要指标,也是调节最佳风煤比的主要依据。针对工业锅炉氧量计使用受限的问题,提出一种混合的软测量方法:。为了提高烟气含氧量的软测量预测精度,本文分析与烟气含氧量有关的锅炉运行变量,从中确定8个,并采用核主成分析法进行参数处理,整合冗余,降低维数。经处理后得到的6个主成分,其累计贡献率达95.522%,以此作为最小二乘支持向量机软测量模型的输入。在此基础上,通过划分网格来改进交叉实验法,进而优化最小二乘支持向量机的2个参数。经优化得到的误差参数γ和径向基核函数参数σ~2分别为90.3和239.6,模型具有较高的训练精度。最后对某循环流化床锅炉进行建模仿真,利用采集的数据,分别建立最小二乘支持向量机、核主成分分析的最小二乘支持向量机和BP神经网络3种模型。应用3种模型对烟气含氧量进行预测,并采用3个模型性能指标进行对比分析。结果:表明,基于核主成分分析的最小二乘支持向量机的工业锅炉烟气含氧量模型,在小样本条件下学习更加有效,建模采样过程更快,预测精度更高。该模型有助于实现工业锅炉烟气含氧量在线软测量。 基于图像识别的乒乓球选手颈椎健康分析 随着生活节奏的加快,长期缺乏锻炼导致颈椎病的发病率越来越高,而乒乓球作为中国国球,深受人们喜爱,对预防和治疗颈椎病有一定作用。讨论了一种基于图像识别的检测乒乓球选手头部运动的方法,并提出了一种新的基于颜色反馈的改进型跟踪算法,创新性地提出将算法闭环,极大的提高了检测和跟踪准确率。同时设计一种全新的检测头部运动以检测目标范围中的头部运动特征,得到了选手每次运动扭头次数的数据,并通过问卷调查的方法对选手的健康状况进行调查,得出结论:选手每周打乒乓球5个小时对颈椎健康疗效较好。 某机载吊舱结构强度与疲劳寿命仿真研究 机载电子吊舱使用环境恶劣,载荷谱获取困难。为解决采用试验的方法分析吊舱结构的疲劳寿命成本高、耗时长这一问题,使用极限方法,利用战斗机的载荷谱折算吊舱的受力载荷谱,采用了有限元仿真研究某机载电子吊舱的结构疲劳寿命,分析了吊舱重量、重心位置发生变化时,吊舱结构疲劳寿命的变化趋势。结果证明在更恶劣的机载环境下,吊舱的结构疲劳寿命安全系数也满足挂飞使用要求,验证吊舱设计的结构安全性,为吊舱的结构设计和疲劳寿命分析研究提供参考。 工业以太网与物联网互联模型的研究 以TCP/IP模型为基础,建立了统一的物联网与工业以太网通信模型,实现了物联网与工业网络的互联;基于以太网技术,两种不同功能的网络可通过统一的模型进行集成;物联网和工业以太网的标准数据部分是基于标准TCP/IP模型的,而工业以太网协议的实时数据部分是基于扩展TCP/IP模型的;物联网以电子标签和数据库为基础,实现零部件和产品信息的实时共享和互通;互联网络既可以各自实现工控和物联的基本功能,还可以实现各种综合的交互功能;应用实例证明了网络互联模型的可行性和正确性。 利用角点历史信息的异常行为识别算法 针对视频监控场景中的异常行为事件,如突然的奔跑、人群的异常聚集等现象,提出一种利用角点运动历史图策略的行为识别算法,即首先通过角点提取算法进行场景角点提取;然后通过时间累积获取角点的历史图,通过角点历史图将场景中的角点划分为静态角点和动态角点;最后通过动态角点分析完成监控场景异常行为分析识别。新算法充分利用了图像的时空信息,并且克服了场景光照影响,增强了异常行为检测与识别的准确性。通过真实场景实验可以看出,新算法能够对不同监控场景的异常行为进行准确检测,并且其检测速度快,满足实际应用需求。 基于RFID技术的矿井人员定位读写系统 针对煤矿的安全现状,提出了基于射频识别技术的矿井人员定位读写系统。该方案以TI公司单片机MSP430F5438为核心芯片,结合Chipcon公司的无线射频收发芯片CC1100和外围元器件设计工作频率为915MHz的读卡器。详细论述了读卡器的硬件原理和软件设计思想,给出系统原理图和软件设计流程图,以IAR Embedded Workbench V3.41A为平台进行系统软件的开发。最后分析系统的防碰壁原理和通信协议,采用F-ALOHA算法,以减少碰撞的发生,提高识别精度和效率。通过仿真比较F-ALOHA算法与ALOHA算法,采用F-ALOHA算法传输错误低。结果表明该方案是可行的,适合实际应用。 基于FPGA的8路VGA长线传输系统设计 从军用多路长线传输系统的应用需求出发,以长线传输和多路切换的核心功能为研究对象,通过研究现有军用切换矩阵中存在的问题,从芯片级设计着手,研制具有长距离传输能力的切换矩阵收发设备。在目前切换矩阵的基础上,采用FPGA硬件平台与差分传输技术,将差分长线传输功能与切换矩阵功能相结合,设计一套包含发送端和接收端的8路VGA长线传输系统。试验结果表明,该系统有效改善了切换矩阵性能,保证了信号可靠、稳定地进行长距离传输。 基于CEM的故障字典诊断模型的建立与XML描述 针对模拟电路故障字典方法在实际应用时诊断知识难以共享的问题,首先在AI-ESTATE标准公共元素模型CEM的基础上,建立了基于AI-ESTATE标准的故障字典诊断模型,利用AI-ESTATE标准在故障诊断领域的通用性,初步解决了诊断知识可移植的问题;然后针对AI-ESTATE标准中所采用的EXPRESS语言应用范围有限的问题,提出采用XML语言对建立的故障诊断模型进行标准化描述,利用XML语言的灵活通用和可扩展性,在更大的程度上实现了故障字典诊断知识的共享和重用。 基于Counting Bloom Filter的DNS异常检测 鉴于失败的DNS查询(failed DNS query)能提供恶意网络活动的证据,以DNS查询失败的数据为切入口,提出一种轻量级的基于Counting Bloom Filter的DNS异常检测方法。该方法使用带语义特征的可逆哈希函数对被查询的域名及发起查询的IP进行快速的聚类和还原。实验结果证明该方法能以较少的空间占用和较快的计算速度有效识别出DNS流量中的异常,适用于僵尸网络、分布式拒绝服务(DDoS)攻击等异常检测的前期筛选和后期验证。 基于自定义权重遗传算法的组卷算法仿真研究 针对目前众多组卷算法对组卷质量和组卷速度不能同时提高的缺陷,导致了组卷系统收敛效果不佳,迭代次数较多,组卷效率不高,难符合用户要求。为解决上述问题,提出了基于自定义的约束权重比的自适应遗传算法,强调在最有可能成为最终个体的基因里进行搜索,并增加优良个体的保留机制,使其在寻优准确率和搜索速度上均明显优于其他组卷算法,且具有很好的收敛性和实用性。实践结果表明,该方法可以有效地解决智能组卷中的多约束优化问题,并同时提高了组卷速度。 云计算环境下资源的节能调度 在云计算环境下,如何在有效地进行资源调度,缩短任务执行时间的同时,降低能耗,已经成为一个重要问题。对此,以任务执行时间与能耗作为优化目标,建立了一个节能调度模型,并通过采用特殊的种群初始化方法以及引入学习机制等方法对非支配排序遗传算法(NSGA-Ⅱ)进行改进,将其应用于云计算的节能调度问题。最后通过算例测试,验证了所提算法能够在减少任务执行时间的同时,有效降低能耗。 基于CPCI总线的雷达导引头测试系统设计与实现 雷达导引头是空空导弹的核心部件,实现导弹探测、制导和控制等功能,其性能的优劣直接影响着导弹跟踪和截获目标的准确率;为了全面测试空空导弹雷达导引头,设计开发了一种基于CPCI总线的雷达导引头测试系统,并完成了软硬件设计:硬件部分完成了调理电路的设计、外设的搭建以及硬件电路方面的可靠性设计;软件部分协调控制雷达导引头、角位置模拟器、回波模拟器和转台,通过部件之间数据流的传输,完成了各个模块的测试功能;该系统能够真实模拟雷达导引头的工作环境,设置导引头参数,接收处理导引头发回的信息,进而测试雷达导引头的精度、灵敏度、可靠性等指标;实际应用表明,该系统工作性能良好,可靠性高,能够长期稳定运行,达到了设计要求。 基于三维重构技术的拖拉机燃油箱建模 国产拖拉机普遍采用传统浮子可变电阻油位计,无法满足农机燃油消耗精准检测和智能化监管的需求。提出了一种基于Pro/E三维重构技术的拖拉机燃油箱体积测量方法。通过几何测量法获取燃油箱外形数据,使用Pro/E软件逆向重构燃油箱三维模型,并对三维模型进行切片处理,获取燃油箱体积特征数据,应用SPSS19.0软件对体积特征数据进行数据拟合,建立燃油箱体积函数模型。搭建实验平台,进行燃油箱体积模型评估实验,对比分析体积函数模型计算值与人工测量值,二者之间具有显著正相关,回归系数R2=1;燃油箱体积总相对误差TRE=1.25%,平均百分标准误差MPSE=1.95%,均方根误差RMSE=1.09L,上述数据表明,所建立的燃油箱模型可用于测算具有同型号油箱的拖拉机作业燃油消耗量。 基于查询接口文本的Deep Web数据源分类 根据Deep Web数量的爆炸性增长特点,提出一种基于查询接口文本的Deep Web数据源分类算法,对于分类的查询接口,采用2种方法:基于向量空间的TF-IDF方法和基于知网的语义相似度方法。综合2种方法获得接口之间的相似度。借鉴K-NN算法,提出WDB分类算法,从而实现Deep Web数据源的分类。实验结果表明,该算法在熵和F-measure 2种评价标准上均能获得较高质量,具有一定实用价值。 应急物流的分批配送规划及蚁群优化求解 针对应急物流的特点,建立分批配送车辆路径规划(SDVRP)模型,实现三大目标:(1)未满足需求最少化;(2)总配送时间最短化;(3)各灾点失衡度最低化。以加权求和的方式转化为单一优化目标,分批配送也节约了救援路径数/车辆数。借鉴并改进最大-最小蚁群算法,设定信息素增量的上下限,避免了结果陷入局部最优。给出数值算例,验证了模型和算法的有效性。 航空飞行器发动机燃烧室声振耦合分析 航空飞行器发动机燃烧室工作过程中,由于受到高强噪声激励引起的周围气体与结构的耦合振动,会导致航空飞行器结构破坏。以某飞行器发动机燃烧室作为研究对象,采用边界元方法,对航空飞行器发动机燃烧室进行仿真计算,在单极子声源激励下得到声振耦合特性:振动响应、内外表面声压分布及外部圆筒场点的声压分布,并对不同考察点的声振耦合特性在不同频率声源的激励下进行了对比分析。结果表明,不同频率下结构表现出了不同的声振耦合特性,对航空飞行器发动机燃烧室设计有一定的参考价值。 基于Wi-Fi Direct的道路交通状态信息采集方法 针对传统交通状态信息采集中采用的环形线圈车辆检测器存在布设和维护难度较大等问题,提出了一种基于Wi-Fi Direct的道路交通状态信息采集方法,以实现对基本的道路交通流状态参数进行采集估计;该方法利用车-路通讯设备实现车辆与路侧设备的通信;以车载通讯设备的Wi-Fi芯片介质访问控制层地址完成车辆个体识别;通过车载通讯设备中的北斗定位装置向路侧设备提供车辆实时位置及时间信息,进而实现道路区段内交通流基本状态信息估计;实验测试表明,该方法能够完成路段平均速度、交通流量及车流密度的采集和估计,是一种有效的道路交通信息采集方法。 基于B-S的文印公司账务管理系统研发 以M文印公司为例,研究开发一套基于B-S的文印公司账务管理系统。首先,在对比分析C-S和B-S优缺点的基础上,根据M文印公司分布式管理特点,提出开发基于B-S的账务管理系统的总体技术解决方案。然后,依次对基于B-S的M文印公司账务管理系统进行了系统分析、总体功能设计、数据库设计、程序设计和安全设计。最后,通过应用实施验证了所研发的基于B-S的文印公司账务管理系统的有效性。 四元数样条插值的人体运动数据重构 为了得到平滑的人体动画,提出一种基于四元数的样条插值算法,利用提取的关键帧实现人体运动序列的有效重构。为减少重构误差、加快收敛速度,将已知关键帧集合作为初始条件,通过迭代算法求出样条曲线的控制点集合。利用样条曲线控制点计算贝塞尔曲线控制点,构造贝塞尔样条曲线段,将各段贝塞尔样条曲线段组合,构造一条基于四元数的样条曲线。根据德卡斯特里奥(de Casteljau)算法插值重构人体运动。实验结果表明,该算法在保证执行效率的同时,可得到光滑的插值结果,实现满足视觉要求的人体运动重构。 超平面中心的RBF神经网络及其新方法 在传统的径向基神经网络框架的基础上,通过引入中心超平面的概念,提出了超平面中心的径向基函数神经网络。在此网络中以点到中心超平面的距离代替传统的径向基神经网络中点到点的距离,其优势在于中心超平面作为数据中心包含了更多原始数据之间的信息。以函数逼近和数据分类的实验为例,证明了超平面中心的径向基神经网络相对于传统的网络有一定的优势。 泛化类型的机读词典属性信息抽取 为了构建实体关系网络、改进和完善基于概念的信息检索,提出一种不针对特定属性类型的从机读词典中抽取概念实例的属性值信息的方法。首先,通过手工标注和遴选等方式生成初始实体—属性值对集并抽取出粗糙模式实例集;其次,经过对模式实例集的聚类合并和扩充处理得到若干组的模式实例,每一组代表一个属性类型;最后,从词典中抽取出新实体词汇的属性值信息。在模式实例集的处理中引入了同义词扩展和词汇语义相似度计算以提高模式实例的覆盖率。实验中针对《现代汉语规范词典》中的电子领域词汇进行抽取,取得了较好的效果。 应用分类方法进行聚类评价 针对现有基于几何结构的聚类有效性指标不能有效解决不同结构数据的聚类结果评价问题,提出了一种使用分类对聚类结果进行评价的方法。该方法把聚类得到的对象类标志作为分类问题的已知类标志,使用交叉验证法对数据集重新分类,通过对比聚类结果与分类结果之间的差异来衡量聚类有效性。一个易于聚类的数据集的结构意味着也容易进行分类,对模拟数据和真实数据的实验和分析验证了该方法的可行性和有效性。 一种度量软件体系结构复杂性的方法 论文首先介绍了复杂网络及有向加权网络方法,并给出了SA的形式化描述.其次,提出了一种通过SA边权、构件的入强度、构件的出强度、构件的总强度、SA凝聚度等度量属性进行SA复杂性的度量方法.最后,根据给出的度量SA复杂性的方法进行了实例验证.结果表明,该方法在严格的形式化基础上,可以较好地对软件系统的SA复杂性进行度量,为系统后续开发及维护等工作提供参考和支持. 基于JADE的多Agent辩论设计 该文基于JADE平台,立足辩论这一多Agent交互模式,从消息的封装、本体的表示、交互过程以及争议生成等方面设计了多Agent辩论的实现机制,实验表明这种设计是很有实用意义的。 基于USB总线的通用武器仿真系统设计与实现 为满足综合航电仿真系统和工程任务需求设计实现了一种通用武器仿真系统;采用综合式的系统架构,1553B总线和离散量I/O信号采用分立式,数字仿真模块采用联合式,共同实现武器系统的仿真,减少了系统硬件资源的消耗;使用USB总线作为计算机控制、通信总线,解决了设备即插即用和热插拔的问题;通过与外挂仿真系统的仿真测试,将实验数据与其他武器仿真的原始数据进行比对,发现仿真测试系统的实验数据准确、完整,开发的基于USB总线的通用武器仿真系统满足设计要求,可应用于实际工程。 基于LS-SVM的供应链合作伙伴选择 随着企业在质量、价格、时间、柔性和创新等竞争领域的经营业绩越来越依赖于供应商网络,伙伴关系和有效的供应商管理变得越来越重要。在选择供应链合作伙伴的过程中,由于竞争地位与收益的不平衡,缺乏相互信任等因素会造成合作伙伴评价指标与实际值不相符合。为了能更好地提高企业选择合作伙伴的效率并减少其选择成本,选择最小二乘支持向量机(LS-SVM)算法对合作伙伴进行选择。实验证明,LS-SVM比普通的向量机不仅具有较高的训练效率,而且有更高的精确度。 可信编译器关键技术研究 软件的可信性很大程度上依赖于程序代码的可信性。影响软件可信性的主要因素包括来自软件内部的代码缺陷、代码错误、程序故障以及来自软件外部的病毒、恶意代码等,因此从代码角度来保证软件的可信性是实现可信软件的重要途径之一。编译器作为重要的系统软件之一,其可信性对整个计算机系统而言具有非常重要的意义。软件程序一般都需要经过编译器编译后方能执行,如果编译器不可信,则无法保证其所生成代码的可信性。本文主要讨论设计和实现可信编译器的主要思路和关键技术。 均值移动算法收敛性及均值移动矢量夹角分析 均值移动算法是一种统计迭代算法,目前在图像处理中得到了广泛应用。但是对其性质的分析仍然不完善,针对以往文献对均值移动算法收敛性证明的错误和不足,根据柯西收敛定理严格证明了均值移动算法的收敛性;证明了基于任意核,两连续均值移动矢量的夹角都不大于90°。 基于主动学习的平衡类鉴别分析 特定类的思想是将传统的多类特征提取和识别任务转化为多个两类问题,由此产生了类不平衡问题,影响最优鉴别特征的提取。为了解决该问题,文中提出了一种主动学习平衡类鉴别分析(ALCBD)方法。对于每个特定类,ALCBD从其对应的大类中选取它的部分近邻样本构成特定类的近邻样本集,接着将这个近邻样本集划分成与特定类相同样本数的多个子集,然后根据主动学习的思想挑选最优子集与特定类结合成为新样本集,最后用传统的线性鉴别分析(LDA)方法得到鉴别向量。基于USPS和Honda/UCSD数据库的实验表明ALCBD方法能够有效地解决类不平衡问题,并改善了识别性能。 自主设计精简指令集的流水线CPU "介绍了基于FPGA平台,设计16位精简指令集流水线CPU.该CPU参考MIPS架构设计精简指令集,通过分析指令处理过程实现五级流水线结构,结合""预测技术""和数据前推方法解决流水线相关问题.为了支持CPU软件架构,设计指令集的汇编编译器.在Modelsim平台运行测试程序,给出仿真综合结果.通过试验结果对比表明,所设计的CPU处理过程所需时钟周期大大减少." 基于服务器私人密钥轻量级的身份验证协议 通过对已有智能卡远程用户认证协议进行分析,指出该身份验证协议无法抵御离线密码猜测攻击,且不能提供用户匿名性,并提出一种轻量级的身份验证协议。所有发送的信息均不包含密码信息,通过设置服务器私人密钥的方式抵御离线密码猜测攻击。为实现用户匿名性,使攻击者无法对用户的行为进行跟踪,在每个会话中采用掩码用户身份标识。BAN逻辑证明了所提协议的正确性。与其它协议相比,所提协议在身份验证安全性方面更优,执行时间适中,适用于真实环境。 确定隔板塔中多定态解的一种有效方法 隔板塔多定态解的确定对于其设计、优化和控制具有重要的意义。本文给出了一种有效方法,首先进行隔板塔(DWC)的稳态严格模拟,随后灵敏度分析内部汽液分配比对产物组成的影响。基于上述数据,可得到产物组成曲面图以及对应等值线图,横轴和纵轴分别为从主塔到副塔的内部液相和气相流股流率。在等值线图上,对应于特定产物组成的等值线就可以被标定,而且其交点也对应于该DWC塔的多定态解。采用一个典型BTX实例来验证,结果显示该方法可以有效可靠地找到多定态解,并且可作为严格模拟的初始值。 血液分析仪专家诊断系统的设计 针对目前血液分析仪无法自动诊断血液疾病的现状,提出了一种应用于血液分析仪的专家诊断系统;该系统在传统专家系统结构的基础上进行了改进,以专家经验、国际血液学复检专家组推荐的复检规则以及临床案例为知识源,利用关系型数据库技术设计出一种产生式树形结构的知识库,并结合Snort规则库中的三维链表数据结构对知识库进行优化,最终完成了血液分析仪专家诊断系统的研制;系统诊断的假阳性率为2.7%,假阴性率为3.31‰;提出的专家诊断系统具有推理灵活、实时性好的特点。 基于RBF神经网络的光电装备作战效能评估 为了提高光电装备作战效能评估的客观性,在构建效能评估指标体系的基础上,建立作战效能评估RBF神经网络模型,通过试验数据样本进行学习训练RBF神经网络模型。最后,利用训练好的RBF神经网络模型对光电装备作战效能进行评估,结果表明基于RBF神经网络的光电装备作战效能评估模型具有一定实用性和可行性。 基于PXA270与LAN91C111的系统引导程序移植与实现 为了实现嵌入式Linux操作系统在PXA270上的移植与应用,要求设计嵌入式引导程序。引导程序软件设计的重点和难点是内核和文件系统的下载与加载。文中通过网络传输实现下载功能,网卡芯片选用LAN91C111,设计了PXA270与该网卡芯片的硬件连接,数据接收与发送的软件流程,并借助网络数据监控软件对传输数据进行监控,保证了软件设计的正确性。详细分析了内核的加载过程与软件设计方法,实现了Linux操作系统的正常启动。该引导程序已成功运行于PXA270平台,可提供类似系统开发的直接借鉴。 认知无线电自组织网络MAC协议 随着无线频谱资源短缺问题的日益突出,认知无线电网络得到了广泛关注和研究.在这类网络中,媒体接入控制MAC协议是关键技术之一.特别是在认知无线电自组织网络中,因为缺乏中心基础设施的支持,MAC协议的设计面临着巨大的挑战.除了媒体接入控制等传统问题之外,这些挑战还包括如何保证主用户的业务质量,如何有效认知并管理频谱资源等.文中首先介绍了认知无线电自组织网络MAC协议的最新研究进展,然后对一些典型协议进行了比较分析,最后对未来的研究方向进行了探讨. 基于图像融合的丝网RFID天线在线检测 为提高丝网印制无线射频识别(RFID)天线在线检测的速度并降低检测成本,提出一种基于图像融合的RFID天线检测方法。该方法在提取出待检测产品边缘后,采用图像融合的方式将该图像与设计图进行对比,根据对比重合率确定是否有瑕疵及瑕疵的严重程度。实验结果表明,该方法检测速度快,精确度高,且不会对生产过程造成影响。 考虑绕行道路上发生排队的施工区长度优化研究 现有的对施工区最优长度的研究,在考虑交通分流时都是在假设绕行道路的通行能力足以承受绕行交通量和绕行道路上已有的交通量的前提下,没有考虑到绕行道路上是否会发生排队。针对绕行道路可能发生排队的情况,研究该情况下的施工区长度和施工总成本的关系,建立以施工区长度为自变量的施工区总成本模型。根据主道路和绕行道路是否发生排队,将总成本模型分为四种形式。研究的目标是总成本最低,采用一定的方法推导出不同情况下的施工区最优长度计算公式和最佳的分流比例。算例研究表明,该模型能够有效地优化不同情况下道路施工工程的施工区长度;当主道路上到达的交通量较大时,适当的分流比例能有效地降低总成本。 一种求解图像分割问题的限速-离散蜂群优化算法 为解决图像领域中的归一化彩色图像分割问题,提出一种限速-离散蜂群优化算法。根据问题模型将蜂群算法中的位置重新定义为离散化的位置,增加个体蜂的速度定义;引入一个限速过程,设计限速作用函数,增加种群的多样性,解决了算法早熟收敛的问题,同时在个体蜂的位置更新中采用自适应权重调整策略,提高算法稳定性和收敛速度。仿真实验结果表明,该算法在收敛速度和图像处理效果上优于标准蜂群算法,并验证了该算法在归一化彩色图像分割问题中的高效性和优越性。 基于任务分解模型的离散数据格网化并行优化 针对国产应用的性能提升,基于CPU\GPU多核技术,提出软硬件结合的并行优化策略及反距离权重(IDW)插值的并行优化算法(PIDW),优化离散数据网格化处理。针对并行处理中的线程任务分解共性难点,设计基于开放多核处理(OpenMP)与统一计算设备架构(CUDA)的线程任务分解模型(TTDM),具有线程访问安全(不越界)、计算无冗余(无重复)、计算完整(无遗漏)等特点,具有较好的计算均衡性(负载均衡)。通过国产及商用多环境实验,加速比分别是3.6和5.9,验证了PIDW算法的性能提升能力。 分层云不确定推理系统及其逼近性能分析 为了解决目前基于云模型的智能控制和预测中规则数目随系统变量的个数呈指数增长的问题,设计分层云不确定性推理系统,并证明该系统的逼近性能。采用基于云理论的新的不确定性推理模型来设计分层云不确定性推理系统并给出解析表达式。证明分层云不确定性推理系统对致密集上函数的逼近能力。结果表明:分层云不确定性推理系统的输出结果计算式满足Stone-Weirstrass定理的3个假设条件,具有万能逼近性质。 嵌入式P端口SRAM的端口间故障测试 为了有效地测试嵌入式P端口静态随机存取存储器(SRAM)端口间的故障,提高电子系统的安全性,提出一种基于结构故障模型的故障测试算法.首先对March C-算法扩展得到w-r算法,即让一个端口执行March C-算法的同时另一个端口于偏移量为±2的地址并行执行伪读操作,并考虑存储器的规则结构给出了其简化算法;然后提出w-w算法,通过2个端口向存储器单元并行写(不同的地址),可有效地激发2个写端口之间的各种故障,使之适用于不同物理布局的存储器,在保证时间复杂度合理的前提下提高了端口间的故障覆盖率.将故障注入64×8位的双端口SRAM中进行仿真实验,得出了故障检测表,验证了其时间复杂度低,表明文中算法具有100%的端口间故障覆盖率. 面向RTI的虚拟试验系统中监控工具的研究 一个虚拟试验系统能正确、高效、稳定地运行,需要有相应的监控工具对其进行监管;而现有的虚拟试验监控工具通用性差且效率较低,为此研究了面向RTI的虚拟试验系统中监控工具;对联邦监控工具的原理进行了分析,设计了其管理功能;结合HLA中的MOM的管理功能及各种配置文件,研究了得到联邦的各种信息和对联邦进行控制的方法;设计了可移植性的轻量级的线程模型及友好的用户界面,实现了面向RTI的联邦监控工具,并通过某试验系统的应用,验证了该工具的可用性。 基于车尾中轴特征的粒子滤波跟踪算法 为了解决车载视频背景实时变化的情况下车辆检测和跟踪问题,提出了一种基于车尾中轴特征的车辆识别及跟踪算法,其特点在于采用新颖的车尾中轴特征.车尾中轴特征具体为以两盏刹车灯为端点,车牌在端点连线的对称轴上.算法在取得目标区域之后计算其直方图信息,进行粒子滤波器跟踪.最后测试了算法的可行性. 大型光纤通信网络断点检测模型仿真分析 对光纤网络断点进行准确检测,对维护网络安全是十分重要的。大规模光纤通信网络节点分布的分散,产生的断点存在随机性,单个断点也不会直接影响网络的通信。传统依据自主感应分析方法的断点检测模型在网络结构下,无法通过节点的通断来判断断点,需要逐个遍历网络节点进行关联,存在检测效率过低的问题。提出了一种依据免疫识别的神经网络光纤通信网络断点检测模型,按照免疫识别原理塑造神经网络检测器,通过训练将大型光纤通信网络的故障模式信息存储在分布检测器中,检测器用于采集光线通信网络的异常模式特征,当检测时应同特征样本匹配时则激活该检测器,按照检测器的激活状态检测大型光纤通信网络的断点,并给出了相应的训练方法。仿真结果表明,所提模型可准确检测出大型光纤通信网络断点,并且具有较高的检测效率。 基于CAPE-OPEN标准的反应组件开发 为了让反应系统与流程模拟软件的耦合度更低、代码可复用性更高,基于CAPE-OPEN标准开发了反应组件:按照接口标准实现了反应对象、反应包管理器和一个反应包,反应包中包含了对平衡反应和幂次方动力学反应的定义和计算;将各部分对接,形成一个完整的反应求解组件;最后使用支持CAPE-OPEN标准的模拟器进行调用,将计算结果与常用模拟软件进行对比和分析,结果表明该组件计算准确。 基于改进向量空间模型的克隆群映射方法 针对Type-3克隆代码映射方法少且效率低等问题,提出了一种基于改进向量空间模型(VSM)的映射方法。该方法将改进的VSM引入到克隆代码分析中,从而得到一种可有效映射Type-1、Type-2以及Type-3克隆代码的克隆群映射方法。首先,将克隆群文档预处理得到去除无用词的代码文档,同时提取克隆群文档的文件名、函数名等特征项;其次,提取并构建克隆群词频向量空间,利用余弦算法计算出克隆群相似度;然后,通过克隆群相似度和特征项的匹配构建克隆群映射,最终得到克隆群映射结果。对5款开源软件进行实验并人工验证,所提方法能在低时耗的前提下,保证查全率和查准率均不低于96.1%和97.1%。实验结果表明了所提方法的可行性,为后期软件演化分析提供数据支撑。 基于小波变换和免疫算法的标校误差分离方法 针对标校数据中系统误差和随机误差的特性,提出将小波分析方法应用于误差的分离过程中。给出小波变换分离随机误差的方法,在阈值优选时采用GCV(广义交叉验证)准则,并将免疫算法应用于阈值的寻优过程,利用MATLAB软件编制程序实现了随机误差的分离仿真。在免疫算法的选择操作时,通过计算抗体期望繁殖率,保证了群体的多样性,从而使算法更加稳健,在提高搜索效率的同时减少陷入局部最优的机会。仿真结果表明,利用小波变换方法,采用GCV准则确定阈值,可以很好地完成误差的分离,有一定的应用前景。 基于SSL提高网上安全交易性能的研究 结合网上安全交易支付模式的课题研究,给出了建立个人CA中心,订单安全交易及混合密钥应用的过程.针对反复认证和密钥应用影响交互效率问题,提出了利用SSL会话恢复及连接分类机制实现双向连接响应的性能优化策略.综合双向握手、会话恢复的交互分析和实验结果表明,所实现的研究成果能很好提高Web应用效率. 一种政策语篇拟合度递归下降评估算法 在分析和研究国内外基于向量空间模型的文本拟合度计算方法的基础上,提出了一种递归下降的政策文本拟合度计算方法。该方法基于政策文本预处理和递归下降等技术给出了政策子句拟合度计算方法和政策语篇拟合度计算方法。该方法不直接建立一般经典算法都要涉及的词频向量,而是将相同词汇进行合并。然后利用递归下降的方法,从政策子句、段落和语篇三个不同的层次分析政策语篇的一致性问题,降低拟合度计算的空间复杂度。实验结果表明,该方法与现有的一些拟合度计算方法相比,有效地提高了一致性验证的效率和准确率。 基于Flex的热带气旋检索分析WebGIS开发研究 "建立热带气旋检索分析WebGIS系统对热带气旋的预报具有重要意义。Flex是Adobe公司推出的一个高效的开源跨平台开发框架,是目前流行的富客户端技术之一,它兼具桌面软件丰富的表现力和Web程序的易用性。该研究根据热带气旋空间数据的海量性、分布性、存档性和强时序性等特点,采用REST架构和Flex富客户端技术,开发了""西北太平洋热带气旋检索分析WebGIS系统"",系统提供热带气旋预报人员多源数据检索与分析功能,提高了热带气旋预防减灾的能力。" 双水平井电磁测距径向距离计算方法的ANSYS仿真研究 基于旋转磁场测距(RMRS)的基本理论,借助ANSYS有限元分析软件,研究了金属套管条件下双水平井电磁测距径向距离计算方法;首先建立SAGD双水平井RMRS井下传播模型,通过设定单元属性、划分网格、加载边界条件等对模型进行求解;然后研究套管厚度、直径、相对磁导率等对双水平电磁测距系统中磁场轴向分量的影响;最后利用实验室现有的旋转磁场测距模拟装置对仿真结果进行了验证;研究结果表明:随着套管厚度、直径、相对磁导率的增加,探管接收到的磁感应强度会逐渐减小,但双水平井径向间距计算仍可采用均匀介质中的理论测距导向计算方法;此结论可为套管的选取、磁导向仪器的研究及测量资料解释提供理论参考。 基于经验方程的蓄电池荷电状态辨识模型的改进 为了提高基于经验方程的蓄电池荷电状态辨识模型的辨识精度,提出了一种对模型方程中端电压补偿因子和内阻补偿因子加以改进的方法;该改进方法是根据噪声信号对蓄电池端电压和内阻的影响,将补偿因子由梯度项改为平方根项;同时利用LabVIEW软件设计出一套仿真分析程序,对改进后的模型进行仿真分析及验证;结果表明,改进后的辨识模型可以有效地减小噪声干扰给辨识结果造成的误差,辨识精度较高。 基于流形学习和稀疏约束的快速特征提取算法 针对稀疏保持投影算法在特征提取过程中无监督和L1范数优化的计算量较大的问题,提出一种基于流形学习和稀疏约束的快速特征提取算法。首先通过逐类PCA构造级联字典,并基于该字典通过最小二乘法快速学习稀疏保持结构;其次构造用于描述不同子流形距离的局部类间散度函数;然后整合所学习到的稀疏表示信息和局部类间散度信息以达到既考虑判别效率又保持稀疏表示结构的目的;所提算法最终转化为一个求解广义特征值问题。在公共人脸数据库(Yale,ORL和Extended Yale B)中的测试结果验证了该方法的可行性和有效性。 复杂断层轮廓集分段分面三角化表面重构 针对多嵌套、多分支任意复杂断层轮廓集的三角化表面重建,提出一种基于轮廓拓扑分类编码和结构识别的分段分面表面重构方法(DS-P).通过设计一种拓扑编码方案,提出确定轮廓匹配关系、分支及分支类型的准则;讨论了多轮廓合并、单轮廓分裂及嵌套分支、连通分支处理方法;设计了任意复杂断层轮廓集表面重建的软件系统架构和工作流程.实验结果表明,该方法应用简单、运算效率高、可靠性强.与经典方法和BPLI方法相比,文中方法不仅能更好地处理轮廓匹配中的二义性及分支问题,还能有效地解决导致BPLI方法失效的投影面内边重叠和多重交叉、轮廓嵌套、轮廓严重偏置、轮廓线释放等情况下的表面重构问题. 一种消除多核平台程序执行不稳定性的算法 随着单个核上晶体管密度不断增加,摩尔定律难以继续生效。自2005年以来,CPU设计者更多地致力于通过增加核的数量来进一步提高处理器的计算能力。然而,由于多核平台各个核之间的相互影响(如Cache一致性协议)以及资源竞争(总线、Last-Level-Cache等)等因素,导致同一个程序(或者同一组程序的组合)在多次执行的时候性能表现出显著差异。这种不稳定现象给多核平台相关各种评估造成了极大障碍。为了解决不稳定性现象带来的问题,目前主流研究主要集中在两个方向:基于统计的分析和消除不稳定性。该细介绍这两类技术,并讨论它们的不足。针对不足,综合分析了影响多核并行程序执行不稳定的因素,并在此基础上提出了一种新的消除不稳定性的算法,该算法可以针对每一种因素进行逐一消除,最终实现全面消除不稳定性因素。实验数据显示,该算法能够有效消除多核平台程序执行的不稳定现象。 基于时空测地线传播的RGB-D视频分割 针对前景和背景深度交叠或相机运动时基于深度统计的传统视频分割算法中存在的问题,提出一种基于时空测地线的方法,并证明该方法适合基于深度的视频分割.首先使用基于运动检测的方式进行初始化;然后使用基于特征点选择方式定义种子结点,特征点匹配方式构建时域链接,空间上8邻域像素连接形成空域链接,在连续两帧之间构建时空测地线传播图;最后在时空测地线传播图上使用泛化测地线距离变换将前一帧的分割结果传播到当前帧,并自适应地在传播和检测间切换消除累计误差.实验结果表明,该方法能够在复杂场景和相机运动情形下输出稳定的分割结果. 一种软件开发成本估算方法 针对软件成本估算困难的问题,提出一种基于灰色理论的估算方法。给出了设计思想、关键技术以及估算的过程,并进行了实验验证。该方法主要借鉴灰色理论可以基于小样本和贫信息的特性,结合GM(1,1)和Verhulst各自的优点,利用成本序列及其变化率动态构造灰色理论模型进行软件成本估算。实验结果表明,该方法平均估算误差比线性回归方法低10%~50%。 基于目的性优化及改进直方图均衡化的夜间彩色图像增强 "夜间图像由于照明不足,存在图像对比度、亮度偏低,细节不可见,导致图像质量下降.大多夜间彩色图像增强算法往往在高对比度边缘区域存在""光晕伪影""现象,针对这些问题提出了一种基于目的性优化及改进直方图均衡化的图像增强算法.该算法通过目的性优化增强原图像对比度,最大程度地保留细节;然后采用改进的保留细节的直方图均衡化增强图像;最后采用改进的Gamma校正对图像进行增强.算法结果通过主观视觉效果以及客观质量评价2方面验证,实验结果表明该算法能够有效地增强图像对比度、亮度,恢复图像细节,并消除了""光晕伪影""." 基于稀疏级联回归的快速人脸配准方法及其在移动设备上的应用 如何在计算和存储能力受限的移动平台上实现高效的人脸配准是移动平台人脸应用需要解决的关键问题。主要研究了移动平台上的快速人脸配准问题,为了降低配准模型的计算与存储要求,提出了稀疏约束的级联回归模型。该模型采用稀疏性约束学习回归矩阵,不但能够筛选鲁棒的特征,而且模型的存储空间被压缩到原来的5%左右。基于稀疏级联回归模型,进一步构建了移动平台上人脸配准的快速算法。首先,在人脸检测的基础上,利用二值特征快速定位眼角、嘴角和鼻尖的关键点,估计出人脸的姿态,旋正人脸图像;然后,根据人脸的姿态,选择相应的正脸或侧脸模型,进行稀疏约束的级联回归配准,定位人脸关键点。大量实验结果表明,提出的配准方法精度高、速度快、模型小。在三星Note3智能手机上,每幅人脸图像的配准时间在10ms左右,整个apk文件大小仅为4MB,非常适合移动平台的人脸应用。 变滑动窗的话者转换算法 话者转换就是将A的语音转换为具有B发音特征的语音而保持内容不变。发音时基音周期是变化的,在语音转换的两话者特征参数匹配阶段,由于窗内语音信号周期不同,采用固定窗进行语音参数提取会造成了一定程度的匹配误差。提出的变滑动窗是按语音信号的基音周期变化来选择不同长度的滑动窗进行语音分割,这使得每个窗内的包含相同周期的语音信号,从而消除了由语音信号不同产生的参数差异。实验证明该方法提高了话者转换的效果。 基于模糊模式的图书质量识别方法仿真研究 研究图书管理的数字化、精确化、高效化是识别图书质量领域研究中的重点课题。传统的方法对图书管理模式进行分类识别,很难给出符合实际的经典数学意义下的精确描述。为了图书质量分类的准确性,提出了模型化的一种模糊过程。根据模糊数学理论,采用模糊识别模式,研究了个体模糊识别问题和群体模糊识别问题的解决方法,对图书质量识别问题进行模糊化处理,计算模糊子集的内积、外积、贴近度,应用择近原则进行模糊计算和分类,利用Matlab软件进行了仿真。结果表明,采用群体模糊识别方法,提高了解决图书质量模糊识别效果,识别精度较高,结果明晰,为图书管理提供了科学依据。 基于二次剩余问题的数字签密遗嘱协议 基于Diffie-Hellman判定难题,设计了一种新的数字签密遗嘱协议,其安全性基于有限域上求解离散对数的困难性和在特定条件下求解二次剩余问题的困难性。该协议满足数字签密协议的机密性、不可伪造性等要求,并能抵抗目前情况下的各种攻击。 ACMC策略在多维数据挖掘处理过程中的应用分析 数据分析和预测的高质量性和高效性是非常重要的,尤其是在复杂的数据环境中,其作用更加明显。采用层次分析法构建挖掘模型(AHP Construct Mining Component,ACMC)策略可以更加直观地进行数据挖掘,其优点非常明显。AC-MC策略能够很好地升华原本的层次分析理念。本文基于复杂的数据环境,对ACMC的实用性进行充分的研究和分析。 基于J2EE的联网监管系统设计及实现 实现海关对加工贸易企业管理的信息化和网络化是海关发展的目标之一。为此,在研究海关监管模式、详细规范及业务流程的基础上,设计出符合海关对联网监管企业要求并满足企业自身需求的基于Web的联网监管系统。该系统采用J2EE作为分布式开发平台,实现业务逻辑和业务数据相分离的多层体系结构。测试运行结果表明,该系统能提高系统的稳定性和可扩展性。 基于MUD/SD的认知无线电系统遍历容量研究 提出了基于多天线选择分集接收的多用户分集认知无线电系统模型。该系统模型由于在认知接收端采用了多天线选择分集接收,相比传统多用户分集认知无线电系统采用的单天线接收,能够进一步提高认知用户系统信道遍历容量。推导并给出了认知接收端信噪比的概率密度函数与累积分布函数,分析了多天线数目与认知用户数对系统信道遍历容量的影响,同时给出了不考虑认知用户发送功率约束条件下的认知用户系统信道遍历容量的解析表达式。 一种基于扫描链的硬件木马检测新方法 本文提出了一种基于动态电流-静态电流(Iddt-Iddq)的检测方法,在电路设计阶段插入扫描链进行分区设计相结合的硬件木马检测技术,选用Can总线控制器电路作为实验电路,在电路设计阶段将电路分区并插入扫描链,然后进行流片,选用源表、专用PCB测试板、Can总线收发器搭建测试平台进行芯片实测,实测结果表明,这种检测方法可以大幅减小工艺漂移的影响并且提高硬件木马检测分辨率. 欢迎订阅《计算机与现代化》,欢迎投稿 [正文]《计算机与现代化》是计算机专业学术期刊。其特点是:时效性强,密切跟踪计算机科技发展动态,以最快的速度精选刊登计算机专业的新理论、新技术及其在各领域应用成果的论文。本刊系中国科技核心期刊、中国科技论文统计源期刊,《中国学术期刊综合评价数据库》来源期刊, 基于PSO的负反馈电路参数自适应优化及仿真分析 采用粒子群优化算法,以电压增益、共模抑制比、输入电阻平方根的三者乘积对输出电阻的比作为适应度函数,对差分-共射两级直接耦合电压串联负反馈放大电路中的电阻做自适应优化。结果显示,只要对电路交流指标加以约束,适应度函数值总会减小。当分别对增大电压增益和减小输出电阻进行限制后,电压增益总是尽量小,输出电阻总是尽量大,以使适应度函数在给定约束下取得最大。经EWB软件对优化参数仿真,结果满足线性放大要求。同时说明了可以调整适应度函数形式,找到最佳电路参数,以满足工程上对放大器指标的不同需求。 永磁直线同步电机位置系统的模糊IP控制研究 针对具有电磁推力大、响应快、易于矢量解耦控制的永磁直线同步电机PMLSM,研究高精度位置伺服控制系统的设计,以满足高速加工与高精度微进给加工的需求;考虑被控对象的变化和外界扰动,控制器的参数难于在线修订,设计了一种模糊/积分-比例IP位置控制器;它将具有并联反馈环节的IP控制器与模糊控制器有效结合,根据位置偏差的变化率进行切换,即存在较大输入指令与系统输出偏差较大时采用模糊控制,而系统输出接近于输入指令时则采用IP控制器,从而发挥模糊控制器对变参数系统的自适应性和IP控制器的快速和准确性优势;仿真实验结果表明模糊/IP控制器在稳态精度和动态性能方面优于单纯的IP控制器和模糊控制器,能够满足变参数控制系统的性能指标。 基于隔离带方法的两平行微带线间串扰抑制分析 在实际工程中,由于PCB的物理尺寸逐渐趋向于小型化,系统布线趋向于密集化,设计人员很难对强信号线的干扰按照传统的串扰抑制准则进行设计;在此背景下,文章采用在强信号线两边各加一排顶端用迹线连接的接地孔(简称隔离带)的方法来对其进行屏蔽,以减少微带线间串扰;在模型建立过程中添加了微带线高度及接地平面厚度两个参数,完善了采用隔离带方法来抑制线间串扰的仿真模型,并通过Ansoft公司的HFSS软件验证了此方法是有效的;在隔离带参数设置上,仿真结果表明,通过改变相关隔离带参数S1、g、d、h可进一步抑制线间串扰。 母语与非母语语音识别声学建模 为了兼容母语与非母语说话人之间的发音变化,提出一种新的声学模型建模方法。分析中国人受母语影响产生的英语发音变化,利用中国人英语发音数据库自适应得到语音模型,采用声学模型融合技术构建融合2种发音规律的识别模型。实验结果证明,中国人英语发音的语音识别率提高了13.4%,但标准英语的语音识别率仅下降1.1%。 不完备XML信息系统的粗糙集模型 随着XML成为网络信息表示和交换的标准以及不确定数据的广泛存在,不确定XML数据库管理技术成为了当今研究的热点。首先,允许XML文档叶子节点的信息值为丢失的或遗漏的空值,提出不完备的XML信息系统;其次,提出节点的相容关系、限制相容关系以及阈值相容关系等概念,基于粗糙集理论分别定义这3种关系对应的粗糙集模型;最后,通过实例分析表明,限制相容关系模型能克服相容关系模型分类粗糙的缺点,阈值相容关系模型通过合理地设置阈值可达到更好的分类效果,从而提高了对XML数据的预测、分类的精确度。 一种保持OpenFlow功能完整性的TCAM流表压缩模型 OpenFlow协议版本的更新带来流表项匹配域支持字段的增加,而表项匹配域支持的任意通配符依赖TCAM进行匹配处理,导致设备中的TCAM存储空间面临很大压力。为此,提出一种减小TCAM中流表存储空间的数学模型FICO(function-integral TCAM-saving compression model for flow table of OpenFlow)。FICO首先根据匹配域不同字段间的关系,将字段之间的冗余分为三种,基于冗余提出三种预压缩算法,分别为域间字段合并、字段映射、域内字段压缩,最终组合为更小位宽的表项被送往TCAM中进行流匹配。通过仿真表明在保持OpenFlow功能完整性的前提下,较未压缩流表,FICO可以节省60%TCAM存储空间。并且随着流表规模的增大,压缩性能保持稳定。 基于稀疏正则优化的图像复原算法 为提高图像复原的速度,改进图像复原的质量,提出一种新算法。将图像复原表示为一类标准的优化问题,采用交替最小化把该优化问题分解为等价的两个子问题。通过迭代求解这两个子问题,获得图像复原问题的解。在此迭代过程中,引入迭代软阈值法处理图像降噪子问题。实验对不同类型的模糊图像进行了复原,其结果验证了算法的有效性。与多级阈值Landweber(MLTL)算法和快速收缩阈值算法(FISTA)相比,处理相同图像时,所提算法可分别节省28%和71%的时间,同时复原图像的信噪比(SNR)可提高0.7~3.5 dB。 全额全员纳税管理系统的设计和实现 根据全额全员纳税申报流程的特点和系统的建设目标,提出基于B/S模式的纳税管理系统结构模型,分析系统体系结构和处理流程,并对系统基本功能和关键技术进行详细的阐述。 2r-正则图连通圈网络的Hamilton分解 互连网络是超级计算机的重要组成部分。互连网络通常模型化为一个图,图的顶点代表处理机,图的边代表通信链路。2010年师海忠提出互连网络的正则图连通圈网络模型,设计出了多种互连网络,也提出了一系列猜想。文中证明了2r-正则图连通圈网络可分解为边不交的一个Hamilton圈和一个完美对集的并,从而证明了当原图为2r-正则连通图时,这一系列猜想成立。 基于灰色理论的网络搜索频度数据分析 数据分析是将描述性的、诊断性的、预测性的和规定性的模型用于数据,来回答特定的问题或发现新的见解的过程。本文以百度搜索指数为平台,以“三门峡职业技术学院”为搜索关键词,利用网络爬虫软件截取2012-2017年6年中的百度热词周搜索点击次数,通过灰色预测模型分析得出年度对应时间周的周搜索次数预测方程,经与一元线性回归模型预测值对比后判决预测方程合理有效,预判出以后2个年度的关键词搜索次数。最后通过数据图表分析“三门峡职业技术学院”作为百度搜索关键词有着显著的时间特征:一是总搜索次数每年呈递增趋势,二是在一年内的各周峰谷值有着明显的起伏规律,结合百度指数平台对关键词的周期搜索分布进行分析,提出相应的应对方法。 基于CV音节的高效语种识别方法 为了快速有效地识别语种,提出了基于元音起始点(Vowel Onset Points,VOP)检测的CV音节划分法,并据此研究了一种新的基于CV音节的语种识别方法.首先,给出一种能有效避免语音结束点错判的双边双门限端点检测法提取语音段;然后采用线性预测残差(Linear Prediction Residue Error,LPRE)检测语音段中的VOP,从而划分出CV音节;最后,提取各CV音节的特征矢量并利用支持向量机(Support Vector Machine,SVM)模型实现语种识别.通过对英语、汉语普通话及粤语三种语言的识别实验表明,所提VOP检测法可确保CV音节的精确划分;新方法识别率高,且识别结果对CV音节长度不敏感,模型训练时间短,可实现语种的高效识别. 基于多分辨率和自适应分数阶的Active Demons算法 分数阶Active Demons(fractional active demons,FAD)算法是图像非刚性配准的有效方法,并且能解决灰度均匀和弱纹理图像配准精度低,优化易陷入局部极小而导致的配准速度缓慢问题,但是该算法中分数阶最佳阶次的寻找需要通过多次实验人工选取,缺乏阶次自适应性.针对该问题,提出了基于多分辨率和自适应分数阶的Active Demons算法,该算法首先根据图像梯度模值和信息熵,构建了自适应分数阶阶次的数学模型,基于该模型自动计算出分数阶的最佳阶次和微分动态模板;然后将多分辨率策略加入到自适应分数阶Active Demons算法中,进一步提高了图像配准效率.理论分析和实验结果均表明:提出的算法可用于灰度均匀、弱边缘和弱纹理图像的配准,能根据图像的局部特征自适应计算最佳分数阶阶次,并避免了算法陷入局部最优,从而提高了图像配准的精度和效率. 基于混沌的图像自恢复安全双水印算法 为提高图像自恢复双水印算法的安全性,提出一种基于混沌的安全双水印算法。该算法首先利用混沌映射将双水印信息加密后再嵌入其他图像块的低位,然后结合图像块内容和嵌入在图像块低位的恢复水印信息,利用混沌映射随机生成用于检测图像块真实性的认证数据。理论分析和实验结果表明该算法在保持篡改恢复质量和不可见性的基础上,有效提高了自恢复双水印算法抵抗内容篡改攻击和字典搜索攻击的能力。 线缆字符图像的拼接方法研究 针对线缆字符图像光照不均匀、重叠区域较小、边缘化等问题,现有的图像拼接方法不能准确拼接,提出一种新的图像拼接方法。首先利用HSI彩色空间模型从图像中划分出待配准的线缆区域。然后提出基于线缆光照模型的图像分块算法对线缆区域图像进行分块,并构造相似检测公式对图像块进行分类,对不含字符的图像块直接赋值为白色背景块,对含字符的图像块利用大津法进行处理,得到线缆区域二值图。接着提出以字符宽度为特征的图像配准算法获得重叠区域在两幅线缆区域图像的行对应关系。最后以重叠区域的水平中心直线拼接得到一幅包含完整字符区域的宽图像。实验结果表明,相对于当前主流的FREAK算法,提出的方法能准确地对线缆图像进行拼接,拼接效果良好。 物流服务供应链复杂网络脆弱性测度研究 合理评价物流服务供应链脆弱性是实现网络高效率的重要前提。分析物流服务供应链网络节点作用规律,引入聚集系数、最大连通度及连通效率作为网络脆弱性测度指标,基于所构建攻击策略对二级物流服务供应链网络进行实例研究。研究结果表明:所构建指标可较好描述物流服务供应链网络脆弱性能变化;相较于功能型物流服务供应商与物流客户,物流服务集成商对网络脆弱性影响程度最大。最后提出了物流服务供应链网络脆弱性的有效防范对策。 基于无线体域网的康复监测系统设计 针对目前运动功能康复过程中生理参数监测的不足,基于无线体域网设计并实现了用于康复训练的监测系统。首先设计心电、肌电、脉搏等信号采集模块并在Crossbow平台基于TinyOS系统组建无线体域网;其次基于ARM9和Linux设计本地网关,监控无线体域网,为患者提供图形界面及数据转发;最后基于VC++开发医疗中心监护系统,并结合Matlab采用小波包变换与经验模态分解算法对生理参数进行特征提取及初步诊断。文中详细介绍了系统软件设计,实验结果表明该系统能实现对患者生理状态实时监控等功能。 军事仿真想定中的数据冲突消解方法 分析军事仿真想定中的数据冲突问题,提出一种数据冲突消解方法。采用产生式规则表示法,表示军事仿真想定中的事实和规则,建立想定知识库,利用该知识库对编辑好的想定进行推理,从而排查仿真想定数据中的应用冲突。分析结果表明,该方法能发现仿真想定中的数据冲突,可作为仿真训练或评估的依据。 基于嵌入式图像识别技术的空间小位移测量 监测GIS(Gas Insulated Switchgear)组合电器母线舱空间位置状态变化情况是保证GIS组合电器系统安全稳定运行的重要环节。针对户外GIS组合电器母线舱空间位移形变工况监测存在的问题,并基于单目视觉测距方法,提出一种基于嵌入式系统的空间小位移测量方法。设计了两种视觉识别标识,简化了识别过程。通过对摄像头采集的图像进行处理,使用视觉标识获取被测对象的空间小位移,实现对GIS母线舱三维空间位移的非接触式测量。该技术可避免复杂的摄像头系统标定和大量的比对计算,在空间小位移测量应用中有一定的参考价值。 基于改进PSO的Lucas-Kanade的参数选取 利用Lucas-Kanade光流法进行目标跟踪时,目标本身存在旋转、位移、缩放等情况,影响跟踪的准确性。因此提出一种新的算法。该算法先使用改进的PSO估算出一组参数,然后把更新出的参数送回到光流法,再进行一次更新,有效地计算出更合适的参数。实验结果表明,该算法能快速有效的对目标进行跟踪。 基于蚁群优化的无线传感器网络最适路由算法 如何在资源有限的条件下进行实时、高效的数据路由是无线传感器网络的研究热点之一。针对不同应用设计目标的差异性问题,提出一种基于改进蚁群算法的最适路由选择算法。因设计目标的不同,引入一个新的路由选择度量,兼顾实时性、能源效率和负载均衡等方面,并结合蚁群算法的寻优特点,对无线传感器网络最适路由选择问题进行优化。仿真结果表明,最适路由选择算法能够在满足不同设计目标的前提下,延长网络寿命,实现无线传感器网络实时、高效的路由。 基于关键帧的多级分类手语识别研究 提出了一种基于关键帧识别的多级分类的手语识别方法,该方法采用HDR(多层判别回归)/DTW(动态时间规正)模板匹配多级分类方法。根据手语表达由多帧构成的特点,采用SIFT(尺度不变特征变换)算法定位获取手语词汇的关键帧,并提取其特征向量;根据手语词汇的关键帧采用HDR方法缩小搜索范围,然后采用DTW比较待识别的手语词特征与该范围内每一个手语词进行匹配比较,计算概率最大的为识别结果。这种方法在相同识别率的情况下比HMM识别方法速度提高近8.2%,解决了模板匹配法在大词汇量面前识别率快速下降的问题。 基于S1000D的装备技术信息拆分 "技术信息拆分是装备交互式电子技术手册(IETM)实现信息表达、交换与共享的前提,是装备保障信息化建设的基础性工作。首先对S1000D进行概述,然后对数据模块进行分析并对技术信息进行拆分,最后以拆分完成的某型号液压系统数据模块为例作进一步说明。研究成果可实现装备技术信息""一次生成,多次使用""的目的。" 基于遗传算法的高分辨率遥感分类器融合 提出利用遗传算法设计的2种多分类器融合模型:在模型1中,各单分类器选择不相交的特征子空间;模型2则取消了上述限制。通过实验,对2种模型与2种单分类器进行了对比。结果表明,2种多分类器模型能有效地提高分类精度,且模型1利用了不相交特征空间,更有利于提高特征相关性较大的高分辨率遥感图像的分类精度。 基于FPGA的USB3.0通信架构设计与实现 针对USB设备与主机通信存在的带宽瓶颈问题,设计一款基于USB3.0协议的高速通信架构,为嵌入式设备与PC之间的USB数据高速通信提供一种可选方案。本设计采用Cypress的EZ-USB FX3芯片作为USB的外设控制器,以FPGA作为整个硬件系统的主控芯片,通过对FPGA硬件系统进行设计,对设备固件进行设计与调优,该架构支持USB 2.0/3.0接口自适应,能够实现主机、国产嵌入式CPU、SRAM之间的两两可变帧长通信,硬件传输速度达到360 MB/s,数据连续传输速度达到148 MB/s。 乱序超标量处理器核的功耗优化 为了追求更高的性能,处理器核的主频不断提升,处理器核的设计日益复杂,随之而来的是功耗问题越来越突出。除了在工艺级和电路级采用低功耗技术外,在逻辑设计阶段通过分析处理器核各个功能模块的特点并采用相应的技术手段,也可以有效降低功耗。对一款乱序超标量处理器核中功耗比较突出的模块——寄存器文件和再定序缓冲——进行了逻辑设计优化,在程序运行性能几乎不受影响的情况下明显减少了面积,降低了功耗。 基于扩展同步Petri网的BPEL建模 使用业务流程执行语言(BPEL)描述复杂业务流程时容易出错。针对以上问题,通过对同步Petri网进行扩展,设计一个更适合BPEL建模的模型SPN-NET,给出各种BPEL结构化活动到SPN-NET的转换,提出一种具有一般性的相似性化简算法。合理性验证和性能分析表明,该模型简洁紧凑,可理解性好。 海量气象数据实时解析与存储系统的设计与实现 气象数据是一种典型的非结构化数据,在实际应用中其日增量达数十TB,基于关系数据库和传统文件系统的解析、存储与访问模式已成为制约天气预报系统信息化发展的瓶颈之一。为满足全国天气预报平台MICAPS用户对实时数据的及时、快速查询,介绍了能够7*24小时稳定工作、支撑数十TB/天的数据实时解析系统。根据气象数据的多维模型和用户行为,采用非关系型分布式Key-Value数据库,设计实现了高性能海量数据存储系统。实践证明,数据实时解析系统和基于分布式非关系型KeyValue数据库的存储系统能有效满足海量实时气象数据存储、查询和应用需求。该系统已成为中国天气预报业务流程中的核心系统,体现了优异的功能和性能。 高转弯频率下机动目标跟踪算法研究 UKF_IMM算法对任何非线性高斯系统都有较好的跟踪性能,但在用于强非线性、非高斯系统时将产生极大的误差。PF_IMM算法适用于任何非线性非高斯系统,但它因计算量很大而导致实时性太差。在现有的基于PF或UKF的IMM滤波算法中,PF或UKF通常被用于每一个模型,基于此,提出了IUS_IMM算法,即在转弯模型中使用标准粒子滤波器(SPF),在其他模型中用UKF滤波器,仿真结果表明,对于转弯频率高的机动目标I,US_IMM的性能要好于UKF_IMM算法。 基于可拓层次分析法的测试性分配方法研究 针对传统层次分析(APH)方法在进行测试性分配时没有考虑人判断的模糊性,以及在构造判断矩阵时需要进行一致性检验这两个问题,建立了测试性分配层次结构模型,采用可拓层次分析法对测试性指标进行分配,将符合一致性要求的判断矩阵权重向量的方法有机地融合到可拓层次分析法中;最后结合实例对本文进行应用研究,求得了合适的分配指标,应用结果验证了此方法的可行性。 基于改进粒子滤波的多目标跟踪算法研究 针对粒子滤波多目标跟踪中数据关联和估计问题,将经典粒子滤波扩展成在给定几个观测过程时多目标状态过程的估计。用Gibbs采样作为估计和分配关联向量的主要方法。目标状态向量和关联概率被联合估计而没有经过列举,修剪、门限等操作,避免了合并的弊端。测试算法已用于检测目标状态的变化,包括纯方位目标和实际的视频序列,在较为复杂的跟踪条件下,也能实现稳定跟踪。实验结果表明,该算法有较强地解决数据关联问题的能力。 信息产品质量测量动态衍变模型研究 "信息产品质量测量是信息产品质量全面管理Total Data Quality Management(TDQM)的重要组成部分,为日后信息质量提升奠定了基础。基于信息产品质量测量静态传递模型,在关系数据库基础上,""选择""关系代数作用下,引入了时间变量,分析了信息产品及时性对信息产品质量的影响,构建了信息产品质量测量动态衍变模型。该模型包括信息产品在t时刻的及时性矩阵、过时数据集质量衍变映射、基于过时数据集质量衍变映射模型的信息产品质量测量方法。利用应用实例验证了本模型的可行性和有效性。" 基于数据仓库的刑事案件决策支持系统设计 为了更有效地促进情报主导警务模式的推进,对刑事案件决策支持系统进行了研究与设计。首先分析了公安刑事案件决策支持系统的总体需求,并基于数据仓库技术设计了层次化的系统体系结构;然后提出了刑事案件数据仓库模型,并基于本体-规则、元数据-功能提出了多源、异构数据的抽取、转换与加载的整合方法,设计了系统的功能体系;最后介绍了相关成果在大连市公安局的应用示例。实践结果表明,基于数据仓库的刑事案件决策支持系统可以为领导和一线干警提供有效的决策支持。 解复杂连续函数优化问题的动态量子遗传算法 研究了一种解复杂连续函数优化的动态量子遗传算法(DQGA)。设计一种动态量子旋转角的更新策略及量子门调整策略,以加快算法收敛速度,同时为淘汰适应度差的个体,量子旋转策略表中动态地嵌入了变异算子。在算法进化后期引入灾变算子使算法及时跳出局部最优,避免早熟收敛。五个复杂连续函数的测试实验表明:所提算法对复杂连续函数优化问题的寻优能力较QGA更强,算法的稳定性更高,算法的迭代次数亦优于传统量子遗传算法。 代理盲签名的不可跟踪性分析 张学军在《基于身份的代理盲签名方案的分析与改进》(《计算机工程》,2009年第23期)一文中分析指出,农强等的代理盲签名方案(《计算机应用》,2008年第8期)不具有不可跟踪性。对此,通过反证法证明张学军的方法有误,在此基础上提出一种新的分析方法,利用其证明胡江红的方案(《计算机工程与应用》,2007年第18期)不满足不可跟踪性,并对该方案进行改进,使其满足不可跟踪性且效率得到提高。 改进权重函数的非局部均值图像去噪算法 经典非局部均值去噪算法在度量邻域结构特征相似性上对于旋转和平移情况下不够灵活。为此,提出一种基于归一化转动惯量的非局部均值图像去噪算法。利用归一化转动惯量作为相似权重的一部分来调节相似性度量。实验结果表明,与原始非局部均值去噪算法相比,该算法能够更好地保持图像的细节结构信息,提高峰值信噪比和结构相似度,特别当噪声强度增大时,峰值信噪比最大提高1.03 dB,结构相似度最大提高0.04。 基于关联规则挖掘的社区网络数据爬虫算法 为了提高社区网络的联合推荐性能,需要进行网络数据爬虫设计,提出一种基于关联规则挖掘的社区网络数据爬虫算法.构建社区网络的信息传递模型,挖掘社区网络用户行为信息特征量,根据数据的属性特征进行关联规则合并,采用模糊指向性聚类方法进行社区网络的用户行为属性特征聚类处理,对聚类输出的特征量采用自相关特模板匹配方法实现信息融合,实现社交网络数据的关联规则挖掘,结合数据聚类分布属性进行网络信息爬虫,实现社区属性混合推荐.仿真结果表明,采用该算法进行社区网络数据爬虫处理的准确度较高,个性化匹配程度较好,对社区网络联合推荐结果的置信度水平较高,提高了社区发现能力. 改进的混合粒子群算法 为了能够有效避免搜索过程陷入局部最优,从而增强全局搜索能力,提出一种基于模拟退火的粒子群算法.算法中引入遗传算法中常用的轮盘赌选择算子,能在早期抑制部分超级粒子对种群的控制,增加了群体的多样性.通过测试函数的比较表明,混合算法能很好地保持种群多样性,具有良好的计算精度和全局寻优能力. 量化容差关系的不完备多粒度粗糙集模型 在不完备信息系统中,针对量化容差关系和多粒度粗糙集模型的各自优点,提出一种基于量化容差关系的多粒度粗糙集模型。首先定义了基于量化容差关系的乐观和悲观多粒度粗糙集模型,并且研究了相关的性质;然后在对象分类方面,定义了分类阈值的概念,并且在不同粒度下选取不同的分类阈值,多个分类阈值可以使得提出的模型具有更好的灵活性。UCI数据集的实验结果表明,与单个分类阈值相比,多个分类阈值的多粒度粗糙集模型具有更好的近似效果,在各数据集中,其近似精度均有0.05至0.1的提高。 面向文本命名实体识别的深层网络模型 文本命名实体识别是信息抽取和预测的基本与关键任务,提出基于深层网络模型的命名实体识别方法,构建多种学习模型.首先对文本进行清洗并规范化,生成基本结构和表示方法,结合边界特征构建深层条件随机场模型,选择最优特征集训练.将文本表示为词向量形式,以向量作为深层神经网络的输入进行模型的训练,提出了基于块表示的BR-BiRNN、BR-BiLSTM-CRF命名实体识别深层网络模型,在I2B2 2006年和2014年评测数据集及妇产科真实医疗文本上实验,结果均比传统的SVM、HM M、CRF的F值高. 基于动态故障树的AFDX网络性能可靠性分析 AFDX网络是现代飞机集成的基础,其性能可靠性是飞机高可靠运行的保证。当前的研究虽然进行了性能评估或预测,但并没有针对网络故障所具有的相互影响、传播、依赖等特点深入研究,更缺乏对性能可靠性进行评估的方法。提出一种基于业务的动态故障树建模方法,对AFDX网络的数据传输是否及时、完整及传输次序、到达源端是否正确等性能可靠性问题的故障原因及故障模式进行了分析和建模,给出了一种量化计算方法。分析思路和方法对AFDX网络设计、动态故障树建模、可靠性分析和评估都有较好的意义和借鉴作用。 文物出入境鉴定系统软件结构的研究 文物出入境鉴定系统业务流程复杂,数据量大且类型繁复。使用J2EE框架技术开发能够良好地解决这一问题。通过对该系统业务功能及软件结构的分析研究,以及对开源的Struts、Spring、Hibernate的原理及优缺点的分析,设计将三者结合的基于J2EE分层结构的文物出入境鉴定系统,并对具体实现进行说明。 多用户多任务并发的海量数据异步网络通信参考模型研究 当前已经进入信息个性化网络服务时代,而有限的网络带宽和海量的数据成为瓶颈,为解决此问题,在网络L/O模型基础上提出一种支持多用户并发的海量数据的异步网络通信参考模型。设计基本架构与通信流程,并解决网络传输中粘包和基于线程池并行消息处理的关键问题。最后通过在百兆和千兆网络环境下单用户和多用户访问进行实验测试,对实验结果的分析验证了所设计模型的高效性和稳定性,可以满足基于大规模数据的各种实时应用的需要。 支配问题的研究进展 复杂性理论中,支配问题是一类重要的问题,被广泛应用于资源分配、电话交换网络和无线传感器网络等领域。支配问题主要包括点支配集(VDS)问题和边支配集(EDS)问题两大类。人们利用动态规划、加权分治等技术对VDS和EDS问题的精确算法进行设计与分析,并通过将EDS问题转化为边覆盖集问题提出了EDS问题的近似算法。近年来对参数化支配问题做了大量研究。目前已经证明了平面图中VDS问题和一般图中EDS问题都是固定参数可解的(FPT)。利用树分解和分支搜索等技术,人们分别对平面图VDS问题和一般图EDS问题提出了一系列FPT算法。文中对VDS和EDS问题进行了分类,给出了每类问题的具体定义及其相关算法介绍,此外还对矩阵支配集问题进行了简单介绍,并提出了支配问题研究中值得关注的几个方面。 基于区域特征距离加权的三维地形建模方法 为了提高三维虚拟场景中三维地形真实感效果,提出了基于区域特征的距离加权的三维地形建模方法。首先,根据采样点数据的高程值对采样点数据进行分类,建立分类数据与插值点数量映射关系;然后,结合Diamond-square细分法求取插值点坐标数据,求得距离加权因子;最后,通过判断插值点的区域特征建立距离加权计算方程,以保证插值点间的平滑性和连贯性。理论分析和仿真结果表明,与传统地形建模方法相比,该方法能够提高三维地形的真实感,同时地形绘制速度提高20%。 基于解析法的裁剪PDE曲面的生成技术 为解决基于偏微分方程的曲面裁剪问题,研究一种广泛应用于偏微分方程曲面的裁剪方法。首先介绍基于偏微分方程的曲面生成方法,其次由参数域内的曲线在曲面上的投影,得到所求裁剪曲面的边界,然后利用解析法求得裁剪后的PDE曲面,最后列举一系列的实例来说明该裁剪方法的应用并且专门研究多个裁剪区域的问题。 基于本体的任务和知识个体匹配模型研究 为实现在知识产品在线定制过程中任务和知识个体的有效匹配,鉴于传统的基于关键词的匹配技术忽略语义信息的缺点,本文提出了一个基于本体的任务和知识个体匹配模型。首先提出了一种综合改进的概念语义相似度计算方法用以提高概念间的语义相似度计算的准确性,然后将任务信息和知识个体的信息分别抽象为任务概念向量和能力概念向量,通过计算两个概念向量之间的语义相似度,得到任务和知识个体的匹配结果。实验结果表明,该模型具有较高的准确率和召回率,能够为知识产品在线定制提供有效的匹配服务。 无线传感器网络途中过滤增强方案 在无线传感器网络中,节点被俘获后会向网络中注入大量虚假数据。为此,提出一种途中过滤增强方案。使用加密密钥和验证密钥防止途中节点篡改数据,采用安全性增强方案解决途中节点遭到破坏而无法传递和检测数据的问题,利用备份节点的密钥验证转发数据的正确性,由此过滤虚假数据,并引入MAX_FALSE参数,消除不完全虚假数据对基站接收数据的影响。仿真结果表明,与SEF、DEF、FIMA相比,该方案的过滤能力更强,能耗更少。 面向BIT验证的存储器故障模拟及注入方法研究 随着航空电子设备复杂性的日益增加,BIT作为系统自检和故障诊断与隔离的重要手段,已在各机载设备中广泛应用;为满足航空电子设备中电路板级BIT验证需求,提出了基于模拟的故障注入技术,并针对板上器件——存储器进行了故障模拟;介绍了存储器故障模拟及注入原理,并对存储器常见故障模式以及故障触发方式进行分析,进而构建了故障模型;基于开源模拟器QEMU进行了二次开发,进行了大量修改并添加了故障注入模块,能够支持对存储器的存储单元以及地址译码器进行故障注入;并通过实例验证了该工具的正确性与有效性。 基于环境感知的多路径路由算法 认知网络能够提高网络端到端的性能,确保服务质量(QoS)要求。而目前普遍使用的路由算法不具备网络认知能力。针对这一问题,提出一种具有认知能力的负载均衡多路径路由算法,该算法结合了Q学习算法和蚁群算法各自的优点,通过蚁群算法完成路径的建立和维护,Q学习算法实现拥塞规避和负载均衡。使用OPNET仿真比较,表明该算法在时延、带宽利用方面均具有较好的性能。 基于误差位和小数位的乘积有效数字自动提取方法 提出基于误差位和小数位的乘积有效数字自动提取方法。该方法由相乘数据的有效位数决定乘积的误差位数,再由乘积的总位数与其误差位数求出乘积的有效位数,进而提取其有效数字。考虑到乘积在计算机中溢出和非溢出两种情况,采用乘积的整数位数和小数位数来计算乘积的总位数,乘积的小数位数由相乘数据的小数位数求出,乘积的整数位数通过计数的方法得到。理论分析表明,在任意情况下,两个有效数字相乘,其积的误差位数与两数中位数较大的有效数字位数相同。实验结果表明,有效数字提取算法能对计算机存储范围内的乘积有效数字进行正确、完整、有效地提取,且满足大学物理实验数据自动处理的要求。 基于PowerPC及VxWorks的飞机电气负载管理中心控制器设计 介绍了飞机配电系统电气负载管理中心(ELMC)的配电模式,通过研究VxWorks嵌入式操作系统在ELMC数据通信及控制系统中的应用,以及从保证ELMC数据通信及控制系统实时性、可靠性、准确性的角度出发,提出了基于PowerPC微处理器和Vx-Works嵌入式实时操作系统的ELMC数据通信及控制系统的设计方案;在此基础上,对系统总体任务的运行调度情况进行了分析,并利用Tornado环境下WindView对任务运行进行了相关验证,满足设计要求,证明了该设计的可靠性和正确性。 一种利用水平集的图像分割快速GPU并行算法 研究医学生物图像的快速分割问题。针对传统图像分割算法效率低、分割不准确的缺陷,提出一种利用水平集自动演化获得最优图像分割的方法。首先,定义水平集方程,并针对方程中不同分量进行分析,确定以图像灰度为依据的最优化算法;然后通过对差分方程的离散化,定义最优化算法的求解步骤,并使得该最优化计算方法能并行化处理。该方法可以有效地对医学图像进行分割,尤其适合并行化GPU处理,在确保图像分割质量的前提下,极大地提高了运算效率。 利用红外特征和Softmax回归识别绝缘子污秽等级 提出了一种红外图像特征与Softmax回归相结合的方法识别绝缘子污秽等级。通过对红外图像的灰度化、图像滤波、二值化、盘面分割、半盘面提取等预处理过程,获取单个绝缘子半盘面区域。设计了以环境温度、绝缘子背景图像的平均灰度、绝缘子盘面区域的平均灰度、绝缘子盘面灰度分布的方差值、灰度熵和环境湿度共6个反映污秽等级的特征集的基于Softmax回归多值分类模型识别绝缘子污秽等级。引入概率阈值从问题源头出发,解决了拍摄时所产生的无效绝缘子红外图像对污秽等级分类的影响。实验结果表明所选取的特征集和绝缘子污秽识别模型高效且可行。 基于分数阶偏微分方程的图像去噪新模型 将分数阶微分理论和全变分方法相结合应用于图像去噪,提出了一种基于分数阶偏微分方程的图像去噪新模型。该模型很好地继承了现有的全变分(TV)模型去噪效果与保持图像边缘细节特征的优点,同时利用分数阶微分运算特有的幅频特性优势,较好地保留了图像平滑区域中灰度变化不大的纹理细节。实验结果表明:一方面,与现有去噪方法相比,新模型不仅具有较强的抑制噪声能力,而且能较好地保持图像边缘特征,还能保留更多的图像纹理细节信息,优于常用的整数阶偏微分图像去噪方法;另一方面,从峰值信噪比的对比实验可以看出该模型去噪效果优于其他方法,较好地达到了去噪目的,是一种有效、实用的图像去噪模型。 纤维示踪技术对多发性硬化患者小脑结构的研究 目的:小脑上脚、中脚是小脑与大脑间的主要联络通路,通路损伤可引起明显运动障碍,应用纤维追踪技术探测运动障碍的多发性硬化(MS)患者小脑上脚、中脚纤维是否存在异常,从而初步区分运动障碍由小脑病灶还是通路损伤所致。方法:选取临床确诊的17例MS患者及17例健康对照组,检查前根据临床扩展残疾状态量表(EDSS)对患者进行评分。利用纤维示踪技术描绘双侧小脑上脚、中脚纤维走行,比较组间纤维束的部分各向异性(FA)、表观弥散系数(ADC)和纤维束条数,并将MS组感兴趣区DTI参数分别与EDSS评分进行Pearson相关分析。结果:MS组右侧小脑中脚FA值(t右侧小脑中脚=-9.165,P右侧小脑中脚=0.004)较对照组明显减低,左侧小脑中脚FA值、双侧小脑上脚及中脚ADC值、纤维束条数较对照组差异无统计学意义(P>0.05);DTI变化参数与EDSS间均无明显相关性(P>0.05)。结论:MS患者小脑部分区域DTI参数或纤维条数存在异常,可能为临床运动功能损伤提供直接证据。 基于信令降频方法的光纤交换网通信协议FCSP-RTF的研究 "针对集群等通信中需频繁使用控制帧系统的网络通信需求,设计了一种基于信令降频方法的高效光纤交换网通信协议FCSP-RTF(the high-speed Fibre Channel Switch Protocol based on Reducing the Token’s Frequency)。该通信协议在""信令寻径""技术的基础上对信令帧进行降频编码,使交换机无需降频器件就能够直接识别高频信令信号,高效完成交换工作;FCSP-RTF协议精简了通信帧格式,提高了通信协议的效率。实验结果显示,FCSP-RTF协议帧结构简单,实现容易,基于此协议的千兆光纤交换网通信效率和可靠性高,实现成本大大降低。" 基于Mimics和Ansys的人体膝关节生物力学分析 针对二维断层扫描图片存在不便于进行病理分析的问题,综合Mimics和Ansys这两种软件各自的优点,通过对原始CT断层图像数据(*.dicom)进行处理,阐述应用这两种软件进行生物力学有限元分析的主要过程。以人体膝关节为研究对象,基于Mimics和Ansys软件,利用CT断层图像重建膝关节三维模型,仿真分析人体膝关节在承受压力和摩擦力时的应力、位移应变等情况,运用多种方式对加载分析的结果进行处理,为临床研究膝关节疾病的预防和治疗提供相关参考对人工假体的设计具有一定的指导意义。 可恢复消息的在线/离线签名 在线/离线签名是利用预处理技巧提高在线签名速度的签名形式。构造了一种可以恢复消息的在线/离线签名方案。因为不需要发送消息,该方案可以大大节约传输带宽。在随机预言模型下,新方案被证明是安全的。 基于IMF的航班显示系统设计与实现 针对传统航班信息显示系统存在信息访问效率低下、并发访问速度慢以及系统数据更新不及时的问题,提出了一种改进的航班信息显示系统,基于IMF(机场消息中间件平台)实现数据传输交互及航班信息数据源的统一,满足用户对航班信息的灵活定制,提高响应速度。经测试,该系统提高了并发访问时数据的存取效率、改善了各系统间数据更新的实时性;同时提供了友好的用户界面,使旅客及工作人员能够快速获得其所需的航班信息,弥补了传统航班信息显示系统的不足。 基于EMD的网络舆情演化分析与建模方法 现有研究忽略网络舆情演化过程的多成分特性,导致演化分析与建模效果较差。为此,提出一种基于经验模态分解(EMD)的网络舆情演化分析与建模方法。对演化过程进行EMD分解,形成演化过程的趋势成分、周期成分、突发成分和随机成分,通过对各成分进行分析与建模,实现网络舆情的演化分析与建模。实验结果表明,该方法通过EMD分解得到的各成分物理含义明显,有助于分析网络舆情的演化规律,同时具有较好的趋势预测效果,适合进行演化建模。 基于LZMA和多版本的网页防篡改备份恢复机制 针对当前网页防篡改系统普遍忽略备份恢复的缺陷,提出了一种网页防篡改系统模型,在此模型基础上设计了一个高效安全的网页防篡改异地备份恢复系统。此系统采用多版本控制技术管理备份网页数据,可以根据用户需求恢复不同时期不同版本备份数据。备份数据采用高效的LZMA压缩存储,有效提高了磁盘利用率,采用DES算法加密和文件传输协议(FTP)实现安全的远程存储及传输。最后,系统性能测试说明此系统在不影响Web服务器负载前提下能对Web服务器数据进行有效的备份和恢复,能保证单个被篡改的网页在100 ms内恢复,是解决网页篡改问题的一个有效手段。 一种改进的决策树分类属性选择方法 分析了ID3算法的基本原理、实现步骤及现有两种改进分类算法的优缺点,针对ID3算法的取值偏向问题和现有两种改进算法在分类时间、分类精确度方面存在的不足,提出了一种新的分类属性选择方案,并利用数学知识对其进行了优化。经实验证明,优化后的方案克服了ID3算法的取值偏向问题,同时在分类时间及分类精确度方面优于ID3算法及现有两种改进的分类算法。 一种多核处理器中断控制器的设计 为适应多核处理器对中断处理的需求,基于Open PIC协议设计实现了一种多核处理器的中断控制器,并使用VHDL语言对其进行了硬件描述.该中断控制器作为APB从机,能够根据中断的目标、优先级的配置情况以及处理器核的中断处理情况实现中断在多个处理器核间的自由分配.本文将中断仲裁、选择和分配进行流水化处理,从而实现中断的快速准确分配. α稳定分布噪声下的空间时频DOA估计 当信号中存在α稳定分布噪声时,传统空间时频多重信号分类(STF-MUSIC)算法的空间波达方向(DOA)估计性能会降低甚至失效。为此,利用分数低阶矩(FLOM)代替二阶协方差矩阵,定义分数低阶矩空间时频分布矩阵(FLOM-STFDM)。对FLOM-STFDM进行特征分解,得到适用于稳定分布噪声环境的空间时频TF-FLOM-MUSIC算法,分析该算法的信噪比及误差估计,并给出算法实现步骤。仿真结果表明,TF-FLOM-MUSIC算法可有效降低DOA估计的均方误差,提高估计的分辨率和平滑性。 基于内容的视频拷贝检测研究 提出基于图的视频拷贝检测方法,该方法将视频序列匹配结果转换为匹配结果图,进而将视频拷贝检测转换成在匹配结果图中查找最长路径的问题。实验结果显示基于图的序列匹配算法拷贝定位准确度高,可弥补图像底层特征描述力不足的缺陷,节约检测时间,批量定位2段视频序列中可能存在的多段拷贝。 基于Petri网的临床路径评估与优化 为了对临床路径进行定量评估和优化,利用分层赋时着色Petri网进行建模分析,并以某医院乳腺癌临床路径为例,根据患者到达的时间分布规律,通过设置调整相关参数,在CPN Tools 3.4平台上进行仿真测试,得到了各时段住院天数、费用、最短住院天数资源配置等数据,从而为临床路径的定制、筛选和优化提供了有效的参考与支持。 基于改进颜色自相似特征的行人检测方法 近年来多尺度行人检测在计算机视觉领域受到广泛关注。传统方法需对图像缩放,在不同尺度计算特征,大大降低了行人检测的速度。颜色自相似特征(CSSF)被提出以克服此不足。针对颜色自相似度特征具有维度高和分类器训练时间长等问题,提出一种改进的颜色自相似度特征。改进的颜色自相似度特征结合行人结构相似度,首先定义了固定尺寸的窗口,然后在不同的颜色空间滑动固定大小的窗口进行特征提取,最后结合自适应增强(AdaBoost)算法构建行人检测分类器。实验结果显示:相对于传统颜色自相似度特征的千万级维度,新的特征只有几千维,特征提取速度和分类器训练速度显著提高,检测效果略有下降;与梯度方向直方图特征(HOG)相比,特征提取速度提高5倍,检测效果基本不变,新的方法在实时行人检测和监控系统中有很好的应用价值。 基于KNN-SVM的混合协同过滤推荐算法 数据稀疏性问题对协同过滤推荐系统的推荐精度有很大影响,为此,融合缺失数据平衡方法,提出了一个基于KNN-SVM的混合协同过滤推荐算法。利用K-最近邻法对训练集中的缺失数据进行填补,然后通过支持向量机交叉验证进行分类,综合两者优点,从而克服数据质量对推荐算法的影响。在标杆数据集上进行了仿真实验,数值结果证明了方法的有效性。 边缘粒子滤波多目标跟踪改进算法研究 在多目标跟踪过程中,针对概率假设密度滤波器难以正确估计目标个数和目标状态问题,提出一种新的基于边缘粒子滤波的改进算法。算法运用Rao一Blackwellized思想,将目标状态分解为线性和非线性模型的结构,采用RBPF滤波方法预测与估计概率假设密度滤波器中目标的非线性状态,使用卡尔曼滤波方法对线性状态进行预测与估计,以更好地提高目标状态估计精度,降低了计算的复杂度。文章最后进行了仿真实验验证,与现有算法相比较,提出的算法能够更加准确地估计出目标个数和目标状态,具有较好的跟踪性能。 长期车辆合乘问题的复合变邻域搜索算法 针对于长期车辆合乘问题(LTCPP),提出一种复合变邻域搜索算法(HVNSA),将具有相同目的地的用户进行合乘匹配从而减少车辆出行数量。首先,构建一个全面准确的长期车辆合乘问题的数学模型,将所有用户按复合距离优先算法分配到合乘小组中,对时间窗口和车容量约束验证,得到初始合乘方案;然后利用变邻域搜索算法对初始合乘方案进行优化迭代,得到最终的优化合乘方案。实验结果表明,该算法在处理100人和200人的规模问题上可以在1 s内得到高质量的优化合乘方案,对于400人和1000人的较大规模问题,该算法仍然可以在2~4 s内得到较高质量的优化合乘方案。 多媒体数字网络恶意信息分层优化识别仿真 对多媒体数字网络恶意信息的分层识别,能够有效保障多媒体数字网络安全稳定的运行。对恶意信息的分层优化识别,需要将网络文档中特征词集合进行切分,对数字网络中发音相似的字符串进行匹配,完成信息分层识别。传统方法提取网络信息的细粒度特征,对网络信息的分散度和个数进行分析,但忽略了对网络发音相似字符的匹配,导致信息识别精度偏低。提出多媒体数字网络恶意信息分层识别方法,采用切分标志法对多媒体数字网络中的文档进行切词处理,将多媒体数字网络中的句子切分成短小的字段,最终将多媒体数字网络中的文档切分特征词的集合;对采集到的多媒体数字网络中的信息进行分析,对多媒体数字网络中发音相似的字符串进行匹配,完成多媒体数字网络中恶意信息的分层识别。仿真结果表明,所提方法对多媒体数字网络中的恶意信息进行识别时,有效性高、识别效率好。 MP_WSMN数据融合权重μ-ξ的高QoS实现 为提高无线传感器网络(WSN)的服务质量(QoS),建立了基于多参数传感器微网络(MP_WSMN)数据评价系数μ,并形成通信数据重要性系数ξ。利用传感数据的可靠性μ有效减少了冗余数据占用的带宽;通过系数ξ进行拥塞控制与数据融合,增加了重要数据传输可靠性,有效降低了重要数据的丢包率,提高了WSN的QoS。在基于改进的低功耗自适应集簇分层型(LEACH)路由算法仿真与对古民居火灾探测网络的应用均验证了这一结论。 基于哈希特征的大规模图像快速匹配算法 针对基于图像进行三维重建技术在使用大规模图像集合进行重建时,需要对图像集合中图像进行两两匹配耗时问题,提出了基于哈希技术对图像构建全局哈希特征的方法,通过过滤掉无效的图像关系对来减少计算时间,极大地提高了大规模图像集合三维重建的匹配计算效率。提出的大规模图像快速哈希匹配算法包括构建图像哈希特征、构建初始匹配图、挑选候选匹配对、哈希匹配几个步骤。实验结果表明该方法能显著地提高三维重建中图像匹配的速度。 一种稳健的图割立体匹配方法 为了提高传统的基于图割的立体匹配算法的鲁棒性,提出一种基于图像增强的图割立体匹配方法,传统算法是在图像灰度值的基础上构建能量函数,该方法加入了图像的梯度值来构建能量函数,然后将基于二值化标号函数的α扩展算法和KV最大流算法结合起来求解能量函数.由于梯度对于图像中的噪声、局部光照具有鲁棒性,因此该算法在一定程度上增加了传统GC算法的鲁棒性,最后通过仿真和实验验证了算法的有效性. 一种层次化空间分析方法在语种识别系统中的应用 在针对电话语音的自动语种识别系统中,训练和测试语料之间存在不同说话人、信道等因素差异带来的不匹配,是影响识别性能提高的关键因素。为了消除此类影响,提出一种层次化空间分析方法,首先对前端部分MFCC+SDC特征进行HLDA(异方差线性判别分析),增大了语种各个类的类间差异;然后对经自适应得到含有冗余信息的GSV进行PCA特征选择,有效地去除了信道等冗余信息的干扰。实验结果表明,此方法能有效消除信道等噪声影响,从而提升了原有系统的识别性能。 灰度图像加权有向平滑滤波算法 为克服常用平滑滤波算法不能兼顾去噪和保持图像细节的不足,提出了加权有向平滑滤波算法。先根据相似者相容的原理得到待处理像素与各滤波模板的隶属关系,从而判断待处理像素是噪声点,是图像本身像素,还是图像背景像素,然后选用相应加权有向或无向平滑模板对待处理像素进行平滑处理。实验仿真结果表明,加权有向平滑滤波算法既能有效地滤除灰度图像中的噪声,又能很好保护图像的边缘和细节,弥补了常用空域平滑滤波算法不能兼顾去噪和保持图像细节的不足。 针对GEO目标带的天基光学观测姿态规划研究 为了提高太阳同步轨道卫星对GEO轨道带目标的观测效率,在分析观测位置和传感器视轴指向与太阳同步轨道卫星对静止轨道带扫描速度基础上得到传感器视轴的最佳指向以及对应的GEO带上的最佳观测点,并提出了关于最佳观测点的姿态规划策略,并对在地影影响下有/无姿态机动时的观测效率进行了对比仿真分析。仿真结果表明:对于SBV传感器视场在1.5°×1.5°时,最佳观测点的控制策略就能够完成对GEO轨道带的完全覆盖,相较于无姿态机动时,对静止轨道带的观测时间可提高一倍。 基于改进蛙跳算法的WSN移动节点部署研究 针对传统无线传感移动节点部署方法存在节点分布不均匀、覆盖不完全等问题,提出一种基于改进混合蛙跳算法(SFLA)的移动节点部署方法。根据节点位置信息建立部署模型,利用改进SFLA算法求解该模型,将得到的解作为节点最终位置。仿真实验结果表明,相对于微粒群、虚拟力、基本混合蛙跳算法,改进SFLA算法可提高网络覆盖率和降低移动节点能耗。 一种快速提取植物叶片最小外接矩形算法 图像几何特征如矩形度等是图像分类与识别的重要依据之一,提取目标图像最小外接矩形具有重要的应用价值。本文提出和研究一种快速提取植物叶片最小外接矩形的算法。首先从水平和垂直两方向扫描植物叶片图像确定初始外接矩形,以初始外接矩形中心点作旋转中心点,确定主轴位置。然后不断旋转和平移主轴确定边界,通过比较边界所围区域面积的大小寻优到面积最小的外接矩形。使用Flavia数据库实验结果表明,算法运算量小,能快速有效地提取植物叶片最小外接矩形。 采用波束域加权的大迎角飞行姿态控制算法 无人机在姿态角变化剧烈、大迎角等极端状态飞行时,参数在有限个线性模型之间连续变化,会带来系统参数的不确定性,飞行姿态控制的难度加大。提出一种基于波束域加权谱峰搜索方法进行误差补偿和自适应控制的无人机大迎角飞行姿态控制算法。构建无人机在俯仰、偏航和滚动飞行下的运动状态方程,采用波束域加权谱峰搜索方法,不断地对系统参数进行测量,进而根据姿态参量测量而对模型进行修正,提高控制性能,修正航向偏差。经过不断的最优自回归和最小二乘拟合,得到最优的输出姿态角,实现偏航纠正和误差补偿。仿真结果表明,利用算法进行无人机大迎角飞行姿态控制,偏航修正和误差补偿的性能较好,控制的鲁棒性和收敛性较高。 船舶柴油机故障诊断方法的研究 研究船舶柴油机故障诊断问题,由于船舶柴油机故障样本相当少且样本极不平衡,系统复杂,传统故障诊断方法均是采用大样本的学习方法,易出现过拟合,得到局部最优解,导致船舶柴油机故障的准确率较低。为了提高船舶柴油机故障的准确率,采用专门针对小样本的支持向量机进行船舶柴油机故障诊断。首先建立船舶柴油机故障样本集,采用层次支持向量机构造柴油机故障诊断树,解决样本不平衡问题,最后进行船舶柴油机故障诊断。实验结果表明,支持向量机不仅提高了柴油机故障训练和诊断速度,且提高了故障诊断的准确率,较好解决船舶柴油机故障诊断中的过拟合、小样本等问题,可以为船舶正常工作提供保证。 基于布谷鸟算法的WSN节点定位研究 传感器节点定位技术是无线传感器网络(WSN)的核心技术之一。文中针对DV-Hop传感器定位算法在定位过程中产生累积误差的问题,首先提出改进的DV-Hop算法修正DV-Hop算法中的平均跳距,然后应用Cuckoo(布谷鸟)搜索算法进一步减少传感器节点定位的误差,最后采用仿真实验对其性能进行测试。仿真实验结果表明,文中所提出的混合算法,与纯DV-Hop算法相比,不但使用更少锚节点节省硬件成本,而且定位精度较高。混合算法能够达到理想的定位精度与效果,具有较高的实用价值。 移动网络服务信息传输中冗余量消除方法研究 进行移动网络服务信息传输中冗余量消除,可以减少移动网络的内存,使移动网络有更多的空间处理各类型的服务。进行冗余量消除时,需要获取移动网络冗余流量的判断阈值,对冗余流量和正常流量进行有效划分,传统方法利用基于时间演化的加权二分移动网络冗余流量消除模型,进行冗余流量消除,但无法获取移动网络冗余流量的判断阈值,不能对冗余流量和正常流量进行有效划分,容易消除正确的流量,降低了冗余量消除的有效性。提出一种采用动态查找表的移动网络冗余流量消除方法。上述方法先用动态查找表计算出移动网络冗余流量的判断阈值,给出移动网络中各个数据块流量冗余频率贡献度,将一部分对冗余流量贡献较小的数据块视为对冗余消除过程无用的数据,并提取冗余流量数据的信息增益特征,对移动网络全部流量属性进行分类,从而利用分类的结果有效地消除移动网络冗余流量。仿真结果表明,所提方法可以有效地消除移动网络冗余流量。 基于云计算的视频取证监控系统 在视频取证过程中,面对多摄像头非协作工作方式的视频取证的缺陷以及海量的视频数据和复杂的取证计算问题,提出了一种基于云计算的视频取证监控系统的解决方案。在该方案中,各摄像头采用协作工作方式,监控系统中的视频数据保存在云计算系统中,终端用户需要的视频监控服务由云计算平台来提供,取证过程中的目标识别和跟踪等复杂计算也由云计算平台提供。该系统可以充分利用云计算平台的虚拟存储和虚拟计算能力,解决取证现场的多摄像头的协作工作能力,提高视频取证的处理效率和取证的准确性以及提高各种终端用户的监控灵活性和方便性。 基于多尺度离散余弦变换的图像增强算法 研究优化压缩图像技术问题,通常压缩图像算法容易导致分块效应等问题,使解压图像不清晰。为了提高图像压缩后的质量,提出了一种基于多尺度离散余弦变换的图像增强算法。首先将图像中的基本小块合并为较大的矩阵,再做Harr变换,从而获得更大范围的图像增强系数,避免了图像小块之间的不相关性;然后根据不同波段的不同特性,使用自适应的增强系数,使得图像不同区域内的增强效果更依赖于自身的特性;最终通过平滑整幅图像的亮度和对比度,获得最终的增强图像。方法能避免使用较小的块操作,使得增强的结果图像更为平滑,不会出现小块之间的突变现象。在频率域内的操作使得图像增强的效率获得了极大的提高。仿真结果证明,方法适用于图像增强领域,可提高解压后图像质量。 基于MFI的企业业务模型互操作能力度量方法研究 业界存在多种企业业务模型,模型的定义、描述、结构、功能及支持工具通常存在差异,这些差异导致业务模型间的部分语义互操作存在较大困难。绝大部分企业业务模型都能够通过4个维度(Role,Goal,Process,Service)来描述,因此,一个企业业务模型实际上是一个具体的RGPS模型,依此提出企业业务模型互操作能力度量方法。首先基于互操作性元模型框架MFI构建RGPS互操作性特征框架,再将特征框架定义为RGPS模型互操作性特征集;其次对业务模型特征所属类型与所具有的性质进行分析,定义数学方法,并基于互操作性特征集对模型特征进行标识与量化,得到模型实例;再次通过数据相似性算法计算模型实例间的相似性,得出RGPS模型集中任意两个模型间的互操作能力值,从而构建RGPS模型集的互操作能力度量矩阵;最后,采用该方法对不同领域间典型的企业业务模型互操作能力进行度量,分析并讨论度量结果,从而在一定程度上验证了互操作能力度量方法能促进并引导不同领域企业业务模型的有效协作。 一种基于蠕虫算法的并行DSP网络结构探测 并行DSP网络构成方法很多,将EMIF和McBSP两种方法结合起来,综合了这两种方法的优点,对于其结构可以采用蠕虫算法。网状结构里深度优先遍历的算法是一种很好的蠕虫算法,在阐述该蠕虫算法的具体实现过程后,分析得出该算法可以探测出并行DSP网络的结构。如果采用相同的DSP芯片,该算法在并行DSP网络系统中具有一定的通用性。 基于图像分析的叶片特征识别相关度 为有效解决叶片图像的分类识别中有效特征的选择问题,探索提高原始叶片图像识别准确度的有效途径,提出一种基于改进型sobel算子的叶片特征提取算法。抽取多种叶片识别特征构建特征矩阵,采用模糊半监督加权聚类算法对不同特征矩阵进行聚类分析,通过对不同种类的植物叶片图像识别率进行对比分析,获取叶片分类识别过程中的关键特征。自测数据集的相关实验结果表明,数据集下的叶片边缘特征拥有最高的分类识别率。 HDFS异构集群中的分级存储调度机制 大部分存储集群构建时可能包含有遗留设备及新购置设备,这些设备在存储性能方面存在较大差异.采用HDFS默认的机架感知存储策略时,可能使访问频率高的数据存储在低性能节点上,而访问频率低的数据存储在高性能节点上,既影响集群响应时间,又降低了资源利用率.针对以上问题,提出一种分级存储调度机制.在HDFS机架感知调度策略基础上,首先根据节点的CPU、内存大小、磁盘大小、磁盘I/O等固有硬件性能将节点划分为高配置节点和低配置节点,其次根据节点的CPU使用率、内存使用率、网络带宽使用率、磁盘使用率等性能的动态因素建立节点的性能评价模型,并建立三个性能级别.根据节点配置情况、性能级别及网络位置等多方面因素进行综合调度.同时在集群运行过程中,会根据数据的访问频率对数据块的分布进行动态调整.实验结果表明,本文提出的分级存储调度机制可以在HDFS异构集群中提高数据的访问效率,优化集群性能. 高浓度烟雾火场中受困人员定位系统的设计 针对当前的火场受困人员搜救系统中,受到高浓度烟雾的限制、定位时间较长、定位不准确的、抗干扰能力较差的弊端,提出一种以图像处理技术配合DSP硬件系统为基础的火场受困人员定位设备的设计方法;通过图像采集设备对火场内的情况实时计算机视觉图像采集,运用DSP图像处理技术,配合干扰下的弱定位算法软件设计,对火场内的干扰信息进行排除,运用以嵌入式技术为基础的处理终端进行火场内受困人员的定位,一旦判断出人员位置,能够进行定位跟踪;实验证明,以图像处理技术和嵌入式技术为基础的火场受困人员定位方法,定位的准确度超过50%,具备实际应用价值。 几种硅橡胶次级链结构辐射稳定性的理论研究 采用密度泛函理论的B3LYP方法,以硅橡胶泡沫材料单体二甲基硅氧烷和甲基乙烯基硅氧烷为基础,研究了这两种单体和由其构成的四种次级链结构的相关能量、前沿轨道、振动分析等相关信息。结果显示,前沿轨道显示链结构的敏感点应在含Si-Si键的链端和乙烯基部分,分子链结构中的原子排布更易形成共轭或等效于共轭的离域结构时,在遭受辐照等能量注入的情况下可以将能量及时转移而一定程度上避免了链结构的断裂;在遭受外界能量注入时,裂解的部位发生在共轭链段间隙处和前沿轨道所显示的敏感点的可能性比较大。此外,也可以看到甲基乙烯基硅氧烷的引入在一定程度上可以增加材料的化学稳定性却并非一定会对材料的耐辐照稳定性起到增强作用,这应与单体的比例以及乙烯基片段在齿形波动的长链结构中所处的位置有着密切关系。 基于属性与对象关系信息的综合差异度计算 传统聚类算法仅考虑属性相似性,较少利用对象间的相互关系。为此,通过关系信息属性化操作,将关系数据转化为关系型属性数据,提出一种针对关系型属性的差异度计算方法。在此基础上,规范化属性变量中的区间和序数变量,将分类变量转变为二态变量,关系变量视为二态变量,提出一种兼顾属性与对象间关系信息的综合差异度计算方法。理论分析和实例结果表明,基于该差异度的聚类准确度更高,聚类结果的实用性更强。 基于块稀疏贝叶斯学习的人体运动模式识别 在人体运动模式识别中,传统稀疏表示分类算法未考虑待测试样本相应稀疏系数向量内在块结构相关性信息,影响了算法识别性能。为此,提出一种基于块稀疏模型的人体运动模式识别方法。该方法充分利用人体运动模式内在块稀疏结构,将人体运动模式识别问题转化为稀疏表示问题,采用块稀疏贝叶斯学习算法,求解基于样本训练集优化稀疏表示待测样本的稀疏系数,并根据稀疏系数重构残差判定待识别动作类别,能有效提高人体运动模式识别率。选用包含多类别人体动作行为模式的USC-HAD数据库对所提算法性能进行了验证。实验结果表明,所提算法能够有效捕获不同运动模式内在差异信息,平均动作识别率达到97.86%,比传统动作识别方法平均提高近5%,有效提高了动作识别准确率。 基于图像的机器人指尖跟踪过程仿真分析 对机器人指尖运动轨迹的视觉跟踪是智能机器人手势识别研究的关键。在多自由度机器人指尖跟踪过程中,由于自由度较高,指尖运动速度很快,指尖在运动终端的变化细微、灵活。利用传统的算法进行指尖图像跟踪时,需要连续、形状运动关联的特征才能完成跟踪,在较高自由度运动的情况下,指尖的运动终端图像特征的突变性因为无法以连贯的图像特征形式被捕获而被忽略,导致指尖跟踪图像与指尖终端的实际运动过程存在较大偏差,跟踪准确性下降。提出一种新的机器人指尖图像定位跟踪方法。针对采集到的指尖跟踪图像进行初始化处理,获取图像的高频部分和低频部分的向量,针对上述向量进行小波变换处理,实现指尖图像的去噪处理,提高了图像的质量。对指尖跟踪图像像素进行归一化处理,为指尖跟踪提供了准确的数据基础。利用线性分析的方法,对图像中的指尖运动轨迹区域进行准确分割,实现了指尖运动轨迹的准确跟踪。实验结果表明,利用改进算法进行指尖跟踪,能够有效提高跟踪的准确率。 基于DM642 DSP技术视频图像采集与传输系统设计 视频图像的采集与传输技术一直是信号处理领域研究的热点与难点问题,文章主要以系统的硬件设计和软件设计来对系统进行详细论述,在硬件设计中,通过分析视频图像采集所需要的主要性能指标,确定了系统采用TMS320DM642DSP芯片,并确定系统的总体设计方法,同时完成了DSP最小系统设计,电源设计以及图像传输模块设计等;在软件设计中,通过对DSP实际控制,从而实现了系统的视频编码余打包等功能,并完成了视频传输的驱动程序设计,最后通过对TMS320DM642DSP的实时控制,实现视频图像传输与采集功能。 面向MES的生产线数据采集系统的研究 为满足生产管理与在线监测的需要,设计并实现了一个面向MES的生产线数据采集系统;该系统采用了一种基于PROFIBUS-DP和OPC技术的数据采集方法,实现了现场采集数据的高速及稳定的传输,保证了不同设备之间良好的兼容性;用户可以通过OPC客户端完成对生产线状态的远程监控,当采集到的参数超过设定的阀值时,系统可以自动报警并做出相对应的处理;经过试验表明,该系统各项性能良好,已经实现MES底层硬件和软件的深度融合,在生产线监控方面有着很重要的应用价值。 复合激光诱导荧光法在喷雾特性的研究进展 对于柴油机而言,燃油的喷射、雾化及其与空气的混合是整个燃烧过程的1个关键环节。近年来,由于对燃油选择和燃烧排放的要求越来越严格,采用光学可视化技术对内燃机中燃油的喷雾发展和燃烧的研究也越来越多。本文阐述了复合激光诱导荧光(Planar Laser Indueced Exciplex Fluorescence Technique,PLIEF)技术用于喷雾可视化的基本原理及测量气液两相浓度时的标定技术,测量方法是通过荧光剂对柴油中轻重组分的追踪。结合研究实例中典型的试验重点介绍了复合激光诱导荧光技术在喷雾可视化研究中的应用情况,包括喷雾浓度场和温度场的复合激光诱导荧光测量和喷雾中气液两相的复合激光诱导荧光测量。但是,柴油喷雾中,复合激光诱导荧光技术的局限性和结果的准确性仍然具有争议性,本文对此进行了分析。 改进的四阶累积量算法在声学测温中的应用 准确的声波飞渡时间在声学测温中具有非常重要的意义,但是不同容量、不同负荷、不同时刻下运行的锅炉都可能使得背景噪声发生变化。现场炉膛背景噪声的不断变化引起了信噪比的不断变化。指出了声学测温中几种传统的时延估计方法的不足,通过改进的四阶累积量算法,实现了低信噪比、信噪比变化环境下的准确声波飞渡时间的测量。 LTE-A系统中基于CoMP的下行跨层功率分配优化方法 为了降低长期演进技术增强(long term evolution-advanced,LTE-A)网络中资源分配时的功率消耗,提高小区边缘用户频谱利用率,提升系统吞吐量,提出了LTE-A网络中基于下行协作多点传输(coordinated multi-point transmission/reception,CoMP)技术的跨层功率分配优化方法。该方法在传统功率分配方法的基础上引入了联合处理(joint processing/transmission,JP)技术,并对其进行了分析;接着将无线链路控制(radio link control,RLC)层的用户队列状态信息情况考虑到物理层的功率分配算法模块中,建立了新的跨层功率分配方案,并且使用了遗传算法对该方案进行求解;仿真结果表明和传统的几种方法比较起来,有效提高了小区平均频谱效率和边缘频谱效率,减少了功率浪费。 基于图像金字塔修复的虚拟视点绘制 针对虚拟视点绘制中由于区域暴露引起的空洞问题,提出一种基于图像金字塔修复的虚拟视点绘制方法。利用视点变换方程生成虚拟视点,对含有空洞的视点采用图像金字塔修复进行处理,设计含有深度项的权值函数,在深度的约束下进行高斯加消零的滤波和下采样,对低分辨率图像的上采样,利用采样的图像信息对空洞进行填充。实验结果证明,该方法得到图像的主观视觉效果和峰值信噪比计算结果优于传统的非对称高斯滤波算法,且图像绘制的虚拟视点不失真,可抑制物体边缘处的伪像生成。 一种DSP的快速上下文切换机制 针对嵌入式系统实时控制和信号处理的需求,建立了一种基于DSP架构的快速上下文切换机制,为实时处理提供了有力支持。机制采用两条独立的总线,分别用来传送地址和数据信息,实现地址和数据信息的并行传输,增加了上下文保存和恢复的带宽;同时应用影子寄存器与通用寄存器之间的切换,有效减少了对存储器的访问;引入对上下文的延后保存和提前恢复操作,解决了任务或中断嵌套调用时的低效问题,显著地提高了上下文切换的速度。 基于最弱前置条件的静态分析误报消除技术 针对程序静态分析技术误报过多的问题,提出一种基于最弱前置条件的静态分析误报消除方法。根据不同的软件安全性质,从目标状态出发,以需求驱动的方式得到过程起始位置的最弱前置条件,判断该条件公式的可满足性来消除误报。将该方法实例化来消除静态分析工具检测数组访问越界和空指针解引用的误报,实验结果表明该方法是有效且实用的。 蠕虫智能扩散形式化分析及权策略算法实现 抽象蠕虫扩散的一般过程,采用确定的有限自动机理论,对蠕虫扩散行为进行形式化描述。建立智能扩散自动机模型,为研究蠕虫扩散过程提供了一种直观的形式化手段。提出加权树策略,基于该策略设计智能扩散模型并详细阐述权策略的核心算法,描述蠕虫智能扩散的本质特征和执行过程。形式论证结果表明,该模型能够有效地刻画智能扩散的特征,实现基于堆遍历加权策略的扩散仿真。 基于VC的叶类中药显微特征识别软件设计 文中为解决传统中药检测效率不高的现状,针对实际情况中对叶类中药显微检测的要求,设计出了一种可用于叶类中药显微特性检测的方法。该方法主要是通过研究当前的各种图像分割技术,根据叶类中药显微图像中不同分割目标的特点,选择适当的图像分割技术和算法实现叶类中药显微图像中目标的快速高效分割,并且基于VC实现了叶类中药显微图片中细胞和气孔的分割,从而计算出该叶类中药的气孔指数。检测结果直观准确,为准确实现叶类中药检测提供了支持。 EarnCache:一种增量式大数据缓存策略 在共享的大数据集群中,租户竞争可能导致内存资源分配不公平以及利用效率低下。为了提高缓存利用效率和公平性,针对大数据应用的特性,提出一种增量式缓存策略称为EarnCache,即文件被访问得越多,获得的缓存资源就越多。利用文件被访问频率的历史信息,将缓存分配与替换问题抽象成优化问题,给出解决方案。并在分布式存储系统中实现了EarnCache及MAX-MIN等不同算法,进行性能分析。实验表明,EarnCache可以提高大数据缓存效率和总体资源利用率。 优化的可重构多常数乘法器生成算法 针对线性变换中单个定点数输入与多组定点常数相乘的问题,以加/减法器、移位器和多路选择器为基本单元,提出一种可重构多常数乘法器(RMCM)生成算法。该算法分别运用局部优化和全局优化2种策略,通过计算多常数乘法器(MCM)之间的拓扑相似度,对MCM的有向无环图(DAG)进行合并,选取其中估算面积最小的DAG作为输出。实验结果表明,利用该算法生成的乘法器在面积上具有优势,可用于实现视频多标准中多组不同系数的线性变换。 时间连续马尔可夫链的复杂网络上SIRS模型分析 针对传播过程中普遍存在的随机波动特点,以均匀网络上的基本SIRS模型为研究对象,建立基于时间连续马尔可夫链的随机网络模型,以平稳分布为研究方法分析了模型的稳态阈值和临界条件,发现所得结果和采用平均场方法所得结果相同;而基于时间连续马尔可夫链建立的传播模型,在对传播过程中存在的随机波动现象的描述方面,给出了较充分的理论解释,这也是概率统计方法在解决此类问题上较平均场方法最明显的优势所在,同时也为分析复杂网络上的传播动力学行为提供了一种基于概率统计方法的思路。 改进的禁忌搜索算法在肌电信号情感识别中的应用 针对基于肌电(EMG)信号的情感识别问题,提出了一种新颖的特征选择方法。该方法用相关性分析对原始特征降维,再利用改进的禁忌搜索算法结合Fisher分类器,对高兴、厌恶、悲伤、惊奇、愤怒和恐惧6种肌电信号的情感进行特征选择。实验结果表明,该方法能以较少的特征获得较高的识别率,得到了有利于情感识别系统建立的有效特征子集 基于CoDeSys平台的六自由度工业机器人运动控制器设计 针对ER50六自由度工业机器人,基于CoDeSys软件平台开发了一款机器人运动控制器;采用ARM+CoDeSys架构和PLCopen规范进行六自由度工业机器人控制系统软件开发以及人机交互界面设计;首先根据D-H参数对ER50机器人进行运动学建模,并在此基础上封装ER50机器人正逆运动学功能块;然后对控制器的示教模块、点动模块以及在线编程模块进行软件开发并设计各个模块的人机交互界面;在ER50机器人上实现了运动控制器在线示教、点动以及在线编程等功能;最后通过直线和圆弧轨迹跟踪实验验证了运动控制器设计的有效性。 深度学习应用技术研究 针对深度学习应用技术进行了研究性综述。详细阐述了RBM(受限玻尔兹曼机)逐层预训练后再用BP(反向传播)微调的深度学习贪婪层训练方法,对比分析了BP算法中三种梯度下降的方式,建议在线学习系统采用随机梯度下降,静态离线学习系统采用随机小批量梯度下降;归纳总结了深度学习深层结构特征,并推荐了目前最受欢迎的五层深度网络结构设计方法。分析了前馈神经网络非线性激活函数的必要性及常用的激活函数优点,并推荐Re LU(rectified linear units)激活函数。最后简要概括了深度卷积神经网络、深度递归神经网络、长短期记忆网络等新型深度网络的特点及应用场景,归纳总结了当前深度学习可能的发展方向。 高阶非线性系统状态反馈抗饱和跟踪控制 针对参数未知的高阶非线性系统,提出了一种简单有效的反馈抗饱和控制方法,并进行了状态反馈抗饱和控制吸引域估计。利用反馈控制思想,借助于李亚普诺夫稳定性理论,设计出了相应的状态抗饱和反馈控制器,并借助于Matlab进一步求出了控制器的参数。将所设计的抗饱和控制应用于Duffing混沌系统,仿真结果验证了该控制方法的有效性。 多媒体传感网络学习监控实时反馈方法研究 为了降低多媒体传感器网络的数据丢包和时间延迟,提高网络学习的监控实时反馈能力,提出了基于线性移位自适应寻优的多媒体传感网络学习监控实时反馈方法.构建多媒体传感网络的节点优化部署模型,采用簇内传感节点融合跟踪方法进行多媒体信息融合和二维熵特征提取,根据传感节点的阈值进行模糊自适应加权控制,结合线性移位信道分配方法进行多媒体传感网络学习监控反馈链路均衡处理,采用智能寻优算法进行多媒体传感网络传输延迟控制,提高数据的实时反馈能力.仿真结果表明,采用该方法进行多媒体传感网络学习监控实时反馈控制,数据输出的丢包率较低,多媒体信息反馈的时延较小,整个网络的链路均衡性较好. 一种异类传感器的异步数据融合算法 由于雷达具有较好的测距性能,红外传感器具有高精度的测角性能。由于上述技术特点,使得两者具有良好的信息互补性,雷达和红外数据融合引起了广泛的注意。异类传感器数据处理是数据融合中的一个难点。考虑到两种传感器具有不同的测量维数,量测数据异步采样并以不同的速率传输到融合中心站点,提出了一种新的异类传感器异步数据融合算法。通过最小二乘法完成两种异步数据关联同步,然后对于相似性测度进行数据关联,与数据压缩技术完成目标状态信息融合。利用上述方法,进行了仿真实验验证了算法的有效性。 超磁致伸缩智能构件的位移控制系统设计与仿真 由于超磁致伸缩材料(GMM)内在的迟滞特性会引起智能构件的定位误差,并且其迟滞现象具有输入和输出一对多,输出随输入频率变化的特点,提出一种基于神经网络实现GMM智能构件动态迟滞建模方法。通过所建立神经网络实现GMM智能构件逆迟滞模型,结合PD反馈控制器,实现智能构件的实时精密位移控制。在Matlab平台上进行仿真,结果表明所建立控制策略能消除GMM智能构件迟滞非线性的影响,实现了GMM智能构件的精密位移控制目的。 基于压缩感知的期望最大化贝努利非对称高斯近似信息传递算法 期望最大化贝努利高斯(BG)近似信息传递(EM-BG-AMP)算法中的BG模型因为具有对称性,在逼近实际信号先验分布时会受到限制;而期望最大化高斯混合近似信息传递(EM-GM-AMP)算法中的GM模型是BG模型的高阶形式,复杂度较高。为了解决以上问题,提出贝努利不对称高斯模型(BAG),进而推导得到期望最大化贝努利不对称高斯近似信息传递(EM-BAG-AMP)算法。该算法的主要思路是假设输入信号服从BAG模型,然后使用广义近似信息传递(GAMP)重构信号并在算法迭代中同时更新模型参数。实验证明,在处理不同图像数据时,EM-BAG-AMP和EM-BG-AMP相比,时间增加了1.2%,峰值信噪比(PSNR)值提升了0.1~0.5 d B,尤其在处理纹理较少以及色差变化明显的图像时峰值信噪比(PSNR)值提升了0.4~0.5 d B。EM-BAG-AMP是对EM-BG-AMP算法的扩展和延伸,更适合实际信号的处理。 体导电能量传递电磁场模型的分析与仿真 对于植入式电子装置来说,总是希望装置能够长期在体内稳定地工作,所以能量的供给单元是装置的重要部分。首先通过电磁场有限元软件FEMlab3.3建立了体导电能量传递场域数值模型,电磁场数值计算采用最为常用的有限元方法,方法的运用主要获得皮肤的电流密度和电势分布图象,从而可了解皮肤的温度分布,避免因电流密度大而损伤皮肤。为了提高充电电流传递效率,设计了三种不同形状的电极以优化电极皮肤接触阻抗,通过在体外电极上施加不同等级电压以得到最终结果。根据仿真结果,在保证人体安全电流情况下,导电电流传递效率可达20%以上,能量传递效率在4%-9%之间。 改进的人工鱼群算法的参数分析 基于原始人工鱼群算法,进行觅食、追尾、聚群行为的改进,以及可视域的自适应调整,提出了改进的人工鱼群算法。算法采用不同的参数值进行匹配,以优化函数值为例进行仿真实验。实验分析研究了主要参数对该算法优化性能的影响,并得出了合理的参数取值,以解决人工鱼群算法寻优精度低、运行速度慢的问题;实验还通过不同函数验证了改进的人工鱼算法具有更高的求解精度、更快的执行速度、更高的稳定性等优点。 W~4理论在道路交叉口视频监测中的应用 在智能交通视频监控系统中,由于交通路口车辆多、车速不定且外界环境光线变化等诸多因素造成不能很好地检测到运动目标。将W4算法应用到道路交叉口的视频监测中,该算法首先利用中值法进行初始化选出静止像素集合,并利用静止像素构建背景参数模型,同时建立基于像素级和基于目标级的背景更新模式。通过仿真验证该算法能够在路口比较复杂的情况下很好地检测到车辆,与传统的方法相比检测的准确度有了较大的改进。 低空目标被动声识别关键技术研究 低空目标被动声探测技术在现代战争中发挥着越来越重要的作用。针对低空目标被动声识别的一些关键技术进行了研究。首先从低空目标声信号与语音信号特征的相似性出发,论证了低空目标被动声识别与语音识别可以采用同样的方法。然后对四旋翼飞行器的声音信号进行了时频分析,提出基于MFCC参数的低空目标识别方法。最后论述了低空目标声音识别技术中的关键技术,重点分析了特征提取中的MFCC技术以及使用动态时间规整(DTW)算法进行仿真识别实验,为低空目标声音识别系统的开发奠定了基础。 基于OSG的航道船舶三维可视化信息管理系统 针对目前三维可视化交互模式难以支持航道船舶信息管理的问题,研究面向航道船舶管理,集三维场景动态实时呈现、场景对象信息管理于一体的三维可视化信息系统。首先,基于已有的静态航道与运动船舶三维场景,构建三维可视化场景;其次,建立描述航道、船舶对象的静态信息库,以及表达船舶运动、水位变化的动态信息库;最后,在三维平台上实现场景对象与其属性信息间的双向查询及数据更新等功能。实例表明,系统能够有效实现航道闸口段管理的可视化与信息化。 视频图像中维吾尔文字的识别研究 提出了从复杂背景视频图像中提取文字并识别的一套算法,利用自适应迭代算法提取视频中维吾尔文字,针对维吾尔文字的一些特点,利用合适的预处理方法保留维吾尔文字中的各种点及特殊笔画,同时有效地消除了复杂背景带来的噪声。考虑维吾尔文字书写的特点,利用滑动窗口法提取文字特征避免了文字分割,将产生的特征向量输入到隐马尔可夫模型(Hidden Morkov Model)中进行训练和识别。 简约支持向量聚类 针对传统支持向量聚类(support vector clustering,SVC)的高耗费和低性能弊端,提出了简约支持向量聚类算法(reduced support vector clustering,RSVC).RSVC的核心是简约策略和新的簇划分方法.前者是基于薛定谔方程而设计,提取对模型生成有重要意义的数据构成简约子集,并在此子集之上完成优化过程.后者提出并证明了高斯核函数特征空间的几何性质,并以此设计方法完成对数据簇的辨识任务.理论分析和实验结果表明,和同类算法相比,RSVC可更有效地解决两个弊端,在实际应用中取得良好的聚类效果. 基于OpenDayLight的恶意扫描防护技术 针对分布式拒绝服务(DDoS)攻击难以在危害产生之前被检测和防御的问题,提出了一种基于软件定义网络(SDN)的面向恶意扫描的控制层实时防护机制。首先,分析了SDN相比传统网络在网络层防护技术上的优势;其次,针对网络攻击手段——恶意扫描,提出了面向恶意扫描的控制层实时防护机制,该机制在SDN集中控制式架构的基础上,充分利用Open Day Light(ODL)控制器所提供的表述性状态传递(REST)应用程序编程接口(API)开发外部应用,实现了对底层交换机端口的检测、判定、防护三个环节;最后,对给出的方案在ODL平台上进行了编程实现,并实验测试了恶意扫描的检测防御方案。实验结果表明:当有端口正在对网络进行恶意扫描时,面向恶意扫描的控制层实时防护机制可以及时禁用该端口,实时起到对恶意扫描攻击的防护作用,进而在分布式拒绝服务攻击当中具有破坏性的行为还未开始时就对其进行了预防。 凹球面换能器在多层生物组织中的温度场仿真 高强度聚焦超声(HIFU)治疗肿瘤是目前临床治疗的一种有效方法,治疗过程中组织内的温度测量相当重要。分析了凹球面自聚焦换能器的声场特点,采用差分法求解Pennes方程,得到凹球面换能器的声场在多层生物组织中形成的温度场,通过实验仿真,得出不同初始声压、吸收系数和热传导率等参数在多层组织内的声场和温度场的分布情况,结果表明初始声压和热传导率对焦域内温升的影响较大,该研究便于理论与临床应用相结合。 改进人工势场模型的多UCAV突防轨迹决策 在考虑编队成员安全性因素的条件下,为解决人工势场模型用于多UCAV突防轨迹决策时的缺陷,提出了基于法向代替法的逃逸策略,使UCAV能对死区进行规避。建立了战场栅格化模型及UCAV离散运动模型,分析了编队成员间的相对位置关系。考虑到UCAV与邻机的安全性,在突防势场模型中构建了间距势能场,设计了UCAV突防轨迹规划策略。数值仿真验证了建立的模型和设计的轨迹规划策略的有效性。 基于隐马尔可夫模型的音乐分类 音乐类型(Genre)是应用最普遍的管理数字音乐数据库的方式,提出一种基于隐马尔可夫模型(Hidden Markov Models,HMMs)的音乐自动分类方案。在考虑传统的音色特征(Timbre)的同时,将另一重要特征节奏(Tempo)也加以考虑,并通过bagging训练两组HMM进行分类,达到了良好的效果。从结构、状态数和混合高斯模型数三个方面进行了参数优化,找到了最佳的HMM参数。在音乐数据集GTZAN上对传统模型和新模型分类效果进行了测试,结果表明考虑了节奏特征的HMM分类效果更佳。 基于虚拟仪器自动测试系统硬件平台的研究 "介绍一种""自动测试系统""(简称系统,下同),并对该系统的硬件集成等做了详细地论述。" μC/OS-Ⅱ中消息队列通信机制的形式化验证 μC/OS-Ⅱ是一个可移植、可裁减的基于优先级的抢占式多任务实时内核,其代码主要用C语言编写.消息队列是一种被广泛使用且灵活的线程间的通信方式,它的安全性对于构建安全操作系统内核十分重要.针对μC/OS-Ⅱ中消息队列机制,给出消息接收和发送接口所操作的共享数据结构满足的数学规范,同时给出了这两个接口实现的安全性(safety)证明,相关的证明在定理证明工具Coq中完成. 基于重要度的分级贝叶斯网络诊断模型研究 为了提高复杂系统故障检测和诊断的准确性,从数据的不确定性、诊断的不确定性以及特征参数选择的不确定性三方面展开研究;在贝叶斯网络解决不确定性问题优势的基础上,提出了基于重要度的分级贝叶斯网络模型;以往基于贝叶斯网络的方法无法做到系统地选择参数,建立的模型都是全模型;虽然全模型没有遗漏关键的参数,但包含了很多不必要的参数,这些多余参数不仅会对诊断造成不良影响,导致拖尾效应;仿真结果表明,提出方法可以获得更佳的故障特征,有利于提高故障识别能力,验证了模型的有效性。 应用驱动的基于流式框架的实时数据分区算法 数据分区技术是改善基于shared-nothing架构的大型应用性能的重要手段。当前的数据分区技术无法高效处理应用负载所蕴涵的动态、大规模分区信息,无法即时生成分区策略。为了解决传统数据库分区技术面临的问题,实现分区的实时处理,提出了与流式框架相结合的实时数据分区算法,通过构建关联矩阵映射分区信息,并基于代价模型实现数据分区方案的即时生成,采用流式框架的水平扩展机制实现了算法的高扩展性和高吞吐量适应性。实验结果表明,与现有分区方法相比,算法有较好的分区效果和较低的时间复杂度。该算法是大数据环境下针对大规模、动态工作负载进行实时数据分区的有效手段。 模糊聚类图像分割后处理 由于采用模糊聚类算法进行图像分割存在错分点的情况,需要对错分点做进一步处理以提高分割效果,对此提出一种图像分割后处理方法.首先对模糊聚类算法得到的分割结果进行检测,找出可能的错分点,然后通过统计错分点周边的隶属度分布情况对其进行重新分类,最后通过加噪的人工合成图像及自然图像对提出的后处理方法进行实验验证.结果表明该方法不仅能够进一步提升模糊聚类算法的分割准确率,而且所分割的图像视觉效果也有很大程度的提升. 文本分类中互信息特征选择方法的研究 针对互信息特征选择方法由于没有很好结合正相关特征和负相关特征,影响在不平衡语料集上分类效果的问题,用平衡因子调整正相关和负相关特征比例,加强特征选择时负相关特征的作用。同时引入特征分布差异因子,区分类强相关特征,提高分类效果。最后通过实验证明,改进的互信息特征选择方法具有可行性和有效性。 航空飞行试验工程大数据管理与应用思考 飞行试验是航空装备研制的重要环节之一,形成和产生的工程数据具有明显的大数据特征;传统的以试验测试数据为主的数据管理思想,难以保证试飞工程数据及其关系的完整性和准确性,无法全面表征飞行试验全过程,不利于试飞数据的再利用;在分析航空飞行试验复杂系统工程的有机组成及其工程大数据的本质特征和逻辑结构的基础上,结合近年来在飞行试验数据管理和处理研究中取得的技术成果和实践经验,提出了基于试飞工程全生命周期的航空飞行试验工程大数据管理思想,以试验对象为中心的一体化大数据采集和系统化大数据结构模型,和面向业务的基于SOA的分布式系统框架,便于建立一个综合、全面、开放的试飞工程大数据管理和挖掘应用平台,促进航空产品试飞数据处理效率的提升和试飞工程大数据在航空科研中的挖掘和广泛应用,发挥试飞工程大数据的发展助推作用。 粒子群优化算法在函数均值求解中的应用研究 针对函数的均值计算在工程与理论分析上的重要作用,在对粒子群优化算法(PSO)的整体极值、局部极值的模型进行调整的基础上,提出利用粒子群算法求解函数均值问题。该算法以当前所有粒子的平均值作为整体均值,粒子当前的平均值作为该粒子的局部均值,使粒子朝着目标函数的均值靠近,从而达到求出函数在一个区间段上的均值。数值计算结果验证了算法的有效性,并将其用于计算定积分,获得满意的结果。 简并性在电子系统仿生设计中的研究 简并性是系统内不同结构的元素具有相同功能或产生相同输出的能力,在元素缺失或破坏的情况下其仍能保持生物功能完整,在生物生存与进化中发挥着重要的作用。目前,简并性在电子系统仿生设计研究中也逐渐得到广泛重视。为使研究人员了解简并性及在电子仿生中的应用,概括了生物系统中的简并性现象及原理,阐述了生物简并性与复杂性、进化性、鲁棒性之间的联系,介绍了简并性在电子系统仿生领域的研究现状。最后讨论了简并性在数字电路鲁棒性设计中的发展趋势。 纹理映射与光照处理关系研究及应用 纹理映射和光照处理在实际应用中往往被分别计算结果。而在定光源模型下,基于仿射变换的纹理映射和Gouraud明暗处理以及Phong明暗处理在原理上却是一致的。光照处理可以使用纹理映射的方法实现,而且这种方式能更方便地将纹理映射、光照处理以及基于干扰函数的凸凹映射以纹理融合的方式合并为一次纹理映射,从而能加快计算速度。文中提出一种纹理映射与光照模型综合处理方法,该方法在不使用任何图形支持库下,而用软引擎实现真实感三维地貌显示系统,取得了理想的效果。 CLEFIA算法的不可能差分密码分析 为研究分组密码CLEFIA抵抗不可能差分攻击的能力,使用了两类9轮不可能差分路径,给出了相关攻击结果。基于一条9轮不可能差分路径,利用轮函数中S盒差分分布表恢复密钥,攻击了11轮的CLEFIA。改进了关于14轮的CLEFIA-256的不可能差分攻击的结果,将数据复杂度降低到2104.23,时间复杂度降低到2221.5。同时,在两条不可能差分的基础上,根据轮密钥之间的关系,使用Early-abort技术和S盒差分分布表,分别给出12轮CLEFIA-128和13轮CLEFIA-128的不可能差分攻击。 一种自适应帧长RFID标签防碰撞算法 无线识别技术基于无线射频标签与无线读卡器的信息交互,实现无需人工干预的标签识别,因此无线识别技术受到现代化物流的重视。由于中转站货物数量庞大,而读卡器的硬件设计必须考虑实现复杂度,因此在识别货物的无线标签过程中存在无线标签信息碰撞的现象。目前,概率性标签防碰撞算法的标签识别率较低,面对大量待识别标签的工作效率有限。本文提出一种自适应帧长的无线识别标签防碰撞算法AFA,分析结果表明该算法可以自适应调整检测帧长度,提高标签识别率。 层次粒结构下粗糙模糊集的不确定性度量 众所周知,经典粗糙集的不确定性来自于边界域,但是对于粗糙模糊集来说,其正域和负域中的元素存在不确定性,从而导致粗糙模糊集的不确定性不仅来自于边界域,还来自于正域和负域。另外,在粗糙模糊集中,一个模糊概念可以通过层次粒结构中不同的粗糙近似空间进行刻画,随着粒度的变化,模糊概念的不确定性的变化规律如何?对此,文中提出一种基于模糊度的不确定性度量公式,并基于均值模糊集分析了粗糙模糊集模型,得出粗糙模糊集不确定性度量的模型同样适合于度量概率粗糙集的不确定性的结论。其次,采用基于模糊度的不确定性度量方法,揭示了分层递阶的多粒度空间下粗糙模糊集不确定性的变化规律。然后,分析了3个域(正域、边界域和负域)的不确定性,并揭示了它们在分层递阶的多粒度空间下的变化规律。最后,通过实验验证了所提不确定性度量理论的有效性。 一种基于微簇的分布式聚类算法 由于信息化的不断拓展,一方面数据广泛分布于不同的部门,各部门需求在不泄漏自身数据的情况下进行充分合作;另一方面由于数据量的巨大,集中式的运算已经很难满足各种应用的需求。在这一个背景下,分布式数据挖掘已经成一个研究的热点,通过将系统划分为中心节点和边缘节点,分层管理并减少数据交流给系统带来的负担;文章还将给出微簇的定义,并在边缘节点中进行算法的描述。实验说明在保证各部门的数据不泄漏的情况下,分布式算法具有跟集中式的K-means算法相近的准确率,说明算法具有可行性和有效性。 求解货郎担问题的量子进化算法 货郎担问题属于NP完全问题,对它的近似求解方法主要是智能算法及线性规划,但其中的基本量子进化算法易陷于局部最优解。为此,提出一种新的量子进化算法,结合乡村货郎运输问题,对算法进行测试。结果表明,该算法在全局寻优能力及种群多样性方面均比传统算法有所改进,是求解乡村货郎担问题的一种有效算法。 基于GLOH算子和局部特征融合的人脸识别 为了减小高维特征算子的计算复杂度、提高识别算法的准确率,提出一种基于GLOH(Gradient Location and OrientationHistogram)算子的人脸识别算法。首先将人脸图像划分为4个独立的子区域并对提取的特征点进行聚类。为了更有效地描述人脸特征以及特征匹配,为不同的区域赋予不同的权重值,并采取整体结合局部聚类子区域的方法进行人脸识别。通过在ORL人脸图像库上的实验,验证了算法的有效性,特别是在不同表情、不同姿态等干扰因素的条件下,表现出了较好的稳定性和鲁棒性。 基于遗传算法和支持向量机的故障诊断方法 支持向量机是一种采用结构风险最小化原则代替传统统计学中的基于大样本的经验风险最小化原则的新型机器学习方法,具有出色的学习分类能力和推广能力,广泛的应用于故障诊断和函数拟合中;以某型直升机机载电气盒的故障诊断为实验平台,提出了一种自适应遗传算法和最小二乘支持向量机相结合的故障诊断方法,利用自适应遗传算法强大的全局搜索能力对最小二乘支持向量机的参数进行寻优;仿真结果表明,基于自适应遗传算法优化的最小二乘支持向量机取得了较好的故障诊断精度和效率。 基于EMD与LS-SVM的风电场短期风速预测 为了提高风电场风速短期预测的精度,提出了将经验模式分解与数据挖掘方法相结合对风速时间序列进行建模预测。对风速时间序列进行经验模式分解,使之分解为若干不同频带的本征模式分量。对不同频带的平稳分量建立相应的最小二乘支持向量机预测模型,将各模型的预测值等权求和得到最终预测值。仿真实验结果表明,风电场短期风速预测的MAPE为1.507%,提高了此类预测的精度,表明了该方法的有效性。 计算机科学中的范畴数据类型的研究综述 范畴数据类型是指以范畴论为数学理论基础研究数据类型的描述、计算、语义和应用。早期的范畴数据类型研究以归纳数据类型为主,采用代数从归纳的角度研究有限数据类型的构造语义和递归性质。近年来,归纳数据类型的对偶概念——共归纳数据类型逐渐引起计算机科学工作者的关注与研究,他们采用共代数从观察的角度研究无限数据类型的行为语义和共递归性质。利用范畴论可以为数据类型研究提供统一的数学理论基础,并将代数和共代数中的各种重要研究成果有机地融合在一起,如语法构造与动态行为、递归与共递归、同余与互模拟等。目前,范畴数据类型已经在程序语言、计算描述、理论证明器和并行计算等领域得到广泛的应用。对范畴数据类型的基本概念、数学理论基础、逻辑基础及应用等方面的最新研究成果进行介绍,以引起国内外相关研究领域的学者对计算机科学中的范畴数据类型理论的关注。 基于平面投影的三维空间定位算法 在无线传感器网络的节点定位过程中,未知节点在x轴和y轴方向上的定位精度较z轴更重要。为此,在RSSI高斯混合(RGM)算法的基础上提出一种基于平面投影的定位算法。通过缩小未知节点空间定位误差区域在xoy平面上的投影面积,提高未知节点在x轴和y轴方向上的定位精度。仿真结果表明,与RGM算法相比,该算法可在不增加时间复杂度及网络通信量的基础上,有效提高节点的x轴和y轴定位精度。 基于三角形外接圆的轮廓对应算法 针对目前的轮廓对应算法在处理形状复杂的研究对象时容易产生错误的对应关系及计算效率低的问题,提出了基于三角形外接圆的轮廓对应算法。该算法对位于不同截面上的每一个轮廓进行三角剖分,将剖分得到的三角形合法化之后提取其外接圆,通过研究位于相邻截面上的外接圆间的对应关系来确定轮廓间的对应。实验结果表明,该算法能够很好地处理形状复杂的研究对象,具有较好的鲁棒性和实时性。 企业级服务器虚拟化性能评估方法 随着计算机硬件性能及虚拟化技术的快速发展,越来越多的企业通过采用服务器虚拟化技术来降低信息中心机房的整合成本,提高硬件利用率.然而,在如何选择最佳的服务器硬件搭建高性能、低成本的虚拟化平台,尤其是在虚拟化应用层面上的性能评估是目前亟需解决的问题之一.介绍了四种服务器虚拟化的性能评估方法,分析比较了不同评估方法的特点和局限及其适用环境,为企业信息中心机房如何更好地去部署实施服务器虚拟化提供建设思路. 面向有效载荷高速数据流的数据处理方法 针对卫星有效载荷数传数据传输速度快、实时处理难等特点,提出一种面向有效载荷高速数据流的实时数据处理方法。借鉴MapReduce的多线程并行模式,采用hash算法与归并排序算法相结合的方式,提高数据处理吞吐率,实现实时处理;采用基于XTCE(XML telemetry&command exchange)数据模型的参数解析算法,实现通用性。实验结果表明,该方法能够满足有效载荷对数据处理的实时性和正确性的要求。 两类完美的门限可变多秘密共享方案 (t→t′,n)门限可变方案研究如何将门限t改变为t′(>t)以增加攻击者攻击方案的难度。基于拉格朗日插值多项式提出两类完美的门限可变多秘密共享方案:(t→t+1,n)门限可变方案Π,Π′、(t→t+v-1,n)门限可变方案Π,Π″,并证明Π′是(t-1,t+1,n)ramp秘密共享方案,Π″是最优(t-1,t+v-1,n)ramp秘密共享方案,Π,Π″是最优(t→t+v-1,n)门限可变方案。 基于计算流体力学的火炮制退机故障仿真研究 火炮制退机是控制火炮受力和运动的关键部件,基于经典力学和经验公式的火炮后坐计算模型,有较大的局限和误差,且不能实现对制退机内流场参量分布的模拟计算。针对以上两个问题,提出采用计算流体力学和多软件协同仿真技术研究制退机故障的新方法。通过对制退机进行故障分析,建立基于两相流的制退机MATLAB/FLUENT协同仿真模型,对节制环磨损机理和制退杆活塞套磨损对火炮后坐运动的影响规律进行了仿真分析,为制退机故障机理和性能退化规律的进一步研究提供了有效途径。 C8051F020单片机与GPS接收板串行通信的设计 以LEA-5H作为GPS接收模块设计了GPS接收板,分析了GPS接收板输出数据格式及信息组成,结合C8051F020单片机与GPS接收板研究了GPS定位信息提取问题,并给出了单片机提取GPS定位信息的软件流程图。 SAPO-18分子筛的合成及MTO催化性能研究 通过正交实验,研究了硅源(记为SiO2)、铝源(记为Al2O3)、磷源(记为P2O5)、模板剂(记为R)和水对SAPO-18分子筛合成的影响,根据极差分析得到各因素对SAPO-18分子筛合成的影响顺序为:P2O5>Al2O3>R>H2O>SiO2。结合单因素实验,确定合成SAPO-18分子筛初始凝胶的最优摩尔比为:0.9P2O5:0.8Al2O3:1.8R︰50H2O︰0.6SiO2。利用XRD、SEM和FTIR等检测技术对样品进行表征,并考察样品对甲醇制烯烃(MTO)反应的催化活性。结果表明,所得SAPO-18分子筛样品纯净,并具有优良的催化性能,在甲醇转化率100%的情况下,总低碳烯烃选择性为86.97%,而且产物中C2C4烷烃以及C5+选择性较低。 地月系拉格朗日L1点低能探月轨道分析 利用不变流形能够实现平衡点与行星之间的低能耗过渡;从地月系L1点晕轨道向月球圆停泊轨道具有多种零消耗转移轨道,但不同轨道的能耗和转移时间等指标不尽相同;利用圆限制性三体模型对地月系轨道进行了理论分析和L1点晕轨道计算;列举了地月系L1点不同幅值晕轨道及其不变流形的各项指标,分析了L1点不稳定流形所能够到达月球附近的情况,从中选取出了变轨和霍曼转移后能耗和时耗均最优的转移轨道,为探月工程的轨道设计提供了一个参考标准。 考虑客流拥堵的城轨网络脆弱性评估 考虑区段中断造成的客流拥堵,提出城轨网络脆弱性定量评估方法。利用动态客流分配模型模拟突发中断后客流在城轨时空网络中的分布情况,以乘客广义出行费用增长为指标衡量各运行区段的脆弱性,鉴别关键区段,并根据车站客流动态确定应采取限流措施的车站与时段。以北京市轨道交通网络为例计算突发中断下各区段脆弱性,研究结果表明,该方法能评估突发中断下城轨系统客流拥堵动态,为客运组织应急规划提供数据支持和决策评价。 无损检测技术在特种车辆裂纹检测中的应用 无损检测技术是检测技术的重要组成部分,将其应用到特种车辆裂纹检测中,能有效提高其检测与诊断效果;根据现代无损检测技术的发展,介绍了超声检测法、涡流法、磁检测法和红外热成像、激光全息和微波检测等新技术在无损检测中的应用,并根据特种车辆裂纹检测和故障诊断特点设计了基于超声技术和漏磁技术的裂纹缺陷检测系统;该系统经过标准件和实装的严格测试,结果表明该系统测试精度高、性能稳定可靠,达到设计要求,满足装备需求。 基于局部保持投影和主成分分析的地震数据去噪方法 随着地震勘探需求的增加和地震采集技术的发展,地震数据的维度逐渐加大,传统去噪方法已不能适应高维数据空间的非线性模式,导致对地震弯曲或倾斜同相轴的处理效果不佳,即容易损伤其有效反射波信号。为此,提出一种基于局部保持投影(LPP)和主成分分析(PCA)的地震数据随机噪声衰减方法。首先,利用LPP具有较好处理高维非线性数据的优良特性,用它对每个地震采样点由其近邻点进行重构,使得在地震数据去噪过程中能够有效地保留弯曲或倾斜同相轴信息;然后,使用PCA提取出特征值较大的有效反射信号,去除特征值很小的随机噪声;最后,在人工合成地震数据上的实验结果表明:与两种经典的基于曲波变换阈值去噪和基于小波变换阈值去噪方法相比,提出的方法能很好地适用于弯曲或倾斜同相轴,可以有效地去除随机噪声,且不损伤有效信号。此外,在实际地震CMP道集和叠加剖面上进行处理也得到了很好的效果。 TD-SCDMA集群通信系统切换算法研究 为了快速准确地选择切换目标小区和提高切换成功率,首先给出了一种基于灰色关联分析方法的目标小区确定方法,并对其进行仿真验证;其次,由于移动速度对切换的影响,对基于移动速度的切换算法进行改进,然后对改进算法和传统算法进行仿真比较;最后从接收信号强度和切换次数两方面评估,得出改进算法比传统算法性能更优。 局域网络安全防御入侵信号优化检测仿真 对局域网络入侵信号进行检测,能够有效保障局域网络的安全。对局域网络入侵信号检测,需要提取入侵数据,获取入侵信号的瞬时频率边际谱,完成入侵信号的检测。传统方法计算特征基参数的差异性,对入侵信号特征进行分类,但忽略了对入侵信号瞬时频率边际谱的获取,导致检测精度低。提出基于主成份分析的局域网络安全防御入侵信号的优化检测方法。该方法利用主成分分析方法,提取初始入侵信号特征,去除其中与分类无关的特征,构造两个子分类器,对入侵信号特征进行分类,利用卡尔曼滤波方法对入侵信号特征进行前置滤波,过滤入侵信号的EMD虚假分量,引入小波阈值去噪,获取入侵信号的瞬时频率Hibert边际谱,完成对局域网络安全防御入侵信号的优化检测。仿真证明,所提方法检测精度高,为保障局域网络安全稳定运行奠定了基础。 传感器网络中基于预处理证据理论的数据融合 在无线传感器网络中,多个传感器节点对于同一个目标的识别结果经常会发生冲突。使用基于D-S证据理论的数据融合方案可以较好地解决这一问题。然而,采用D-S证据组合公式计算融合结果,随着可能的目标身份的增加,计算量会迅速增长。针对传感器节点有限的处理能力和节点的决策数据具有高冗余性的特点,提出通过预处理来减少计算时需要处理的目标身份的个数,减少了计算量;并通过一致性检验排除了误差较大的数据,从而使得决策结果更准确。 基于B-Line搜索的计算双基链算法的研究与实现 标量乘法是影响椭圆曲线加密算法执行效率的一个重要因素,椭圆曲线的标量乘法的方法很多,基于双基链的标量乘法是一种效率较好的算法,文中讨论求解整数的双基链表示的基本算法—贪婪算法的基本原理和在VB环境下的实现。 基于Kaldi的普米语语音识别 为提高普米语语音识别系统的性能,引入深度学习模型进行普米语语音识别,该模型是一个高容量复杂的网络模型。以Kaldi语音识别工具包为实验平台,分别训练5种不同的声学模型,且这5种模型中包含一个有4隐层的深度神经网络模型。比较不同声学模型得到的语音识别率发现,G-DNN模型比Monophone模型的语音识别率平均提升49.8%。实验结果表明,当增加训练集的普米语语音语料量时,基于深度学习的普米语语音识别率会提升,而基于深度学习的普米语语音识别系统的鲁棒性比其余4个声学模型的普米语语音识别系统的鲁棒性更强。 一种改进的Prandini概率型中期冲突探测方法 Prandini提出了最典型的概率型中期冲突探测随机化方法,为了研究出更加高效稳定并适合中国空中交通管制的中期冲突探测系统,文中针对Prandini所提出的方法的预测结果存在突变误差和计算效率不够高,实时性不足等缺点,提出了一种改进的方法,即分别求解最小预测间距时刻及最小预测间距时刻附近S个时刻两飞机间的飞行冲突概率值,比较得出其中最大概率值,以此作为整个冲突探测的概率大小。实验结果显示改进后的方法不仅减少了中期冲突探测的运算量,提高了运算效率,更提高了飞行冲突探测的准确度和稳定性。该方法是一种有效的方法,能够满足我国空中管制系统对中期冲突探测的要求。 种群动力学优化算法 为了快速求解大规模复杂优化问题,基于种群动力学理论构造出了可全局收敛的种群动力学优化算法。在该算法中,每个种群对应着优化问题的一个试探解,种群的一个特征对应于试探解的一个变量;采用正交拉丁方原理构造出了种群初始值确定方法,以达到对搜索空间的均衡分散性和整齐可比性覆盖;将任意两种群间的竞争、互利、捕食-被食、融合、突变和选择等行为用于构造种群的进化策略,以使种群的适应度指数要么保持原状不变,要么向好的方向转移,从而确保整个算法的全局收敛性;在种群演变过程中,种群从一种状态转移到另一种状态,实现了种群对优化问题全局最优解的搜索。应用可归约随机矩阵的稳定性条件证明了本算法具有全局收敛性。测试结果表明本算法是高效的。 基于最佳桶划分的数据库加密存储与查询 现有支持快速查询的数据库加密方案未给出字符字段的划分值提取方法。针对该问题,提出一个基于最佳桶划分的数据库加密存储与查询方案。该方案利用最佳桶划分思想提取索引字段的划分值,通过提取代加密字段的索引值以及转换查询数据库的SQL语句实现加密字符型数据的存储和查询。实验结果表明,该方案具有较高的首次查询命中率和稳定的过滤效率,能提高加密系统的整体性能。 整体叶轮数控电解加工仿真与自动编程平台 针对航空发动机整体叶轮数控电解加工编程问题,开发了加工仿真与数控自动编程应用平台。该平台首先建立了虚拟数控电解加工机床装配模型,采用切片法、部件约束、位姿矩阵变换、组件参数关联建模等技术实现工艺数据的提取、加工轨迹计算、机床坐标轴的尺寸驱动、工件的连续切割等功能;借助平台实现电解加工仿真与自动编程,并在仿真过程中进行加工过切和干涉检验。通过在大直径整体叶轮的电解加工试验中的实际应用,表明采用该平台能有效地解决整体叶轮的加工编程难题,缩短加工编程时间,提高编程的准确性。 外军军事信息系统体系结构框架技术概述 体系结构框架是一种用于指导信息系统体系结构开发、描述、集成的统一规则和指南,是提高军事信息系统之间互联、互通、互操作能力的关键。世界各国正相继推出适合本国国情的体系结构框架并将此作为开发、描述和理解军事信息系统的指导和准则。文章总结了军事信息系统体系结构框架的发展概况,详细论述了美军信息系统体系结构框架研究的最新进展,重点分析了美军国防部体系结构框架2.0版的新变化和特点、体系结构视角和描述模型,并简要介绍了其他国家体系结构框架的研究情况。最后在分析各国体系结构框架的基础上,针对我军自身的军事特点,提出了对我军体系结构建设的启示。 基于ICA-PCA的化工流程仪表故障诊断 传统的多元统计过程控制(MSPC)的故障诊断方法要求观测变量数据服从高斯分布,然而实际化工流程中的仪表数据中难以满足这一要求。针对这一问题,提出在仪表数据中提取分离出非高斯信息和高斯信息,并分别利用独立元分析法和主元分析法建立不同的故障诊断模型。在检测到发生故障后,通过改进的贡献度算法定位出发生故障的仪表。通过对Tennessee Eastman(TE)过程数据进行仿真研究,验证了ICA-PCA故障诊断法在化工流程仪表不同故障诊断中的有效性。 芳烃精制工艺模拟与节能优化 N-甲酰吗啉(NFM)以其优良的化学和热力学稳定性,以及无毒、无腐蚀性,越来越被国内外的学者关注。目前,以NFM为溶剂的芳烃萃取精馏工艺已经在我国用于工厂生产。本文以某焦化厂的实际工艺为例,利用Aspen Plus软件,建立了以NFM为萃取剂的芳烃萃取精馏工艺流程模型,模拟结果与工业实际状况吻合良好。在此基础上,对现行工艺进行节能优化。利用Aspen Energy Analyzer软件,按照夹点技术的设计法则,综合考虑设备费用和操作费用,确定最佳夹点温差是10℃。据此设计出合理的换热网络,以实现节能优化的目的。通过经济核算,此换热网络提供的节能方案,可实现热公用工程的用量节省30.93%、冷公用工程降低31.66%,年总费用减少13.7%。 复杂信息系统风险评估框架与流程 复杂信息系统(CIS)在系统风险管理和风险评估上因其结构复杂性而存在较大难度。为此,基于Zachman框架,提出一种CIS风险评估框架,并在GB/T 20984-2007信息安全技术-信息安全风险评估规范基础上,建立CIS的风险评估流程。依据风险管理层次与安全域划分原则对CIS进行架构分解,研究安全域内和域间的评估方法。在传统风险要素的基础上增加CIS互联关系的风险要素。引入互信息表征互联关系的关联度,利用层次分析法对风险权重进行评估。结合实例对CIS风险评估流程进行验证,结果表明,该流程可对CIS风险做出客观准确的评估。 双向直流-直流电力变换器建模与仿真 研究双向直流-直流电力变换器能量正向流动和反向流动的工作原理,为了保证电力系统的稳定性和优化动特性,采用了时间平均的方法来处理三端电子开关器件,建立了其相应的数学模型。根据双向直流-直流变换器的小信号模型选取其带某一阻性负载时的一组参数,使用bode图方法对其进行了稳定性分析。根据双向直流-直流变换器的大信号构建真模型并进行时域仿真。仿真结果在验证了模型的正确性,对时域仿真曲线定量的反映了双向直流-直流电力变换器待阻性负载的动态特性,bode图定性地给出了双向直流-直流电力变换器带阻性负载的稳定性。并对类似于双向直流-直流变换器的各种电力变换器的建模、仿真和稳定性分析具有一定的借鉴意义。 基于超像素和局部颜色恒常性的自适应阴影去除 为快速有效地去除监控视频中运动目标的投射阴影,提出了一种基于超像素和阴影区域的局部颜色恒常性的自适应阴影去除算法。首先采用改进的简单线性迭代聚类算法将视频图像中的运动前景分割为互不重叠的超像素;然后计算了RGB颜色空间中背景与运动前景的亮度比率,并分析了阴影区域的局部颜色恒常性;在此基础上,以超像素为基本处理单元,计算亮度比率的标准差,并利用阴影区域标准差的特征及其分布规律提出基于拐点的自适应阈值算法检测并去除阴影。实验结果表明,该算法可以适用于多种真实场景下的阴影检测,且阴影检测率与目标识别率均超过85%;基于超像素处理可以大幅度降低算法的计算复杂度,该算法每帧平均处理时间为20 ms。该算法可以同时满足阴影去除对准确度、实时性和鲁棒性的要求。 基于最小熵翻卷积的网络故障特征提取仿真 传统的基于FRFT网络故障特征提取方法当网络信号发生突变时,由于受到噪声和信号衰弱的影响,导致网络故障特征极其微弱,并且网络的拓扑结构和权值分布成非线性映射,将信号简单排列成矩阵,无法有效实现对网络故障特征的提取。提出一种基于小波滤波以及最小熵翻卷积的网络故障特征提取方法,将突变信号在与之相邻尺度上的小波系数直接相乘,依据阈值对噪声中的网络故障信息进行采集并过滤噪声,使获取的小波系数信噪比大大增强。将突变信号小波变换值在几个尺度上进行计算,实现网络故障特征的初提取。获取一个逆滤波器,通过网络输出恢复网络输入信号,依据解卷积后获取的序列对可能估计值的最优解进行计算,求出逆滤波器矩阵,分析了最小熵归迭代算法的具体实现过程。仿真结果表明,所提方法具有很高的准确性。 江西杰科工程咨询有限公司简介 [正文]江西杰科工程咨询有限公司成立于2005年,是江西省计算技术研究所的控股投资公司。公司依托江西省计算技术研究所及江西省计算机质量监督检验站的强大技术实力,是我省最早从事IT领域独立第三方服务的专业机构之一,专业从事信息技术咨询、信息工程监理以及信息工程评估等服务业务。 不确定需求和旅行时间下的车辆路径问题 针对一类不确定需求和旅行时间下的随机车辆路径问题,建立了一个随机规划模型,提出了一种带有自适应机制的改进遗传算法。该算法引入自适应选择机制,采用了新的交叉算子。选取两种不同规模的随机车辆调度问题,分别采用该算法和基于边重组的改进遗传算法进行求解,并通过对计算结果进行对比分析,分别针对自适应选择机制和新的交叉算子做了讨论。结果表明,所提算法不仅取得了更好的优化结果,而且具有更快的收敛速度。 江西杰科工程咨询有限公司简介 [正文]江西杰科工程咨询有限公司成立于2005年,是江西省计算技术研究所的控股投资公司。公司依托江西省计算技术研究所及江西省计算机质量监督检验站的强大技术实力,是我省最早从事IT领域独立第三方服务的专业机构之一,专业从事信息技术咨询、信息工程监理以及信息工程评估等服务业务。 基于汉明距离递减变换的可逆逻辑综合算法 可逆逻辑综合是指对给定的可逆函数自动构造对应的可逆逻辑电路.现有的可逆逻辑综合算法虽然通过后期优化能够得到近似最优解,但是都存在生成的原始电路门数较多的问题,增加了后期优化工作的难度.文中提出一种基于真值表异位数计算的综合方法,根据异位数判定是否需增加逻辑非门达到减少输入和输出向量的汉明距离,从而实现边计算边简化函数,最后采用汉明距离递减变换的方法生成最终的电路.通过实验表明,相比于其他的综合算法,该算法得到的原始电路更接近于最优解或近似最优解,很大程度上减少了算法后续的优化工作量. 抗基于历史轨迹预测攻击的动态K-匿名算法 位置K-匿名技术被广泛应用于LBS隐私保护中,然而大多数基于K-匿名机制的研究缺少对攻击者背景知识的考虑,针对此,提出了一种抵御基于历史轨迹预测攻击的动态匿名算法。该方法以滑动窗口约束的方式挑选出与用户基轨迹相似的历史轨迹对用户位置进行预测,并对存在预测风险的位置动态添加历史数据以抵御预测攻击。与同类算法相比,实验结果表明该算法具有更好的预测性能,且在同等隐私需求下降低了用户的隐私披露风险。 基于LTE定位参考信号的时频二维联合时延估计算法 LTE(LTE Positioning Protocol)定位参考信号的频域梳状结构特点会导致时域相关检测出现周期性峰值。当移动台与基站之间的距离较大时,则会出现测距模糊问题。为了解决这个问题,提出一种基于LTE定位参考信号的时频二维联合时延估计算法。该算法首先在频域上以较大的滑动步长对接收信号做滑动相关,快速找到OFDM符号的粗略起始时刻,然后将本地定位参考信号与滑动窗内的接收信号做相关,并提取整数倍传输时延,最后在频域上利用子载波间的相位差估计小数倍传输时延,从而获得精确时延估计。仿真结果表明:该算法有效地解决了测距模糊问题,且在信噪比等于10 d B时,其测距精度在4米左右。 基于多种类型宏的Access数据库登录身份验证系统 针对非计算机专业人员在开发Access数据库应用系统时遇到的登录身份验证问题,介绍采用多种类型宏完成多用户多角色登录验证的设计思路和实现方法。其中首次将Access 2010中新增的数据宏功能引入该类问题的解决方法中。 基于Dempster-Shafer证据理论的匹配区多特征适配性分析方法 匹配区的适配性分析在景象匹配视觉导航中至关重要。目前已有很多匹配区适配性分析特征指标,但都只能片面说明匹配区的适配性。试图将多种特征指标进行综合,解决不同特征指标对适配性分析的冲突性,提出一种特征指标适配置信度和不确定置信度的度量方法,利用Dempster-Shafer(D-S)证据理论对置信度进行融合,得出匹配区适配综合置信度,实验验证了该算法的有效性。 基于遗传规划的动态作业车间调度规则生成 针对以最小化工件平均加权拖期为调度目标的考虑了工艺路线相关准备时间的动态作业车间调度问题,用基于遗传规划的方法智能设计生成用于优化求解问题的调度规则。详细介绍了算法用于调度规则设计的过程,并给出了仿真实验中算法的参数设置以及测试案例的生成方法。仿真调度结果以及对结果的单因素方差分析表明,由遗传规划进化生成规则的性能明显优于所选取的标杆规则的性能。对规则在其他各性能指标下的调度结果进行了同质分组,结果也表明进化生成的规则在其他调度目标下的鲁棒性也较好,这说明针对所要求解的特定调度问题环境,用智能算法遗传规划设计调度规则的方法是可行且有效的。此外,还分析了模型参数对调度规则性能的影响。针对基于遗传规划算法智能设计调度规则存在的优势与不足,指出了未来的研究方向。 航空货运中海量小文件的存储优化 针对Hadoop分布式文件系统在航空货运中海量小文件存储方面存在的低效率问题,提出一种面向航空货运中海量小文件的存储优化方法。通过分析航空货运数据交换平台中历史报文数据,构建用户的报文类型访问模型,计算报文类型的关联度,根据关联度将小文件合并成大文件后存储至HDFS;建立小文件索引以及数据缓存和相关小文件预取机制。实验结果表明,该方法对于Hadoop分布式文件系统存取航空货运海量小文件时NameNode的压力有明显缓解,提升了存取文件的时间效率,为航空货运中海量小文件的存储问题提供了技术支持。 云计算资源的动态随机扰动的粒子群优化策略 云计算环境中的资源具有动态性和异构性,大规模任务资源分配的目标是最小化完成时间和资源占用,同时具有尽可能好的负载均衡,这是一个非确定性多项式(NP)问题。借鉴智能群体算法的优点,提出基于改进的粒子群优化(PSO)算法构建混合式群体智能调度策略——动态随机扰动的PSO策略(DRDPSO)。首先,将PSO的惯性权重常数修改为变量,实现对求解过程收敛速度的合理控制;其次,缩小每次迭代的搜索范围,在保留候选最优集合的前提下减少无效搜索;然后,引入选择操作,筛选出优质个体并传递到下一代;最后,设计随机扰动,提高候选解的多样性,在一定程度上避免了局部最优陷阱。在Cloud Sim平台上进行了两类仿真测试,结果表明,处理同构任务时,在大部分情况下DRDPSO的指标都优于模拟退火遗传算法(SAGA)和遗传算法(GA)+PSO算法,总执行时间比SAGA减少13. 7%~37. 0%,比GA+PSO减少13. 6%~31. 6%;其资源耗费比SAGA减少9. 8%~17. 1%,比GA+PSO减少0. 6%~31. 1%;其迭代次数比SAGA减少15. 7%~60. 2%,比GA+PSO减少1. 4%~54. 7%;其负载均衡度比SAGA减小8. 1%~18. 5%,比GA+PSO减少2. 7%~15. 3%,且波动幅度最小。处理异构任务时,三种算法表现出相似的规律:CPU型任务的总执行时间最多,混合型任务次之,IO型任务最少,DRDPSO的综合指标最好,较为适合处理多种类型的异构任务,而GA+PSO算法适合快速求解混合型任务,SAGA则适合快速求解IO型任务。所提DRDPSO在处理较大规模的同构和异构任务时,能够较为明显地缩短总的任务执行时间,不同程度地提高资源利用率,并适当兼顾计算节点的负载均衡。 基于np-FSM的分布式协议的虚拟多端口测试方法 在协议工程领域,协议测试是非常重要的工作;对于分布式协议的测试多采用多端口有限状态机模型进行描述;由于分布式协议测试存在控制观察问题,需要对测试序列进行同步和协调;通过对现有分布式测试模型的改进,引入虚拟多端口测试的方法,极大地简化了多个测试器之间的同步和协调问题,并且不会显著增加系统的构建成本,提高了测试效率。 基于BP神经网络和遗传算法的养殖水域预警模型 随着我国经济的快速发展,环境保护工作面临前所未有的压力。为有效加强水产养殖水域环境的监管力度,应对突发性环境污染事故对社会生活和经济发展的影响,建立BP神经网络来拟合水产养殖水域饲料投放与总磷(TP)、总氮(TN)、透明度(SD)以及耗氧量(COD)等富营养指标变化情况的对应函数关系,并利用遗传算法来实现目标函数的优化方法,形成养殖水域预警模型,为水域环境治理和公共决策提供技术支撑。利用该模型对鄱阳湖新型水产养殖基地的样本进行分析,取得了很好的预测效果。 基于Web的智能报表设计研究 提出一种在ASP.NET应用程序下利用水晶报表与ADO.NET技术制作基于多数据库源及复杂统计下的Web报表的方法。针对多数据库源及复杂统计提出一种基于层次化的数据库结构的Web报表解决方案,并将该解决方案在珠海供电局线损系统中进行了实际应用。通过水晶报表导出Excel文件,并将这些文件当作数据库用ADO.NET技术进行读取,实现了Web报表的在多数据库源及复杂统计下的生成,在实际大型软件中应用取得了较好的效果。 基于相似度指标的社团划分算法 为快速准确地找到复杂网络的社团划分结果,引入相似度指标,提出一种改进的社团划分算法。将网络节点拓扑结构信息作为节点的多维属性,在不改变网络连边的情况下,使输入网络转换为节点多属性网络,并定义节点之间的混合相似度与社团相似度,运用层次聚类思想得到最终的社团划分结果。在真实网络、计算机生成网络上的实验结果表明,该算法能够发现明显的社团结构,并且具有较高的社团划分准确率。 基于Adaboost和码本模型的手扶电梯出入口视频监控方法 针对传统视频监控方法无法对密集前景目标进行准确分割的问题,提出一种基于Adaboost和码本模型的多目标视频监控方法。首先,通过训练得到Adaboost人头分类器,利用码本算法为垂直拍摄的手扶电梯出入口图像建立背景模型,提取前景图像对其进行人头检测和跟踪;之后,剔除行人目标得到物件目标,对物件目标进行跟踪;最后,根据行人和物件的运动特征进行监控。对12段出入口视频序列的实验结果表明,监控方法能够准确稳定地跟踪行人和物件,完成逆行检测、客流统计、行人拥堵和物件滞留等监控任务,处理速度达到36帧/秒,目标跟踪准确率达到94%以上,行为监控准确率达到95.8%,满足智能视频监控系统鲁棒性、实时性和准确性的要求。 料位自寻优和解耦算法在制粉系统中应用研究 针对制粉系统多变量、强耦合、料位测量不准确造成的经济性能低等特性,提出了基于料位自寻优多神经元PID制粉系统解耦控制。根据现场采集的磨音信号、磨机负荷等参数,采用料位自寻优算法能实时搜索磨机最大出力,使系统始终运行在最佳工作点;根据系统在最佳工作点的料位控制量采用改进权重的神经网络PID算法,实现各层神经元的连接权重值调整,降低系统控制误差,使系统实现解耦控制。仿真和实际控制结果表明,该算法具有较高的准确率,能适应不同工况下出口温度、入口负压以及料位的稳定控制,不仅实现了制粉系统的闭环自动控制,而且提高了系统制粉效率,降低了制粉单耗,最终达到节能目标。 气泡雾化喷嘴内部气液两相流场的研究 气泡雾化喷嘴在雾化高粘流体和非牛顿流体方面具有显著优势,其内部流型对雾化效果有决定性影响。基于VOF模型对气泡雾化喷嘴混合腔内气液两相流动进行了数值模拟。模拟结果显示了喷嘴内腔气泡形成至稳定过程并与实验结果进行了比对。数值模拟不同进气口数量和不同大小的喷嘴近出口处直径对气液混合腔内气液流型的影响,得出增加进气口数量和缩小口径有利于出口处形成环状流,可以优化喷嘴出口处的雾化效果;分析不同结构在不同气液比条件下形成稳定环流所需时间,比较得出雾化效果较好的气液比条件;最终得出适宜的雾化喷嘴结构。 基于3D MAX和Virtools的移动通信虚拟实验开发 "以""CDMA移动台主呼及被呼叫过程实验""的实验器材连接部分为例,详细介绍基于3DS MAX和Virtools环境的虚拟实验的开发过程,并结合实例阐述应用该技术开发移动通信虚拟实验系统的真实性、交互性及简单性优势。" SM8260 Cache应用验证的性能测试分析 根据CPU芯片应用验证的方法,给出写通模式下SM8260 Cache应用验证的流程及其硬件平台设计。分析L1 Cache,L2 Cache的初始化过程,对写通模式下的SM8260 L1 Cache,L2 Cache进行基准测试和大数组操作测试。测试结果表明,在大数组情况下,使用L2 Cache在一定程度上可提高嵌入式通信系统的性能。 化工生产过程精细化管理信息系统 由于化工生产在操作、安全、管理和数据模式具有不同于其他生产过程的显著特点,生产过程精细化管理在化工行业的应用存在相当的难度,精细管理的本质意义就在于它是一种对目标分解、细化和落实的过程,要用具体、明确的量化标准取代笼统、模糊的管理要求,从而实现由传统经验管理向科学化管理的转变。本文立足于解决目前在实现化工生产精细化管理过程中遇到的数据安全可靠、全面系统、及时准确和标准可用的难题,综合运用实时数据库系统中的智能分析计算模块,有效地整合近红外光谱检测、现场移动作业管理、企业资源计划和商务智能不同层面的信息化技术手段,研究如何通过生产过程精细化管理信息系统满足企业提升产品质量、能效、收率及设备可靠性、释放产能和量化班组考核的实际需求。最终,将研究结果在中国蓝星多套化工生产装置中投入实际应用,为化工生产装置提供一套信息全生命周期内安全可控的精细化管理支持系统解决方案。 GF(2~m)域上的低功耗可配置ECC点乘算法ASIC设计实现 针对射频识别(RFID)和无线传感网(WSN)等领域的高安全、低功耗、轻量化和可拓展等应用需求,设计一种GF(2m)域上实现的椭圆曲线标量乘法电路.通过对椭圆曲线标量乘法整体架构实现进行逐层分级优化改进,尤其对标量乘核心模块——模乘和模逆进行了低功耗设计改进.经过Xilinx FPGA工具仿真和Synopsys Design Compiler工具验证,该椭圆曲线标量乘法架构灵活可拓展,GF(2163)域上一次点乘运算仅需要138k个时钟周期并且在TSMC 0.13μm工艺下等效面积仅为11.9k,相比较同类设计,面积和执行速度都有着效果显著的优势,可以胜任像RFID以及WSN一样资源受限的应用场合使用. MPI+TBB混合并行编程模型在分子动力学中的应用 为了提高分子动力学模拟在对称多处理(SMP)集群上的计算速度,在分子动力学并行方法中引入MPI+TBB的混合并行编程模型。基于该模型,在分子动力学软件LAMMPS中设计并实现混合并行算法,在节点间采用MPI及空间分解技术实施进程级并行,节点内采用TBB及临界区技术实施线程级并行。在SMP集群中的测试表明,该方法在体系较大以及节点数较多时可以明显减少通信时间,使加速比在纯MPI模型上提高45%。结果表明,MPI+TBB混合并行编程模型可促进分子动力学并行模拟且效率明显提升。 基于分块匹配的文档图像压缩性能分析与仿真 文档图像作为图像的一种,在生活中的应用越来越广泛,然而其又不同于常规的文本文档或图像,它主要由具有特定含义的不同形状的字符串组成,其局部像素变化比较剧烈,高频分量相对丰富,采用常规的压缩方式很难获得较高的压缩率。常用的压缩方式没有考虑文档图像的特殊性,因而压缩性能有限。本文针对文档图像的特点,采用分块匹配的方法对文档图像进行压缩,即按照特定的规则对整幅图像进行分割,然后将分割的块图像进行分类并编码,从而在二维空间上消除了文档图像的相关性,获得了远高于常规无损压缩方式的压缩率。文中对分块匹配算法进行了描述,并对其性能进行了理论分析和仿真。 用改进蚁群算法确定无功补偿分级容量 传统确定无功分级补偿容量的方法不能有效利用负荷历史信息,容易出现过补或欠补现象。建立了有效利用历史无功负荷来求解无功补偿分级容量的优化模型,采用蚁群算法求解,对蚁群算法进行了改进。通过设定信息素的修正阈值,适时对信息素进行修正;通过纵向和横行的搜索方式,提高蚂蚁搜索的效率;算法能更好地避免陷入局部最优,执行效率数倍提高。 基于边缘特征与HSI色彩特征的胸环靶弹孔识别 为解决胸环靶纸图像弹孔准确快速识别问题,提出结合弹孔边缘特征与色彩特征的胸环靶弹孔识别方法。采用形态学边缘检测算法确定胸环靶图像边缘,进行边缘图像增强处理,提高边缘图像与背景的对比度,提取弹孔区域色彩特征数据,实现胸环靶纸图像中弹孔识别。实验及计算分析结果表明:这种方法能准确从一次成像的胸环靶纸图像中进行弹孔提取,还能在一定程度上减少自然环境下光照、灰尘、振动对靶面图像造成的噪声、畸变影响。 基于时间性能的对象知识网结构优化研究 为了优化企业信息系统的体系结构,基于时间性能研究了企业信息系统的形式化表达———对象知识网结构的优化方法。通过对象知识网的知识点间关系的表达,对不同类型知识点结构的时间性能进行了讨论,提出了基于二叉树的知识点表达式的表示方法,解决了优化算法的编码问题,采用基于改进的免疫遗传算法,对对象知识网的结构进行优化,并通过算例对提出的优化方法进行说明和验证,获得了优化的对象知识网体系结构,同时为基于时间性能的企业信息系统结构优化奠定了理论基础。 基于蓝桥杯的“以赛促学”教学方法实践 计算机编程能力是学员从事科学研究和工程实践的基本技能,全国蓝桥杯大赛对于培养学员编程实践能力和创新能力发挥了积极有效的作用,为人才培养提供很好的锻炼平台。总结了近年来我校全国蓝桥杯大赛参赛情况、组织培训、服务保障,并提出了应避免的一些问题。 一种时空联合的视频去噪方法 传统的图像滤波器在模糊程度与去噪能力之间存在不可调和的矛盾。提出了一种基于时空域联合的方法,从视频的角度出发,同时利用信号的时域和空域相关性进行去噪,以解决这一矛盾。新方法首先通过一种基于自适应阈值、搜索方法切换的快速自适应运动估计方法获得运动轨迹,然后使用自适应窗口大小的中值滤波去除空域噪声,中值滤波中使用了运动轨迹上相邻两帧的对应窗口像素,使用一维卡尔曼滤波器行时域去噪,最后用几何均值结合两次滤波的结果,使信号的时域相关性与空域相关性都得到了充分利用。实验结果证明该算法去噪效果显著,超过了各种时域或空域方法,对椒盐噪声的处理效果尤其突出。实验也表明,由于新方法的时间消耗为时域滤波、空域滤波和运动估计时间的简单累加,因时空联合而增加的计算复杂度属于可接受的范围。 矿井中电力系统变压器故障诊断仿真 针对矿井中电力系统变压器故障的模糊性,传统的气体分析法无法根据气体的浓度直接判断故障发生的可能性大小,提出了一种基于直觉模糊Petri网的矿用变压器故障诊断方法。通过直觉模糊集合来描述特征气体与故障之间的关系,建立了新型的直觉故障诊断模型,在模型中引入了隶属度和非隶属度。设计了直觉模糊推理算法,通过获取和处理故障诊断模型中连接弧的权值、变迁的阈值等具体参数,将故障诊断过程转化为利用直觉模糊Petri网的直觉模糊推理过程。最后求出了各个故障的隶属度和非隶属度,以此判断故障发生的可能性。通过仿真验证了上述矿用变压器故障推理方法能够根据气体的浓度快速判断故障发生的可能性大小。 支持复杂产品系统设计的虚拟知识流生成算法 复杂产品系统设计流程环节多,设计人员不仅需要掌握本环节的知识,也需要了解相关环节的概要知识,采用本体表示的复杂产品系统知识资源对设计人员构成艰巨的挑战。结合工作流与本体技术,设计了满足设计人员知识需求的虚拟知识流生成算法。算法允许设计人员指定工作流各个节点的起始概念、属性关系以及知识深度,然后遍历各个节点生成各节点本体视图,形成支持设计人员知识需求的虚拟知识流,从而促进设计人员的知识共享。算法具有起始概念顺序无关性、属性指令累加性、完备性,计算时间随本体中概念数量线性增加。最后以飞机设计中的虚拟知识流为例说明了算法应用。 应用反向学习策略的群搜索优化算法 群搜索优化算法(Group Search Optimizer,GSO)是一类基于发现者-加入者(Producer-Scrounger,PS)模型的新型群体随机搜索算法。尽管该算法在解决众多问题中表现优越,但其依然面临着早熟和易陷入局部最优的问题,为此,提出了一种基于一般反向学习策略的群搜索优化算法(GOGSO)。该算法利用反向学习策略来产生反向种群,然后对当前种群和反向种群进行精英选择。通过对比实验表明,该方法效果良好。 基于位置密钥的无线自组织网络的安全机制 移动自组织网络(MANETs)由于网络内节点移动的不确定性和计算存储有限性,导致了它在无人监管的敌方环境中很容易遭受攻击。虽然现存的一些基于位置校验而设计的安全机制能够抵御一些网络攻击,但是这些设计大多只适用于静态网络。将当前流行的位置密钥应用到动态网络当中,提出了一个新颖的概念——安全区域(SA),来防御网络中的恶意攻击。该设计利用节点的位置密钥,可以成功抵御包括节点复制攻击、女巫攻击和虫洞攻击等臭名昭著的网络攻击。 关于电子商务最优交易商优化识别仿真 对电子商务最优交易商的优化识别,能够高效提高用户收益,降低交易风险。对电子商务最优交易商的识别,需要获取推荐信息特点,计算电子商务交易中交易商的可信度,完成电子商务最优交易商的识别。传统方法得到电子商务交易的抽象特征,对电子商务交易商进行分类,但忽略了对电子商务交易商可信度的计算,导致识别精度偏低。提出电子商务最优交易商优化识别,分析电子商务交易商对承诺的执行情况,对电子商务交易商的信任度进行评价,获取电子商务交易商的信任评分;在推荐信息特点的基础上,结合综合的可信度计算方法,计算电子商务交易中交易商的可信度;分析推荐信息对电子商务交易商的声誉进行评分,并结合电子商务交易商给用户带来的经济收益选取出电子商务最优交易商。实验结果表明,所提方法选取的电子商务最优交易商给用户带来的收益高、交易风险低。 基于代理的分散式生产—分销系统协同计划模型 为突破传统计划模型研究的局限性,将代理机制扩展到供应链系统环境下的生产—分销模型中,并在此两级供应链环境下建立了生产代理和分销代理间的协同计划模型。假设需求数据已知,构建了生产代理模型、分销代理模型和生产—分销协同计划模型,使得此两级供应链的需求被满足的同时,生产商和分销商的总成本最小,并给出了运算步骤及协同方法。通过协同求得了制造商的最小可能生产能力,并通过定理证明了此协同机制的收敛性。最后,通过算例分析及与集中式计划模型的对比,验证了分散式生产—分销代理协同计划模型的有效性。 《计算机集成制造系统》网站变更声明 [正文]为了保证网络畅通,提高为广大专家、作者及读者服务的水平,编辑部对网站进行了改进。本刊采编系统登录网址变更为http://118.145.16.223:8081/JournalX_Cims;期刊网站地址变更为www.cims-jour- 基于Web的个性化营养评估保障系统设计与实现 针对当前现状下人们对能够实现个性化营养评估并提供营养配餐保障服务的迫切需求问题,设计实现一个基于Web的个性化智能营养评估保障系统。在对当前营养配餐软件或系统现状研究的基础上,结合对各类人群营养标准的深入调研及对数据库应用系统的理论和实践研究。设计实现了基于Web的个性化智能营养评估保障系统,该系统主要由个性化能量消耗模型创建、个性化营养评估、个性化营养推荐等功能模块组成。系统测试表明,基于Web的个性化智能营养评估保障系统能够有效地提供针对特定个体的营养评估和配餐保障功能。 改进的暗通道先验快速图像去雾算法 针对暗通道去雾算法复杂度过高的问题,提出一种基于暗通道的快速去雾算法。首先对图像分块处理,提高求取暗通道值的速度;接着采用线性插值算法平滑处理,消除块状效应;然后根据暗通道先验求得透射率;最终利用大气散射模型得到清晰无雾图像。实验结果证明,在保证良好去雾效果的前提下,相比原暗通道算法,所提算法复杂度被有效降低,去雾所需时间也降为原来的1/10左右,达到准实时。 运输能力有限的分段两阶段多车间调度问题 为有效解决船舶分段生产过程中存在的返工、运输能力限制以及堆场面积约束等问题,分析两阶段多车间调度的特点,构建了运输能力有限的分段两阶段多车间调度模型。模型综合考虑了分段批次内重调度、批次间的分割合并、分段返工以及缓冲面积和运输能力约束,目标是最小化分段的最大完工时间,建立分段在加工车间、装配车间以及堆场中的调度数学模型。利用基于路径选择的分段两阶段多车间调度启发式算法进行求解,并通过数值实验以及对比分析验证了模型的合理性和算法的有效性。 混沌大洪水算法求解函数优化问题 针对函数优化问题,提出一种混沌大洪水混合优化算法。该混合算法基于大洪水算法寻优思想和混沌序列的内在随机性、遍历性和规律性特点。算法在Delphi 7环境下编程实现,针对几个典型复杂函数进行优化测试。仿真结果表明,混沌大洪水算法是一种简单有效的算法,在运行效率上明显优于其他算法。 OpenCL的动态执行模式在静态编译支持下的实现 OpenCL的动态执行模式要求底层平台支持device文件的动态生成、编译和加载运行。对于不具备这些特性的平台,必须从软件层面考虑支持方法。通过采用函数更名技术解决同名函数正确识别问题,基于动态执行流的predo策略可以在静态编译环境下实现OpenCL的动态执行模式。 利他驱动的应用层组播 节点自私问题是目前应用层组播技术面临的挑战之一.自私节点可能有意或者无意地停止转发某些数据包,导致流媒体质量下降.为了解决应用层组播中节点自私性问题,提出了一种利他驱动的应用层组播,简称ADALM机制.ADALM根据一个节点对其他节点的转发贡献,计算出该节点的利他值;基于利他值构造组播树,使得利他值较大的节点位于树的较高层.和本领域其他研究相比,ADALM在利他值计算和组播树构造方面均有创新:首先,利他值基于父亲节点和孩子节点的反馈,使得系统可以有效地检测出自私节点;节点无需发送额外的探测包去测量其邻居节点的服务质量;在组播树的构造和维护过程中,仅需要调整O(lgN)个节点;最后,利他值计算和组播树构造采用分布式方法来实现.仿真结果表明,即使存在一定比例的自私节点,ADALM也能构造一棵高性能的组播树,并且具有较低的控制负荷. 传感器人体运动行为特征识别研究进展 人体运动行为特征识别在医疗健康、人机接口、体育竞技等诸多领域都有广泛应用。介绍了常见的用于运动数据采集的传感器和相应方法,简述了运动数据预处理的一般手段;介绍了运动数据特征提取的过程,重点描述了常见运动行为特征识别方法的研究现状,并简述其应用现状。最后,指出了目前运动行为特征识别中所面临的问题,并给出了未来的发展方向。 基于混淆矩阵和集成学习的分类方法研究 针对多分类问题,本文提出一种基于混淆矩阵和集成学习的分类方法。从模式间的相似性关系入手,基于混淆矩阵产生层次化分类器结构;以支持向量机(SVM)作为基本的两类分类器,对于分类精度不理想的SVM,通过AdaBoost算法对SVM分类器进行加权投票。以变电站环境监控中的目标识别为例(涉及到人、动物、普通火焰(红黄颜色火焰)、白色火焰、白炽灯),实现了变电站环境监控中的目标分类。实验表明,所提出的方法有效提高了分类精度。 四阶龙格库塔算法在捷联惯性导航中的应用 为了提高捷联惯性导航的精度,降低算法结构复杂度,解决惯性导航精度不能满足实际需要的矛盾,将四阶龙格库塔算法应用于捷联惯性导航算法的姿态和速度解算,优化了捷联惯性导航算法。首先根据前人的研究结果实现解算姿态、速度和位置的高精度数字积分算法。再根据龙格库塔算法的原理,推导得出了利用四阶龙格库塔算法的姿态和速度解算方法。然后利用轨迹发生器所产生的仿真数据分别验证并得到高精度数字积分算法以及龙格库塔法解算出的导航结果的误差特性曲线,两者比较,证明使用四阶龙格库塔算法的导航解算精度要高于使用高精度数字积分算法,为提高捷联惯导的导航性能提供了科学依据。 基于形状与纹理特征的显微图像识别 为了实现对空气中的致敏花粉信息进行自动化统计,针对上海地区典型气传致敏花粉的光学显微镜图像,提出了基于形状和纹理特征的识别方法。对图像中分割得到的花粉区域,使用全局形状描述和傅里叶描述子提取形状信息,灰度共生矩阵提取纹理特征,并且构建k近邻分类器进行识别。选用桑科56例、禾本科25例和松科60例共141例实验样本,分别可以实现91%、88%和98%分类准确率。实验结果表明,该方法可以初步实现对花粉显微图像的分割和识别,为花粉的自动识别系统打下基础。 MIL-STD-188-110C波形在宽带ITS信道传输的性能研究 介绍了最新颁布的宽带短波波形标准MIL-STD-188-110C,研究了短波ITS宽带信道模型的结构及其冲击响应函数的计算方法,对24kHz带宽波形在Watterson信道和ITS信道中传输的误码率曲线进行了仿真和比较分析,从而为研究宽带短波通信提供了一定的理论基础。 基于PMC模型的高效人工免疫诊断算法 诊断算法是系统级故障诊断的一个重要研究课题。文献[10]提出PMC模型下的人工免疫诊断算法,但是没有充分利用PMC模型的特点,并在计算亲和度的时候给出一个假设。对此首先利用PMC模型的特点优化初始种群的质量,其次定义优化后的亲和度函数,最后提出新的算法流程,并证明算法的正确性和收敛性。模拟实验显示在CPU时间和迭代次数上都优于原算法。 基于差分隐私的海量数据发布方法研究 海量静态数据直方图发布过程中分组划分存在离群点,导致误差增大和离群点判定效率低的问题。对此提出一种适用于Spark框架的满足ε-差分隐私保护的海量静态数据直方图发布方法。对k-means聚类算法进行避免距离重复计算的优化改进;利用改进后的k-means聚类算法进行直方图最优分组划分,实现快速聚合相似分组,形成最优分组融合;对分组结果添加噪声处理,并将经过差分隐私保护处理后的数据进行发布。利用实际数据进行仿真实验,结果表明,所提方法在海量静态数据集隐私保护处理中可提高发布效率和保证数据隐私安全性,同时保证发布数据具有较好的可用性。 基于软件缺陷的可信证据度量模型 为克服软件可信性评估过程中可信证据正面度量收集数据困难的问题,提出基于软件缺陷的可信证据度量模型(trustworthy evidence measurement model based on software defects,TEMMSD),利用软件系统中存在的缺陷类型、数目以及缺陷严重程度等因素,从侧面实现可信证据度量。通过软件开发者、第三方测评和用户反馈3个方面采集、获取软件生命周期中不同阶段存在的缺陷数据并对初始数据进行预处理,运用正交缺陷分类法对缺陷数据进行缺陷分析并可信归类,从主客观的角度确定缺陷类型的权重,实现软件系统的缺陷证据度量。对石油企业自然递减跟踪系统进行实例分析,分析结果表明,TEMMSD模型在软件可信证据度量中具有可行性和有效性。 网格调度中的QoS参数容错 基于QoS参数的资源调度在执行中由于参数不满足要求导致调度失败,可靠性不强,而部分QoS参数具有累加属性,可实现不同任务之间的参数容错。基于此,在考虑用户偏好的基础上,分析参数特性,提出一个在时间和成本上实现多任务之间容错的调度算法。该算法在不增加预算的基础上可以提高任务执行的成功率,并能够根据用户的偏好更好地满足用户的需求。实验结果表明,在QoS参数上的调度容错能够较大地提高调度的可靠性。 城市智慧排水系统设计与实现 城市智慧排水系统旨在当前城市排水信息系统的基础上,采用基于实时数据监测的动态模拟和分析技术,实现对城市排水系统管网的安全运行和对污水排放的智能化协调与调度。本文分析城市智慧排水系统的层次结构,设计系统总体架构以及控制流程。实验表明,系统有效地发挥了现有管网的排水能力,并达到了节约成本、控制能耗的目的。 基于多目标进化算法的入侵检测特征选择 针对入侵检测系统要求检测率和误报率均衡优化,提出一种由顺序搜索策略改进的多目标进化算法,对特征空间进行压缩,以选择最优特征子集。实验结果表明,改进的多目标进化算法实现了检测率与误报率的均衡优化,较好地提高了入侵检测系统的性能。 网络安全中多源传感器数据融合技术研究 多源传感器数据融合技术是网络安全领域的研究热点。本文提出了一种基于时间和空间的数据融合分类方法,比较分析了各种数据融合技术在分布式入侵检测系统和网络安全态势感知系统中的应用,讨论了网络安全中多源传感器数据融合技术的发展趋势。 仿人机器人步态规划反馈控制研究综述 仿人机器人步行稳定性是机器人领域重要研究内容之一。介绍了仿人机器人常用的步态规划方法,划分为非反馈式和反馈式的两种步态规划算法。总结了反馈式步态规划主要研究的内容,并以世界著名Asimo、HRP、KHR和Darmstad仿人机器人为例,描述仿人机器人具体反馈控制方法和过程。探讨了仿人机器人步态反馈控制中有待研究的内容。 基于无线OFDM系统的调制方式保护算法 随着无线通信系统的宽带化,传统的数据加密算法具有很高的计算复杂度,并且没有考虑到物理层调制方式的安全性。针对这一问题,从物理层加密的角度,提出了一种基于无线OFDM系统的调制方式保护算法。在单载波和多载波情况下,分别对所提算法的调制方式保护效果进行了分析,并通过采用典型的认知无线电调制识别方法对加密前后的识别率进行了仿真和比较。理论分析和仿真结果表明,所提算法在不改变原系统固有性能的情况下,具有不错的调制方式保护性能。 DSR-BCA协议应对DoS攻击的解决方法 Ad hoc网络的无线、自组织特点使其很容易受到DoS攻击。在已有研究成果DSR-BCA协议的基础上,增加一个应对DoS攻击的机制,参与网络路由的节点都执行路由参与验证算法,当网络数据传输的丢包率超过预设阈值时,用隔离算法找出被DoS攻击的节点并隔离它,使网络节点的有效性最大化。仿真实验表明,该方法在Ad hoc网络受到DoS攻击时的效果明显,在平均传输时延和分组投递率两方面的性能都有提高,对于DoS攻击可以起到很好的抵制作用,提升了网络的健壮性。 基于改进ILS算法的多目标优化试验设计 现有的拉丁超立方设计优化大多以正交属性度量或均匀属性度量为单一优化准则,针对该单一优化准则存在的缺陷,提出一种基于改进ILS算法的多目标优化试验设计方法,对拉丁超立方设计的均匀属性和正交属性同时进行优化,并与已有的几种典型试验设计方法进行对比。实验结果证明了该方法的优良特性。 多传感器集中式恒虚警率检测融合技术 为提高传感器的检测性能,将一种经典的恒虚警率检测器CA-CFAR与多传感器集中式检测相结合。给出了多传感器集中式CA-CFAR检测器在均匀杂波环境中的虚警概率解析表达式。对两传感器集中式恒虚警率检测器和三传感器集中式恒虚警率检测器的检测概率进行了仿真,仿真结果表明,多传感器集中式恒虚警率检测器相对于单传感器恒虚警率检测器的检测概率有明显提高。 对象的功能扩充机制的比较研究 为了准确理解和选择面向对象程序设计中的对象功能扩充方法,提出一个对象功能扩充机制的比较模型。该模型包括动态性、依赖性、类型无关性、层次性和语言要求等几个指标,分别描述了对象功能扩充方法的灵活程度、非功能对象的内聚度、功能对象和非功能对象的耦合程度、对象功能扩充的影响范围等。基于这些指标分析了几种典型的对象功能扩充方法,给出了这些方法的比较结果。比较结果表明,面向方面的程序设计是对象功能扩充的一种有效手段,比较结果对于对象功能扩充方法的选择具有指导意义。 LTE-A系统中HARQ技术的实现与验证 随着移动通信系统中上下行业务吞吐量的快速增长,HARQ存储器大小的需求及交互访问量的不断增加,以及终端设备功耗指标的持续飙升,由此对片内HARQ存储方式进行研究,提出一种运行时间在满足0.5ms的条件下,能使数据搬移和硬件加速器可并行工作的硬件实现方案,最后采用了基于VMM的ASIC验证工具对其进行仿真验证. 对XW混沌密码算法的分割攻击 分析了徐淑奖等人提出的一类混沌迭代加密算法的安全性,发现该加密算法由混沌映射产生的量化序列的前几个量化值对混沌初始值低位比特的变化不够敏感,据此提出了在选择明文攻击条件下由量化序列恢复混沌初始值的先攻击高位比特再攻击低位比特的分割攻击方法。在参数r=4已知且密钥长度为64 bit的条件下,分割攻击算法仅需1个选择明密对,其成功率为0.930 5,计算复杂性约为219.7,存储复杂性约为211.6。 基于SDSoC的SIFT特征点检测 为了在嵌入式机器视觉处理系统中能够快速提取图像的特征点,完成图像匹配与物体识别等操作,提出了一种在全可编程器件上实现的SIFT(Scale Invariant Feature Transform)算法。该算法使用SDSoC开发环境,采用PS(Processing System)和PL(Programmable Logic)协同开发策略,通过流水线优化、软硬件并行和重构算法顺序等方法对算法进行优化。对多幅QVGA分辨率图像进行了处理,结果表明软硬件协同开发的策略能够有效提高算法性能,同时能保留较多特征点。该算法生成的特征点在尺度变换、旋转和缩放的情况下均能得到良好的匹配效果。与现有使用软件实现的SIFT方案相比,具有一定的实时性,满足了在嵌入式领域的应用需求。 粒子群算法分析及其求解露天矿道路路径优化问题 粒子群算法作为一种优化工具具有简单、易实现的优点,它所具有的群体智能和收敛速度快优点更使其适合于大规模复杂的网络优化问题。分析几种粒子群算法的改进策略,得到它们相对于标准算法的优势,抓住粒子群算法收敛快特点,通过对算法参数和算法结构进行调整,有效的弥补了算法易于陷入局部最优缺陷,进而提高了其全局搜索能力。通过适当选择问题的解空间与粒子群算法中粒子们的对应关系,将粒子群算法成功的应用于露天矿道路网络的路径优化问题中。 基于.NET的军卫体检系统的设计与实现 针对现有军卫体检系统不能满足军区机关医院体检工作需求的现状,提出一种基于.NET的军卫体检系统的解决方案。该系统在总体设计上考虑多种就医模式,设计了部队体检者和地方体检者两种操作入口,可满足不同医疗机构需求,增强了系统的适应性;在系统功能实现上注重提高体检中心的工作效率以及体检信息的完整性,增设体检结果智能分析、PACS接口等功能,使部队健康档案管理更规范化,具有较好的实用价值;在系统架构上采用C/S三层架构+抽象工厂模式,降低代码间的耦合性,提高了系统的可维护性。 自适应分块的BIM墙体轮廓提取及三维重建研究 建筑信息模型(building information modeling,BIM)技术的发展被视为建筑行业的第二次革命,BIM应用的第一步就是搭建3D建筑信息模型。然而手工搭建BIM模型的效率低下,因此结合Auto CAD及Revit二次开发技术,实现基于建筑平面图的三维快速重建功能。在重建过程中,首先对建筑平面图中的数据进行提取,对重要建筑构件识别进行研究,即对直线墙体、门窗进行识别。在此基础上,利用数据分块思想,提出了自适应分块的墙体轮廓提取算法,解决了墙线段断开的情况,有效地提取到墙体的轮廓及其中的坐标点,从而实现了墙体、门窗的三维重建,提高了BIM建模效率。 基于改进深度森林算法的软件缺陷预测 软件缺陷预测是合理利用软件测试资源、提高软件性能的重要途径。为处理软件缺陷预测模型中浅层机器学习算法无法对软件数据特征进行深度挖掘的问题,提出一种改进深度森林算法——深度堆叠森林(DSF)。该算法首先采用随机抽样的方式对软件的原始特征进行变换以增强其特征表达能力,然后用堆叠结构对变换特征做逐层表征学习。将深度堆叠森林应用于Eclipse数据集的缺陷预测中,实验结果表明,该算法在预测性能和时间效率上均比深度森林有明显的提升。 DDL数字导播服务器系统 随着网络技术和多媒体技术发展,传统的广播电台导播装置仍采用电话耦合器的方式和引入CTI板卡和电话耦合器配合使用的方式,已不能很好的满足电台业务的需求.基于此,数字多路导播系统应运而生,基于包交换的IP通信技术构建新一代数字导播装置,可有效的解决传统导播装置面临的问题,满足电台和听众对热线电话形式的需求.数字多路导播服务器是导播系统的核心设备,本文设计并实现了基于Keygoe设备的数字导播服务器系统.采用模块化的方法,设计数字导播服务器系统结构,设计并实现了数字导播服务器系统中设备资源管理模块、策略执行模块、消息通信模块和界面呈现模块. 一种新的自适应布谷鸟搜索算法 为提高布谷鸟搜索(cuckoo search)算法(CS)的局部与全局搜索能力和收敛速度,提出了一种新的自适应布谷鸟算法。在该算法中,提出一种自适应参数控制策略来动态地调整CS中的步长因子,以增强CS的搜索性能。另外,把类似差分进化算法变异策略引入到CS中,以进一步提高CS的种群多样性。仿真实验表明,改进的CS算法的优化性能得到了明显改善。 一种改进的WSN成簇算法 从保证无线传感器网络(WSN)感知覆盖性能角度出发,分析节点剩余能量、重叠感知覆盖率与簇头选择的关系,改进LEACH协议中簇头阈值选择前的信息采集过程,提出一种适用于高密度随机部署的WSN成簇算法。实验结果表明,该算法可有效保持网络感知覆盖率,从而延长网络寿命。 基于伪随机函数的移动射频识别认证协议 为解决移动射频识别(RFID)中阅读器和后端服务器之间因无线传输出现的安全问题,提出一种基于伪随机函数的移动RFID双向认证协议。该协议满足EPC Class-1 Generation-2行业标准,且实现了标签、阅读器和后端服务器之间的双向认证,并通过GNY逻辑证明了其安全性。该协议能有效抵抗追踪、重放、同步化等攻击,而且它将主要计算转移到后端服务器,因此能降低标签的运算量和标签成本。 粗糙自适应遗传算法在图像恢复中的应用 针对简单遗传算法(SGA)在图像恢复应用中寻求匹配近似解时,存在匹配度低及匹配值差异较大,导致很难得到所需近似解的问题,设计了一种新的图像恢复方法。该方法采用的方案是将简单遗传算法与粗糙自适应算法相结合,按照匹配数值对SGA在其搜索解空间所得匹配近似解进行明暗标记分类,然后按照粗糙自适应模型进行相应地分类处理,以增强图像恢复算法的鲁棒性。通过与逆滤波、维纳滤波和简单遗传算法的对比实验表明,粗糙自适应遗传算法(RAGA)能更好地保留图像边缘及提高峰值信噪比值。 随机子空间深度回归方法在紫外光谱水质分析中的应用 紫外光谱法进行TOC浓度分析时存在数量多、维数高等问题。针对此问题,提出了一种基于随机子空间深度回归的分析方法。该算法首先采集TOC标准溶液的紫外光谱数据进行预处理,得到吸光度数据;然后在高维数据空间随机选取低维子空间来构造不同的特征子集,并采用深度信念网络对各子集进行特征提取;最后将得到的低维特征进行组合后送入BP神经网络中进行训练,建立TOC浓度反演模型。在构建的水质分析平台上的实验结果表明,提出的基于随机子空间深度回归的水质分析方法对每种TOC浓度反演结果的相对误差均在1%以内,且反演结果的稳定性和准确性也要优于常规的水质分析方法。 EAST等离子体击穿计算 关于等离子体放电优化控制问题,由于在托卡马克等离子体放电中,等离子体击穿的条件是获得合适的环电压以及尽可能大的零场区。较好的零场只有通过调节极向场线圈电流才能实现,同时EAST极向场系统采用了一体化的设计,但还没有专门的补偿线圈来控制放电初期有较好的零场,根据要求计算零场区结果应与实际实验结果相符。为解决上述问题,提出调节极向场线圈电流以获得较好的零场区,使等离子体顺利击穿。根据提出的磁通环、磁探针等测量信号,计算出了等离子体击穿的零场区、击穿前后的涡流变化及分布,并利用高速CCD记录等离子体放电的击穿过程来验证结果的准确性,取得了与实验一致的结果,为EAST正常放电提供了很好的参考价值。 一种针对移动相机的实时视频背景减除算法 提取移动相机拍摄视频中的前景时,采用基于稠密光流或像素点轨迹的算法估算相机运动会造成算法非常耗时,为此提出一种简单有效的实时视频背景减除算法.首先用基于超像素的区域增长预处理算法得到可能是前景的超像素;然后基于分块相对光流的背景特征点筛选算法来估算相机运动;最后检查光流与相机运动的一致性,得到背景减除的最终结果.实验结果表明,该算法可以实时处理大小为640×480像素的视频,且前景检测准确度优于同类算法. 支持建筑墙体造型创新设计的方法研究 进一步研究了建筑墙体造型的自动化设计,提出了建筑墙体设计的新方法,该方法通过线性不等式围成的凸空间来定义墙体设计的初始元,限制初始元所在的空间为以坐标原点为中心的一定大小的包围盒,并定义这些初始元的空间关系。鉴于建筑墙的特殊性,空间关系限制为相交关系,这里的相交包含相接。对空间关系的定义采取两种方式,一是由用户来手动设置,二是由计算机随机设置,采用向量空间定位法来判断空间关系的有效性。如果空间关系有效,则迭代执行两个形状衍生规则,计算得到不同的造型,空间关系不同、衍生代数不同得到的造型也就不同。该方法实现了建筑墙体设计的自动化和智能化,从而达到了辅助设计的目的。 CRC码串并结合算法的研究与实现 CRC码以其算法简单、检错能力强、抗干扰性能优异等特点,广泛应用于各种通信协议中。这里在分析CRC串行算法和并行算法的基础上,提出串并结合的算法。CRC循环冗余串并结合算法相比CRC串行编码,大大提高了计算速率;相比CRC并行编码,克服了通信中数据位非8的整数倍的问题。以CRC-ITU生成多项式为例,通过仿真,验证了该算法的正确性和可行性。 AETA多分量地震监测系统的数据存储与安全系统 AETA多分量地震监测系统由地声传感探头、电磁传感探头、数据处理终端和云端应用服务器、数据存储中心、数据分析系统组成。海量传感数据的云端存储与安全,是保障系统长期稳定运行的一大关键问题。文中介绍了AETA多分量地震监测系统中数据存储与安全系统的设计和实现。数据存储方面,将原始数据和特征数据分别存储在磁盘文件系统和关系型数据库MySQL中,其中原始数据采用RAID进行本地备份,特征数据基于MySQL复制、XtraBackup和二进制日志等机制进行数据备份。数据安全访问方面,设计并实现了一套数据访问中间件来代理所有数据访问请求,避免了客户端与数据源的异地直接连接,同时也向用户屏蔽了数据源的相关结构和操作,提升了数据访问的安全性。 改进运用深度置信网络的语音端点检测方法 为了更好地运用深度置信网络进行语音端点检测,针对现有方法过于繁杂的问题,改进采用语音频谱作为深度置信网络的输入。在Matlab环境下使用TIMIT语料库进行仿真实验,实验结果验证了该方法的有效性,并且在Babble噪声环境下验证该方法比现有方法具有更高的检测正确率。 虚拟机管理器中面向虚拟块设备的一种通用快照扩展机制 基于虚拟机环境下虚拟块设备多样性的特点以及对高可用、容错等能力的需求,本文针对目前主流的虚拟机体系结构,提出了一种在虚拟机管理器中为虚拟块设备扩展通用快照功能的机制,能够为虚拟机环境下的多种虚拟块设备提供统一和可扩展的快照服务,有效避免了大幅修改底层的多种具体的虚拟块设备驱动。基于Linux平台,为QEMU扩展了通用的快照功能,验证了快照扩展机制的可行性,并通过实验测试分析了快照对虚拟机性能的影响。 基于Chord的多租户索引机制研究 多租户数据管理是支持SaaS应用高效运行的重要组成部分.随着租户规模的不断扩大,多租户数据库需要云计算环境下的每个节点都存储并处理租户数据,因此适合利用对等结构(P2P)组织管理多租户数据.组织良好、易扩展的云中多租户索引机制是高效查询的关键.文中基于P2P结构对多租户索引机制展开研究,针对不同租户的索引易相互干扰、租户数据分布无序的问题,通过对Chord的映射方法进行改进将所有租户索引统一映射到一个标识符空间,给出的映射函数使单个租户索引可以隔离、保序地在空间分布.同时设计了各节点所负责的标识符空间范围的分配算法,使租户索引及数据可均衡、聚集地放置在各节点,从而使查询时既能通过对等结构避免性能瓶颈,也降低了数据传输成本.文中给出了多租户索引机制的动态维护策略,提出的标识符空间增倍方法使该索引机制能够适应租户数量与索引不断增加的应用场景.实验结果表明,该机制在租户规模较大时,与集中式索引相比查询时间至少可以节省50%,吞吐量提高1.5倍. C值和互信息相结合的术语抽取 在目前的生物信息领域开放语料的术语抽取实验中,前2000多个双字词的精度已经达到了90.36%,但是三字以上的词的抽取精度只有66.63%,多字词的抽取成为了名词术语自动抽取的一个难点问题。针对该难点,提出综合C-value参数在长术语抽取方面的优势,并与术语抽取中的互信息参数相结合的策略来识别术语。实验结果表明,长术语抽取正确率为75.7%,召回率为68.4%,F测量值为71.9%,高于相同语料下的其他方法。 基于用户行为分析的网站结构优化研究综述 基于用户行为分析的网站结构优化是Web挖掘领域内的主要研究方向。通过对国内外文献的归纳概括,综述了目前基于用户行为分析的网站结构优化的国内外研究现状,并通过对比分析,指出了各研究方法的优缺点,最后讨论了未来的研究方向。 基于区块链技术的生物特征和口令双因子跨域认证方案 为解决传统跨域认证方式不多且方案复杂的问题,提出了基于区块链技术的生物特征和口令双因子跨域认证方案。首先,使用模糊提取技术提取生物特征的随机密钥参与认证,解决了生物特征泄露导致永久不可用的问题;其次,利用不易篡改的区块链存储生物特征公开信息,解决了模糊提取技术易受主动攻击威胁的问题;最后,基于区块链的分布式存储功能与联盟链架构,实现了用户在本地和异地环境下的双因子跨域认证。安全性分析和效率分析的结果表明,在安全性方面,所提方案具有抗中间人攻击、抗重放攻击等安全属性;在效率与可用性方面,该方案效率适中,用户无需携带智能卡,系统的可扩展性强。 使用多层深度采样的屏幕空间环境光遮挡 为解决传统的基于水平线的屏幕空间环境光遮挡算法不能准确计算被遮挡物体的环境光遮挡值的问题,提出了一种使用多层深度采样的屏幕空间环境光遮挡算法。该算法使用桶型深度剥离算法获取场景中各层次的深度信息,并使用这些信息为场景中所有物体计算环境光遮挡值,有效解决了算法不能为被遮挡物体计算环境光遮挡值的问题。该算法的计算过程完全在图形处理器中完成。实验结果表明,该算法相对于原算法具有更好的真实感。 刀片式插件板热插拔控制策略研究 本文通过对各种热插拔控制策略的研究,针对新一代高性能计算机系统采用的12V直流母线供电结构,对该系统刀片式插件进行热插拔电路设计。采用最新的具有PMBusTM通信接口的控制技术实现了对刀片式插件电源总线的测量、保护和控制,并对电路进行了实验测试。该设计技术将应用于新一代超级计算机各类插件板上。 基于ART2网络的三维模型聚类分析方法 为解决三维模型聚类中存在的聚类结果对数据输入顺序和维度敏感的问题,将基于自适应谐振理论的ART2网络引入到模型聚类中。以Rand指数、调整Rand指数和互信息指数3种聚类有效性评价指标为依据,通过实验分析了ART2网络中a,b,c,d,θ五个参数对聚类有效性的影响,并给出了一组较优的参数组合。在此基础上,定性地分析了警戒系数对聚类结果的影响,其中包括最大聚类数的确定和聚类结果对输入顺序的敏感度。聚类结果验证了ART2网络在模型聚类上的可行性和实用性。 基于Dijkstra算法最短路问题C语言实现 在日常生活和生产中最短路问题是重要的优化问题之一,而Dijkstra算法是目前公认的解决最短路径问题较好的算法。论文采用C语言编程来实现使用Dijkstra算法求解最短路问题。 LTE小区搜索中定时与频偏估计算法研究 在长期演进(LTE)的小区搜索中,定时同步与频偏估计是一个非常重要的同步过程。基于分段相关算法,提出了一种改进算法。利用分段相关算法具有抗频偏的优点结合差分运算,并采用对接收端信号进行累积处理方法,提高了定时同步精度。将本地PSS信号累积相加的结果与接收信号进行相关运算,有效降低了算法的运算量。同时利用本地PSS信号与接收PSS信号的相关性,提出一种有效的频偏估计算法。理论分析与仿真实验表明,与传统算法相比,提出的定时与频偏估计算法有效提高了估计精度,减小了运算量。 Ad Hoc网络中分簇算法的研究 Ad Hoc网络是一种多跳的自组织网络,网络是由移动的节点组成。Ad Hoc网络的许多应用都依赖层次结构的支持,簇结构是Ad Hoc网络中应用最为广泛的层次结构,而这种层次结构的形成和维护依赖于某种分簇算法。在研究已有分簇算法的基础上,提出了一种新的基于权值的分簇算法(NWCA),通过对算法进行分析和仿真测试,证明了该算法的有效性。 作战仿真中火力分配优化算法研究 火力分配优化是作战仿真中的一个重要内容,其结果的精确度直接影响到作战仿真的可信度。为提高火力分配的快速性和精准性,将免疫遗传算法和量子遗传算法相结合,利用免疫克隆、免疫记忆、免疫平衡算子改善优化量子遗传算法,引入先验知识和局部最优解来提高算法的收敛精度、收敛速度和稳定性。实验结果证明,在容量各异抗体记忆库的条件下,量子免疫遗传算法可有效解决作战仿真火力分配优化问题,与常用的基本遗传算法、量子遗传算法、免疫遗传算法相比,具有收敛速度快、稳定性好、无明显早熟形象等特点。 关系数据模型与面向对象数据模型的关系探讨 面向对象数据模型的应用打破了油田单一关系数据库体系架构。如何在面向对象数据模型和关系数据模型并存条件下构建和优化协调统一的数据库体系架构,是油田数据库建设的重要技术方向之一。本文从油田数据库应用的角度概要对比了关系数据模型和面向对象数据模型的特点,对关系数据模型和面向对象数据模型共存条件下建立油田数据库体系架构的可能性进行了探讨。 连续交通流可视化仿真 在大型城市的交通态势模拟中,宏观模型相比于微观模型,具有效率高,物理意义明确的优点,但缺乏车辆在各个时刻的细节描述。为了使宏观模型的交通模拟的显示更加直观,结合微观模型的更新方式,提出了基于宏观模型的可视化方法。通过道路上的车辆初始密度得到所有车辆的初始位置和速度;根据宏观路段速度更新车辆信息,使得宏观路段密度值与微观车辆所构成的车辆流密度相符。该方法能够给出宏观模型中车辆在各个时刻的运动情况,并添加了微观上的细节。以实例证明了该方法的可行性。 啤酒瓶字符的圆周投影特征提取与识别 针对已分割完成的啤酒瓶凸性字符,提出了一种基于圆周投影变换的图像特征提取方法。以质心为极点将笛卡尔坐标系变换为极坐标系,进行圆周投影计算,将二维的字符图像变换为一维投影。利用小波变换(WT)和离散余弦变换(DCT),提取不同字符的有效特征。通过相同、相似字符特征提取实验,表明该方法具有较好的抗噪声能力和分类性能。 基于神经网络的机翼气动参数预测仿真研究 飞机机翼颤振是气动弹性力学中最重要的问题之一。为抑制机翼的颤振,首先需要对气动力参数进行计算或预测,而常规的方法存在稳定性差的问题。鉴于飞机的气动力参数的非线性及迟滞效应,提出应用RBF神经网络建模方法,缩短机翼在作正弦运动时的参数计算时间。利用CFD软件和历史计算数据,建立RBF神经网络模型,并对预测结果进行对比研究。结果表明,运用改进方法可预测出给定参数范围之内的升力系数和阻力系数,且误差很小,证明了上述方法在气动力分析预测领域的可行性,可为飞机机翼气动特性优化提供参考。 基于自适应扰动的粒子群优化算法 为了避免粒子群优化算法(PSO)早熟收敛,提出了一种自适应扰动的PSO算法(ADPSO),以帮助停滞的粒子跳出局部最优。为了验证算法的有效性,实验测试了九个多峰函数,包括四个旋转函数。仿真结果表明,该算法优于其他五种PSO算法。 基于虚拟化技术的私有云APCS平台设计 为提高分布式管理信息系统的硬件资源利用率和运营维护管理效率,并为业务用户提供应用系统的按需使用服务,提出一种基于虚拟化技术的管理信息系统私有云APCS解决方案。通过云服务基础架构平台ACSIP建设,实现对底层硬件平台的整合利用,提高系统硬件资源利用率,保障业务应用系统的连续性,提供可动态配置的应用服务。使用云服务管理平台ACSMP建设,实现系统运行资源的动态分配管理、系统集中运维监控管理和安全管理。 基于软硬数据的多点地质统计法在图像统计信息重构中的应用研究 仅使用硬数据或无条件数据时,图像统计信息的重构会比较困难而且精度不高.如果在重构过程中加入软数据,则可以提高图像重构的准确性.结合使用软数据和硬数据,提出了一种利用多点地质统计法重构图像统计信息的方法.该方法在再现训练图像特征模式的过程中,将软数据和硬数据同时作为条件数据,因此可以提高重构图像的精度.实验表明,与仅使用硬数据和无条件数据的情况相比,该方法重构的图像具有与真实体数据更为相似的结构特征. 一种基于GF(2~3)的(K,N)有意义无扩张图像分存方案 传统有意义图像分存存在像素扩张,通常只对分存信息以较短的认证信息进行甄别,从而导致重构的秘密像素真实性无法准确鉴别。针对此问题,提出一种基于GF(2~3)的(K,N)有意义无扩张图像分存方案。在该方案中,首先生成加密映射表并利用秘密像素的位置信息对秘密像素进行加密;然后将秘密像素的认证信息和加密像素在GF(2~3)有限域下进行(K,N)分存,嵌入到掩体图像对应的像素中;最后将映射表的生成密钥进行(K,N)分存,计算每个子密钥的MD5值并公布到第3方公信方以防止掩体图像持有者作弊。实验结果表明,所提方案能准确地识别出秘密图像攻击区域,不存在任何像素扩张,掩体图像与秘密图像等大且嵌入分存信息的掩体图像具有较好的视觉质量。 基于LabVIEW的几何匹配方法的设计与实现 针对产品生产过程中需要进行检测分析的要求,为打破使用传统检测方法效率低等局限性,以LabVIEW软件为开发平台,应用视觉开发工具包,结合机器视觉技术,采用模块化的设计思想,为实现像素坐标至物理世界坐标的映射,消除畸变误差,通过视觉助手完成畸变校正附加标定信息,设计实现一种产品匹配检测系统。测试结果表明,当存在照明不均匀、背景变化或者匹配对象存在旋转及比例变化、部分遮挡、对比度翻转等现象时,该系统能准确定位到目标对象。 一种自主式车载组合导航系统的可观测性研究 为了解决SINS/GPS组合导航系统信号易受干扰和导航精度爱影响的问题,设计了一种SINS/OD/电子地图组合的自主式车载导航系统。利用电子地图提供的位置信息和里程仪提供的速度信息,设计kalman滤波器;并在分段式定常系统模型(PWCS)的基础上,采用奇异值分解法对系统的可观测性进行了分析,研究了系统在静止、匀速和转弯三种不同机动条件下的可观测性,得出一些具有实用价值的结论。仿真结果表明:对系统的可观测性分析结果有效,提高了组合导航系统的精度,并为载体在导航中最佳机动方案的选择提供了理论依据。 改进的Hough变换实现圆检测 为了自动检测出图像中的圆并精确测量其参数,提出一种改进的点Hough变换圆检测方法。首先对提取边缘后的轮廓进行一次筛选,得到所有的连续边缘轮廓;然后进行二次筛选,排除那些明显不可能为圆的图形,获得最终候选边缘轮廓。对候选边缘点组进行快速点Hough变换圆检测,计算圆的直径值和圆心坐标值,在检测过程中,采用自适应的点选择步长值和累加器判断阈值。利用VC++6.0开发了圆检测系统实验软件,并进行了对比实验,结果表明该方法可以有效解决原算法中固定值导致检测精度不高、误检测和漏检测的问题。 基于耦合强度设计结构矩阵的复杂产品配置模型优化及应用 针对复杂产品内部结构耦合性强、配置设计求解效率和准确性低的问题,提出基于耦合强度设计结构矩阵的复杂产品配置模型优化技术。定义了产品配置结构体,基于结构体之间的父子逻辑关系和约束关系,对配置模型进行耦合分析,并对结构体间的耦合关系进行量化。通过映射得到配置模型耦合强度设计结构矩阵,对其进行分解变换并给出的聚合强度指数函数进行判定,得到结构体聚合集。采用基于净供给度解耦算法撕裂聚合集,重组配置结构体,优化配置模型。该技术在高档数控机床配置设计中得到了应用与验证,提高了数控机床的配置效率与准确性。 服务计算中服务质量的多目标优化模型与求解研究 随着信息技术的不断发展和进步,传统的面向组件和系统的架构模式逐渐演变成面向服务的设计模式.服务计算作为一种新兴的计算模式应运而生并广泛应用于各个领域.随着用户和服务供应商需求日趋多样化,如何对服务系统进行最佳配置和管理,提供最优的服务质量,越来越受到研究者的关注,服务计算中服务质量的多目标优化成为研究热点.由于不同目标之间可能存在相互制约和折中的关系,多目标优化问题面临着难题和挑战.文中从服务计算中广泛关注的多维度指标体系出发,结合具体的研究问题,总结了5种典型的多目标优化模型,并从适用性、求解难度等多个角度对它们进行了分析和比较,同时讨论了模型的相互关系.对应优化模型,介绍和分析了常用的多目标优化求解方法.最后,对全文进行了总结,并对下一步的研究方向进行了展望. 《计算机学报》征稿动态 [正文]《计算机学报》是中国信息技术领域的权威性刊物,也是学术界、工业界广大科技工作者、博士、硕士研究生进行学术、技术交流的开放平台.它涵盖的领域包括计算机硬件体系结构、计算机软件、计算机科学理论、人工智能、信息安全、数据库、网络与通信、音视频与新型多媒体、计算机图形学以及其它新技术等.《计算机学报》被国际、国内著名检索机构收录.(1)国际检索:EI、Scopus、SA、日本科技文献速报、俄罗斯文摘杂志等.(2)国内检索:中国科学引文数据库、中国学术期刊文摘、中国期刊计量指标数据库等. 基于颜色饱和度的快速图像去雾研究 在雾霾天气条件下,室外场景图像往往会产生严重退化,造成图像对比度的下降和颜色的衰减。为得到清晰无雾的图像,从单色大气散射模型出发,提出一种基于颜色饱和度的快速图像去雾算法(FIDS)。首先,大气光亮度A通过四叉树方法进行估计,接着采用饱和度运算对透射率进行估计,并对其修正和平滑保边得到较精确的大气透射率,最后基于大气散射模型获取最终的去雾图像。对比实验结果表明该算法能提高图像的清晰度和运算效率,很好地恢复图像的颜色和对比度。 高速数据总线测试概述 文章简要介绍了源同步接口总线和高速串行总线的测试难点和各种测试解决方法。 一种面向机械领域文本分类器的设计 提出了一种面向机械领域的文本分类器.特征选择采用基于文档频率的特征提取法和灰色关联度计算相结合的方法,有效降低了特征维数,削弱了特征词之间的关联,为采用贝叶斯分类创造了条件.分类阶段引进了基于类别区分度的加权因子对朴素贝叶斯分类器进行优化.实验证明,该分类器能够有效地提高机械领域文本分类的召回率和正确率,具有较好的使用效果. 基于生物特征的匿名远程用户认证方案 分析基于生物特征与二次剩余的远程用户认证方案,指出其存在不能抵抗冒充用户攻击、假冒服务器攻击、会话密钥泄露攻击和拒绝服务攻击等安全缺陷,基于此提出一个基于生物特征、口令与智能卡的匿名远程用户认证方案,主要包含注册、登录、认证和口令更新4个阶段。分析结果表明,该方案不仅克服了远程用户认证方案的安全缺陷,而且还可以抵抗智能卡丢失攻击、重放攻击,并实现了用户匿名性。 无线传感器网络寿命预测模型 通过对网络能耗模型的分析,综合网络能耗方程和终止条件,建立了一种定量预测传感器网络寿命模型。建立了单个节点稳态能耗速率时间序列ARMA模型,通过最小二乘法预测能耗趋势,提出了应用于实际系统的寿命预测计算方法。利用该方法,对应用LEACH协议和HEED协议的传感器网络进行了寿命预测仿真。实验结果表明,模型和计算方法达到了预期的寿命预测效果。 iOS平台下人脸识别系统实现研究 设计了一个基于苹果公司嵌入式操作系统iOS平台下的人脸识别系统。通过对基于Haar-like特征的AdaBoost人脸检测算法的研究,实现了实时人脸检测。提出了一种改进的基于隐马尔科夫模型的人脸识别方法,此方法采用奇异值压缩抽取人脸图像特征作为观察序列,减少了数据的存储量和计算量,解决了嵌入式系统中由于图像处理数据量大造成的低效。实验结果证明,该系统检测速度快,实时性强,识别率高,可以作为iOS平台上其他类型人脸识别应用软件开发的基础。 基于SHA512哈希函数和Rijndael加密算法QR二维码信息安全设计 随着二维码技术广泛应用于电子票务、银行支票、电子保单等多个领域,二维码的信息泄露和信息篡改等安全问题日益突出.为提高二维码内部信息的安全性能,从对二维码内部信息加密和二维码信息防篡改俩个角度来提高.基于Visual Studio 2008 C#平台,设计了一种采用SHA512哈希函数和Rijndael加密算法混合加密的方法,该方法利用Rijndael加密和SHA512数字签名等技术,对Rijndael第一次加密密钥系统随机分配,并对系统随机分配密钥采用二次Rijndael加密防护方法,并通过SHA512对二维码内部信息防篡改校验,达到对二维码信息及其加密密钥的安全保护.在生成QR二维码之前实现了信息加密,并从系统构架、算法原理和实现及安全性能等多个方面进行了测试和分析.分析表明此方法提高了二维码信息的安全性能,达到对密钥高效管理和对信息的多重保护,而在加密后密文信息容量较明文信息有所增加. 基于双通道传感器的航空发动机在线故障诊断系统研究 对基于双通道传感器的航空发动机在线故障诊断和隔离技术进行了研究;在发动机机载非线性模型的基础上,对发动机的双通道传感器分别设计混合卡尔曼滤波器,利用该滤波器在线估计双通道传感器输出,并结合实际双通道传感器测量值以及发动机机载非线性模型的输出值在线实现传感器故障检测和隔离、部件故障及异常检测确认;利用该技术建立了某型涡扇发动机在线故障诊断系统,通过仿真实例验证了该系统的诊断性能,实验结果表明,本文所建立的在线故障诊断系统能够较好的完成故障诊断与隔离、部件故障及异常检测等功能,为此类系统的工程应用提供了理论依据。 基于矩阵运算的二维EMD算法 为利用人类视觉冗余,达到更高嵌入率,在EMD算法的基础上提出一种二维高嵌入率的空域隐写算法。将载体图像像素序列划分成大小为m×n的矩阵块,结合EMD算法与矩阵运算将编码后的秘密数据,分别独立地嵌入到矩阵块的行和列上,通过性能分析得出矩阵块的行数m的最佳取值为1。实验结果表明,相比EMD算法,该算法的嵌入率有较大提高。 一种自适应惯性权重的粒子群优化算法 为较好平衡粒子群算法中全局搜索能力与局部搜索能力,分析了PSO(Particle Swarm Optimization)算法中的惯性权重与种群规模、粒子适应度以及搜索空间维度的关系,并把粒子惯性权重定义为这三者的函数。通过在每次迭代后更新每个粒子的惯性权重,实现了自适应调整全局搜索能力与局部搜索能力,并结合动态管理种群的策略提出了改进的粒子群算法。通过在多个常用测试函数上与已有惯性权重调整算法测试比较,证明新算法具有较强的全局寻优能力与较高的搜索效率。 哈萨克文脱机手写字符识别系统的研究与实现 由于手写哈萨克字符结构的特殊性,仅提取几种单一的字符特征进行识别时正确率较低,识别效果较差。由此采用改进的PCA方法定位单词基线位置,对每个字符提取包括笔画密度特征、投影特征、轮廓特征等在内的36种特征,使用K-W检验对各特征的分类能力进行比较,并采用线性判别函数进行分类,取得了较高的识别精度。实验结果表明,该系统针对脱机字符识别率达到94%以上。 软集与新型软子群 定义并研究了软集上的一些新的代数运算,给出了二型软子群,正规二型软子群的新概念,得到了它们的一些等价刻画,进一步研究了在软集的各种不同的运算之下软子群、正规软子群的一些初等性质。 基于云理论和信息融合理论的系统性能评估方法研究 现代系统结构复杂,其性能评估需要从多个层次综合评判形成合理可信的最终评估结果,评估过程大量存在多源不确定信息。不确定性的存在增加了评估过程中定性与定量综合集成的难度。云理论和信息融合理论在不确定信息和多源信息的处理上各有优点,在功能上具有一定的互补性。两者相结合能够增强对信息的处理能力,挖掘出数据中更有价值的隐含信息。本文创新地将二者结合起来,提出了新的系统性能评估模型和方法,较好地融合了影响系统性能的多源信息,充分利用和集成了信息的模糊性和随机性,完成定性和定量之间的映射。这种方法能有效地处理各多源信息的不确定性,具有科学性,同时最终得到的评价结果是语言评判值,具有直观性。该方法是对信息融合理论和云理论联合使用的探索。 一种基于可用性的动态云数据副本管理机制 副本是提高云存储数据可用性的关键技术之一.为提供低成本高效益的可用性,并提高云存储的性能和负载均衡,本文提出一种动态副本管理机制DRM(Dynamic Replica Management scheme).DRM研究确定数据可用性和副本数之间的关系模型,并利用此模型来动态计算和维护给定可用性要求的最小副本数,并基于节点性能和用户访问特性确定副本放置位置.根据节点规模变化,DRM动态调整副本数量以确保数据可用性需求.DRM在节省资源成本的前提下,提高了云存储的性能和负载平衡.本文在HDFS(Hadoop Distributed File System)上实现了DRM,实验结果表明DRM在成本、负载平衡和性能都优于现有HDFS副本管理机制. Python在扫描仪驱动程序发布编译中的应用 由于扫描仪驱动程序的复杂性,驱动程序的编译及打包过程比较繁琐。以一个实际的扫描仪驱动程序为例,阐述了利用Python实现自动化编译的解决方案。该方案大大提高了编译的自动化程度,确保了驱动程序版本发布的速度与质量。 利用聚类分析法改进的多Agent协作强化学习方法 针对多agent系统强化学习中,状态空间和动作空间随着agent个数的增加成指数倍增长,进而导致维数灾难、学习速度慢和收敛性差的问题,提出了一种新型的混合强化学习方法,用于改进传统的多agent协作强化学习;该算法基于Friend-or-Foe Q-学习,事先采用聚类分析法对状态空间和动作空间进行预处理,降低空间维数后再进行强化学习,这就避免了同等状态环境下的重复劳动和对动作集的盲目搜索,理论上大大提高了agent的学习速度和算法的收敛性;文章首先进行改进算法的思想概述,然后给出了改进算法的学习框架和算法的一般描述。 关于WDM双环网络网络负荷的研究 针对WDM网络的结构特征,选择具有代表性的有向双环网络G(N;r,s)进行研究。给出一组同余方程,用于快速计算其L-型瓦图的四个参数。根据L-型瓦的结构,给出了计算有向双环网络的网络负荷公式。实验结果分析表明:有向双环网络的一个无限族中可能存在多个负荷平衡的网络。对于有向双环网络G(N;r,s)的任意一个无限族中,其网络负荷的分布呈轴对称图形。网络负荷存在上界和下界,负荷达到下界值的网络称为最优负荷网络。该研究成果对于设计最优双环网络和提高网络通信效率起到决定性的作用。 基于嵌入式的二维条码采集终端 介绍了一种基于嵌入式微处理器的条码采集终端,该终端利用嵌入式技术、条码识别技术,以S3C2440A嵌入式微处理器和SE4400成像扫描引擎为核心,实现了对条码信息的自动采集、处理和实时传输,具有一体化、可移动和体积小的特点。 基于采样策略的主动学习算法研究进展 主动学习算法通过选择信息含量大的未标记样例交由专家进行标记,多次循环使分类器的正确率逐步提高,进而在标记总代价最小的情况下获得分类器的强泛化能力,这一技术引起了国内外研究人员的关注.侧重从采样策略的角度,详细介绍了主动学习中学习引擎和采样引擎的工作过程,总结了主动学习算法的理论研究成果,详细评述了主动学习的研究现状和发展动态.首先,针对采样策略选择样例的不同方式将主动学习算法划分为不同类型,进而,对基于不同采样策略的主动学习算法进行了深入地分析和比较,讨论了各种算法适用的应用领域及其优缺点.最后指出了存在的开放性问题和进一步的研究方向. 改进克隆选择算法的收敛性分析 为了完善克隆选择算法(CSA),使算法理论上成熟,利用两个随机收敛性度量:完全收敛和均值收敛,证明基于多类数据分类的改进克隆选择算法(Multi_CSA)满足收敛到全局最优解的充分条件,并以实验数据进行验证。从理论上证明了Multi_CSA满足收敛的充分条件,实验方面也表明该算法在经过一定的代数后会收敛。理论和实验上均表明:Multi_CSA是一个能在有限代内收敛的较为成熟算法。 基于模糊综合评价模型的CSCL个体评价的研究 根据CSCL教学特点,将进步幅度引入到个体评价中,使得个体评价兼顾到学生能力的发展过程。利用模糊综合评价模型解决个体评价中多因素的问题,使评价结果更符合实际情况,提高个体评价的科学性,易于计算机自动实现,提高评价的高效性。 核素心肌灌注显像在心肌桥中的应用价值 目的:探讨运动-静息心肌灌注显像在心肌桥-壁冠状动脉患者中的临床应用价值。方法:回顾性分析36例经冠状动脉CTA发现心肌桥-壁冠状动脉患者的心肌灌注图像,分析心肌灌注图像结果与临床症状、壁冠状动脉长度、心肌桥厚度及壁冠状动脉近远端形态之间的关系。利用χ2检验比较不同壁冠状动脉长度、不同心肌桥厚度及不同壁冠状动脉近远端形态所引起的心肌缺血情况是否有差异。结果:(1)36例患者中有临床症状者22例,出现心肌灌注缺血26例。(2)壁冠状动脉<10mm者7例,出现心肌灌注缺血2例(2/7,28.57%);10~20mm者17例,出现心肌灌注缺血13例(13/17,76.47%);>20mm者12例,出现心肌灌注缺血11例(11/12,91.66%)。(3)心肌桥厚度<1mm者5例,未出现心肌灌注缺血;1~2mm者14例,出现心肌灌注缺血10例(10/14,71.42%);>2mm者17例,出现心肌灌注缺血16例(16/17,94.11%)。(4)血管平滑者12例,出现心肌灌注缺血5例(5/12,41.66%);一侧成角组15例,出现心肌灌注缺血13例(13/15,86.66%);两侧成角组9例,出现心肌灌注缺血8例(8/9,88.88%)。结论:(1)仅依靠是否有临床症状对心肌桥-壁冠状动脉者是否进行进一步检查和治疗是不全面的,会遗漏一部分无症状的患者。(2)壁冠状动脉的长度、心肌桥的厚度及壁冠状动脉近远端血管形态均会对血流灌注造成影响,灌注结果与壁冠状动脉的长度、心肌桥的厚度及壁冠状动脉近远端血管形态呈正相关。 基于脑电与眨眼频率的可穿戴疲劳驾驶检测系统 在小型化、低功耗的可穿戴设备上,针对运行基于脑电信号的驾驶疲劳检测系统的准确率不高的问题,在对被试者左前额脑电信号Attention和Meditation以及Blink的数据进行关系分析的基础上,分别筛选最佳窗口宽度和分类算法,设计适用于可穿戴设备的疲劳驾驶检测算法,并在安卓智能设备上进行系统实现。采用准确率、正样本识别正确率、负样本识别正确率、敏感性与特异性指标,分别测试4种分类算法,即k临近算法、决策树算法、朴素贝叶斯算法、多层人工神经网络算法的性能,并最终选择k NN分类算法进行系统实现。实验结果证明,该系统的准确率达到83.7%,敏感性与特异性分别达到73.8%和88.6%,系统具有无线、实时、准确高效的特点。 战时运输风险评估模型及其路径优化研究 针对战时运输路径优化问题,为合理地评估运输风险和有效地选择最优运输路径,提出了风险系数评估模型和多目标路径优化模型。由于运输网络符合复杂网络特性,基于复杂网络分析运输网络中的节点重要性,并结合战场距离的影响,建立运输网络节点风险系数评估模型;根据节点风险系数及路段行驶时间,提出了风险时间的概念来衡量路段的风险值,再通过专家决策运输时间和风险时间的综合权值,建立运输路径多目标优化模型,最后运用优化的Dijkstra算法求解最优运输路径。经过实验仿真分析,该方法在战时运输路径优化问题上具有可行性。 面向信息可视化的语义Focus+Context人机交互技术 大数据成为继云计算和物联网之后,国际学术界和产业界所共同瞩目的又一个研究热点.信息可视化是辅助用户洞悉大数据背后隐藏的知识和规律的重要方法和有力工具.如何在图形用户界面中对大规模信息以符合认知规律的方式进行可视化,并且使得计算机能够智能化的理解用户意图以配合其进行高效的人机交互,是信息可视化面临的挑战之一.文中提出一种面向信息可视化的语义Focus+Context人机交互技术.首先,在基于空间距离的经典Focus+Context数学模型基础上对其进行语义建模和扩展,建立了面向信息空间和可视化表征空间的语义距离模型以及语义关注度模型,定义了交互中的焦点对象与语义上下文.其次,在此基础上建立了语义Focus+Context用户界面模型,给出了界面抽象元素和实体元素以及映射关系的形式化描述,同时建立了Focus+Context交互循环机制.最后,给出了应用于经典Focus+Context及鱼眼数学模型的描述,表明文中提出方法具有很好的兼容性描述能力;同时,给出了面向文件系统主题聚集的语义Focus+Context应用,给出了基于主题语义关注度与嵌套圆鱼眼视图的动态可视化实例,应用实例表明文中提出技术能够有效支持用户在信息可视化界面中对大规模信息进行智能化的可视化和交互探索. 杆塔倾角监测与报警系统的设计 分析杆塔的环境条件对杆塔倾角监测与报警系统提出的要求,采用太阳能电池板和蓄电池联合供电的方式,设计具有定时唤醒机制的两级MCU控制的集散控制中心,一级MCU以中断方式接收加速度计传感器采集的数据,二级MCU将数据转换成RS-485传输方式,经过光纤转换模块以光缆传输到指挥控制中心,实现了信息的可靠获取及传输;该系统体积小、成本低、微功耗、可靠性高、可维护性强,可用于各种环境的倾角指示和测量。 决策树算法在团购商品销售预测中的应用 网络团购,指的是互相不认识的消费者在特定的时间内在同一网站上共同购买同一种商品,以求得最优价格的一种网络购物方式.现如今,作为平台方的团购网站在面对大量报名参加团购的商品,审核过程中需要介入大量人力,对经验过于依赖.利用决策树算法,对影响团购商品销量水平的变量进行分析,生成可读的决策树,用以辅助决策,筛选出优质的商品. 深层神经网络语音识别自适应方法研究 为了解决语音识别中深层神经网络的说话人与环境自适应问题,从语音信号中的说话人与环境因素的固有特点出发,提出了使用长时特征的自适应方案。基于高斯混合模型建立说话人—环境联合补偿模型,对说话人与环境参数进行估计,将此参数作为长时特征,将估计出来的长时特征与短时特征一起送入深层神经网络进行训练。Aurora4实验表明,该方案可以有效地对说话人与环境因素进行分解,并提升自适应效果。 32通道高精度时间数字转换电路设计 介绍了一种在FPGA中基于Wave Union技术而实现的32通道高精度时间数字转换器(time-to-digital convertor,TDC)电路.利用加法器进位链的进位延迟,输入击中前沿产生wave union送到进位链-寄存器阵列结构中做多次测量,有效地细分了进位链中的超宽码(ultra-wide bins),提高了时间间隔测量精度.经过初步的时序仿真和硬件测试,验证结果表明该TDC电路基本满足设计要求. 基于CICQ的动态重路由交换机制 针对现有路由交换机制存在计算复杂度过高以及端口争用问题,提出基于联合输入交叉节点排队交换结构的动态重路由交换机制。该机制依据互联网在路由拓扑层的路径多样化特点,在转发层为每个分组维护多个可选输出端口,并根据交换系统拥塞度实施分组动态重路由,从而实现网络流量的实时动态均衡。仿真结果表明,以该机制为构件的网络交换系统能获得良好的性能保障。 基于家用无线摄像头和PC机的视频监控系统设计 设计了一个基于家用无线摄像头和PC机的捕捉异动图像的视频监控系统。阐述了系统的组成、各部分间的关系及系统的控制流程。提出了捕捉间隔、捕捉灵敏度的设计方法,利用做差法对视频图像进行比较分析,实现了一个能够捕捉异动图像的视频监控系统,实验结果表明对异动图像能够进行准确捕获。 基于新的二粒子纠缠态的仲裁量子签名协议 综合考虑量子纠缠、量子态的比较、量子操作等,提出一种基于新的二粒子纠缠的仲裁量子签名协议。利用隐形传态的原理将未知的量子传递到接受者手中,再对其进行相应的酉变化来恢复原信息。方案中有第三方的参与使得方案更安全,又利用具有很好性质的二粒子纠缠态,使得方案简单安全可行。同时算法利用一次一密加密算法加密保障了签名的不可伪造性,通过仲裁者的参与及量子密钥有效解决了双方的抵赖问题。 CIS中角色动态权限管理的研究 临床信息系统(Clinic Information System)简称CIS,具有功能模块多、用户数量大的特点。其中,权限管理是系统实现中非常重要的一部分。通过分析传统权限管理存在的问题和不足之后,结合基于角色访问控制原理,提出了角色动态权限管理方案,其中在单击某个菜单打开对应窗体时主要采用了C#.NET中的反射。此方案已经在多家医院的CIS系统上运用,经过实践证明该方案可行,且使用效果良好。 基于FPGA的浮点向量协处理器设计 为满足现代数字信号处理中大量数据的运算需求,利用ARM946和Xilinx公司的现场可编程门阵列芯片逻辑资源和IP库,设计专门用于浮点复数向量运算的64位协处理器,对相关浮点运算进行优化,并在硬件仿真平台上进行测试。结果表明,该协处理器可使浮点复数向量运算性能得到大幅提高。 《计算机系统应用》稿约 [正文]《计算机系统应用》(CN-2854/TP,ISSN1003-3254)创刊于1992年,是中国科学院主管、中国科学院软件研究所主办的、面向国内外公开发行的技术性、应用性科技核心期刊。《中国期刊网》和《中国学术期刊 临界区机制剖析与自定义实现 在Windows操作系统扩展过程中,由于自定义调度需要自定义的线程同步,因此需要定制同步机制。经过剖析原有临界区的实现机制,设计实现了自定义临界区。在自定义临界区中,利用内核驱动程序提供调度;用无符号整数原子操作,保证内核对象操作原子性;应用内存映射机制将内核对象地址映射为用户态地址,使得操作可在用户态完成从而提高操作效率。实验结果表明,自定义的临界区可以实现线程同步。 基于CAN总线的AMT诊断系统设计与实现 针对传统机械式自动变速器控制系统的电子化程度高和控制复杂等特点,在Intel 80C196KC单片机上开发一套AMT ECU诊断软件。采用基于CAN总线的KWP2000通信协议,实现对AMT ECU故障码等数据的读取和控制,并提供友好的人机界面。 一种自适应波束赋形的大规模MIMO信道估计方法 大量研究结果表明大规模多输入多输出(Massive MIMO)信道表现出一种稀疏结构特性。利用这个特性开发了一种全新的信道估计算法,它能够自适应波束赋形,并且联合优化稀疏矢量和矩阵函数的方法。该算法关键部分是随机优化基于连续常量的结构模型,并且与基本的去噪优化方案交替使用以找到稀疏特征信道。仿真结果表明,与基于傅里叶变换的普通稀疏信道估计方法进行比较,这种改进型的稀疏信道估计方法不但能够允许适当减少导频数量,且至少改善20 d B以上的信道估计误差。 交通流多预期延迟模型与数值仿真 为了更准确地描述交通流,考虑驾驶员反应延迟时间和前车信息的非均衡使用,建立一种多预期延迟跟驰模型。线性稳定性分析表明,驾驶员反应延迟时间的增加会降低交通流的稳定性,多个前车信息的使用可以提高交通流的稳定性。数值仿真的结果表明,减少司机的反映延迟时间和适当地增加前车信息都能提高交通流的稳定性。为尽可能少地引入输入变量,不均衡地利用前车的车间距和速度差信息是必要的;理论和数值模拟的结果均表明驾驶员反应延迟在交通拥堵的形成过程中起着重要作用。 云环境下绿色任务调度策略 针对云计算数据中心的能耗问题,提出了绿色云计算体系理论,设计了绿色云系统架构;基于该架构,将能量作为一种系统资源进行分配,提出了三种绿色任务调度算法分别是STF-OS、LTF-OS和RT-OS算法;对三种绿色任务调度算法可行性做了相关的理论分析,三种算法可以有效地减少能源消耗;通过扩展云计算仿真平台CloudSim实现了模拟实验,结果表明STF-OS算法降低数据中心能耗的能力最优。 基于MD5与Base64的混合加密算法 介绍了一种基于MD5和Base64的混合加密算法,首先将明文MD5加密得到32位16进制密文,再将32位密文拆分成16个2位16进制数组,并转换成对应的二进制数,最后将16个二进制数组连接成一个128位的2进制数,采用Base64加密原理进行加密。该算法可以避免查询MD5散列值字典获取用户明文密码,更加有效地保证了用户的密码安全,最后给出了算法的PHP实现。 基于图收缩的半监督聚类算法 为了在只有少量已知标记的数据集中获得较好的聚类效果,提出了一种基于图收缩的半监督聚类算法。首先将整个样本空间中的数据表达为一个带权图,再根据给出的must-link约束,对图进行边收缩的修改,进而增强must-link约束。在此基础上引入图拉普拉斯算子,结合cannot-link约束将样本空间投影到一个特征子空间。最后在子空间上进行聚类分析。实验结果表明,该方法不仅提高了对复杂数据的聚类结果,而且在约束对数量较少时也能获得较好的结果。 基于故障树分析的软件安全性测试研究 针对软件安全性测试的本质特征在于快速降低由于软件失效而导致系统事故的风险,结合基于Baye-sian统计理论的测试方法,建立一套构建安全性测试剖面,并由此产生测试用例的测试方法。该方法运用故障树分析技术,对各模块发生故障对系统安全性的影响进行分析,找出影响较大的关键性模块,然后利用分析结果构建安全性测试剖面。最后给出了测试停止的标准。通过对例子的分析可知,本方法在快速降低软件事故风险方面比现有软件测试方法更有效。 基于自适应Lempel-Ziv复杂度的情感脑电信号特征分析 脑电信号是研究人类情感的主要手段之一。将Lempel-Ziv复杂度算法应用在脑电情感分类方面,并对其进行相应改进。针对脑电信号变化微弱的情况,在二值化过程对原有二值化方法进行改进,采用自适应方法调整信号分段区域,提取脑电情感数据特征,刻画了相邻点之间的相互关系和细节信息。探究不同情感状态下、不同电极复杂度的变化规律,采用SVM进行特征分类,验证了所提取特征的质量和有效性。 MANETs中基于非合作零和博弈的入侵检测模型研究 自私节点的存在使得MANETs网络的入侵检测性能低下。针对该问题提出一种基于非合作零和博弈的入侵检测模型。一方面,通过建立代价函数和提高信誉的方式激励自私节点积极参与合作,从而选择出最优领导节点,提高群内节点的生存时间;另一方面,建立领导节点IDS和入侵者的非合作博弈模型,利用求解贝叶斯纳什均衡的方法对其进行求解,按照求解结果为节点分配负载,实现对节点资源的合理使用,提高模型对入侵的检测率。 无线传感网中能量有效的抗妥协加密方案 现有的应用于无线传感网的密钥管理方案,几乎没有考虑无线链路的不可靠性,网络中存在丢包率这一现实。提出一种能量有效的抗妥协的认证加密算法STAE,采用分段传输、OFB分组加密、认证并重构信息等方法,增强了数据传输和重构的安全性及效率,保证网络中存在一定丢包率和部分妥协节点的情况下,仍能有效地抵御侦听、数据篡改和DoS攻击等行为。仿真结果表明STAE算法在安全通信和节省网络能耗方面具有较大的优势。 模糊熵、距离测度和相似性测度之间的关系 模糊熵、距离测度和相似性测度是模糊集合的三种重要度量,许多学者对三者之间的关系进行了研究。采用更为严格的定义,通过定义模糊集合之间新的运算研究了三者之间的关系,给出了三者之间的相互诱导公式。对部分公式进行了举例说明。 无线传感器网络SHIDS入侵检测方案 现有的应用于无线传感器网络的入侵检测系统存在检测能力较弱、准确性不高、耗费能量较大等问题。提出一种基于软件模块实现的层次型入侵检测系统,采用功能强大的高级语言进行开发,提高了系统的入侵检测准确度和实时性;主要功能模块由基站实现,有效地节省了网络的能量消耗;具有部署简单、安全性强、易于扩展等特点。通过对基站收到的无效数据量和网络能耗进行仿真实验,结果表明,该方案具有优秀的综合性能。 基于改进证据理论和神经网络的故障诊断模型 针对单一故障诊断方法精度低的问题,提出了一种基于D-S证据理论和神经网络相融合的决策层融合故障诊断模型。该方法利用证据理论来处理不精确的、模糊的信息,用神经网络来处理证据理论中的基本可信度分配问题。由于证据理论合成公式无法处理高冲突的证据,提出了一种改进的基于冲突焦元的证据合成规则。该模型在降低决策不确定性的同时大大提高了诊断的精度。最后通过发动机故障诊断实例验证了该模型的有效性。 基于无监督学习的专业领域分词歧义消解方法 中文自然语言处理中专业领域分词的难度远远高于通用领域。特别是在专业领域的分词歧义方面,一直没有找到有效的解决方法。针对该问题提出基于无监督学习的专业领域分词歧义消解方法。以测试语料自身的字符串频次信息、互信息、边界熵信息为分词歧义的评价标准,独立、组合地使用这三种信息解决分词歧义问题。实验结果显示该方法可以有效消解专业领域的分词歧义,并明显提高分词效果。 一种基于Matrix的QR分解向量化方法 提出一种基于Matrix的Givens旋转的QR分解向量化方法。针对Matrix的体系结构特点,对向量数据访存和计算进行优化,使计算均衡分布到各个向量处理单元;设计双缓冲DMA的数据传输策略,使得内核的计算与DMA数据搬移的时间完全重迭,内核始终处于峰值计算,从而取得最佳的计算效率。实验结果表明,该方法能够取得较高的计算效率和性能加速比。 准完全最大距离伪随机测试研究 对超大规模集成电路进行随机测试的测试码之间的距离作了定量分析,在此基础上,改进了最大距离随机测试算法中测试码的生成方法,使得所生成的伪随机测试码集合同时达到最大海明距离与近似最大笛卡尔距离。因此每一个测试码可以尽可能多地独立检测到更多不重复的故障。进而提出了准完全最大距离测试新算法的思想和构建理论,并详细阐述了该算法的执行流程。在ISCAS’85基准电路上进行的大量实验数据分析表明,本方法确实有效地提高了随机测试效率,降低了随机测试成本。 基于图像的大规模数据集交互可视化 随着计算机性能的不断提高,大规模数值模拟的规模成倍增长.即使在大型可视化服务器上,针对这些模拟结果的大规模数据可视分析也难以进行流畅地交互.提出基于图像的交互分析方法并开发相应系统,可以预先生成多视角的可视化结果图像,基于这些图像可以在普通设备上实现3D可视化结果的交互分析与展示,可以交互改变观察视角,动态展示数值模拟全过程的可视化结果,可以有效提高数值模拟的效率. 基于JASO的无线传感器网络接口设计 基于无线传感器网络测试控制平台,对总控平台和子平台之间的无线传感器网络接口进行设计。重点论述了基于JASO协议的无线传感器网络接口的模块、功能、关键算法及其实验测试。 一种1553B总线远程终端的即插即用的设计 随着航天技术的不断发展,研制即插即用、低成本、小型化的卫星渐渐成为一种趋势,我国的星上数据管理系统使用1553B总线连接各个有数据交换需求的计算机与分系统,这些计算机与分系统也就成为1553B总线的远程终端;为了设计即插即用的1553B远程终端,对电子数据表单(Electronic data sheet,EDS)、龙芯1F中的1533B简易终端进行了研究,分析了电子数据表单的设计方法和使用方式,提出了应用EDS、1553B通信EDS的设计方法;分析了1553B简易终端对龙芯1F中测控接口的访问控制方式,在龙芯1F中添加了一个SPA(Space Plug-and-play Avionics)接口,与1553B简易终端联合使用,当龙芯1F作为1553B总线上的一个终端设备时,具有了即插即用的特征;由此说明1553B远程终端是可以实现即插即用的,同时把即插即用这一新的设计理念带入星载数据系统的设计中。 基于测试诊断设备基本型的电子装备维修线构建技术研究 为了有效建设基于测试诊断设备基本型的电子装备维修线,即研制电子装备维修线通用测试与诊断设备基本型,配合相关辅助设备和测试程序集来满足多种制导方式电子装备的测试诊断需求,完成对电子装备从整机、舱段至电路板的测试诊断和维护保障;对于摆脱引进设备的维修保障处处受制约的枷锁,突破引进电子装备作战效能发挥和战斗力持续生成的关键瓶颈,具有重要意义。 基于子空间约束的稳健匹配场定位 提出了一种新的基于拷贝场噪声子空间扰动约束的稳健匹配场定位方案。在每个搜索位置处,随机扰动环境参数以生成拷贝场协方差矩阵,通过特征值分解得到拷贝场信号子空间与拷贝场噪声子空间,用于约束的子空间由拷贝场噪声子空间张成。对实测数据协方差阵进行同样处理,但选择其最大特征值对应的特征向量作为真实的信号向量,与约束子空间形成定位模糊表面。使用仿真与实验数据验证了算法,结果表明算法同时具有高分辨与稳健的特性。 空中交通流扇区内飞行流量优化预测管理 空中交通流扇区内飞行流量优化预测为空中交通流优化控制与管理提供决策支持信息,对于决策的有效性、优化程度和准确性具有导向性作用。传统的还原论思想的流量预测理论模型不能体现空中交通流具有的混沌特性,亦难以满足空中交通流预测的精度要求。为解决上述问题,首先基于计算几何的方法,实现了空域扇区交通流量时间序列的构建。然后根据混沌理论对交通流时间序列进行相空间重构,利用C-C方法求得时间延迟和嵌入维度,通过小数据量法计算最大李雅普诺夫指数判断空中交通流时间序列的混沌特性。最后采用最大李雅普诺夫指数进行混沌时间序列预测。实验结果表明,上述算法能够判定扇区交通流时间序列的混沌特性且预测精度较高。 基于VegaPrime的潜望镜视景仿真系统的实现 虚拟仿真训练已成为军事训练的重要手段之一。为了改变潜望镜过去单一的机械设备操作训练方式,直观形象地显示训练过程,设计了视景仿真系统,用于潜艇攻防训练。采用Vega Prime和Creator,结合GL Studio建立了实时视景仿真的分布式体系结构。对视景仿真系统中场景建模、目标运动控制、人机交互、光学效果、场景特效等关键问题进行了阐述。经过试验,所开发的视景仿真系统具有良好的视觉沉浸感。 S-Clique:属性约束的极大团枚举 极大团枚举是图论中一个基础性研究问题,并被广泛应用到社交网络等各种领域。现实生活中的图数据不仅规模大,而且顶点上往往都带有重要的属性信息。然而当前极大团枚举算法主要关注图的结构特性,很大程度上忽视了顶点上的属性信息。提出一种结合图的结构特性和顶点属性的极大团S-Clique:各顶点属性值集合的交集的大小满足最小支持度的极大团,并提出了它的应用场景。针对S-Clique问题,提出一种有效求解算法SCE-PE,其充分利用父结点等价剪枝策略。同时重新优化顶点访问次序提出SCE-PES,进一步提高SCE-PE算法性能。实验结果表明,算法SCE-PES的效率较SCE-PE提高了40%左右。 基于差错概率传播模型的门级电路可靠度计算 提出了一种差错概率传播模型,该方法首先将逻辑门的差错概率加载到连接导线上进行计算,再逐个计算逻辑门的正确输出概率,最后计算得到整个电路的可靠度.与传统概率转移矩阵方法比较,所提出的改进方法有效地减小了时间和空间复杂度,能适用于大规模电路的可靠性评估. 基于级联与组合属性形态学滤波的模糊边界目标识别 应用属性形态学的属性准则级联与组合处理方法对模糊边界目标图像进行滤波,研究面积、面积亮度对比、形状、拓扑高度和后代数等属性形态学滤波原理及滤波适应性。通过最大树节点属性计数方式对模糊边界细胞进行精确统计,利用基于连通域灰度层次的拓扑分布节点属性描述和修剪方法,实现模糊边界分割和粘连目标识别,并采用柔性结构元素对复杂边界图像进行规约性滤波。实验结果表明,与现有目标识别方法相比,该方法能有效排除模糊目标图像中非感兴趣区域的背景干扰,提高对各类细胞的识别精度。 低能耗三输入AND/XOR门的设计 提出了一种基于传输门逻辑的低能耗三输入AND/XOR门设计电路.基于55nm CMOS工艺,采用HSPICE仿真软件在不同工艺角下对门电路进行后仿真分析,并与已有的AND/XOR门电路进行对比.仿真结果表明该电路的性能良好,在典型工艺角下,提出的电路的功耗、速度和功耗-延时积的改进量最高分别可达10.08%,29.03%与36.12%,满足低能耗的设计要求. 模仿贪吃蛇模式的英语造句游戏的实现 在少儿英语教学中,连词造句是一种重要的教学形式。为提高学生的学习兴趣,模仿贪吃蛇游戏,采用JavaScript脚本语言,开发了基于web的吃单词造句的教学游戏,取得了较好的教学效果。 基于特征扩展与深度学习的短文本情感判定方法 针对中文短文本信息量少、特征稀疏等特点,面向微博短文本进行情感分类研究,为了更好地提取短文本情感特征,从评论转发等上下文内容中挖掘具有语义递进关系的语料对原文本进行扩展,并抽取具有潜在感情色彩的特征词,采用Word2vec计算词语相似度以进行候选特征词扩展,最后引入深度信念网络(Deep Belief Network,DBN)对候选特征词进行深度自适应学习。在COAE(Chinese Opinion Analysis Evaluation)2015任务评测数据集上的实验表明,该方法能够有效地缓解短文本特征稀疏问题,并且能够较为准确地挖掘情感特征,提高情感分类的准确率。 骨干肿瘤及肿瘤样病变102例影像学分析 目的:探讨发生于长骨骨干的肿瘤及肿瘤样病变的诊断及鉴别诊断。方法:回顾总结经病理证实的102例骨干肿瘤及肿瘤样病变,分析其发病特点及影像学表现。结果:102例骨干肿瘤及肿瘤样病变中男56例,女46例,平均年龄33岁。良性肿瘤53例(其中包括肿瘤样病变33例),恶性肿瘤49例,良恶性之比约为1.08∶1。位于股骨29例,肱骨39例,胫骨33例,腓骨5例,桡骨6例,尺骨4例。病种纤维结构不良最常见,其次是转移瘤。结论:骨干肿瘤及肿瘤样发病率较低,但是病变种类繁多,其发病特点和影像学表现除具备与发生在长骨其他部位类似的共性外,也有其不同的个性,充分了解其特征,有助于提高诊断水平。 基于矢量化的山脉线提取方法 为从整体把握山脉态势,提出一种利用矢量化技术提取山脉线的方法。将山脉看作是由山脊线中某些符合山脉特征的山脊分段构成,设计山脊线的矢量化和提取规则,提取权值较大的分段组合成主山脉,根据拓扑结构确定支脉,以完成山脉分级。实验结果表明,该方法提取的山脉线走势清晰,结构合理,符合地貌认知。 基于稳健回归的AFM图像水平矫正算法 AFM(Atomic Force Microscope,原子力显微镜)图像经常会出现背景倾斜或弯曲。背景倾斜的原因源于探针和样本表面的倾角或XYZ扫描仪带来的弯曲。本文将稳健的MM估计算法应用到AFM图像二维背景拟合中,消除背景的倾斜,并利用fast-s估计算法作为初始化,以缩短计算时间。实验结果表明,与传统方法相比,本方法的AFM图像水平矫正效果更好。 一种基于数据的自匹配直达波抑制方法 采用收发分置双基地连续波雷达对空间目标进行监视探测,会面临直达波将弱小目标淹没的问题。通常采用自适应滤波技术进行直达波抑制,但是自适应滤波面临稳定性和收敛性问题。针对上述问题进行了分析研究。通过研究直达波抑制效果与传播环境的关系,考虑试验场景时延动态变化,分析导致滤波器阶数需动态调整的原因,提出了采用基于数据的阶数自匹配LMS自适应滤波来改善对消比的方法。试验结果表明通过采用上述方法,可以有效地改善直达波抑制性能。 石油馏分偏心因子估算方法及评价 偏心因子是油品的重要物性数据之一,也是石油化工过程模拟与优化建模中不可或缺的参数。石油馏分的物性数据,包括偏心因子在内,都没有固定的参考值,必须通过建立数学模型来估算。这里对石油馏分偏心因子常用的估算方法进行了归纳和分析研究,并对各种方法估算的准确性进行了评价。主要介绍了Pitzer法、Edmister法、Lee-Kesler法和Twu法的原理、优缺点及应用范围。实例研究结果表明,Lee-Kesler法的估算误差最小,其余三种方法均较大。Pitzer法、Lee-Kesler法和Twu法分别在正常沸点低于500 K、740 K、760 K时估算效果更好,Edmister法没有表现出更适用于哪个温度范围,估算误差比较稳定均衡。 一种适用于VLIW数字信号处理器的嵌入调试结构 超长指令字(Very Lone Instruction Word,VLIW)结构是数字信号处理器(DSP)设计中的一种常用结构.用户在开发应用程序的过程中常常会出现错误,查找并修复错误的调试过程要求芯片具有硬件调试功能.对此提出了一种适用于VLIW结构DSP的嵌入调试结构,通过为数不多的调试接口,能够观察芯片的内部信号,设置芯片的状态,控制程序执行过程,从而实现芯片的硬件调试.最后,在一款VLIW结构的DSP——THUASDSP2004上,实现了提出的嵌入调试结构. 用于多核嵌入式环境的硬实时任务感功调度算法 充分考虑当前CMOS多核嵌入式处理器片上仅提供全局动态电压缩放(DVS)支持以及亚纳米时代后CMOS处理器泄露功耗不可忽视的现状,提出一种新的多核嵌入式环境中的硬实时任务感功调度算法GRR&CS。算法通过基于贪心法的静态任务划分,基于全局资源回收利用和任务迁移的动态负载均衡,以及动态核缩放三个步骤实现整体能耗的降低,并同时保证实时任务的可调度性约束。实验表明,提出的算法相比较现有算法多节省14.8%~41.2%的能耗。 一种结合粒子群和粗糙集的聚类算法 针对传统K-means算法过度依赖初始聚类中心、易陷入局部最优、不能处理边界对象及聚类精度低等问题,提出一种结合粒子群和粗糙集的聚类算法.此算法首先利用密度和最大距离积法初始化粒子群;然后采用线性递减与随机分布相结合的惯性权重、动态调整的学习因子和引入的随机粒子来避免陷入局部极值,使算法快速收敛于全局最优;最后结合粒子群和粗糙集来优化K-means算法.通过对几个常用UCI标准数据集的仿真实验表明,提出的算法不仅能减少对初始聚类中心的依赖、有效抑制局部收敛,而且聚类准确率更高,稳定性更强. 基于GA参数整定的时变时滞系统灰色预测控制 针对一类数学模型未知且存在时变时滞的复杂系统,提出一种基于遗传算法参数整定的灰色预测控制方法;该方法采用BP神经网络对系统的时变时滞进行辨识,利用灰色预测算法对系统的输出进行预测,进而使用基于遗传算法整定PID控制器对系统进行输出反馈控制;该方法将灰色预测算法与遗传算法相结合,有效提高了控制器的自适应性;通过仿真实例,结果表明该方法能够对具有大时滞、大惯性、模型不确定等特点的复杂系统进行有效地控制;该方法是可行的、有效的。 基于极大间隔最近邻学习的运动捕获数据检索 随着人体运动捕获技术的迅猛发展,逐渐积累了大量的捕获数据,人体运动检索技术成为运动数据管理和重用过程中必不可少的关键环节。由于逻辑相似的运动在数值上并不一定相似,使用欧式距离度量两个运动间的逻辑相似性难以取得理想的结果。为此,利用极大间隔最近邻度量学习算法,学习得到运动间的马氏距离度量,用以判断两个运动的逻辑相似性,进而进行运动的检索。实验结果表明,与欧式距离和线性回归相比,该方法能够获得更高的检索精度。 网络控制中心的远程智能监控系统 应用GSM网络,以单片机和计算机为控制中心,以各种传感器为数据检测设备,组成了远程监控系统。设计的机房监控系统,综合了单片机硬件设计、串口数据传输、网络监控、GSM网络应用、LabwindowsCVI软件编程和单片机编程等多方面技术,实现了低成本智能化无人监控功能。系统不仅可以实现24小时无人监控,并具有实时消息报告与紧急报警的功能。 一种新颖的基于Hash函数的无线双向安全认证方案 随着科技的不断发展,越来越多的网络设备接入到无线网络中,为了确保合法用户的正确识别连接,在WenLi认证方案的基础上提出了一种基于Hash函数的无线双向安全认证方案。该方案通过引入发送包序列号代替时间戳,避免网络延时对认证过程产生影响,且不需要设备之间时钟的严格同步。安全性与运算量的对比分析表明,较Wen-Li方案,所提方案能有效避免各种常见的网络攻击,具有更高的安全性,且运算量较小,计算复杂度较低,能有效降低实际系统的开销。 面向信息集成的元数据模型的研究和应用 元数据标准是实现数据有效管理、共享、交互的一种重要手段。通过对高校信息化建设的现状和面临的问题进行分析和研究,提出了一个基于元数据标准的信息集成模型。此模型通过使用统一的元数据标准和对元数据的存储管理,屏蔽了各种数据源的异构性,实现了各个应用系统之间数据的共享与交互。 基于Android的英语语法移动学习系统设计与实现 随着智能手机的快速普及,移动学习这一新的学习方式将在人们生活中起着越来越重要的作用。本文根据移动学习的特点,基于Android平台开发一款英语语法移动学习软件,分析移动语法学习软件的总体设计、体系结构设计和功能模块设计思路,阐明基于Android手机应用的开发过程和核心设计思想,最后对该软件进行具体的开发与实现,以促进英语移动学习的开展,丰富和完善相关软件的开发。 含传递角色和存在限制描述逻辑系统的匹配推理 分析了描述逻辑非标准推理的重要性和匹配推理的研究现状以及存在的问题。针对匹配推理在处理带传递属性角色符号的不足,研究含传递角色符号和存在量词描述逻辑系统EL+中的匹配推理。通过引入前向边给出EL+中概念的描述图和描述树,基于描述图和描述树给出EL+中两类匹配问题的匹配算法。说明算法的可靠性,并分析算法的复杂性。 无参照节点信任评估机制WSN多状态数据融合 研究快速准确识别无线传感器网络中的恶意节点进而实现数据安全融合的问题。针对传统的节点异常行为检测与信誉评测模型存在功能单一、考虑因素不全面、信任值算法比较复杂等缺陷,提出一种基于节点自主行为的无参照信任评估模型。每个节点都具有监控权限和被监控义务,临近区域内任意相邻节点彼此进行行为监控,采用相对简便的计算方法获得自身对对方的直接信任值和间接信任值,再根据D-S证据理论的合成法则得到自身对对方的综合信任值,在数据融合时,临近区域内各节点根据彼此的综合信任值自组织成簇,并自动筛选出簇头节点作为数据融合的节点,赋予来自各节点的每个数据一个不同权值,综合信任值设置了权值向量,进行融合处理,进而将融合安全结果传送到基站。仿真结果表明,信任评估模型能快速有效识别恶意节点,避免恶意节点成为簇头节点,通信效率高、节省节点能量的同时,大幅提高了数据融合的安全性和准确性,能适应于WSN不同应用领域和不同部署环境状态下的应用。 DBORank:对象级别的关系数据库信息检索方法 对象级别的信息检索已经引起越来越多的关注和研究。针对这一研究问题,设计并实现了一个对象级别的关系数据库信息检索方法DBORank,来有效提高关系数据库信息检索效果。DBORank方法从数据库和信息检索两个角度出发,设计了一种灵活有效的评分机制,它既考虑了对象级别数据图的链接结构,又考虑了图中对象结点的内部结构,边的类型和权值,对象内容相关性等因素,同时优化了对象评分的迭代算法。实验表明DBORank方法具有良好的检索效果和效率。 基于两种改进人口模型的新生育政策下人口预测与分析——以西安市为例 准确的人口预测是制定人口政策以及经济社会发展战略的重要依据。考虑生育模式对人口发展的影响,对GM(1,1)灰色预测模型及Leslie人口预测模型进行分析,并对这2种模型进行改进。以西安市为例,分别采用2种改进后的模型,对不同生育模式下未来人口变化规律进行预测。通过分析人口预测结果,在制定人口政策、应对人口问题等方面为当地政府提供意见和建议。 顾及二阶项影响的电离层延迟模型研究 在测量与定位领域建立电离层延迟模型是消除电离层影响的有效手段。传统的电离层延迟模型仅考虑一阶项的影响,不能满足精密测量和定位的需求。为此,采用曲面拟合的方法求解地磁场矢量,在不损失精度的情况下,曲面拟合法能够达到简化计算、方便使用的目的。推导顾及电离层二阶项延迟的天顶方向电子总含量(VTEC)模型的观测方程,建立顾及电离层二阶项延迟的VTEC模型。结合武汉跟踪站的数据对所建模型进行检验,证明该模型具有较高的定位精度。 本体知识库的模块与保守扩充 模块化是软件工程的一种方法,近年来被引入到本体领域,用以支持本体的重用和本体的整合。已有的工作没有讨论同时含有TBox和ABox的本体知识库的模块化的相关问题。在定义本体知识库的模块和知识库的保守扩充的基础之上,给出了知识库保守扩充的验证算法,并且从理论上证明可用知识库的保守扩充的验证算法来验证一个知识库是否为另外一个知识库的模块。 基于主题的中文微博情感分析 传统的微博情感分析一般忽略结构化的语义信息,使得分类准确率不高,同时还忽略情感表达的具体对象,以与主题无关的形式进行情感分析,容易造成错误的分析结果。为此,采用对语法树进行剪枝的方法实现基于主题的情感分析,使用支持向量机中的卷积树核函数获取语法树结构化特征,通过建立本体和句法路径库对语法树进行基于主题的剪枝,去除无关评价的干扰。实验结果表明,该方法在2个不同主题的数据集上准确率分别达到86.6%和86.0%。 基于图像仿射不变特征点的零水印算法 本文提出了一种基于图像提升小波变换和仿射不变特征点的零水印算法。首先对图像进行3级提升小波变换,然后在低频部分,利用Harris-Affine算子提取出图像的仿射不变特征点和仿射协变特征区域,利用这些特征区域来构造零水印信息。实验证明,该算法不仅对常规信号处理攻击和简单的几何攻击具有很强的鲁棒性,对于剪切、纵横比改变、行列去除,局部扭曲等较复杂的几何攻击也具有较强的抵抗能力。 双重触发的嵌入式系统内核安全访问控制 随着外部事件的不可预知性和实时任务复杂性的增加,传统的仅采用基于事件触发机制的嵌入式实时操作系统的设计变得更加困难,在很多情况下,该系统难以保证实时运行过程中的安全性与可靠性。本文针对μC/OS-II在设计之初并没有考虑时间触发以及安全访问控制机制的特性,结合OSEK时间触发标准,设计并实现一种符合OSEK标准的、基于时间/事件双重触发的内核任务调度机制,并在该调度机制中,提出通过采用通用访问控制框架(GFAC)和安全属性映射表的方法,保证了不同角色对系统访问的安全访问控制。实验结果表明,所设计的调度机制和访问控制方法不仅满足了时间和事件双重触发特征,而且加强了对内核的安全访问控制操作并具有良好的使用效能。 基于网格扩张的视频图像马赛克检测算法 提出一种基于网格扩张的视频图像马赛克检测算法,算法以网格扩张的方式遍历图像中的像素,并迅速发现图像中具有相似像素的矩形区域,即马赛克。通过实验表明,该算法在确保较高效率的同时有较高的准确率,满足了视频图像中马赛克检测的功能和性能要求。 图书馆数据存储中的问题与对策 现代图书馆、阅览室所涉及的图书资料数据,容量需求大、增长速度快、保存时间长、数据类型多样、安全性要求高,以传统的数据存储和数据管理方式已远远不能满足实际需求。现代数据高效存储技术,尤其是SSD高速存储技术,在很大程度上缓解了图书馆、阅览室对大数据量存储容量不足的问题,并且利用数据压缩技术、重复数据删除技术、自动精简配置技术等大大降低了数据管理的难度。 基于LabVIEW的体外循环用离心式血泵机械参数测试系统研究 在离心血泵的研制过程中,流量与灌注压作为血泵的两个重要性能指标,是关键的检测参数;而扭矩是离心血泵驱动能力的重要体现,因此,对扭矩的测试也至关重要;针对离心血泵流量8L/min,灌注压50kPa的设计要求,设计了基于虚拟仪器技术的离心式血泵压力、流量和扭矩的测试系统,为实现离心式血泵的测试、分析和优化提供重要的试验方法;结果表明:该测试系统能够满足对离心血泵流量、压力和扭矩等机械参数进行测试的要求。 基于AESB的数字化校园数据和业务流程集成方案的实现 大而全的系统很难实现,多个系统的并存并实现其互联互通成了企业或高校当前的迫切需求。本文基于AESB,通过中间表自动交换技术实现各应用系统之间的数据集成,并通过基于Web Service组合的方式实现数据交换解决不了的跨系统业务流程的集成。结果表明,这种方式经得起压力测试,运行良好。 运动目标检测中基于灰度特征模型的背景消除方法 针对视频监控中运动目标检测时间复杂度高的问题,提出一种基于灰度特征模型的背景消除方法。通过提取视频图像像素的灰色特征,将视频图像中每个位置上的像素点用一个灰度特征集合来表征,并以此为依据计算各像素点灰度值与灰度特征集合中的像素点灰度值之间的距离,判别对应像素点的背景与前景状态,从而实现视频图像的背景消除。实验结果表明,该方法在处理效果接近的情况下,可以显著提升运动目标的检测速度,降低处理的时间复杂度。 《数据结构》在线考试及评分系统的研究与开发 文中简要概括了考试及评分系统的系统结构、开发模式及核心功能。以经典测量理论(Classical Test Theory,CTT)为基础,全面分析了题库试题应包含的主要属性,设计了配合自动组卷算法执行的题库结构。在比较、分析常用自动组卷算法优缺点的基础上,给出了随机搜索逐步优化的自动组卷算法。在比较、分析常用程序题评分算法的基础上,提出了基于程序内部结构特征分析的编程题自动评分算法。开发实践证明,组卷成功率较高,评分算法具有较高的准确率,系统性能稳定。 软件演化过程中运行实例的在线可信演化 为了提高演化的实用性,研究了演化过程实例的在线迁移,并采用高效的过程继承技术来检验实例的可迁移性。过程继承技术虽然很适合用来检验可信过程的可迁移性,但其局限性较大,在多色集合的相关理论基础上,提出了基于基本结构的Petri网分解方法并证明了这种分解方法符合Petri网的一些基本且重要的性质,用这种方法使不能按原计划一步迁移的多个过程分解开来多步迁移并尽可能保证其并行性。这种改进的过程继承技术提高了迁移的成功率,使过程继承技术适用范围更广,使软件更可信。最后通过一个网上银行支付系统说明方法在实例迁移方面的实用性。 论坛中民生话题的识别与分析 对贴近生活的民生话题进行识别与分析.民生话题的识别主要结合LDA模型和相似度模型并在时间轴上进行刻画.通过相邻时间片话题相似度检测,进而得到持续时间超过阈值期数的民生话题.此方法在真实数据集验证了有效性. MED算法及其在网页搜索中的应用 针对传统方法不能很好地处理网页中简短域和用户查询之间的相关性排序问题,提出一种改进的编辑距离(MED)排序算法,在编码和计算过程中引入查询词分布的位置、顺序和距离等信息,将查询和简短域之间的相关性问题转化为编码字符串的相似性问题。仿真实验结果表明,与传统的相关性排序算法相比,该算法可以提高网页搜索中简短网页域的相关性排序性能。 伪三维的地理位置无线传感器网络路由算法 针对实际应用中传感器网络大多分布在山地丘陵等起伏地势环境下,在研究基于地理位置路由算法的基础上,结合无线传感器网络分布的地势环境,提出了伪三维的地理位置无线传感器网络路由算法。该算法利用电子地图,计算节点间沿起伏地势的近似最短路径,路由过程中根据地理位置信息选择下一跳时,用当前节点和邻居节点到目标节点在起伏地势上的最短路径代替空间欧氏距离。实验仿真证明,与目前使用较多的三维贪婪路由算法相比,该算法能有效减少数据在转发过程中的路由跳数。 基于2DPCA-NSCT变换的多光谱与全色图像融合 鉴于应用单一主成分分析(PCA)或非下采样Contourlet(NSCT)变换进行多光谱和全色图像融合存在的问题,提出了一种2DPCA-NSCT变换图像融合算法。首先对多光谱图像各波段进行二维PCA变换,视其主成分为信号而少量非主成分为噪声予以忽略;然后对全色图像和第一主成分做NSCT分解,在频域对近似分量和多方向高频分量按不同的融合规则融合;最后通过NSCT反变换得到融合图像。实验结果表明,所提出的融合算法在保持PCA变换良好的空间分辨率的同时改善了其光谱失真的问题。 一种基于BB+签名的PBA方案 在可信计算环境中,为了弥补原有可信计算组织(TCG)提出的二进制证明方案的缺陷,提出了一种新型的基于属性的证明(Property-Based Attestation,PBA)方案。首先介绍了基于属性的证明思想及其安全模型;然后基于改进的BB+签名技术给出了一个具体的基于属性的证明构造方案,并与其他方案在性能上进行了比较;最后在随机预言模型下证明了该方案具有配置隐私及不可伪造等安全性。 基于神经网络的转子振动可靠性灵敏度分析 针对工程实际中具有复杂结构的随机转子系统,考虑轴承支撑、陀螺力矩、不平衡激励对转子系统振动特性的影响,将有限元法和人工神经网络技术相结合,得到随机响应与基本随机变量之间的显性表达式。在已知基本随机变量的前四阶矩的情况下,根据随机转子系统最大不平衡响应的振动峰值不超过许用振动峰值的关系准则,定义了随机系统的振动可靠性模式,给出了可靠性灵敏度计算公式,研究了工作参数的随机性对转子系统振动可靠性的影响并进行排序,得到了系统可靠度对基本随机变量均值和方差的灵敏度。研究结果表明,在转子的工作转速范围内,工作转速和中压缸左轴承的性能参数是振动最主要的影响因素,在实际使用过程中需严格控制和监视这些工作参数的变化。 基于压缩感知的合成孔径雷达图像目标识别 基于合成孔径雷达图像的目标识别技术在军事、民用等领域都具有十分重要的作用。针对SAR(Synthetic Aperture Radar)图像在像素域稀疏表示识别算法中存在的高维问题,在分析其图像统计特性的基础上,提出一种基于压缩感知的合成孔径雷达图像目标识别方法。该方法利用扩展最大平均相关高度滤波器训练样本,生成模板,提取模板广义二维主分量特征构成过完备字典,求解测试样本在字典下的稀疏表示系数,根据系数能量特征完成分类识别。对MSTAR数据库中合成孔径雷达图像进行仿真实验,结果表明,该方法复杂度低,识别时间短,是一种可行且有效的合成孔径雷达图像目标识别方法。 一种融合湿纸码和STC码的高效隐写算法 "为提高隐写术的嵌入效率,提出一种融合湿纸编码和STC(syndrome-trellis codes)码的隐写算法。首先使用STC码在载体最低位嵌入第一部分信息;将发生变化的载体元素标记为""dry"",未变化的元素标记为""wet"";利用湿纸编码保持STC的嵌入结果,保证""wet""元素不作修改,选择""dry""元素的修改方式,并利用修改方式携带第二部分信息。接收方利用STC和湿纸码分别从载体的最低位和次低位提取信息。理论分析和实验结果表明,在相同失真下,该算法的嵌入效率和嵌入率都高于STC算法。算法适用于多种载体,安全性优于单纯使用STC码的隐写算法。" 使用双线性对的自认证公钥盲签名 在盲签名方案中,消息和签名结果对签名人是不可见的。在自认证公钥签名中,验证签名有效性的同时,也验证了公钥的真实性。与已有的基于证书和基于身份的两种签名相比,自认证公钥体制具有通信代价低、计算量少的优点。结合盲签名和自认证公钥签名,使用双线性对,首次构造了一种高效的自认证公钥盲签名方案。分析表明,新方案不仅是安全的,而且其效率也非常高。 滚筒式采煤机调高机构机液联合仿真研究 为精确验证滚筒式采煤机调高机构工作可靠性与稳定性,利用ADAMS/Hydraulics插件建立了调高机构液压系统模型,并将其与机械系统模型进行耦合,实现了调高机构的机液联合仿真。根据实际工况,用Matlab模拟了最恶劣工况下滚筒所受的瞬时负载,在ADAMS中以Akima拟合的spline函数的形式将其施加给滚筒。结合实际项目,对滚筒调高至工作面顶部并进行截割这一过程进行仿真,对仿真所得的滚筒所受台力和合力矩曲线、液压缸受力曲线、液压缸长度和速度曲线、无杆腔压力及流量曲线进行分析,结果表明此调高机构具有一定的稳定性和可靠性,此方法能够为今后采煤机调高机构的设计与优化提供借鉴。 基于局部聚类的自适应线性近邻传递分类算法 针对线性近邻传递(LNP)分类算法中,由于图像过大时计算复杂度高,以及近邻数目选择不当导致图像分类结果不精确的问题,提出了基于局部聚类的自适应LNP分类算法。该方法对LNP分类算法的改进主要体现在两方面,首先运用quick shift进行局部聚类,得到点簇集,以此点簇集作为建图节点,达到缩小矩阵规模的目的;其次,采用测地距离和欧氏距离之间的关系来动态确定每个点的近邻数。实验结果表明,所提方法在得到较好的分类结果的同时,也极大地缩短了运行时间,提高了效率。 一种改进的闪存数据库Sort-Merge-Join算法 在对传统的Sort-Merge-Join算法进一步研究的基础上,提出了一种改进的闪存数据库Sort-Merge-Join算法。该算法只对小关系进行外排序,避免了大关系的外排序,节省了大量时间,同时最小化了中间临时表,达到了少写闪存、减小擦除代价的目的。通过理论分析和与传统Sort-Merge-Join算法在闪存上的比较实验,证明了该算法的优越性。 基于填充和相似性信任因子的协同过滤推荐算法 为了提高推荐系统在数据稀疏情况下的推荐质量,提出一种改进的协同过滤算法。该方法使用一种数据挖掘算法对稀疏评分矩阵进行填充;在完整的填充矩阵上计算用户相似性,并引入相似性信任因子;最终做出推荐预测。典型数据集上的对比实验结果表明,即使在评分数据极为稀疏的情况下,该算法仍能取得较好的结果。 基于分布估计算法的弹性飞翼飞行器多操纵面控制分配 针对弹性飞翼飞行器多操纵面控制分配问题,提出了衡量弹性震动的机振力指标,建立了完整的控制分配模型,提出了采用分布估计算法(EDA)对模型进行求解。首先进行舵面结构设计,分析各气动舵面的工作方式及控制能力,并依据气动数据中升降副翼、余度舵、副翼的舵面控制效率,进行舵面功能配置。在进行控制分配时,分析控制分配的主要性能指标,确立总体多目标优化评价函数,并结合等式和不等式约束条件。采用性能优越的EDA进行求解。通过建立概率模型来估计真实分布,在EDA的进化过程中,各个舵面会根据偏转效率进行分配,结合优化函数最终收敛到最优解。最后分析机翼气动弹性对系统静态操纵效能的影响。从不考虑气动弹性系统响应曲线和考虑气动弹性之后的系统响应曲线比较结果可以看出,有弹性情况下系统响应曲线超调量和过渡时间都减小,飞翼式飞行器飞行品质得到显著提高,优化之后系统效能提高了10%。仿真结果表明,EDA能够较好地解决控制分配问题,并能提高系统动态品质,验证了多操纵面控制分配模型和算法的有效性。 一种高效属性撤销的云存储访问控制方案 提出了一种高效细粒度属性撤销的云存储访问控制方案.用析取范式代替一般的AND/OR结构,对CPABE算法中的访问树进行优化,大大减少了加解密过程中的递归运算及开销.在进行属性撤销时,代理重加密和属性版本号的使用不仅降低了数据属主的计算开销,还提高了属性撤销效率.对方案进行的相关分析和仿真实验表明,新方案是高效且安全的. 一种基于概率粗糙集的属性约简加速算法 介绍了基于概率粗糙集模型的启发式属性约简算法,提出了概率粗糙集模型中的概率近似精度和改进概率近似精度的增量更新机制,通过比较概率近似精度的更新值得到属性核,然后通过比较改进概率近似精度的值逐步得到概率粗糙集中的属性约简。最后提出了一种概率粗糙集模型中属性核与属性约简的加速求解算法,并举例说明了所提算法的有效性和可行性。 采用SIFT-BoW和深度图像信息的中国手语识别研究 将深度图像信息引入手语识别的研究,提出了一种基于DI_CamShift(Depth Image CamShift)和SIFT-BoW(Scale Invariant Feature Transform-Bag of Words)的中国手语识别方法。该方法将Kinect作为视频采集设备,在获取手语彩色视频的同时得到其深度信息;首先计算深度图像中手语手势的主轴方向角和质心位置,通过调整搜索窗口对手势进行准确跟踪;然后使用基于深度积分图像的Ostu算法分割手势并提取其SIFT特征,进而构建SIFT-BoW作为手语特征并用SVM进行识别。实验结果表明,该方法单个手语字母最好识别率为99.87%,平均识别率96.21%。 事件触发下随机非确定线性多智能体的指数同步 研究随机非确定线性多智能体系统在有向拓扑连接下的指数同步问题,为减少不必要的网络带宽资源的浪费,提出一种基于事件触发控制的协议。根据组合测量对系统中的所有节点设计相应的事件触发函数,使得节点之间的控制信号更新仅在事件触发时刻进行。基于Lyapunov稳定性理论和M矩阵理论,得到了多智能体系统指数同步结论,并给出了同步的收敛速度。同时,理论排除了事件触发控制过程中的芝诺(Zeno)现象。数值仿真结果进一步验证了理论分析的有效性。 一种新型食品安全存储中的水分检测方法 食品安全是国内外各国共同关注的一项重要问题,其安全存储涉及到温度、湿度、紧实度等多种因素,其中水分是影响食品安全存储的一个重要因素,它的检测方法是学术界和工程领域的一个重点和难点。为了更好地测量食品中所含有的水分数量,文中设计了一种结构优化的用于粮食水分检测的电容式传感器,针对所设计的传感器设计了相应的检测电路,给出了调试结果。并利用BP神经网络融合各种传感数据,对影响检测的因素作了详细分析,进而提出了较为合理的解决方案。实验结果表明该方法是有效可行的。 最小频繁闭树的增量式更新算法 针对树挖掘算法产生大量频繁子树和树数据库随时间变化的问题,提出最小频繁闭树增量式更新算法以及增量式更新策略,能充分利用已有挖掘知识,无须重新运行树挖掘算法,并且只需进行一次数据库扫描操作。给出一种候选子树剪枝方法,能减少树同构判别次数,有效提高算法的运行效率。通过大量实验结果表明,该算法有效可行且效率较高。 基于Pareto最优的多目标集成协作计划与调度 针对现有制造系统中协作计划、生产计划和调度方案不能同步制定的问题,考虑在供应链环境下有协作的计划与调度,构建了一种多目标集成协作计划与调度优化模型。提出一种基于Pareto最优的多目标优化算法,设计了包含协作染色体的基于作业的集成编码方案,通过惩罚操作实现协作计划与生产计划的同步协调。考虑供应链协调中常见的完工时间、总成本、总拖期时间、平均流经时间四个性能指标对模型进行整体优化。通过仿真实验验证了模型及其算法的有效性。 完全基于边缘信息的快速图像分割算法 为快速准确地进行图像分割和对象提取,达到图像实时处理的要求,提出一种完全基于边缘信息、具有良好并行计算特性的图像分割和对象提取算法.首先对经典Canny算子边缘检测进行改进、引入了断点检测和递归可控的低阈值边缘追踪算法,得到图像的原始二值边缘图;然后采用基于断点的边缘连接算法得到待提取对象的完整闭合边缘;最后提出四向扫描区域填充算法从边缘图中完整地提取出有意义的对象.实验结果表明,文中算法不仅可以准确地处理图像中的各类复杂边缘、获得良好的图像分割效果,同时具有优秀的并行计算特性,可以以并行方式、基于GPU实现完全实时的图像处理. 正定矩阵支持向量机正则化路径算法 正则化路径算法是数值求解支持向量机(support vector machine,SVM)分类问题的有效方法,它可在相当于一次SVM求解的时间复杂度内得到所有的正则化参数及对应SVM的解.现有的SVM正则化路径算法或者不能处理具有重复数据、近似数据或线性相关数据,或者计算开销较大.针对这些问题,应用正定矩阵方程组求解方法来求解SVM正则化路径,提出正定矩阵SVM正则化路径算法(positive definite SVM path,PDSVMP).PDSVMP算法将迭代方程组的系数矩阵转换为正定矩阵,并采用Cholesky分解方法求解路径上各拐点处Lagrange乘子增量向量;与已有算法中直接求解正则化参数不同,该算法根据活动集变化情况确定参数增量,并在此基础上计算正则化参数,这样保证了理论正确性和数值稳定性,并可降低计算复杂性.实例数据集及标准数据集上的实验表明,PDSVMP算法可正确处理包含重复数据、近似数据或线性相关数据的数据集,并具有较高的计算效率. 基于谱聚类的空域扇区分割 为解决当前空域扇区结构对交通流适应性差的问题,进行了空域扇区分割与边界优化算法的研究。根据管制空域的基本航线网络结构和空中交通流量,提出了顶点间联系程度的计算模型;结合顶点融合与边航线离散化方法,建立了空域运行图模型;应用谱聚类算法实现了空域图顶点的有效分割,解决了近距平行航路分割误差问题,实现了扇区凸壳的构造与划分;提出了基于MAKLINK图的扇区间边界优化路径选择算法。实例分析表明,该算法能根据空中交通流量需求进行扇区优化设计,运行时间较短,约为10 s。 图像融合算法的综合性能评价指标 图像融合算法性能评价是图像融合工程的重要组成部分,现有的融合评价指标从不同方面评价融合图像质量,这些指标在评价图像融合算法性能时存在片面性,难以对融合算法的综合性能作出评价。为此,运用多指标决策技术,提出一种加权总分指标,将多个指标评价值综合为单一值,从而对图像融合算法进行综合性能评价。将加权总分指标的评价结果同逼近理想解排序指标以及秩和比指标的结果相比较,实验结果表明,该指标的评价结果和主观评价结果一致,其综合评价能力与其他2种指标相近,提高了融合算法综合性能评价结果的可靠性和准确性。 一种改进算法的低功耗嵌入式系统代码压缩设计 采用一种代码压缩的方法来降低嵌入式系统的功耗.在分析指令的特性后对目标代码进行指令合并和指令分割,运用范式Huffman算法对处理后的指令进行编码生成索引查找表.最后,通过查找表中索引字和指令的对应关系完成目标代码的压缩和解压缩.实验使用simplescalar模拟器对部分嵌入式基准测试程序进行压缩,用代码压缩率和功耗减少率进行评估,统计数据表明提出的改进算法可有效节省存储空间、降低系统功耗. 利用SIFT算子与图像插值实现图像匹配 尺度不变特征算子SIFT具有良好的尺度、旋转、光照不变特性,广泛应用于图像匹配领域。该算子利用128维的特征描述向量来表征每个特征点,由于维数过高,影响图像匹配的速度。为此,提出了利用图像插值技术对特征描述向量进行降维处理,提高匹配速度,并通过实验验证了该方法的有效性。 基于复杂适应理论的SaaS平台自适应演化技术研究 针对产业链协同Saa S平台业务功能动态定制的特点,在研究云平台业务功能个性化定制架构的基础上,分析了产业链协同Saa S平台业务功能自适应演化的需求,基于复杂适应系统理论建立了自适应演化模型,提出了基于角色及业务驱动的演化模型执行策略,采用基于遗传算法的演化策略实现主体的最优演化,采用基于.NET的反射机制实现了演化主体的动态配置,设计和实现了基于.NET的反射处理接口,实现了自适应主体在系统运行过程中的动态调用。最后,通过报表主体的动态添加和表单主体在不同企业联盟中的自适应演化,验证了技术的可行性和有效性。 基于固件的系统芯片协同验证平台 使用FPGA进行全系统仿真是验证基于平台设计的系统芯片(SoC)的有效手段,但FPGA原型验证一方面须等待硬件设计完成编码,另一方面FPGA全系统环境下的硬件设计错误定位耗时,验证周期较长.为更早展开系统级验证工作并缩短验证周期,提出一种基于固件的协同验证平台——FCVP.FCVP在FPGA上基于固件模拟待测硬件设计和系统模块,通过运行真实工作负载,对比FPGA中待测硬件设计和FCVP模拟参考模型的行为,帮助分析、定位硬件设计错误.在PKUnity86 SoC上进行验证的实例表明,FCVP可用于SoC设计初期验证,并可有效地辅助硬件设计错误的定位.性能评测显示,FCVP模拟性能优于纯软件模拟器. 川崎病辅助决策系统的建立和临床应用 川崎病KD(Kawasaki disease)是好发于5岁以下儿童的急性发热性出疹性疾病,发病率正逐年上升。川崎病的诊断目前主要依靠临床表现,缺乏明确的实验室诊断指标。而早期诊断和及时有效治疗可显著降低川崎病患儿冠状动脉病变发生率。针对这种现状,通过Logistic诊断模型,对川崎病发生概率和丙球无反应的发生概率进行计算。通过分析与聚类算法,将患者进行归类,并以此建立用于门诊的川崎病辅助诊断决策系统。应用及实验结果表明,该辅助诊断系统不仅能有效提高诊断效率,而且可显著降低临床医生工作强度。同时,还可以较好地用于病患病例的记录与追踪,为患者的后期治疗提供依据。 物联网:语义、性质与归类 "物联网作为新兴的全球信息化工程,其概念本身(包括语义、性质和归类)的清晰界定至关重要。分析词语internet of things之语义可推证物联网的性质。比较实体Internet of Things与其它互联网应用的异同可确定物联网的归类。Internet of Things的真实语义是""产品信息互联网"",一种新型的互联网应用。汉译""物联网""的缺憾在于没有突出两个关键点""信息""和""基于互联网""。物联网的性质分为主性和属性,前者就是""基于互联网的产品信息全球互联"",后者则进一步诠释了该""产品信息""的3个受限特征即电子标签形式、标准化约束和本体支持。物联网可看作是语义Web的一种具体应用形式。" 基于CAN总线的铝—空电池管理系统 铝—空新能源电池稳定运行涉及风、液、热等多因素,针对铝—空电池系统运行状态参数实时监控的需求,设计了一种基于CAN总线的铝—空电池管理系统.通过对系统CAN接口、CAN通信协议、CAN数据传输等进行设计,现了铝—空电池自动化管理.将该系统应用在某型铝—空新能源电站中,结果表明:该系统可利用CAN总线监控参数实时监控铝—空电池的稳定运行,对系统出现的故障能及时诊断,对铝—空电池的工程应用具有实用价值. 支持形状分析的符号执行引擎的设计与实现 目前提高软件可靠性的方法有3种:动态测试、静态分析和程序验证。动态测试的结果依赖于测试集的设计,误报率低,漏报率高,分析结果不稳定。程序验证可以对程序的各种性质进行完备的验证。但目前程序验证通常都需要手动证明,分析成本最高。而程序静态分析可以更早、更全面、较高效和低成本地检测到程序中的缺陷。其中符号执行技术是一种比较有应用前景的静态分析技术,可以很好地控制精确度。针对符号执行可伸缩性差和容易产生路径爆炸的问题,在符号执行过程中利用形状分析技术实现自动推导循环不变式和构建函数行为规范,实现了一个较为实用的C程序分析工具。 基于NFC技术的巡更巡检管理系统的设计与实现 为解决传统电子巡更技术无法兼备便捷性和实时性的问题,设计并实现一种基于近距离通信(near filed communication,NFC)技术的巡更巡检管理系统。融合智能移动终端NFC技术和移动通信技术,通过Android终端触碰NFC标签采集巡更信息,使用移动通信技术上传巡更信息至Web后台。APP前端采用Google Android平台开发,Web后台采用Java Servlet与JSP开发,结合MySQL进行数据库管理。实例验证了NFC巡更巡检管理系统的可行性,为电子巡更系统兼备便捷性和实时性提供解决方案。 基于RFID及3G网络的物流跟踪系统研究 为实现物流货物自动跟踪,通过RFID电子标签自动记录货物的收货、入库、卸货、送货全过程,在运输途中,通过传感器实时采集运输环境参数并通过3G上传到服务器,在地图上实现货物位置、运输环境、货物名称的精确对比,运输环境不合适时及时报警;详细介绍了系统的整体设计,并对系统的软硬件设计进行了分析,测试结果表明,系统整体稳定,环境测量误差率低,能够实现物流货物的全程跟踪,该系统可广泛应用于物流业。 一种FPGA验证与测试的方法介绍 如何快速开发FPGA测试平台以实现FPGA验证与测试是本文的研究重点。基于PC、ATE与自制应用型DUT板,对FPGA验证与测试开发技术进行研究。PC主要完成测试程序下载与调试验证工作,自制应用型DUT板实现对FPGA的配置,ATE等待FPGA配置完成后进行信号输入与输出验证。基于该理论对Xilinx公司的XC2S200进行了实验,实验表明该方法可行并能快速实现测试开发与芯片验证,且具有很好的通用性,可用于其他FPGA芯片的测试、研究与验证。 矿井巷道掘进机截割头运行轨迹跟踪策略 为了实现矿井巷道断面的自动精确成形,首先要研究掘进机截割头运行轨迹的跟踪策略。由于掘进机截割臂系统存在重复性、非线性和不确定性等特点,使截割头不易精确跟踪目标轨迹,出现超割或欠割现象,导致掘进机截割头的截割精度低,矿井巷道断面的自动成形质量低。为了完成对目标轨迹的精确跟踪,在截割头运行轨迹的跟踪策略中采用PD型迭代学习控制算法。根据拉格朗日法建立掘进机截割臂系统的动力学模型,并设计相应的PD型迭代学习控制器。仿真结果表明随着迭代学习次数的增加,跟踪曲线逐渐向期望的目标轨迹逼近,跟踪误差逐渐趋近于零。证明了PD型迭代学习算法对截割头运行轨迹精确跟踪的有效性,为煤矿井下掘进机断面自动成形研究提供了理论基础。 关于潜艇破损进水实时监视管理系统设计 潜艇水下破损进水应急操纵的时效性要求高,且破损舱室不同,所采取的挽回措施也有所差异,但目前缺乏有效的实时监视手段为艇员提供破损进水的快速报警与破损位置信息。为实现水下潜艇破损进水的实时监测,基于专家系统理论设计了一种潜艇破损进水实时监视管理系统。以扩展卡尔曼滤波对潜艇破损进水所产生的损失浮力与力矩的实时估计值为监视数据源,通过分析破损进水相关参数的估计值与破损情况之间的映射关系建立系统知识库,使用确定性推理方法实时推断潜艇是否破损与破损时的进水舱室。仿真结果表明所设计的监视管理系统能够迅速准确判断进水情况,为今后潜艇破损进水监视自动化的工程实现提供了一种可以参考借鉴的方法。 小波神经网络在基坑变形预测的研究与应用 针对一般小波神经网络存在的学习时间长,网络预测精度低的问题,提出了对网络输入层权值初始值进行归一化处理的优化方法,改进了原有小波神经网络。将改进后的模型应用于某市轨道交通1号线珠江路站深基坑水平变形预测中。监测结果表明,网络输出值与实测值吻合很好,优化后的小波神经网络收敛速度也更快;同时随着大量最新的监测数据输入到网络中学习,将使深基坑水平变形预测更加精确。 双端口RAM在ARM与DSP通信系统中的应用 通过使用IDT70261双端口RAM实现了ARM与TMS320C6211 DSP之间的高速实时数据通信,给出了双端口RAM与TMS320C6211和ARM的硬件连接图和ARM驱动编写细节。 基于改进型遮挡查询的复杂场景漫游算法 场景漫游中存在大量复杂模型时,由于其巨大的几何与纹理数据量,渲染速度会随之显著变慢。提出一种改进的大规模场景实时漫游技术,有效地利用了GPU的硬件遮挡查询技术。与以往的方法相比,通过一种改进的可见性分类查询队列,能同时减少遮挡查询次数和渲染状态的改变;并使用一种简单有效的排序方法,解决了同类方法中出现的遮挡错误的问题。实验证明该方法能有效提高复杂场景下漫游的渲染速度。 列车垂向振动机械激励发电性能设计 针对列车运行振动发电能量回收问题,为了将列车轨道振动机械能转换电能,需要对收回能量装置建立机电转换模型。能量回收装置可以为列车线路小功率监测设备使用,从而保障行车安全。通过利用正压电方程以及材料力学受力理论,建立城市轨道列车运行所产生的轮轨垂向振动作用下Cymbal俘能器组件回收装置转换模型。再利用MATLAB软件进行仿真,获得cymbal俘能器组件输出电压、输出功率等电学特性。仿真结果表明,获得了有效输出电压为54.3V,有效输出功率为1.46W。上述方法为列车运行能量回收设计提供了科学依据。 风险规避零售商参与的价格时变供应链协调 为协调有风险规避零售商参与的价格时变供应链,提出一种将价格折扣分担和滞销回购相结合的联合契约。在比较分析集中系统和分散系统订货决策的基础上,设计了该联合契约的具体形式,并给出了最优契约参数满足的条件。通过数值分析给出了零售商的风险规避程度和制造商的响应时间不确定因素对联合契约参数的影响,定量刻画了该联合契约在供应链协调中的应用价值,进而证明了该联合契约是一种协调时变供应链的有效途径。 导向钻井下传信号Haar提升小波去噪研究 针对导向钻井下行通信中信号传输存在多种噪声的问题,提出了一种基于多尺度Haar小波提升变换的信号去噪方法;在分析变钻井液排量下行通信信号传输噪声特点的基础上,给出了Haar小波的提升变换方案、信号去噪的原理及实现步骤,利用Haar多尺度提升小波变换实现了对井下接收的由排量变化三降三升过程构成的下传信号的去噪处理;对比实验结果表明,该方法既能有效滤除噪声,又能保持钻井液排量三降三升变化过程构成的下传信号其脉冲沿的位置和脉宽不失真,保证了对下传信号的有效识别。 FPGA软件自动化验证平台设计与实践 目前,航天任务急剧增多,研制周期大大缩短,FPGA的应用呈阶梯式增长,提高FPGA软件的测试效率成为新的挑战;验证平台的搭建是进行FPGA测试的前提条件,而验证平台的好坏直接影响测试效率和质量;为了提高测试效率,设计搭建了基于Makefile脚本的自动化验证平台,可在该平台上进行FPGA软件静态测试和动态测试,覆盖了目前航天型号FPGA软件测试的全部类型,实验结果表明该平台的应用能够有效地缩短测试时间,并保证测试质量。 一种抑制多模杂波的MTI滤波器设计 在雷达目标探测精度优化问题的研究中,雷达探测的性能,由于受目标环境中存在各种海浪、云雨等杂波,影响探测精度。传统的MTI滤波器存在滤除有用目标信号和滤波效率低的问题。为了解决传统滤波器所存在的问题,提出在滤波器设计中采用优化的参差时变算法和线性预测滤波算法相结合的混合滤波算法。与传统滤波算法相比,能够很好的克服盲速效应和提高滤波器性能。仿真和性能分析表明设计能够有效的提升滤波效果,具有较高的实用价值。 网络商品信息元搜索技术研究与应用 在分析网络商品信息特点的基础上,改进现有的Web信息提取技术,设计一种基于元搜索技术的网络商品信息提取模型,实现对搜索范围的自动确定、网页搜索、以及商品信息的自动抽取。通过大量商品信息的搜索测试,在抓取范围的自动确定和商品信息的自动抽取上取得了很好的效果。 基于功率分配与波束成形的双向中继网络优化 为了同时得到高功率效率和高频谱效率,在编码转发多输入多输出双向中继系统中需要在功耗最小时达到最大的和速率,但该问题是一种非凸问题,传统的研究方法仅关注和速率最大化问题,对两种并存情形研究较少。通过对两个源和一个中继组成的中继系统进行了研究,提出了一种寻找中继最优机制和将原始非凸问题转换为相应凸问题的优化算法。该算法将功耗作为优化问题的约束,并通过添加额外约束和子问题分解的方法,找到源和中继的最优功率分配/波束成形策略。通过仿真实例对该算法的性能进行了验证,仿真结果表明,该算法可以使得源速率和中继速率收敛到最优值,并具有较好的收敛性能和适用性。 基于STM32的无线数据传输综合应用 主要介绍由Cortex-M3处理器STM32F10XXX组成的硬件开发平台和专用无线传输单芯片nRF24L01实现的短距离无线数据传输方案,同时简单描述了STM32F微控制器和nRF24L01的配置,给出了它们的应用电路图和nRF24L01的控制程序.最后经过实际数据测试,可以应用在无线智能家居控制系统等领域. 面向Liquid时间隐通道的检测方法 IP时间隐通道严重威胁着网络用户的信息安全,基于熵的检测方法是目前最有效的时间隐通道检测方法,可以检测已知的IP时间隐通道。而近期提出的Liquid时间隐通道将包序列分为两部分,通过相互间的补偿来有效地躲避了熵的检测。针对Liquid方法的特点,提出了基于滑动窗口的熵检测方法。该方法先利用滑动窗口找出隐信息包序列和补偿包序列,然后再基于正常通信和Liquid通信在这两种包序列间存在熵差异性的特点实现检测,大量实验结果表明该方法能够有效地检测出Liquid时间隐通道。 基于在线教学案例的启发式教学模式研究 "针对信息化环境下,在线资源和服务逐步丰富,有必要充分利用网络环境进行教学改革的教学实际,分析案例教学和在线教学案例的特点,提出基于在线教学案例的启发式教学模式的设计思路和实施办法,并对该教学模式在""指挥信息系统""课程中的运用进行了探索,同时对其在实施过程中面临的问题和对策进行了阐述。" 高精度低复杂度的无线定位新方法 针对高精度的无线定位算法普遍存在运算量较大的问题,提出了一种二维波束空间矩阵束算法进行波达时间(TOA)和波达方向(DOA)联合估计,能够以较低的复杂度准确定位目标。该算法先通过离散傅里叶变换(DFT)波束形成矩阵将阵元空间的接收数据复数矩阵变换成波束空间的降维实数矩阵,使得运算量大幅度降低;再通过奇异值分解和求矩阵对的广义特征值估计视距信号TOA和DOA,从而确定目标位置。Matlab仿真实验结果证明,这种定位方法的均方根误差最好达到0.4 m,运算量不到阵元空间对应算法的1/4,是一种高精度低复杂度的无线定位方法,尤其适用于资源有限的特殊环境(如战场、地震灾区、偏远山区等)中的无线网络定位。 基于HM-SVMs的问句语义分析模型 由于传统的问句语义分析主要针对事实类的简单问句,而对于面向开放域的复杂问句缺少有效的语义分析方法。针对这种情况,提出一种新的问句语义分析模型。该模型将问句从文字空间映射到结构化的语义空间,实现问句的语义分析和表示。通过标注问句中的语义信息,模型实现问句分类、问句主题识别、限制信息识别三项分析工作。使用隐马尔科夫支持向量机(HM-SVMs)序列化标注工具实现了模型的自动标注,取得了86.7%的准确率。实验结果表明,HM-SVMs在标注准确率和效率上好于MEMM、CRF、M3N等模型,达到了预期效果。 基于μC/OS-Ⅱ智能监控分站节点的设计 为了提高井下安全系统分站节点的监控性能,利用μC/OS-Ⅱ在ARMCortex-M3硬件平台上开发设计基于CAN总线的矿用分站节点,实现了井下环境参数的实时监测,同时可连接控制设备实现实时智能控制,并可通过安全可靠的CAN总线与中心分站通信。节点采用多路开关实现多路多种信号的智能采集,提高了节点的智能化。软件设计采用多任务和优先级抢占调度机制,实现任务间的并发运行,保证了系统的实时性和可靠性。采用数字滤波技术,减少了数据采集的误差。 多特征协调的实时调度算法 目前大多数实时调度算法都依据单一的特征参数确定任务优先级,本文提出一种基于多特征协调的实时调度算法,对特定高优先级任务优先处理,并且对其他任务的调度不产生任何影响。同时,在系统超载的时候,有效避免了EDF算法性能的急剧下降。实验结果表明,该算法有效地保证了特定任务的调度优先级,相对于EDF算法性能有明显改进。 实现多个KVM虚拟机通信的串口转发器方法 在一个平台上利用KVM虚拟机可以运行多个操作系统,有效地利用硬件资源。串口是一个独享设备,如何让多个虚拟机都能够使用串口设备,文中提出了一种串口转发器的设计和实现方法。该方法实现了通过一个串口设备连接、调试多个虚拟机的功能。该方法利用管道通信和输入/输出重定向机制使得多个KVM虚拟机可通过一个物理串口进行通信,每个KVM虚拟机通信时都能独享这个串口设备,实际应用表明这种方法使用方便、通信性能可靠。未来在高性能服务器上将更多地使用KVM虚拟机运行多个操作系统,使用该串口转发器可方便地解决调试多个虚拟机系统的问题。 基于SL0算法的快速局部稀疏多径信道估计 针对无线通信系统中经常出现的稀疏多径信道,应用压缩感知理论,建立了局部稀疏多径信道的数学模型,利用SL0算法对信道进行估计与研究。在建模的过程中,从数学角度对数学模型进行了推导,验证了在信道估计中应用压缩感知的可行性。采用的模型能够更精确地重构出原始信号,有效抑制干扰,降低误差。与已有的算法相比,SL0算法在均方误差、重构精度、匹配度以及算法复杂度等方面都要优于其它算法。理论分析和计算机仿真均表明,SL0算法的高效性和局部稀疏信道模型的实用性。 从正交三视图重建曲面相贯体 二次曲面体相交时产生的相贯线一般是高次空间曲线,无法用二维投影精确地表达,工程实践中一般用通过几个关键点的拟合光滑曲线表达相贯线,甚至简化为圆弧,导致相交曲面体的轮廓投影被破坏.目前从二维正交视图重建三维形体的方法均要求基本形体的投影轮廓是完整和精确的,不能应用于实践中常见的相贯体.提出一种基于启发式线索的算法来处理相贯体的重建,应用相贯体的最小迹线恢复曲面相交之前的正确和完整的投影轮廓,进而正确地重建曲面相贯体. 粒子群优化鱼群算法及其在光伏系统最大功率点跟踪中的应用 将标准粒子群优化算法中的速度惯性、粒子个体的记忆因素和粒子间学习交流因素等几个特征引入人工鱼群算法,提出了粒子群优化鱼群算法。在新算法中,鱼群的游动具有了速度惯性的特征,并且其行为模式被扩充为追尾、聚群、记忆、交流以及觅食。通过仿真分析,验证了粒子群优化鱼群算法比两种基本算法具有更快的收敛速度和更高的寻优精度,且性能稳定。最后将所提出的粒子群优化鱼群算法应用于局部遮阴情况下的光伏发电系统最大功率点跟踪,实验表明,该算法可以在很短时间内以很高精度寻得不均匀光照系统的最大功率点。 移动硬盘加解密板卡的设计与实现 针对移动硬盘数据安全问题,分析、优化了AES加密算法,提出了Microbalze与轮内、轮间三级流水线AES加解密IP核结合的架构,设计并实现了一种介于硬盘与电脑USB接口之间的加解密安全卡.仅需在电脑USB与硬盘间串联该安全卡,普通硬盘便可以升级为加密硬盘.在Spartan6-Nexys3FPGA开发板上实验结果表明,该加解密系统能在120MHz时钟下达到174.08Mb/s的吞吐率,系统吞吐率高、资源消耗低. 基于Qrobot机器人的彩票服务软件系统 在Qrobot机器人已有的软件系统基础上,开发出了独立的彩票服务程序.在标准化的Windows操作环境下,以对象类别扩充组件的方式提供独立的,便捷的人机交互界面.通过触控和声控技术和与机器人互动,使Qrobot机器人获知所需查询的彩票类别.利用Web信息提取技术从指定网页中提取出彩票的中奖号码等相关信息,利用云端语音识别使机器人读出网页提取出的彩票信息,并能将中奖号码信息显示在操作界面上.所开发的软件操作系统具有良好的扩展性,基于同样的思想,还可以进一步为机器人添加其它网络功能. 鼻咽癌调强放射治疗中心点Y轴精度的临床分析 目的:探讨鼻咽癌调强放射治疗中心点Y轴精度的情况。方法:2016年1月至5月病理明确诊断鼻咽低分化鳞癌患者50例进入本研究。年龄43~58岁,平均年龄55岁,男女比例为3:1;使用飞利浦CT模拟机进行常规CT螺旋扫描,扫描层厚为5mm;使用Pinnacle放射治疗计划系统制订放射治疗计划,并确保进行研究的50例患者的放射治疗计划所生成的中心是采用原始的中心点即标记点(放疗中心点),重建生成数字重建放射图像(DRR);治疗前使用瓦里安Acuity模拟机(DR)进行验证。模拟机透视记录治疗中心点与CT扫描重建出的DRR图像的中心点确定放射治疗中心点Y轴精度误差发生情况(上移或下移),并分析误差发生的原因。结果:模拟机透视的放疗中心点与DRR图像的中心点相配准后,记录Y轴方向上移或下移的情况。50例患者中,有23例的患者需要上下移动5mm(仅为一个层面),其中12例患者需要上移,11例患者需要下移;27例患者不需移动。模拟机下Y轴中心点精度误差表现为离散均衡,未发现离散至一侧倾向趋势。误差主要发生原因为由于摆位Mark点的几何体积尺寸(1.5mm)和CT扫描层厚(5mm)和CT的扫描方式(螺旋扫描)的原因导致CT扫描中心点(Mark点)出现在临近的上下两层CT扫描层面,使得DRR图像的中心点与模拟机下摆位中心点发生偏差,导致表观上Y轴精度发生误差。结论:CT螺旋扫描会导致Mark点在相邻层面同时出现,导致治疗中心点在Y轴出现误差,治疗前仍需要模拟机验证。 Virtools环境下基于Kinect的手势识别与手部跟踪 为了实现在Virtools环境下利用手势对虚拟物体进行交互操作,设计开发了一个针对Kinect设备的插件。该插件基于Kinect的程序开发接口和Virtools的软件开发工具包,以Visual C++2010.Net为程序开发工具设计实现。首先根据Virtools的软件架构和模块开发技术,设计插件的管理器和行为模组,它们提供手势信息与手点位置;然后设计相应的扩展行为模组,利用手势选中物体零部件,零部件跟随手的运动做平移或缩放;最后通过实例验证了该插件的手势识别和手部跟踪功能的有效性,扩展了Virtools软件的应用领域。 针对性筛选在元器件质量控制中的应用 文章阐述了电子元器件针对性筛选的概念,重点结合元器件装机后失效的案例探讨了针对性筛选的作用。并对元器件筛选的发展方向做了预测。 基于Apriori的快速剪枝和连接的新算法(英文) 挖掘关联规则是目前数据挖掘领域热点研究话题之一。它的目的在于在数据库中挖掘有趣的关联规则。在关联规则分析及Apriori算法分析上,针对Apriori算法的瓶颈问题,许多有效的改进算法被提出。文中提出了QPCA算法。该算法利用矩阵分析的方法,仅需要扫描数据库一次,同时此算法优化了连接和剪枝操作,通过快速的剪枝和连接可以很快地获取最少的候选项集,避免了频繁项集之间的重复判断连接,因此大大提高了算法的效率。实验结果表明,该算法在挖掘时间上有很大提高。 飞机维修模拟机模型结构设计 飞机维修模拟机能够完成综合维修训练,已越来越多的应用于航空企业和航空院校进行维修培训;维修模拟机包括故障、工作原理、操作等一系列复杂的维修模型,其结构直接关系模拟机的工作效率与培训效果;针对现有模拟机系统结构设计不统一且类型众多的问题,采用结构化的系统设计思想,依据模拟机各核心部分的要求,将模拟机分解为多个维修模型和模块,分析各个模型和模块的类型及特点,进行了维修模型结构的设计;经验证,该结构有利于维修模拟机工作效率的提高,且支持模型的维护和进一步扩展,能够作为飞机维修模拟机系统设计与开发的一种通用的、标准化的结构。 基于NTP的Ad Hoc网络时隙同步算法 基于无线Ad Hoc网络时分多址接入机制,设计一种多信道时隙结构。根据网络时间协议(NTP)的基本原理,提出基于该时隙结构的全网时隙同步算法。该算法继承了点对多点无线通信系统的时隙同步方式,在完成时隙主从同步调整后,实现全网时隙的初始对齐,然后进入时隙互同步调整阶段,根据网络节点时钟偏差、节点移动速度和保护时隙的长度,设置合理的互同步调整周期,既不增加网络流量负荷,又可保证各节点发射时隙不碰撞。仿真结果表明,该算法具有较小的时间同步偏差,能快速实现全网的时隙同步,可应用于基于TDMA方式的Ad Hoc网络。 基于SOM和人工免疫算法的监测区域低功耗分簇协议设计 为了最大限度地提高监测区域无线传感器网络的生命周期,提出了一种基于LEACH协议的低功耗分簇协议;首先,在簇头选举中考虑了能量和节点密度因素,在确定了簇头后,采用SOM神经网络实现节点的分簇,使得分簇更均匀,在簇间采用多跳传输方式,定义了一种优化簇间多跳路由的人工免疫算法,通过种群编码、交叉、变异、免疫选择以实现簇头到基站的优化路由的获取;仿真实验证明基于SOM神经网络和人工免疫算法的分簇协议实现了对LEACH协议的改进,较大幅度地均衡了节点负载、提高了网络生命周期,具有较强的优越性。 基于误差控制的自适应3次B样条曲线插值 针对现有曲线插值算法不能有效压缩型值点的缺陷,研究了一种自适应三次B样条曲线插值算法。从型值点序列中选用最少的点插值一条初始曲线,基于提出的点到曲线的最小距离计算方法,分别计算各非插值点对应的插值误差,并从中提取最大插值误差。若最大误差大于给定的误差阈值,则将其对应的型值点加入插值型值点序列,重新插值曲线,直到最大插值误差满足误差要求。与现有曲线插值算法相比,该算法可以在保证插值精度的前提下有效压缩数据量。 基于专家系统解释机制的报告生成方法 结合智能系统的解释理论,提出了一个基于专家系统解释机制的报告生成方法。这个方法首先根据需求建立基于规则的专家系统,然后使用跟踪解释法实现其解释机制,最后对解释信息进行一定的排版,作为最终的报告。同时,介绍了这种方法在实际乒乓球比赛分析上面的一个应用,该应用可以从一场比赛双方的胜局数、总分数、相持阶段得分贡献率中分析得出比赛报告。最后,对提出方法从开发成本和功能两个方面进行了分析,得出此方法既考虑了开发成本又兼顾了功能。 基于CEP的外汇自动成交系统的设计与实现 针对外汇自动交易行业对数据快速变动的敏感性要求,建立了一个基于复杂事件处理(CEP)和云计算技术的托管平台。在此平台上,托管用户可以通过云计算提供的API,设定自己的交易方式和处理方法,实现了用户的托管管理和动态事件绑定,降低了用户对本地硬件资源和网络带宽的要求。实验结果表明,通过CEP和托管特定事件触发方式,对于相同的事件分析和逻辑分析,平台响应速度提高5~10倍。 基于协同通信开放平台智能通信系统的实现 面对多个终端或多个互相独立的应用系统,常常会有联系不便、账号管理繁琐等问题,协同通信技术提供了一种有效的解决途径。通过对校园通信需求的分析,文中设计了一种服务于校园人群的智能通信服务软件,将师生常用服务嵌入其中,并通过调用中国电信协同通信开放平台的智能短信和网络电话接口,实现了智能电话、短信、网络信息管理功能,可使学校通信网络管理统一化,让校园师生能高效地进行工作联系和信息交流。该软件提出了一种优化的通信方式,可降低通信成本。 多摄像机图像拼接自动色彩均衡算法 针对多摄像机视频图像,分析了图像拼接技术中存在的问题,讨论了目前图像处理中常用的图像色彩均衡算法,提出了一种基于图像像素均值统计的亮度和色彩均衡处理算法。首先提取相邻两摄像机同步帧图像的重叠区域并对重叠区图像进行通道分离(RGB),把其中一幅作为参考图像,另一幅作为目标图像,分别统计两幅图像各颜色通道像素均值差,用差值强制修正整幅目标图像。然后对修正后的图像和参考图像(整幅图像)进行颜色空间转换(RGB到HSV),再次统计两幅图像亮度通道(V通道)均值差,用差值强制修正整幅目标图像亮度。实验结果证明,该算法能有效校正相邻摄像机图像的亮度和色差,对后期的拼接融合处理起到了很好的改善效果。 一种改进List-wise的科技论文推荐方法研究 近些年,科研社交网站中的科技论文数量呈现出爆炸式增长的趋势,用户很难发现符合自己要求的科技论文,而科技论文推荐正是解决这个问题的有效方法之一。但是现有科技论文推荐方法大多专注于评分预测的准确性,忽视了推荐科技论文之间的排序问题,并且现有的科技论文推荐方法没有充分利用科研社交网站中的社会化信息。为此,提出了一种改进List-wise的科技论文推荐方法,系统地分析了科研社交网站中的好友关系,科技论文的标题、摘要和标签等社会化信息,并将其融入到List-wise方法中。为了验证提出方法的有效性,抓取了科研社交网站Cite ULike上的数据进行验证,实验结果表明,与其他传统的推荐方法相比,该方法取得了较好的实验结果,具有良好的可扩展性。 基于并行拣选的自动拣选系统品项拆分优化 为提高自动拣选系统的工作效率,建立了基于并行拣选的品项拆分模型。该模型的优化目标是使每个拣选机可在订单合流前完成对货物的缓存。模型采用延迟因子表示订单合流时间与货物缓存时间的差值,并通过证明得出通道延迟因子与延迟时间具有相同变化趋势的结论。为求解模型,设计了基于延迟因子的启发式拆分算法。仿真结果显示,采用启发式算法可使拣选时间缩短8.55%~11.7%。 基于图像特征聚类的自嵌入水印算法 提出一种新的基于图像特征聚类的自嵌入水印算法(CCSW),用于图像内容的认证和恢复.通过将表征图像特征的DCT变换的直流系数和低频系数按块聚类,把块聚类号引入块索引后的码字作为水印信息分别嵌入到本块及其后继块的中频系数中,用于图像内容的篡改检测和恢复.实验结果证明了该算法不但能容忍图像的常规处理操作,而且对包括拼接、替换等在内的蓄意伪造、篡改能进行有效定位和恢复. 基于同态加密的分布式隐私保护线性回归分析模型 线性回归是对数据进行统计分析的重要方法之一,但对具有隐私保护的分布式多数据源线性回归分析的研究还较少.针对该问题,利用加性同态加密,提出了一种在非信任的分布式环境下具有隐私保护的协作线性回归分析模型.该模型充分利用分布式环境的计算能力,由各数据源端与服务器端协作进行线性回归参数的计算,各数据源的敏感数据在整个分析过程中都处于保密状态.最后分析了模型在半诚实模式下的安全性,并对所实现模型进行了性能测试. 基于空频域信息的固定单站无源定位技术研究 针对固定单站无源定位必须实现快速定位跟踪的要求,提出一种基于空频域信息的定位方法。对该方法的定位误差进行分析,得到影响该方法定位误差的各因素,以及这些因素对定位误差的影响程度。仿真实验结果表明,该方法受角速度测量误差影响最大,受多普勒频率测量误差和运动辐射源的速度影响较大,受频率测量误差影响较小。 参数化系统二维抽象的理论基础 模型之间的等价关系和抽象模型的性质保持是保证验证正确的必要条件,参数化系统二维抽象从构成系统状态空间的二维方向分别进行抽象,证明了此抽象方法的正确性和合理性,即TDA模型与原始模型存在模拟关系,而且在TDA模型中成立的只对单个变量进行全称量化的单索引ACTL*公式,在任意规模的原始模型中也成立,为简化参数化系统验证提供了理论依据。 拟合复杂形状主骨架的颜色渐变填充 针对复杂形状的颜色渐变填充无法较好拟合形状的延伸方向问题,提出了形状主骨架颜色渐变填充算法(SMSCGFA)。引入骨架路径视觉显著性评价向量,针对主骨架设计了整体优选及局部几何优化相结合的方法,对复杂形状抽取主骨架进行简化;骨架提取时结合了基于约束Delaunay三角剖分(CDT)的三角形中线法骨架提取和基于骨架二叉树的骨架路径双栈跟踪提取;对主骨架进行颜色渐变计算,通过局部的主骨架颜色信息,完成形状整体沿延伸方向的颜色渐变填充。实验验证表明,采用该方法后,骨架路径的优选比可达5.5%,消除了较多的骨架冗余分枝;与颜色线性渐变填充相比,基于骨架的颜色渐变填充,拟合形状延伸变化趋势较好。 一种轻型高效的多媒体播放列表解决方案 对于低成本的嵌入式平台,内存和芯片的性能都是有限的。为了减少多媒体播放列表对资源的占用,文中基于FAT文件系统的特点,提出了一种新的嵌入式多媒体播放列表的解决方案。该方案创新主要体现在两个方面:用文件起始簇号代替文件路径;不缓存文件信息,实时获取文件信息。该方案和传统方案相比具有内存使用大幅减少,响应速度快的特点。在实际的产品中实现了该播放列表方案,测试结果显示播放列表资源占用大幅降低,运行性能良好,能有效地降低产品的成本。 石英晶体参数测量的数字鉴相系统 石英晶体做为高精度频率源的核心部件被广泛应用于各个领域,对于高精度的石英晶体谐振频率的测量需要进行精确稳定的相位差检测;为了提高相位差测量精度,研究了高精度的数字鉴相方法,该方法可以提高π网络零相位法的检测精度,并且在鉴相的同时实现鉴频和鉴幅,可以利用鉴频提高鉴相的精度,利用鉴幅加快π网络检测速度;为了验证数字鉴相方法的有效性,研制了基于DSP的石英晶体参数测量π网络零相位法系统,利用该系统检测石英晶体的谐振频率,检测误差达到2PPM。 基于MSP430和GSM的独立光伏系统设计与实现 研制了一种独立太阳能电池板自动跟踪太阳光线以及独立光伏装置的远程监控系统;系统以MSP430单片机为控制终端的核心控制器,采用光敏电阻采集光强,利用GSM通信网络传输独立光伏系统的故障信息到管理员手机;详细阐述了系统的光强采集、控制器以及GSM短信息系统的硬件设计思想以及软件设计流程;做出了样机进行测试,样机运行结果表明系统能实时的自动跟踪太阳光线使之垂直照射在电池板上,并且能够实时、准确地把系统故障信息发送到管理员手机;该系统对电网来能普及的偏远山区的用户用电、山林防火和防盗设备的供电、铁路沿线的照明用电等具有重要实际意义和推广价值。 低地球轨道航天器表面计算仿真 现代战争中航天器发挥着越来越重要的作用,同时航天器表面带电造成的危害也越来越明显。利用航天器与等离子体相互作用系统软件SPIS,采用PIC粒子分室法模拟计算了低轨道航天器表面及周围等离子体电位分布,航天器表面电子、离子及二次电子电荷密度分布,总结了航天器表面充电特性规律。结果表明:由于等离子体温度低、浓度高,航天器表面带电的电位较低;航天器运动方向前方,等离子体电位较高,在航天器运动方向背侧,等离子体电位较低;航天器周围的等离子体环境受尾迹效应的影响显著。仿真结果为航天器表面带电问题研究及航天器表面带电防护技术提供了技术参考。 基于LDA模型的网络党建信息推送策略 针对云南省基层党建综合服务平台推送服务扁平化、效率低等问题,基于潜在狄利克雷分配(LDA)主题模型设计党建信息推送策略。利用LDA模型对用户历史数据进行无监督聚类,根据党员反馈矩阵推测党员偏好,通过待推送信息与党员偏好的相关度实现过滤式党建信息推送。以云岭先锋网手机报真实文本作为实验数据对该策略进行验证,结果表明,相比传统协同过滤和无差别推送方法,该策略更符合实际需求,能取得更稳定的推送效果。 甘肃科技文献共享平台架构及关键技术研究 "甘肃科技文献共享平台包括五大系统:全文数据库检索及发布系统、异构数字资源统一检索系统、原文传递系统、用户管理及计费系统、统计分析系统。论述该平台的应用架构和技术架构,阐述其主要关键技术:统一检索、Web 2.0、Web服务及数据安全。运行实践表明,平台整合了173个资源数据库,实现""一站式""文献服务,提高了文献资源的集成程度,从而提高文献情报机构的服务水平、管理水平和市场竞争能力,减少文献资源的重复投资,减少内容相同的数据库资源的重复开发。" 面向任务成功性的装备保障体系复杂网络鲁棒性分析 尝试基于复杂网络理论将装备保障体系网络映射为指控网络、修理网络和供应网络,运用网络化的观点研究装备保障体系的鲁棒性。首先结合部队装备保障实际情况和保障组织原则,以保障任务的成功性为度量标准提出了保障节点的任务分解、支援和协同策略,并在此基础上建立了装备保障体系的任务鲁棒性指标。最后结合仿真案例分析了在不同攻击形式下的保障任务分解策略对网络的整体影响,验证了模型的合理性和有效性。 基于博弈论能耗均衡的桥载监控网络路由协议 民航桥载设备替代飞机APU运行时,工况监控无线传感器网络会出现负载不均,导致部分节点能量消耗过快、较早死亡,缩短网络周期,为此提出一种基于博弈论能耗均衡的WSN分簇路由协议(GCBR)。根据节点的能量、位置和传输数据包大小,以及网络中信号能量衰减和信噪比等特性确定代价和效用函数,构建基于博弈模型的网络协议。仿真结果表明,GCBR算法可以比传统算法更好地均衡无线传感器网络中节点的负载和能量,延长桥载设备工况监控网络的生命周期。 航空发动机叶片自适应修复目标曲面重构 航空发动机叶片修复往往先采用激光熔覆,然后精密铣削。叶片变形和破损可能造成熔覆材料不足或铣削结果超差。为了给叶身熔覆和铣削提供足够的余量和满足设计要求的目标加工曲面,提出镜像模型驱动的叶身自适应修复曲面重构方法。以叶身设计曲面作为理论模型,以实际待修复叶身的测量值作为实作模型,引入叶身形状公差约束和叶身变形对熔覆和铣削加工的约束,建立了保证叶身曲面设计要求的目标曲面优化模型,并基于镜像模型优化处理熔覆阶段与铣削阶段的目标曲面。通过实例验证了所提优化模型的有效性和必要性。 物联网技术发展的研究与综述 发展物联网产业已经成为我国培育战略性新兴产业、加快经济发展方式转变、推动产业结构升级的重要战略选择,我国政府部门高度重视物联网的应用推广,着力推动物联网相关产业的发展;文介绍了物联网的国内外发展现状,总结了物联网的架构及关键技术,简述了物联网的有关应用,最后对我国发展物联网产业进行了展望;以求通过这四方面的介绍,从技术、应用等层面使我们对物联网有全面、深刻、准确的理解和认识。 一个情境相关的双层室内空间数据模型 在室内空间的移动对象管理中,如何建立数据模型是要解决的首要问题。随着情境感知信息系统的发展,情境的概念得到越来越多的关注,如何在室内空间数据管理中融入情境信息已经成为人们关注的焦点问题。针对这一问题,综合考虑几何、拓扑、情境3类信息,建立了一个情境相关的双层室内空间数据模型。在分析了经典的空间划分方法后,提出了室内空间细粒度划分方法,并给出其形式化定义;引入层次互补的思想来组织室内空间,采用本体的方法将情境信息加入到模型中,使该模型对室内空间的表达更加灵活;最后,通过实例分析和列举模型的优势说明了建模方法的可行性和有效性。 移动跨域单点登录系统设计 通过分析单点登录技术在移动电子商务中的重要性和单点登录技术的实现原理,提出了适用于移动环境的跨域单点登录系统整体架构。针对移动设备运算能力有限以及移动网络的不稳定性,提出了改进的基于公钥基础设施PKI的数据加密、数字签名和分布式认证技术,实现了移动用户的域内认证和跨域认证。最后,在上述分析和研究基础上,使用基于JAX-WS模型的Web服务、Oracle9i数据库和Bouncy Castle轻量级API中的基于RSA的公钥算法,在J2ME和JavaEE5.0平台下对移动跨域单点登录系统进行了具体设计,并进行了测试。 奇异性数据的分类检索方法研究与仿真 对奇异性数据进行分类,可以提升检索的精度并改善用户需求。在对奇异性数据的进行分类检索时,当检索对象为海量数据时,需要对海量数据进行自动标定和划分,并对数据特征进行检测,获取数据特征集,并从中提取奇异性语义向量空间,完成奇异性数据的分类检索,而传统算法只能根据人工标定方法进行分类检索,当针对海量数据时,只能粗略的进行标定分类,分类检索时会漏掉很多奇异性特征,无法实现准确的分类检索。提出采用奇异值分解的奇异性数据分类检索方法,对海量数据进行划分,并对数据特征进行挖掘,获取数据特征集,引入奇异值分解方法提取数据特征集的奇异性数据向量空间,利用奇异值分解方法,逐级进行奇异性数据特征的相似度匹配,实现奇异性数据的分类检索。仿真结果表明,所提方法的奇异性数据匹配检索效果良好,并具有稳定的检索性能。 基于C-MMAS算法的组合服务动态选择研究 "将大规模的具有多种组合路径的QoS最优组合服务选择转换成带约束的最优路径选择问题,并提出了一种基于文化的最大-最小蚁群优化算法(C-MMAS)来完成最优路径选择。C-MMAS计算模型由基于MMAS的群体空间、基于优秀解的信仰空间及其之间的通信协议组成。群体空间在完成基于MMAS的演化后进行基于""变异""的进化操作,并将每次演化和进化后的优秀解作为知识贡献给信仰空间,信仰空间按照一定的优化规则更新空间里的知识,当信仰空间里的知识经过若干代的积累沉淀后再对群体的演化进行指导。此计算模型在知识和群体层面使用双重进化机制支持问题的求解和知识的提取,充分利用了种群的进化机制和知识的指导作用,在很大程度上提高了种群的多样性及收敛速度,达到了防止早熟、降低计算代价的目的。理论分析和实验结果说明了该算法的可行性和有效性。" 快速鲁棒的立体匹配方法 针对全局匹配算法复杂度太高的问题,提出了一种基于图割的快速鲁棒立体匹配算法。一方面,参考局部立体匹配算法的思想,优化图割求解中的网格图的节点和边缘个数,使得在进行图割全局优化求解时不需要遍历所有视差值,从而减少匹配时间;另一方面,用二维线性插值算法计算亚像素,提高匹配精度和算法鲁棒性。实验结果表明,该算法快速、鲁棒、准确。 自动气象站运行状态监控及资料查询系统的设计与实现 在各分中心区域自动气象站的SQLSERVER数据中,开发大量的存储过程、函数、触发器[1]等脚本程序,实现了区域自动气象站运行状态及日、旬、月、年资料的自动统计和入库;前台网站系统通过对各分中心数据库资料的集中访问和综合分析,实现了区域站运行状态的监控和短信报警,并对小时、日、旬、月、年资料提供了查询和下载。解决了设备无法监控,资料无法统计,无法下载和不能集中访问的突出问题。 结合用户聚类和项目类型的协同过滤算法 为了解决协同过滤算法中数据稀疏性问题,提高推荐效果,提出一种改进的协同过滤算法.该算法首先通过一种新的相似度计算方法来计算项目类型相似度,将相似度大于某阈值的项目作为目标项目的邻居;然后根据目标用户对邻居项目的评分信息来预测该用户对目标项目的评分值,并将预测值填入稀疏的用户项目评分矩阵;最后对填充后的评分矩阵采用基于用户聚类(K-means聚类)的协同过滤算法做出最终的预测评分进行推荐.在Movielens数据集上进行实验验证,结果表明该算法能够很好地缓解数据稀疏性、降低计算复杂度,提高推荐精度. 网络流量预测的组合方法研究 网络流量是一种典型的时间序列数据,具有很强的滞后性和后效性。针对当前滞后阶数确定方法存在局部最优,耗时长等缺陷,提出一种网络流量组合预测方法(GS-GA-LSSVM)。首先采用地统计学(GS)快速确定网络流量的最优滞后阶数,然后根据滞后阶数对网络流量进行重构,最后采用遗传算法(GA)优化最小二乘支持向量机LSSVM(least square support vector machine)对网络流量进行建模预测。仿真结果表明,GS-GA-LSSVM对网络流量的预测精度优于参比模型,更能反映网络流量复杂的动态变化规律。 无K3子图的互连网络在PMC模型下的条件可诊断度 可诊断度是衡量一个互连网络可靠性的重要指标,常用来评估当系统中某些节点出现故障时将故障节点准确找出来的能力。PMC模型是一种经典的可诊断模型,被广泛地应用于系统诊断中,到目前为止,已经有很多的研究者基于PMC模型作出了大量研究成果。在PMC模型的基础上,对于不存在K3子图的网络条件可诊断性进行了研究,并证明了当δ(G)≥9且任两个节点的共同邻居数不大于2时,无K3子图的图G是2δ(G)-1条件可诊断的;当δ(G)≥6且任两个节点的共同邻居数不大于2时,二部图G是2δ(G)-1条件可诊断的。 PCB集成化RFID在电能表智能制造中的研究应用 针对目前电能表制造企业在应用条形码作为信息获取方式在实际生产中带来的问题,且现有RFID标签无法满足电能表制造实际应用需求,提出将PCB集成化RFID标签引入到电能表的生产制造中;根据制造企业面临的问题给出了制造系统的具体功能结构,结合PCB集成化RFID阐述了智能化制造系统的应用流程,并对其中PCB集成化RFID标签天线的设计给出了介绍;根据试点应用证明该系统能够提高流水线信息获取效率,减小出错的概率,实现了电能表制造企业生产制造的透明化与后台信息管理的透明化。 基于新的超混沌系统的图像加密方案 提出了一个新的超混沌系统,分析了新系统的混沌吸引子相图、平衡点及其性质、Lyapunov指数等非线性动力学特性,并用该超混沌系统对图像进行加密研究。给出了一种新的基于四维超混沌系统的图像加密算法。实验结果及安全性分析表明,该算法具有较强的抵御穷举攻击、统计攻击、已知明文攻击能力,因而具有较高的安全性。 高速数据传输系统的WDM驱动程序的封装设计 高速数据传输系统多采用第三代高性能I/O总线——PCI Express总线作为实时数据传输接口,硬件接口一般需要接口芯片的支持从而使WDM驱动程序成为必需。以桥接芯片PEX8311为例设计了Windows XP系统环境下的WDM驱动程序,重点阐述了该驱动程序的数据传输和动态链接库(DLL)封装。封装后的驱动程序彻底解决了操作系统底层和应用层间交互调用的编程难题,其通用性强,只需在底层做少量芯片配置的修改便可移植作为新的接口芯片的驱动程序,而不需改动应用程序。 异构网络资源分配:改进多对一转移匹配 随着无线业务的急剧增长,短缺的频谱资源正面临着巨大挑战。采用无线异构网络被视作解决此问题,提高频谱利用率的一种有效手段。但是,由于宏蜂窝和微蜂窝共享相同频谱资源,同层和跨层干扰非常严重,这时如何合理进行资源分配成了一个棘手的问题。针对该问题,根据匹配理论提出了一种改进多对一转移匹配算法进行资源分配。该算法在满足交换条件下,通过微蜂窝用户不断地交换其匹配资源,最终形成稳定转移匹配。仿真结果表明,所提改进转移匹配算法较传统转移匹配算法和改进Gale-Shapley匹配算法性能更易收敛到最优解,同时提高了频谱利用率,降低了计算复杂度。 基于认知无线电的频谱感知及TCP跨层设计 目前针对认知无线电网络中TCP协议的研究大多假设次用户的感知是完美的,且未综合考虑TCP协议参数和感知时间等因素对TCP性能的影响。针对上述问题,在TCP Westwood协议的基础上,提出一种基于传输预判的改进TCP协议,建立基于认知无线电网络的TCP吞吐量跨层模型。采用部分可观测马尔可夫决策过程对有感知误差的次用户频谱感知和接入过程进行建模,将其转换为信念状态马尔可夫决策过程,使用Sarsa(λ)算法对其进行求解,以在最大化TCP吞吐量的同时得到最优感知时间。仿真结果表明,与TCP Reno和TCP Newreno协议相比,使用该方案所得的TCP拥塞窗口值分别提高约42%和27%,平均吞吐量分别提高约5.7%和5.5%,当感知时间为0.2s时,所得的TCP平均吞吐量为最大值。 基于Logistic时间函数和用户特征的协同过滤算法 目前推荐系统中协同过滤算法是应用最成熟的推荐算法之一,然而传统算法没有考虑随着时间的迁移,用户的兴趣也可能发生相应变化以及特征属性在推荐过程中对推荐结果的影响,致使预测结果不准确。为此,提出一种新的相似性改进算法对传统算法进行改进。改进后的协同过滤算法对基于时间的Logistic权重函数与用户特征属性进行加权计算,形成一种新的相似性度量模型。实验结果表明该算法推荐平均绝对误差(MAE)比传统算法降低了12%,较传统算法推荐质量有明显提高。 基于混合杜鹃搜索算法的图像二维熵阈值方法 二维最大熵阈值法利用了图像的空间位置信息具有较好的分割结果,然而大量运算降低了它的效率。提出一种混合杜鹃搜索算法和局部搜索的二维最大熵阈值分割方法。仿真结果表明与基于遗传算法、粒子群算法、差分进化算法优化的二维最大熵阈值方法相比,提出的方法可以快速的获得图像二维最优分割阈值并且能够避免局部最优阈值的情况,显著的降低了基本二维最大熵阈值的执行时间,是一种快速且性能鲁棒的图像阈值分割方法,能够满足图像分割的实时性要求。 多层次的网络服务器集群功耗管理 多层次的集群功耗管理方法,在不明显影响系统性能的前提下,降低集群系统的功耗。该管理方法分为集群层次的功耗管理和本地节点层次的功耗管理。集群层次的功耗管理基于自学习负载预测的按需启动策略,根据作业的负载提供计算资源。本地节点层次的功耗管理针对负载下降产生的节点空闲问题,提出了Enhanced-conservative调控器算法,提高了负载下降时频率调整的敏感度。测试实验数据表明,该策略比其他策略能更有效的降低整个系统的功耗。 Web文本分类中的标签权重自动优化研究 Web文本分类是Web数据挖掘的重要技术之一.为了利用HTML的半结构特性,现有的Web文本分类技术多采用手工指定的方法,决定不同标签的权重系数.这种方法完全根据个人经验,未能充分利用样本集的结构特性,识别效果提升并不明显.针对这一问题,本文设计和实现了一种基于差分进化算法的Web文本标签权重系数自动寻优方案,并对差分优化算法进行了改进,以提高其局部搜索能力.实验结果表明,该方案能充分利用样本集的特性并能有效地提高分类的准确率. 基于WPKI的在线支付系统的安全性设计 针对在线支付系统存在的安全隐患,提出了一种基于WPKI体系结构的解决方案,设计并实现了一套加油站在线支付系统。该系统采用SSL协议、数字签名以及数字证书实现支付过程中的身份认证,保证了信息的完整性,其中CA利用OpenSSL库进行开发。系统采用三层Web体系结构模式,表现层采用MVC设计模式完成,业务层采用JDK动态代理封装事务,持久层采用DAO设计模式完成。实验结果表明,基于WPKI体系结构的在线支付系统实现了支付过程中的保密性、真实性、完整性及不可否认性。 基于核空间相对密度的SVDD多类分类算法 针对现有基于支持向量数据描述(SVDD)的多类分类算法未能充分利用重叠区域样本分布信息等问题,提出了一种基于核空间相对密度的SVDD多类分类算法DM-SVDD。该算法首先由SVDD确定包围每类数据的最小超球,然后计算位于最小超球重叠区域中每个样本在其同类样本间的相对密度,最后以各类样本相对密度的均值为标准,对重叠区域内的待测样本进行分类。实验结果表明,算法DM-SVDD是可行有效的。 基于全级C阶矩模型并行流数预测的广域大数据吞吐量优化 针对传统大数据密集型的可扩展计算系统在数据源利用和数据传输方面效率不高的问题,提出基于并行流数预测的应用层吞吐量优化模型。为提高并行流数预测精度,以提高瓶颈链路的利用效率为目的,设计等效并行流数选取方式。借鉴部分C阶矩模型和完全二阶矩模型,构建全级C阶矩模型,并且设计低采样吞吐量优化框架,降低计算复杂度。在不同大小数据集上的实验结果表明,全级C阶矩并行流数的预测模型更适合大数据传输,且效率更高。 基于CTM快速路可变限速标志投资优化 针对快速路可变限速标志投资缺乏系统的定量分析、位置模糊问题,基于改进元胞传输模型构建了阶梯形限速投资模型。首先,对限速控制的改进元胞传输进行了描述;然后,为实施阶梯形限速控制,引入了0-1整数规划算法和限速约束条件;最后,利用遗传算法优化了投资额一定时可变限速标志位置以及动态限速值。算例结果发现,随着投资额增大,平均临界密度标准差、饱和度会减小,车辆运行速度会提高,但同时效益生产量会随之降低,系统平均延误会随之增大。结果分析表明,该模型能够有效解决可变限速标志投资优化问题。 融合颜色和CS-LBP纹理的运动阴影检测 针对现存阴影检测方法存在的实时性和精确性兼顾不周的问题,提出加权融合颜色和纹理特征的阴影检测方法:首先利用HSV颜色信息提取疑似阴影点;其次,通过阴影的亮度比计算阴影亮度隶属度,对于高亮度隶属度的疑似阴影点,直接判定为阴影点,减少了纹理检测的计算量;然后对低亮度隶属度的疑似阴影点提取高效的CS-LBP纹理,并进行纹理匹配,根据纹理的相似程度及阴影空间分布特点,计算出纹理隶属度;最后,根据实际中纹理随亮度变化的特点,提出了依据亮度比自适应调整纹理隶属度权重的特征融合方法,进行有效的阴影检测.实验表明,本文方法实时性良好,可去除自阴影,分割精度较佳,隶属度方法的使用,使本方法对光照变化及噪声更具有鲁棒性. 步态序列图像中人体下肢关节点的定位 研究人的步态识别优化问题,针对下肢关节点定位成为人体运动跟踪与分析中,存在精度不确切的问题。为解决上述问题,提出步态序列图像处理技术。首先从图像序列中提取人体目标,并建立下肢骨架模型和小腿轮廓模型;利用一种基于传统动态建模的圆周摆算法结合帧序列的连续性以及小腿轮廓数据自动检测膝关节位置和踝关节的粗略位置。通过仿真表明,小腿轮廓模型的匹配计算在踝关节预测点的周围搜索出精确位置,并验证了方法效果很好,为设计提供了依据。 一种基于SPH流体模拟的固液边界改进算法 光滑粒子流体动力学(SPH)法是一种无网格的流体模拟方法,固液边界处理是SPH法模拟流体行为的重点和难点。本文提出一种单层加密粒子法进行固液边界处理。与虚拟粒子法将边界假设为静止的流体粒子不同,本文将边界假设为具有一定密度的固体粒子,依靠物理约束进行流体计算。这种方法能够有效降低模拟中穿越边界的粒子数量,使得流体边界处的模拟更加符合真实情况。本文采用仿真流体数据对提出的算法进行验证,并对其有效性进行分析讨论。 欢迎订阅2015年《计算机工程》 [正文]敬请访问《计算机工程》综合网站:www.ecice06.com(国内外公开发行邮发代号:4-310)《计算机工程》创刊于1975年,是由中国电子科技集团公司主管、华东计算技术研究所和上海市计算机学会主办的学术性刊物,是上海市计算机学会会刊。本刊为月刊、每月15日出版。 基于主动数据选取的半监督聚类算法 利用少量标签数据获得较高聚类精度的半监督聚类技术是近年来数据挖掘和机器学习领域的研究热点。但是现有的半监督聚类算法在处理极少量标签数据和多密度不平衡数据集时的聚类精度比较低。基于主动学习技术研究标签数据选取,提出了一个新的半监督聚类算法。该算法结合最小生成树聚类和主动学习思想,选取包含信息较多的数据点作为标签数据,使用类KNN思想对类标签进行传播。通过在UCI标准数据集和模拟数据集上的测试,结果表明提出的算法比其他算法在处理多密度、不平衡数据集时有更高精度且稳定的聚类结果。 绿色物流网络选点需求目标优化分配仿真 针对目前绿色物流网络选点需求目标优化分配中,由于运营成本及碳排放多个目标、网络中存在的不确定因素导致决策难的问题,建立了同时考虑网络运营成本和碳排放量的多目标混合整数规划模型。提出了鲁棒优化模型以解决不确定需求导致网络布局较难决策问题,设计ε-约束方法将多目标规划模型转化为单目标问题进行求解,最终得到不确定条件下的绿色物流网络选点需求目标优化分配方案。仿真结果表明,需求量扰动参数的变化对网络设施选址及用户流量分配具有显著的影响作用,网络运营成本随着需求量扰动参数的增大而增加。本文提出的鲁棒优化模型能够实现解的最优性与保守性之间的平衡,能够解决物流网络选点分配由于需求不确定性导致模型解不可行的问题,为企业决策提供依据。 一种基于可信平台模块的可信网络模型 针对网络中可信模型的建立问题,在现有可信计算理论、可信网络接入技术基础上,提出一种基于可信平台模块安全芯片的可信网络模型。该模型从终端建立可信链并将其传递到网络中,给出模型的架构及信息流分析,对信任度的度量进行形式化计算。性能分析结果显示,该网络模型具有较强的健壮性和较高的可信度。 基于改进Metric索引的反向最远邻查询方法 PIV算法在构建Metric索引时,需要计算凸包顶点与凸包内的全部数据点距离,当数据集较大时,会浪费存储空间并增加查询消耗。为此,改进Metric索引,只存储凸包顶点与凸包内的部分数据点的距离,提出利用凸包内的点与凸包顶点之间的距离,判断该点是否是查询点反向最远邻的方法。测试结果表明,与PIV算法相比,该方法可以正确得到反向最远邻查询结果,并减少占用的存储空间和查询消耗,提高查询效率。 基于流行度的非平衡物质扩散推荐算法 针对产品的异质性没有在推荐算法中得到很好利用的问题,提出一种考虑产品流行度对用户兴趣偏好影响的物质扩散算法。通过模拟物质在用户-产品二部分网络上的扩散过程,并且引入产品流行度的可调参数,对产品流行度的影响进行定量刻画。在三个真实数据集上进行数值实验结果表明,该算法与经典的物质扩散算法相比,Movie Lens、Netflix和Last.FM数据集上的平均排序打分可以分别提高25.60%、10.96%和1.2%;推荐列表多样性分别提高59.30%、53.07%和8.59%。所提出的非平衡的物质扩散算法所得到的结果更切合实际。 基于FPGA的轮廓提取并行计算系统研究及实现 针对高质量的轮廓提取算法计算量大、实时性差的问题,提出了一种基于现场可编程门阵列(FPGA)的图像轮廓并行计算系统。通过设计适合的硬件结构及相应的算法改进,采用了多种不同的并行方式加速算法的计算。实现了一种高质量的轮廓提取算法——Pb(Probability Boundary)算法的高速计算。实验结果表明,在FPGA工作频率200 MHz时,被处理图像分辨率为481×321时,该系统处理速度可达39帧/s,为将Pb算法应用于实际系统提供了条件。 Linux内核中IEEE802.11s的设计与实现 在Linux内核(2.6.35.4)中对IEEE802.11s协议进行设计与实现,包括其在内核中的位置、组织结构、设计特点、主要功能、与其他各层的互操作、work_queue内核机制在其实现中的应用等。分别针对IEEE802.11s的不同功能模块阐述其设计思路和工作流程,并对其关键实现部分的数据结构和函数进行介绍和分析。 基于知识管理的协同办公系统设计与实现 随着网络的普及,信息进入高速增长阶段,知识已成为衡量生产力水平的关键因素。在全球化竞争中,知识管理能力显得尤为重要。本文将协同办公系统与知识管理融合在一起,实现以数据为核心到以信息交流为核心的转变,对提高自动化办公质量,提供合理决策,增强竞争能力都有着重要的意义。 基于HSI颜色空间和行扫描的车牌定位算法 为实现在复杂环境下对车牌区域进行精确定位,提出一种基于HSI颜色空间和行扫描的车牌定位算法。根据车牌颜色特征对彩色车辆图像进行颜色分割,结合车牌几何特征牌筛选候选区域并按设定的规则标号;在经过预处理的图像中按序提取标号候选区域,利用行扫描算法分析该区域纹理特征,确定车牌上下边界;采用形态学及垂直投影法,设定阈值,精确定位车牌区域。实验结果表明该算法具有定位准确、适用性强等特点,应用前景广泛。 视频序列中基于多尺度时空局部方向角模式直方图映射的表情识别 针对局部二元模式在概念上是无方向性的,不能充分捕捉详细信息的问题,提出局部方向角模式(LOP)方法.该方法通过比较2个近邻点上2个方向角的差值来标注图像中的像素点,对邻域内方向角差值的变化进行编码;将LOP扩展到三维空间,提出时空局部方向角模式(SLOP),将从3个正交平面上提取到的特征串接成一个向量;最后采用多尺度SLOP直方图作为人脸表征,并将其投影到保局映射空间以获取低维特征.在Cohn-Kanade与MMI人脸表情数据库上的实验结果表明,文中方法在识别准确率和识别速度方面都优于已有方法. 污水活性污泥处理过程的溶解氧增益调度控制 考察污水活性污泥处理过程溶解氧控制效果的重要性能指标是溶解氧浓度的波动情况和能耗;针对溶解氧的非线性传递模型,提出了以溶解氧设定值作为调度变量的溶解氧增益调度控制方法;在溶解氧设定值处进行泰勒级数展开得到线性模型以此来近似在该设定值处的非线性系统,再采用线性控制系统的优化设计方法 (如参考模型方法)设计控制器,得到一簇空间离散的线性时不变控制器;控制系统运行时,根据不同的调度值(溶解氧设定值),调度线性时不变控制器,从而实现溶解氧的非线性控制;最后对污水活性污泥处理过程的溶解氧控制进行了仿真,模型参数来源于实际污水厂数据,仿真结果表明,文章提出的增益调度控制方法无论在能耗方面还是在控制精度方面都要明显优越于常规的开关控制和PID控制。 基于Vague值转化Fuzzy值的一种新方法 在分析已有Vague值转化Fuzzy值方法存在的不足与缺陷基础上,讨论了Vague值转化Fuzzy值的本质实际上是将Vague集中的未确知度按照一定比例进行分配增加到支持度中构成了一个新的支持度(隶属度)原理,建立了一种Vague值转化Fuzzy值的新方法。通过实例验证该方法在约束条件、可分辨性等方面的有效性及合理性。 基于几何校正和LT码的鲁棒图像水印 针对目前多数鲁棒水印算法抗剪切、组合几何攻击性能较差,且嵌入容量小的状况,提出一种抗组合几何攻击的鲁棒图像水印算法。利用尺度不变特征变换(SIFT)算法从受攻击的图像提取特征点,与少量鲁棒性较强的原始特征点匹配,用RANSAC算法挑选匹配点对,根据特征点对间的坐标关系,用最小二乘法拟合仿射矩阵;根据仿射矩阵对图像进行几何校正;为提高算法的抗剪切性能,将水印信息先进行LT码编码,充分利用LT码的纠删性能抵抗剪切。实验结果表明,该算法有较大的嵌入容量,能够抵抗较多种类的几何攻击。 一种改进的针对DSA签名的指令Cache计时攻击 针对公钥密码的指令Cache计时攻击是近年来提出的一种新的旁路攻击方式。现有的两种针对公钥密码的指令Cache计时攻击模型尚存在一些不足,一个是攻击前提过于苛刻而难以实现,另一个是无效信息过多导致数据量偏大及后续分析工作量的增加。在充分研究现有模型的基础上,提出一种改进的基于特征Cache组监测的指令Cache计时攻击模型,克服了现有两种模型的不足,在不降低性能的情况下将计时数据减少了约29%,并以DSA数字签名为攻击对象对改进模型进行了实验。 次成分分析神经网络方法 次成分分析神经网络是一种自动迭代求取输入数据自相关矩阵的次成分方法,近十年来在国际上得到广泛深入的研究。本文将次成分学习算法归纳为普通发散、突然发散、动态发散、数值发散和自稳定特性等四种发散现象和一种特性来分析,并指出了该领域存在的问题和下一步发展趋势,为神经网络次成分分析理论奠定了理论基础。 混沌粒子群算法对支持向量机模型参数的优化 研究支持向量机模型优化问题,支持向量机的参数选择决定了其学习性能和泛化能力,由于在参数的选择范围内可选择的数量很多,在多个参数中进和盲目搜索最优参数是需要极大的时间代价,并且很难得到最优参数。常用的支持向量机优化方法有遗传算法、粒子群算法都存在易陷入局部极值,优化效果较差。为解决支持向量机参数寻优问题,提出一种基于混沌粒子群的支持向量机参数选择方法。将混沌理论引入粒子群优化算法中,从而提高种群的多样性和粒子搜索的遍历性,从而有效地提高了PSO算法的收敛速度和精度,得了优化支持向量机模型。并以信用卡案例数据作为研究对象进行了仿真,实验结果表明,混沌粒子群优化的SVM分类器比传统算法优化的SVM分类器的精度高和更高的效率,应用效果好。 曲面浅浮雕生成算法 为了在三维网格上快速生成曲面浅浮雕造型,提出一种利用三维模型半自动生成曲面浅浮雕的算法.首先利用图像的边缘检测方法来获取模型在透视场景中的边缘点,并将其与被贴的曲面载体进行位置匹配,获得Poisson方程的Dirichlet边界值;然后对模型高度场的梯度值进行压缩;最后利用确定边界条件的Poisson方程对模型压缩后的梯度场进行求解,还原生成浮雕模型.利用文中算法可以生成贴于曲面上的浅浮雕模型. DTN中的拥塞感知转发算法 为了能够在延迟容忍网络中缓解拥塞部分的流量,使网络保持高缓存可用率和低延迟,提出了一种拥塞感知转发算法。在社会路由度量和自我网络度量的基础上,该算法采用了一种新的具有更高可靠性和灵活性的整合度量。运用实验将该算法与Epidemic,Prophet和Spay and Focus这3种经典路由算法就交付率、延迟和缓存可用率3方面进行了比较。实验结果表明,这种算法具有优异的性能。 光学4f系统灰度误差补偿的实现 为补偿光学4f系统灰度误差,提出基于直方图匹配和径向基函数(RBF)神经网络的灰度误差补偿方法。首先利用径向基函数神经网络拟合经光学4f系统输出图像的直方图与对应输入图像的直方图之间的非线性变换,得到输出图像与输入图像的直方图匹配变换曲线的最优估计;再依据直方图匹配曲线的最优估计对经光学4f系统的输出图像进行直方图匹配,得到灰度误差补偿后的图像。利用实际的光学4f系统进行光学实验,灰度误差补偿后图像的信噪比平均提高了2.96 dB,视觉效果明显改善。实验结果表明,该方法能有效补偿光学4f系统灰度误差,提高基于光学4f系统的光学信息处理的精度。 IPv4与IPv6隧道技术的研究及实现 随着IPv6网络的快速发展,为使IPv4网络向IPv6网络平滑演进,顺利解决IPv4网络孤岛或IPv6网络孤岛之间通过骨干网实现跨网通信问题,提出了隧道技术。文章对隧道技术的概念、基本原理和隧道报文格式封装进行了概述,分析了四种基本隧道技术特点,对比了各种隧道技术之间的差异。综合考虑IPv6网络部署初期和末期的网络结构特点,给出一种GIF隧道技术实现方案,并详细介绍了该方案的设计、实现及具体的验证过程。验证结果表明GIF隧道技术在解决网络孤岛之间的通信问题时有效、可行。 图切技术和卷积网络的交通标志数据集构建方法 为解决自然场景下的交通标志数据获取困难的问题,提出一种基于深度卷积神经网络结合图切技术的交通标志数据自动收集方法。该方法先利用人为收集的少量7大类交通标志数据集训练检测交通标志的卷积神经网络模型,利用该网络模型检测图像或视频中的交通标志类别、位置及可信度,保存大于给定阈值的交通标志信息;然后采用图切技术对检测的交通标志进行分割得到精度更高的交通标志区域,将此标志的区域信息和类别作为标定信息。将对应的图片和标定信息按要求生成新的训练数据集和测试数据集,重新微调训练生成新的网络模型。实验结果表明,重新微调训练的网络比初始网络的平均检测精度提升了6.6%。该方法可将车载相机或是行车记录仪等设备获取的图像或是视频中的交通标志自动保存下来生成构建新的交通标志数据集,省去人工标定的过程。 无人机对抗中通信干扰源定位图谱构建仿真 无人机抗干扰过程需要准确定位干扰源。并绘制出干扰点的图谱,以保证抗干扰过程的精准性。在干扰定位过程中,一旦多干扰点之间的间隙呈现随机性分布,干扰点之间的距离很难稳定,在干扰点逐个定位过程中,传统的方法需要大量的计算过程以弥补干扰点距离突变造成的不收敛性,导致大规模干扰图谱构建过程较为复杂。提出采用多干扰源映射定位的无人机干扰图谱构建优化方法。利用通信干扰源分类方法,对干扰源进行因子分类,对干扰因子进行交叉和变异处理,获取通信干扰源的种类。在得到种类的基础上进行排除,优化得到的几个利用映射的方法进行定位,计算特征空间的最优解,获取定位的约束条件,简化多干扰源构成的图谱创建过程。实验结果表明,利用改进算法进行无人机对抗中通信干扰源定位图谱的构建,能够提高图谱的构建效率。 基于PKI体系的跨域密钥协商协议 基于口令的跨域密钥协商协议和Kerberos协议无法抵抗口令猜测攻击,在金融、航天等通信安全需求高的场所,需要一种更有效的协议来保证通信安全。给出一种新的基于PKI体系的跨域密钥协商协议,采用公钥算法保证数据传输的安全,结合使用Diffie-Hellman协议生成会话密钥。协议有效地解决了利用预置共享密钥参与加/解密实施中间人攻击,以及Kerberos弱口令导致的攻击者可以实施口令猜测攻击的问题。跨域通信的公钥信息仅存储在各自域认证服务器,域内用户不需要配置跨域服务器的公钥信息,降低了配置复杂度、域内用户和域认证服务器之间密钥管理的复杂性,同时提高了域服务器鉴别身份的能力和信息机密性,使其免疫多种攻击,具有良好的前向安全性和扩展性。 基于转速速率闭环的发动机起动过程鲁棒控制 针对某型涡轴发动机起动过程重复性差和不稳定的问题,本文引入闭环增益成形控制方法,设计基于转速速率闭环控制算法,并对所设计的控制器进行半物理模拟试验验证。试验结果表明,该方法稳定可靠,鲁棒性较好,发动机起动过程重复性和稳定性明显改善。 基于ZigBee技术的无线传感器网络网关设计与实现 随着无线网络技术的深入发展,其优势进一步地体现出来,无线传感器网络将大量甚至全面替代有线传感器网络。在整个无线传感器网络中,网关起到了至关重要的作用。本文从无线传输网络的ZigBee技术优势入手,深入分析基于ZigBee协议栈的无线传感器网络网关方案,进行硬件及软件系统设计,最终利用微芯公司的芯片制作成无线传感器网关。实验结果表明,所设计的网关传输数据准确、性能稳定,并且较好地满足低成本、低功耗、传输距离远的要求。 换热网络综合中管壳式换热器设计研究进展 管壳式换热器应用广泛尤其是对其设计的研究,回顾了近年来单台管壳式换热器的工艺设计方法,并指出这些方法难以兼顾换热网络的节能降耗。许多研究者,在换热网络最优综合的同时提出了多种面积裕量优化设计方法,虽然考虑了换热网络中各换热器换热面积的优化,但并未考虑换热器的选型与详细设计。近年来,也有研究者基于换热网络综合进行管壳式换热器的详细设计研究,同步优化换热网络和单台换热器的设计,但这些方法均是在给定的工况条件下进行设计,考虑到实际生产中工况条件经常发生变化,单台换热器的设计均采用超余设计难以保证总费用最小。最后,在此基础上,提出一种从灵敏度分析的角度出发以总费用最小为目标基于换热网络综合的管壳式换热器详细设计思路。 多元线性回归在非负矩阵分解人脸识别中的应用 单一次优非负基特征蕴含的人脸分类信息有限,分类精度受制于基特征的低维表示。针对非负矩阵分解(NMF)的弱分类特点,分析NMF的人脸识别过程,提出增加多组基特征扩充可用弱分类信息;利用多组弱分类标签向量的相关性,采用多元线性回归方法建立集成标签映射,整合关联的弱类别信息,在次优基特征低维表示基础上提取正确的类结构关系。利用多种人脸数据集的实验结果表明,符合统计属性的集成标签映射能显著改善NMF的人脸识别能力。 基于粒子群优化的无线Mesh网络多径路由发现算法 相对于单径路由,多径路由算法能有效提升无线网络性能。然而,现有多径路由算法存在求解复杂度过高、路径质量差异较大等缺陷。为解决上述问题,并充分满足现有新型应用对无线网络高性能路由的需要,提出基于粒子群优化的多径发现(PSO_MPD)算法,定义了拥塞预测度和节点转发优良度函数作为PSO模型的适应度函数,以保证计算所得路径的有效性和高效性。实验结果表明:与AODV和AOMDV路由算法相比,PSO_MPD算法能发现质量较优的多条路径,具有收敛快、开销小等优势。 融合学习者时序行为和认知水平的个性化学习资源推荐算法 个性化服务是构建智慧学习环境的内在要求和建设要点.为学习环境中的主体(学习者)推送个性化学习资源可以提高学习资源的利用概率,解决在线学习容易产生的迷航问题.通过本体知识库的统一性语义建立学习者和学习资源内部结构特征,设计出一个有效计算两者相关性的推荐算法.算法中引入时间衰减函数来描述学习者学习时的时序特征,导入计算学习者认知水平与学习资源难度的匹配算子以体现学习的循序渐进原则.实验结果表明:所构建的时间函数和匹配算子达到了预期目标,更好地提升了所推荐学习资源的质量和适应性,且算法的时间复杂度能满足实时计算要求. GNSS天线相位中心偏差检测方法 对于高精度测量,天线相位中心的偏差影响不能忽视,测绘型天线在使用之前需要进行检定,确保不超过误差容限.通过对天线相位中心偏差标定过程分析,建立了一种新的观测模型,处理相对定位的基线解算数据,基于最小二乘准则估计旋转中心和相位中心偏差.该方法不需要约束天线的旋转角度和观测数据个数,提高了天线相位中心偏差标定的精度,通过对GNSS-750型测绘天线实际测试结果表明,天线相位中心水平偏移标定精度优于0.05mm. 基于层次聚类的网络流量异常分类算法 现有的异常流量根源分析技术大多需要人工干预,对异常事件的分类效果不佳。为此,提出基于层次聚类的流量异常分类算法TAC HC,通过特征属性的训练过程逐步建立分类树,把相似的异常嵌入到子树中,在未知数据集聚类数目的情况下对新的异常进行分类。仿真结果表明,TAC HC算法的分类平均准确率达到89%,对网络扫描这类小异常事件的分类精确率也能达到95.3%。 一种基于Multiway cut的多对象图像分割 多对象分割是图像处理中的一个难题,基于Multiway cut的图像分割是一种人工交互式多对象分割方法,能够实现图像的粗分割和精确分割。使用分水岭分割图像,把图像分割为属性相似的小区域;根据交互建立节点层次图,构建带权无向网络;不同层次的节点参与不同的运算,采用Multiway cut迭代分割;交互和分割可以多次执行,直至满足用户的要求。实验结果表明,该方法人工参与方便,准确度得到提高,速度满足现场操作的要求。 基于灰熵决策模型的景区旅游线路规划算法 结合调查分析结果,确定旅行者取舍景点的主要影响因素,包括景点类型、辛苦指数等。建立较为完备的基于旅行者期望值的最优路线规划模型,将灰熵评价法引入模型,将各影响因素视为不确定型决策的多项属性,对景点各评价指标进行分析,计算与理想情况的均衡接近度。应用Dijkstra算法于均衡接近度高的结果,确定最优旅游线路。仿真结果表明,该模型客观准确,计算量小,取得了良好的预期成果。 一种基于协作过滤的电影推荐方法 在海量网络资源中,用户为了寻找喜欢的视频往往需要进行频繁操作,个性化推荐服务可以有效解决该问题,但当前推荐服务准确度较低,为此,提出一种基于协作过滤的改进推荐方法。根据相似用户群,即邻居集的点播记录确定当前用户的推荐电影子集,挖掘当前用户的喜好,建立兴趣模型,并与推荐子集中的电影进行匹配,按匹配度高低进行推荐。对推荐电影子集进行分类,以适应家庭中多用户观看的情况。另外在系统运行初期采用相似影片的推荐以一定程度地缓解冷启动问题。实验结果表明,与现有协作过滤算法相比,改进推荐方法的推荐准确度有明显提高。 KSVDD及其在拒识判别中的应用 为提高支持向量域分类器(SVDC)的分类精度和鲁棒性,提出基于K近邻(KNN)和支持向量域描述(SVDD)的分类器KNN-SVDD(KSVDD)。该分类器对单类内部的样本采用SVDD的判别准则,对类交叉区域及描述边界外的样本采用KNN的判别准则。通过拒绝描述边界外的样本,KSVDD可应用于拒识判别。UCI数据集上的数值实验表明,KSVDD分类精度与支持向量机(SVM)相当且均比SVDC高,训练时间比SVM短,鲁棒性强,在拒识判别中有良好表现。 6-巯基嘌呤质子转移异构化的量子化学计算研究 采用密度泛函B3LYP方法,在6-311G(d,p)基组水平上对6-巯基嘌呤质子转移引起的硫酮式与硫醇式互变异构反应机理进行了计算研究,获得了互变异构过程的反应焓、活化能、活化吉布斯自由能和质子转移反应的速率常数等参数。计算结果表明,6-巯基嘌呤无论是孤立分子还是一水合物,其硫酮式TP2是最稳定的异构体。计算结果同已有实验结果相符。由硫酮式通过分子内质子转移向硫醇式异构化找到4条反应通道(P1,P2,P3,P4),各通道的活化能分别为114.0,133.9,128.0,95.1 kJ·mol-1。当水分子参与反应以双质子转移机理异构化时,活化能垒显著降低,各通道的活化能依次降为51.2,63.0,70.5,42.8 kJ·mol-1,可见,水助催化有利于硫酮式向硫醇式转变。计算结果还表明,氢键的强弱对TP2一水合物的稳定性会有一定的影响。 基于kAS特征的目标识别新方法 提出一种复杂背景下目标识别的新方法,利用Canny算子和多边形分别提取轮廓和逼近轮廓曲线,计算k邻接轮廓线段组(kAS)特征,利用ISODATA聚类算法得到kAS码书。提取特征时采用分块加权的kAS直方图,识别过程中采用支持向量机进行训练和分类。实验结果表明,该方法在复杂场景下可以获得较高的识别率,具有平移和尺度不变性等特点。 一种多协议网络日志二次聚类方法研究 为了处理网络日志规模过大及其相关问题,并为后期日志分析提供简洁的数据源,提出一种多协议网络日志二次聚类方法。该方法采用划分网格的方式把网络日志进行网格内初次聚类,然后再依据相似度判断对初次聚类簇进行二次聚类,最后输出聚类后的日志记录及一些稀疏数据和孤立点数据。经实验测试证明,在不破坏网络日志的完整性和准确性,且不影响用户正常网络访问的前提下,该方法日志规模压缩效果显著,时间复杂度低以及能够处理实际的动态数据,实现增量式聚类。 基于体系架构的云计算安全研究进展 云计算凭借其高效、可靠、廉价等优势,正引导着信息技术的又一次重大变革。考虑到云计算技术独有的特性和架构,安全问题一直是其发展和普及的瓶颈。针对云计算安全问题的研究也一直是该领域的重点和热点问题。将云计算体系划分为物理资源层、资源抽象层和服务提供层,并分层定义了体系中数据安全、虚拟机安全、多租户隔离、应用部署安全、数据处理技术、身份控制技术以及审计技术,介绍了相关标准,阐述了近年来的研究进展,指出了云计算体系架构安全领域的挑战与发展契机。 有向传感器网络覆盖增强研究 为提高视频传感器网络的感知覆盖率,基于有向传感器感知模型,提出一种利用Voronoi图的视频传感器网络覆盖增强算法。该算法采用质心替代节点扇形感知区域并构造Voronoi图,通过调整节点感知方向对代替扇形感知区域的质心进行均匀分布,以消除网络中感知重叠区和盲区,提高整个有向传感器网络覆盖率。仿真结果表明,该算法能够有效提高网络覆盖率并能快速收敛。 基于标准萤火虫算法的改进与仿真应用 通过对一种智能优化算法——萤火虫算法的研究,在标准萤火虫算法中引入一种新型的自适应惯性权重来提高算法的收敛速度,并提出用虚拟萤火虫来加强萤火虫之间的相互协作和信息共享,进而改进了萤火虫的位置更新公式。针对算法中萤火虫位置的越界问题和边界早熟问题,引入一种对称边界变异,提高了改进后的算法的寻优率。对6个标准测试函数的实验结果表明:改进后的萤火虫算法的有效性、收敛速度得到了明显的提高。最后对两个经典工程优化问题进行了计算,运用改进后的算法所得的结果优于其它算法所得结果,也验证了萤火虫算法在改进后的适用性。 采用通用语音属性建模的说话人确认 本文提出采用通用语音属性的方法来进行自动语音识别的声学模型建模,并将其应用到说话人识别的全变量空间建模中.首先将发音方式和发音位置两种属性联合构成通用语音属性的基本单元用于声学建模,在此基础上采用深度学习算法建立语音识别的声学模型;用此声学模型来获得说话人识别的每帧声学特征对应的后验概率,将这个后验概率作为全变量空间分析的零阶统计量,在此基础上完成说话人识别中的i-vector建模及识别.在NIST 2012的说话人识别评测任务中,提出的算法能够取得与主流算法相当的识别水平.进一步,当我们把基于通用语音属性的DNN/i-vector系统与目前主流的系统进行得分域的融合,相对于最好的单系统,男声等错误率平均下降了12.1%,女声等错误率平均下降了14.4%. 一种支持细粒度属性变更的云访问控制方案 云数据访问控制研究多数存在属性变更开销大及不够灵活等问题。为此,在密文策略属性基加密基础上提出一种支持细粒度属性变更的方案。结合具有计算不可逆性质的哈希函数定义逻辑二叉树对密文进行重加密,根据每个属性对应的最大覆盖子树根节点生成的组密钥更新密文与私钥,从而实现属性变更的细粒度化。仿真结果表明,该方案能够有效降低系统整体计算复杂度和用户存储压力,提高属性变更效率。 一个领域消息驱动的Takia CQRS模型设计 随着企业级应用平台开发和设计的业务需求不断增长与扩大,应用系统对数据库处理效率的依赖也日渐增强。业务系统之间复杂的桥接关系,不但使业务逻辑变得更加复杂而难于解耦,也使数据访问效率变得低下。为此,实现一个基于领域消息驱动的DDD插件Takia,在不影响目前系统架构的基础上,完全兼容DDD设计,通过CQRS查询与命令分离的消息通信机制,有效地解决系统解耦问题,为企业信息系统的DDD建模提供有力的支撑。同时,这种模型不但在数据库处理效率上进行了大力提升,而且其系统稳定性也得到大幅度的改善。 网络通信节点排队分配策略研究 网络通信节点排队分配策略可以有效的提升网络节点传输效率,缓解网络拥堵。然而,由于网络节点强耦合,信息包规模较大且往往缺乏传输路径的拥堵态势信息。当某个信息包将被传送的下一个节点严重拥堵的时候,传统先进先出策略并非最优选择,往往容易加剧拥堵。为了进一步提升网络传输性能,采用了网络交通信息研究节点排队动态分配策略。对于网络节点中排队的信息包,假若其剩余传输路径中所有节点队列中正在等待的信息包总数越少,上述信息包被分配较高优先级的概率越大。在BA网络中实验证明,相比于传统先进先出的排队策略,在网络拥堵态时,所提动态优先级分配策略可以显著改善传输率、平均传输和等待时间比与系统吞吐量等网络传输性能均有提高。 多线程技术在航天测控网数据流分割中的应用 将多线程技术应用于航天测控网数据流的数据分割过程,解决多站多目标跟踪状态下数据流保存为数据文件的难题。首先分析测控网数据流模型,提出数据分割的概念;然后阐述引入多线程技术进行数据处理的必要性,提出利用多线程同步对象等待机制来实现数据分割、数据文件生成的方法,并给出程序的关键代码。应用和测试表明,该方法在生成数据文件的过程中,可实现对航天测控网数据流的无损分割,可靠性高,实时性较强。 基于共聚物压电传感器和ARM的交通数据采集系统 汽车保有量的不断增多,超速、超载、交通拥堵的情况的不断增加,对城市交通的管理提出巨大的挑战,针对这种情况,设计了一种以ARM处理器STM32F103为核心、利用共聚物压电传感器和地感线圈进行动态称重、速度监控、车俩分类统计的智能交通数据采集系统;详细介绍了系统的方案设计、硬件结构组成、及其硬件电路设计和软件设计;测试结果表明:该系统灵敏度高,重复性好,实时性强,数据测量准确,当汽车以大于20km/h的速度行驶时,测量误差可达到1%,车重数据精确度为10%;该系统具有广泛的应用前景和市场。 基于词内部结合度和边界自由度的新词发现 "新词发现作为自然语言处理领域的一项基础研究,一直受到学术界和企业界的广泛关注。将新词发现问题转换为确定词语边界问题。首先对语料进行中文分词,然后统计""散串"",最后提出一种基于词内部结合度和边界自由度的新词发现方法。通过在大规模语料上进行新词发现实验,验证了该方法的有效性。今后的研究重点将放在如何有效地识别低频新词上,以提高系统的整体性能。" RTWD网工作流过程模型及调度算法 为兼顾角色和任务的互补性,并实现数据流和控制流的分离,提出一种新的工作流过程模型——角色—任务—工作—转发网。该模型将节点区分为角色和任务(活动)两种类型,将角色和任务的连接关系区分为工作和转发两种类型。给出角色—任务—工作—转发网的形式化数学描述和图形化表达方式。分别以角色和任务为中心,给出基于状态的工作流正向调度和逆向调度算法,并结合实例进行说明。角色—任务—工作—转发网工作流过程模型及调度算法已在软件系统中实现,验证了其可行性。 合取型集值序信息系统组合熵和组合粒度研究 首先文章在讨论合取型集值序信息系统优势关系的性质和定理的基础上,定义了合取型集值序信息系统优势关系的组合熵和组合粒度概念,对优势关系粗细程度的度量进行了研究和探讨;其次,针对满足相同优势关系而没有突出优势程度的情形,构造了带有权值信息的合取型集值序信息系统并定义了新的优势关系;然后在改进后的优势关系中,引入组合熵与组合粒度对其不确定性的度量进行研究,结果表明改进后的优势关系的组合熵与组合粒度所满足的结论与改进前一致,即随着优势关系粒度的逐步变细,其组合熵逐步递增,组合粒度逐步递减,并通过实例进行了验证,从而为进一步揭示集值序信息系统的不确定性度量规律提供了理论依据。 分布式系统的分层形式化描述方法 为了在开发分布式系统的过程中帮助设计者优化和验证系统,提出一种基于层次结构图形化描述方法,利用精确的数学模型描述其分布与并发行为:嵌入离散事件(DE)模型的有限自动机模型(FSM)描述软件模块内各进程间的并发及状态转换,定义基于层次图的双外推(DPO)变换建模系统拓扑结构的迁移。格件系统的描述表明该分层描述方法有效地刻画了分布式系统的并发和分布特性,为分布式系统开发提供了新的设计框架和可视化模拟方法。 基于XML的项目管理工作流一体化建模研究 针对企业大量业务流程经常变化的特点和管理问题,提出一种基于XML的项目管理工作流模型。对工作流管理联盟制定的工作流过程定义元模型进行了改进和简化,并给出了元模型的组成元素与相关属性;基于改进的工作流元模型,使用XML对工作流过程模型进行了定义;介绍了工作流引擎的主要设计思想和DOM4J解析方法。基于过站航班运行保障流程建模实验结果表明,所提出的基于XML的工作流模型能很好地表示任务节点及其关系,并且便于数据的传输和共享。 Hadoop下的分布式搜索引擎 分析了Hadoop系统结构,提出一种改进的PageRank算法,使用Map/Reduce模式设计系统模块。实验证明,使用Hadoop框架能够设计出具有高性能、高可靠性和易扩展性的分布式搜索引擎。 基于一致性度量属性约简的决策树构建优化研究 针对样本中有无关的、冗余的属性会降低决策树算法的分类精度,本文提出基于一致性度量属性约简后构建决策树的方法。对UCI机器学习数据库中5个两类分类样本离散化后,分别基于粗糙集和一致性度量的属性约简来构建C45和CART决策树,实验表明基于一致性度量属性约简构建的决策树有较高的精度和可行性。 MATLAB在风电设备设计中的应用 以Willson叶片优化设计方法为基础,给出风力发电机叶片优化设计的数学模型。以MATLAB高级语言为工具开发了设计计算和性能计算的程序,给出了程序框图。将所开发程序应用于机械工程,获得了良好的效果。 缓冲区有限的流水车间调度问题的启发式算法 针对缓冲区有限的流水车间调度问题,分析了目标函数的特征,及目标函数与工件空闲时间之间的关系,设计开发了启发式算法。算法将以Makespan为目标函数转化成以最小化机器空闲时间为目标函数,并以此为基础构造初始加工序列,再通过贪婪排序与插入寻优消除缓冲区受限约束并寻找问题的近优解。仿真实验结果表明,算法在求解质量和计算时间方面明显优于其他几种排序规则,并体现了目标函数表达式结构的特性及对解的适应性。 对象定位处理中分类信息融合技术研究 为提高图像中对象定位技术的处理效果,对对象定位技术和分类技术的融合进行了研究。针对大规模、多对象类别的图像对象定位问题,提出了先进行快速分类,再精确定位的处理方案。通过MIMLSVM+多类别分类算法预判出包含对象的图像,利用ESS方法在上述图像中定位对象;针对高精度对象定位需求,提出了融入全局分类信息的最优框打分机制,将MIMLSVM+算法对于图像的分类信息融入ESS方法中最优框的打分信息中。在PASCAL 2006数据集上相应的实验结果表明,前者在缩短处理时间的同时取得了不错的定位平均精度,而后者对最优框得分的改进也在多个类别上带来了定位效果的提高。实验结果表明,分类信息融入对象定位处理中能提升处理效果。 PSO和AFSA混合优化算法 结合粒子群优化(PSO)算法和人工鱼群算法(AFSA)的优势,提出一种PSO-AFSA混合算法。将种群分为2个子群体,在每次迭代中,一个子群体利用PSO算法进化,另一个子群体利用AFSA进化,2个算法共享整个种群极值信息。通过混合算法对5个标准函数进行实验,并与标准PSO算法进行比较,结果表明混合算法具有更好的优化性能。 一种基于后缀项表的并行闭频繁项集挖掘算法 对现有的基于MapReduce的并行频繁项集挖掘算法进行了研究,提出一种基于后缀项表的并行闭频繁项集挖掘算法,通过后缀项表的引入及以闭频繁项集挖掘的形式,减少组分间的数据传送量,提高挖掘效率。实验表明,该算法可以有效缩短平均挖掘时间,对于高维大数据具有较好的性能。 基于加减性色彩系统的视频偏色盲估计方法 针对视频图像在获取过程中产生的偏色现象,提出了一种基于加减性色彩系统的视频偏色盲估计方法。计算图像RGB三通道的颜色比率和能量差,根据颜色比率判断图像的色彩系统类型及偏色通道,融合偏色通道的颜色比率和能量差得到偏色因子,实现偏色图像的检测及偏色程度估计。依据本文所设计的评价标准,对图像库进行实验测试,实验结果表明,基于加减性色彩系统的视频盲估计方法与主观评价结果具有较好的吻合。 基于局部历史的拓扑面命名和维护方法 为了提高模型编辑的速度和准确性,提出了一种基于局部历史的拓扑面命名和辨识方法。该方法采用了拓扑面变化的动态命名机制,将建模中复杂的拓扑结构变化过程记录在相应的面编码之中;同时,给出了拓扑面演化的动态编码算法来描述面的相交与合并。根据拓扑面的编码可以辨识该面所属的原始特征以及该面演化的过程,从而解决了拓扑面辨识问题。实验结果表明,该方法能够有效地维护拓扑面编码的一致性。 无线网络中具有信道感知的数据传输能耗优化策略研究 无线设备电池能量有限,影响着整个无线网络的性能,同时也极大地影响着用户的网络体验.因此,降低无线终端能耗已成为提高用户网络体验的必然要求,也是构建绿色网络的一个重要课题.考虑到无线发送终端在无线信道状态好的时刻发送数据能提高能量的利用率,提出一种基于最优停止理论的数据传输能耗优化策略以最小化无线设备的能量消耗及延长电池的使用寿命.在该策略中,无线发送终端在给定的传输延时范围下周期性的侦测信道以选择信道状态最好的时刻来传输数据,但由于增加了信道的侦测次数导致数据延迟发送,所以本文引入一个折扣函数,从而将该问题转化成带折扣的秘书问题,最后求出最优停止规则.实验结果表明,本文提出的策略具有较小的单位数据平均能耗,对于提高无线网络的能效具有重要意义. 基于改进GMM的耳语语音情感识别方法研究 提出一种基于改进GMM模型的耳语情感语音识别方法。该方法在GMM的每个成员通过用矢量量化误差值取代传统GMM的输出概率值来计算模型的得分,使得建模时所需训练数据量减少,并且识别速度有所提高。实验结果表明当训练数据较少时,提出的新的识别方法的实验结果明显好于传统的GMM方法,证明了该方法的有效性。 基于STAF框架下的自动化测试 当前很多大型软件都不是采用单一的实现技术,面对于不同的实现技术,要求测试人员在不同的平台下分别对所测试的部分进行测试。为了提高自动化测试的效率,减少测试开销,提出了一种基于STAF的自动化测试平台。首先对目前现有的自动化测试框架归纳分析;然后提出基于STAF的自动化测试平台的系统架构;最后给出平台的关键部分:测试引擎的实现方式。结果表明该平台具有提高自动化测试效率,减少测试开销,支持分布式测试方法等优越性。 计算资源共享平台中工作流任务调度研究 提出了计算资源共享平台中具有时间约束的工作流任务调度方法,该方法利用了非集中式的树型应用层覆盖网络拓扑结构,从而可以高效而快速的收集资源的可用信息。采用全局调度器与本地调度器结合的方式,通过定义资源的收集功能过程,使每个节点中的本地调度器能够把自身的资源可用信息提供给全局的调度器,工作流中任务的最后期限时间约束和任务的恢复时间以一种时间间隙的机制来完成。仿真结果表明,分治模式和解方程类的迭代模式的工作流任务能够在平台上成功调度运行,具有比较快的响应时间和低的通信负载。 基于Linux socket的煤矿无线监控系统设计与实现 为改变当前国内落后的煤矿安全监控技术现状,解决煤矿安全监控中遇到的困难,提出利用无线传感器网络在煤矿安全监控中的优势,并结合Linux socket便捷、安全、高效的特点设计煤矿无线监控系统;选用ZIGBEE传输系统作为硬件平台,完成面向煤矿安全监控的多功能便携式无线传感器节点设计和基站传感器节点设计;上位机采用Linux操作系统的Socket技术,把数据送入主控站点计算机,并生成图表完成系统数据统计和监控;彻底解决了传统RFID监控技术通信距离受限瓶颈、数据离散不直观、无法为分析员提供分析依据等一系列技术难题,具有一定的创新性和实用性,对研究煤矿安全生产数据收集新方案具有重要意义。 “北斗一代”导航定位系统分析与研究 北斗双星定位系统是一种区域性定位系统。在分析北斗双星定位系统原理之上,研究了有源北斗双星的定位精度。针对其有源定位的缺陷,给出了几种无源定位方案,重点研究了三星+高度表组成的无源定位方法,仿真分析了其定位性能。 长期演进切换中的TCP性能改进 针对长期演进(LTE)网络中切换引起的TCP数据包乱序问题,提出了一种动态超时重传计时器(RTO)算法——DRTO。DRTO算法的核心是利用TCP数据包的序号来区分新旧数据包,通过新旧数据包序号的差值来取代以往计算传统RTO时很难确定的乘积因子。该算法不需要对切换机制进行修改,就可以解决用户切换完成前接收的数据包(源基站接续转发的数据包)与切换完成后接收的数据包(服务器发送的数据包)的乱序问题。最后,在NS-2仿真平台下,分析比较DRTO算法与传统RTO算法。仿真结果表明,在吞吐量、重传数据包个数和时延三个性能指标上,DRTO算法均优于传统RTO算法。 点强度优先的UDDI超级节点部署策略 "服务注册中心(UDDI)是SOA的重要组成部分,为解决UDDI存在的单点故障和扩展性等问题,人们提出了附属服务注册中心和P2P等解决方法,但实际效果较差。现采用""在密集区域投放服务点""的思路,提出一种具有超级节点的语义路由UDDI模型SPSR-UDDI,模型依据点强度优先连接原则,动态调整节点间的部分连接关系,使得全局服务响应时间较短;通过建立网络演化模型,实现了网络自组织演化过程,仿真实验验证了其有效性。" 基于改进概念格的无冗余关联规则提取 在介绍概念格相关理论的基础上,提出改进概念格构造算法——Godin算法,在构造概念格的同时增加索引链表,有利于概念格的剪枝。把量化概念格、剪枝概念格与改进算法相结合,给出基于该改进算法的无冗余关联规则提取算法,并证明改进算法和无冗余规则提取算法的正确性和有效性。 拟三次三角样条插值曲线与曲面 在构造插值曲线与曲面时,传统的方法多基于多项式函数空间,而基于三角函数空间也能构造插值曲线与曲面.首先基于函数空间Ω=span{1,sint,cost,sin2t,cos2t}构造了一种样条插值曲线与曲面,称之为拟三次三角样条插值曲线与曲面.该曲线与曲面不仅满足C2连续,而且直接插值于给定的控制顶点,避免了通过方程组反求控制顶点.进一步地,为了使所构造的拟三角样条插值曲线与曲面具有局部可调性,利用奇异混合技术在拟三次三角样条插值曲线与曲面中引入了局部形状参数,修改某些形状参数的取值可实现对插值曲线与曲面的局部调整,为样条插值曲线与曲面的构造提供了两种新方法. 基于图像的老年人摔倒行为挖掘方法仿真分析 研究老年人摔倒行为准确识别问题。摔倒行为与一般的行为特征不同,拥有较多的类似特征行为,并且不同年龄、不同环境的摔倒行为姿态特征也有很大的差别,传统的视觉图像行为智能识别方法在大规模类似行为特征的干扰下,使得特征之间的关联性发生混乱,造成行为特征识别错误。提出了一种潜在行为关联挖掘的老年人摔倒行为判断方法。利用计算机视觉技术,能够计算老年人行为特征的相似度,从而对老年人行为特征进行提取,为老年人摔倒行为挖掘提供准确的数据基础。利用潜在行为关联规则挖掘方法,计算老年人行为特征之间的关联性,从而完成老年人摔倒行为的挖掘。实验结果表明,算法进行老年人摔倒行为挖掘,能够极大地提高老年人摔倒识别的准确性,从而为老年人出行时的安全提供保障。 基于CCS优化的FDT集成分类算法研究 模糊决策树在数据模糊化时,需要确定每个数量型属性的模糊语言项个数。另一方面,集成分类算法已成为提高模型准确率和稳定性的有效策略。提出了一种基于混沌布谷鸟(CCS)优化的FDT集成分类算法,首先用CCS算法确定数量型属性的模糊语言项个数,再通过bootstrap抽样生成FDT集成模型,最后采用OOB误差加权投票机制得到分类结果。通过4组UCI数据集验证,与其他分类算法对比,证明了该方法在分类精度上有明显的提升;同时,在处理缺失数据时,仍有较高的分类能力。 填空题命题规则与评分算法 填空题答案既有客观性,也有主观性。为了快速合理、准确有效地自动评分,研究了相关文献,讨论了填空题具有一题多空、答案多值及相邻答案可以互换位置等特点。提出空格同分值和答案无标点、无复合的试题编制规则,使用核心词汇和竖线联结的答案描述规则,以及采取混合匹配和两种结果的评分策略。设计并实现了填空题自动评分算法。经实际应用验证,能够满足通用考试的现实需求。 利用模型选择确定视觉词袋模型中词汇数目 视觉词袋(Visual Bag-of-Words)模型在图像分类、检索和识别等计算机视觉领域有了广泛的应用,但是视觉词袋模型中词汇数目往往是根据经验确定或者采用有监督的交叉学习选取。提出一种确定视觉词袋模型中词汇数目的无监督方法,利用模型选择的思想来解决问题。使用高斯混合模型描述具有不同词汇数目的视觉词袋,计算各模型贝叶斯信息准则的值,选取贝叶斯信息准则最小值对应的词汇数目。与交叉验证的监督学习在图像分类实验的对比结果说明该方法准确有效。 Linux手机软件平台创建工具 随着手机功能的丰富和使用领域的拓广,手机软件平台技术越来越被重视。手机软件平台对手机开发和手机性能上的影响,已经使其成为技术领域内一个研究的热点。为了使基于Linux的嵌入式软件平台的建立、集成和分配更容易,需要一个手机软件平台创建工具,以提高手机软件平台的开发效率。手机软件平台创建工具是一个图形用户界面的系统集成和分配工具,功能覆盖了创建手机软件平台的全过程。分析了基于Linux的手机软件平台的优势,探讨了软件包管理方式,描述了平台创建工具的系统结构和相关技术,并讨论了该工具在手机软件开发过程中所起的重要作用。 基于上下文感知分布式信标调度方案的V2VC拥塞控制 针对车辆与车辆通信(V2VC)系统中由于车辆高移动性、动态网络拓扑和有限网络资源导致网络拥塞的问题,提出了一种上下文感知分布式信标调度方案。它基于空间上下文信息以类TDMA传输方式动态调度信标,在可预见的延迟和高可靠性下传输信标,基于信道负荷的局部测量和包括在信标中的上下文信息知识,每个节点以分布式方式在分配的时隙动态调度信标传输。仿真实验使用真实信道模型和IEEE 802.11p PHY/MAC模型内各种通信场景评估提出的信标调度方案,结果表明,方案的性能在数据包传输率和信道访问延迟方面优于周期性调度,并且可以满足安全应用的需求。 指定验证者签名方案的安全性分析 对Kang等人和张学军提出的2个基于身份的指定验证者签名方案(Kang方案和Zhang方案)进行安全性分析,指出在Kang方案中,非指定验证者也可以验证签名的有效性,不能抵抗伪造攻击和授权攻击,Zhang方案也不满足不可伪造性、强壮性和不可授权性。 改进型自组织网络路由模型研究 自组织网络和对等网络的研究是下一代网络(NGN)研究领域的热点,而P2P技术作为四大技术之一必将改变互联网的未来。通过构建一个基于P2P计算模式的P2P覆盖网络的虚拟网络拓扑结构,可以有效地建立一个基于自组织网络路由模型的全分散的网络——层次聚集的自组织网络(HASN)。描述了HASN的目标和体系结构,同时对分散P2P的命名、路由发现和HASN_Scale更新算法做了详细描述。最后仿真结果验证了HASN的良好性能。 基于人工免疫的网络入侵检测中疫苗算子的作用研究 在以前研究工作的基础上,将包含疫苗算子、变异算子和其它算子的免疫算法与人工免疫中的负选择算法结合在一起,实现检测器种群的进化,目的是加快种群的亲和力成熟进程和提高网络入侵检测效率。详细地给出了疫苗自适应提取算法和疫苗算子算法,建立了基于免疫算法和负选择算法的模型及算法来实现网络入侵检测。分别设计了基于克隆选择算法的和基于免疫算法的网络入侵检测实验。实验结果表明,含有免疫算子的免疫算法加快了检测器种群亲和力成熟的进程,收敛速度更快,随着进化代数的增加检测率总体呈上升趋势。而基于克隆选择算法的网络入侵检测则出现了检测器种群亲和力成熟进程较慢,并随着进化代数的增加检测率呈现轻微退化和较长时间停滞不前的现象。 基于VRML的虚拟实验的研究与设计 基于VRML技术,结合虚拟实验的开发环境、现状特点以及发展前景,研究虚拟实验制作所用到的技术,设计制作仿真性高的虚拟试验。本研究分析了虚拟实验的功能特性和操作方式,实现了一个数字电路的虚拟实验,利用VRML的脚步程序仿真了74LSO4芯片逻辑功能测试的全过程。该虚拟实验交互界面的真实感强,提供的三维虚拟环境具有亲临其境的真实感。用户可对实验过程进行操作控制,具有操作性交互性强的优点。研究表明基于VRML的虚拟实验有很强的应用前景,为远程教育的网上实验提供条件。 睡眠环境智能控制系统 拥有一个舒适的室内睡眠环境是许多人所梦寐以求的,结合睡眠的医学知识和自动控制理论,提出一种以单片机为控制核心,整合多种家居电器的睡眠环境智能调节系统的设计方案,并介绍了系统的总体规划、控制策略和软件实现,经实际测试表明该系统实用价值较高,不仅能有效地改善睡眠环境也可有助睡眠医学的相关研究. 多幅混合数字图像提取的迭代学习方法 提出以迭代学习辨识算法提取隐藏图像的新方法.将图像信息嵌入到混沌系统的参数中,并运用图像多重混合嵌入技术完成图像隐藏.这种图像隐藏过程等效于含未知时变参数的动态系统.运用D型迭代学习辨识方法在有限时间区间上对时变参数的完全估计能力,实现隐藏图像信息的完全提取.数值结果表明在各种攻击下该提取方法的有效性. 校园网络安全分析与安全体系方案设计 分析了校园网络面临的安全风险,针对校园网络面临的安全风险,提出了基于防火墙网络访问控制、入侵检测技术、虚拟专用网技术、内部虚拟局域网(VLAN)划分、终端安全管理的安全体系解决方案,并对ICMP攻击、TCP SYN FLOOD攻击和Smurf攻击进行了安全测试。测试表明:该方案能有效防御攻击,具备静态的被动的防御和主动防御功能,能够给予校园网络较大的安全防护。 一种基于CAN-BUS的数字量采集器设计 CAN-BUS是一种在国内外均受到广泛应用的现场总线.设计了一个基于CAN-BUS的数字量采集系统:硬件设计以单片机STC90C52RC为核心,结合了CAN控制器SJF1000和CAN收发器PCA82C251设计;软件设计采用循环扫描的方式对数字量信号进行采集、处理和发送.该设计已在工业中投入实际应用,运行稳定,监测良好,低成本,性价比高,效益好. 一种用支持向量机求解Job-shop问题方法 作为生产调度里面一类典型问题,机器数大于2的Job-shop调度(m>2)是一类NP完全问题,大规模Job-shop问题的有效算法至今仍未找到。在有向图模型基础上,提出通过约束引导方式获取可行调度。提出利用支持向量机通过对小样本学习来实现可互换工序对较为准确选取,以此提高调度方案质量。将求解过程中特殊算例补充到样本库进行后续训练以提高算法性能。数值仿真结果表明所提算法对于大规模Job-shop问题求解存在较好效果。 基于M估计的非线性鲁棒检测卡尔曼滤波算法 针对传统鲁棒非线性滤波在观测噪声为非高斯强干扰噪声情况下,滤波性能下降的问题,提出一种利用卡方检测法预判断的非线性鲁棒检测滤波算法。该算法通过卡方检测设置门限,剔除突变野值,利用M估计修正量测更新。仿真实验对比了几种典型非线性滤波方法在不同观测噪声环境下的性能。所提算法在非高斯强干扰噪声情况下,比传统鲁棒滤波算法估计精度平均提高了25.5%;估计方差平均减少了18.3%。实验结果表明:所提算法可以抑制观测量非高斯强干扰噪声的影响,提高滤波精度及稳定性。 故障广义4元n方体中不同长度的路嵌入 k元n方体是传输信息的一种重要网络,研究含有故障点的广义4元n方体。证明了当其故障点数f≤n-1时,对每个整数l∈{2n-1,2n,…,4n-f-1},任意两个非故障点之间存在长度为l的无故障路。 基于加性算子分割的快速静磁场主动轮廓模型 针对静磁力主动轮廓模型计算复杂度较高,收敛速度较慢的问题。将该模型对应的水平集方程做隐式数值化,采用加性算子分割方法(AOS)求解。实验发现,这种改进后的静磁场主动轮廓模型不仅保留了原有模型的优点,而且提高原有模型的收敛速度和稳定性。 Hadoop中处理小文件的四种方法的性能分析 Hadoop的设计初衷是为了存储和分析大数据,其最擅长处理的是大数据集。但是在实际应用中,却存在着大量的小文件。一般情况下有四种处理海量小文件的方法,分别为默认输入格式Text Input Format、为处理小文件而设计的Combine File Input Format输入格式、Sequence File技术以及Harballing技术。为了比较在相同的Hadoop分布式环境下这四种技术处理大量小文件时的性能,选用了典型的数据集,利用词频统计程序,来比较四种小文件处理技术的性能差异。实验研究表明,在不同需求下处理大量小文件的时候,选用适当的处理方法能够在很大程度上提高大量小文件的处理效率。 主题搜索引擎网络爬虫搜索策略的研究与实现 根据网络页面结构的特点,提出通过页面之间的主题传递来预测页面主题相关性的方法,解决了主题爬虫通道堵塞,抓取遗漏的问题。首先根据锚文本传递一个相关性信息值,如果锚文本给出的信息是相关,相关阈值就直接传递;如果是不相关,就乘以遗传基因比例之后传递。传递的过程中如果遇到相关的网页就恢复链接的相关性信息值到初始值。最后根据实验结果验证了算法的查全率与查准率,查全率有显著的提高。 基于AutoCAD的GIS技术及实现 鉴于AutoCAD系统在工程应用中的普遍性及空间数据管理能力的不足,通过分析AutoCAD系统的二次开发方法,研究基于AutoCAD平台的GIS技术,建立在AutoCAD系统环境下运行的GIS,改善AutoCAD的空间数据管理能力。经过实际数据检验,证实所开发的GIS技术的可靠性及工程有效性,对于实现工程设计系统环境与空间数据管理系统环境的有效集成、拓展单一AutoCAD或者单一GIS的功能,具有较好的实用价值。 基于神经网络算法的粮食智能控制系统研究 粮食烘干过程是典型的非线性、多变量、大滞后、参数关联耦合的非稳态传热、传质过程。针对这一问题,设计了基于神经网络和模糊控制算法粮食烘干塔智能控制系统。仿真结果表明,在进行一段时间的训练后,神经网络算法能够对烘干过程的各参数进行学习,结合模糊控制算法能够实现对粮食烘干过程的自动控制,使得烘干的粮食达到设定的水分值。 基于MSR的雾天图像清晰化算法研究 研究雾天图像清晰化的问题,需提高图像增强的均匀性。针对雾天情况下,由于雾气的遮挡使得拍摄图像对比度降低,图像局部细节处不清晰,传统的直方图均衡化的雾天图像清晰化方法虽然能够增强图像对比度,但是图像局部细节增强不足,造成图像增强均匀性不高的问题。提出一种MSR的雾天图像清晰化算法,通过Sigmoid函数对图像作映射,拉伸图像的对比度,然后利用MSR算法,将图像小波分解为高频分量和低频分量,对高频分量取绝对值最大运算,低频分量加权平均,并避免了对图像进行全局直方图均衡化造成的图像增强不均匀,局部细节增强不足的问题。实验证明,提出的算法能够将雾天图像均匀增强,得到高清晰的图像,取得了满意的效果。 高强度武术训练对脚部踝关节损伤评估仿真 武术训练运动中,踝关节损伤发生率较高,为了预防和减少踝关节损伤,应利用高强度武术训练对脚部踝关节损伤评估模型及时评估踝关节损伤程度。采用当前算法组建损伤评估模型时,无法详细的统计出踝关节损伤的原因,存在建模误差大的问题。提出一种萤火虫算法的高强度武术训练对脚部踝关节损伤评估建模方法。上述方法利用萤火虫算法建立不同强度武术训练对脚部踝关节损伤评估的影响因素矩阵,得到高强度武术训练对脚部踝关节损伤评估指标的适应度函数,采用混沌序列初始化萤火虫位置,组建高强度武术训练对脚部踝关节损伤评估模型。仿真结果表明,所提算法为提升武术运动员技术水平提供了科学依据。 并行交通信号数值化优化算法研究 根据交通流的动态变化情况,实时优化交通信号配时,是减少交通延误,提高交通效率的有效方法。为减少信号优化时间,提高时效性,提出一种并行化的交通信号对比分析算法,该算法首先根据专家经验和交通管理常识设定一定的信号变化区间,然后针对该区间给定变化区间Δ,依次给定相应的信号配时策略,将每一种信号配时策略分配给集群系统中的一个计算节点,由各个计算节点分别进行仿真运算,最后由主节点聚合分析,对比给出最优信号控制方案。以微观交通仿真系统Paramics进行了仿真实验,结果表明,在4个节点组成的并行网络中,加速比为1.75,其提高了仿真效率,且能较好地遴选出最优控制方案。 基于改进Shape Context的分层交通标志识别系统 为了从复杂背景中识别出交通标志,提出了一种基于改进Shape Context的分层识别系统。在RGB空间对图像进行分割,去除干扰,得到感兴趣的区域(ROI)。提取感兴趣区域的边缘信息,用标记法确定该区域的形状,完成外部形状粗分类。利用改进的Shape Context算法对交通标志内部图形进行细分类,完成交通标志的识别。实验结果表明,该方法能够快速有效地识别出复杂背景中的交通标志。 模糊语言下的梯形模糊数心态指标群决策方法 在模糊语言下,提出了梯形模糊数心态指标的群决策方法。在属性权系数和决策者权系数信息都不完全的情况下,引入心态指标,将模糊语言的梯形模糊数决策矩阵转化为带心态指标的决策矩阵;利用决策的不完全信息构造Fuzzy线性规划,分别求解出属性权重和决策者权重;对决策者的心态指标进行集成得到群体风险态度,模糊集成群体风险态度与方案的群体评价值,得到整个方案集的排序。选取实例说明该方法的有效性、可行性和可操作性。 汽车防撞毫米波雷达信号处理 线性调频连续波(LFMCW)雷达应用于汽车防撞的首要任务是距离和速度的测量;根据测距测速原理,制定了信号处理流程;构建了基于32位DSP的双FIFO数据采集和数字信号处理硬件平台;通过分析高速公路汽车间安全距离和速度关系,提出测量指标;根据测量指标要求,制定了信号处理技术指标;针对防撞雷达系统多个参数相互制约的问题,以10GHz的工作频率为基础,给出系统工作参数制定的方法和步骤;根据测量指标与频域分辨力关系,分析了1024点FFT时频性能,完成信号处理主要算法。 基于数字地球的WebGIS开发及其应用 空间地理数据的传输、处理以及展示对WebGIS提出了更高的要求。基于此,在开源数字地球模型上进行二次开发,采用GeoServer作为WebGIS服务器实现网络地图服务,构建一种金字塔模型的数据缓存机制,分析系统体系结构,设计基于数字地球的WebGIS。通过在WebGIS上水雨情信息综合集成和洪水淹没三维仿真的应用表明,该WebGIS具有较好的实用性和扩展性。 一种基于正向学习和反向学习的改进鸡群算法 针对标准的鸡群算法容易陷入局部最优的缺陷,提出一种基于正向学习和反向学习的改进鸡群算法.公鸡粒子在每次迭代中向最优粒子正向学习,使算法迅速进入最有希望的区域寻找食物;而在算法陷入局部最优解时向最差粒子反向学习以跳出局部最优.通过对6个典型的基准测试函数的仿真表明,改进算法具有较强的全局搜索能力,同时寻优精度和收敛速度比原算法也有较大的提高.尤其是在处理高维函数问题上,改进算法表现出了较强的优势. On-Demand数据广播环境下实时有序查询处理 在On-Demand数据广播环境下,广播服务器基于用户发送的数据请求等信息进行调度决策来满足用户的数据访问需求。在很多实际应用中,用户的数据请求需要在一定时间段内得到满足,即数据请求是有截止期的。现有研究只考虑了具有截止期约束的单个数据请求的调度问题,而实时查询处理即用户以查询为单位依次发送多个数据请求的研究尚未得到足够的关注。本文重点研究了On-Demand数据广播环境下如何有效地处理实时有序查询这一问题。基于对该问题的分析,定义了一类新的调度问题ROBS并证明了ROBS的Off-Line版本是NP-Hard的;提出了一种新的考虑查询语义的On-Line调度算法OL-ROBS,该算法通过综合考虑数据请求个数、查询截止期和查询剩余数据请求个数来确定待广播数据项的优先级;为提高OL-ROBS的执行效率,设计了一种裁减算法,用以减少调度决策的搜索空间。模拟实验将OL-ROBS与目前最为有效的实时数据请求调度算法Sinθ-进行了比较,结果显示OL-ROBS具有更低的错过截止期比率。 基于功率谱及有限穿越可视图的癫痫脑电信号分析算法 针对可视图(VG)算法存在噪声鲁棒性差的问题,提出一种改进的有限穿越可视图(LPVG)建网方法。该算法基于可视图(VG)算法的可视性准则,并设定有限穿越视距,将时间序列中满足条件的点连接起来,从而将时间序列映射为网络。首先,对LPVG算法进行性能分析;然后,将LPVG算法结合功率谱密度(PSD)算法应用到癫痫发作前、中、后脑电信号的识别上;最后,提取三种状态下癫痫脑电信号的LPVG网络特征参数,研究癫痫对网络拓扑结构的影响。仿真结果表明,与VG和水平穿越可视图(HVG)相比,虽然LPVG算法的时间复杂度较高,但是LPVG对信号中的噪声具有较强的鲁棒性:分别对周期、随机、分形和混沌四种时间序列进行LPVG建网,发现随着噪声强度增大,LPVG网络聚类系数的波动率均为最低,分别为6.73%、0.05%、0.99%和3.20%。接下来对脑电信号的PSD和LPVG建网分析结果表明,癫痫发作中,PSD值在delta频带下显著增强,而在theta频带下显著降低;LPVG网络拓扑结构有所改变,网络中各模块的独立性有所提高,网络的平均路径长度增大,复杂度降低。所提的功率谱密度和有限穿越可视图算法能够有效表征癫痫前、中、后三种状态下的脑电信号能量分布和单通道信号可视化后的网络拓扑结构的异常,为癫痫的病理研究和临床诊断提供帮助。 基于重组超矢量的GMM-SVM说话人辨认系统 在传统的高斯混合模型-支持向量机(Gaussian Mixture Model-Support Vector Machine,GMM-SVM)说话人辨认系统中,SVM利用从GMM矢量空间中得到的超矢量(Super Vector)直接对说话人进行建模与识别,由于没有考虑到超矢量内各均值矢量之间的关联性,识别性能有限。为此,提出了基于重组超矢量构建文本无关的GMM-SVM说话人辨认系统。该系统充分利用各相邻高斯分量的均值矢量的高度关联性,保证了重组后的超矢量能充分反映说话人身份的内在细节,使得系统具有充分利用SVM处理高维小数据性能的优越特点。验证实验结果表明,与传统的GMM-SVM系统相比,重组超矢量GMM-SVM说话人辨认系统显著地缩短了系统建模的时间,同时有效地提高了说话人的辨别率。 多压力传感器中自调谐整流和谐振自调的零点漂移消除 针对由压力腔外界干扰、工作电压不稳定和压力检测误差等因素导致的零点漂移问题,基于自调谐整流和谐振自调技术研究了一种多压力传感器零点漂移消除算法;首先根据多压力传感器的外界干扰向量、内置电阻和非线性系数特性建立了三维分析空间,据此研究造成系统工作电压变化的外界干扰因素于是设计了自调谐整流电路,然后分析了保障多压力传感器处于谐振状态的必要条件,设计了谐振自调电路和功率放大器,最后提出了将自调谐整流和谐振自调相结合的零点漂移消除算法;验证实验从检测精度、抗外界干扰和系统稳定性等方面,证明了所提方案与紧凑型多压力传感器和电容式多压力传感器相比的优越性。 基于空域自然场景统计的无参考立体图像质量评价模型 针对现有的评价方法大都将图像变换到不同的坐标域问题,提出一种基于空域自然场景统计(NSS)的通用型无参考立体图像质量评价模型。在评价中为了更好地结合人类双目视觉特性,将左右图像融合成一幅独眼图;评价模型首先统计独眼图归一化亮度(CMSCN)系数分布规律,进而对独眼图提取空域自然场景统计特征;其次,统计视差图归一化亮度(DMSCN)系数的分布规律,并对用光流法得到的视差图提取同样的特征;最后,通过支持向量回归(SVR)建立立体图像特征信息与主观评价值(DMOS)之间的关系,从而预测得到图像质量的客观评价值。实验结果表明,该评价模型对立体数据测试库进行评价,其Pearson线性相关系数(PLCC)和Spearman等级相关系数(SROCC)值均在0.94以上;对于非对称立体图像库,PLCC和SROCC值分别接近0.91和0.93。该模型能够很好地预测人眼对立体图像的主观感知。 模糊层次分析法在教学质量评价中的应用研究 研究教学质量评价问题,由于评价涉及的评价指标多为定性指标,难以进行准确地量化,传统定性评价和单因素评价法带有相当片面的主观性,评价的结果不精确。为了提高评价的精度,应用模糊层次分析法进行教师教学质量评价,首先从教学效果、教学内容、教学方法和教学态度四个方面构建评价指标体系,然后充分考虑多位专家经验,应用层次分析法计算出各参评指标的权重,最后利用模糊数学挖掘出教学质量等级与参评指标之间的规则知识,并建立了教学质量评价一致性判断矩阵。仿真实例表明,模糊层次分析法可以对教师的教学质量进行准确的评价,较单因素评价法准确性更高,从而证明了此方法的有效性和可行性。 基于MapReduce的并行石漠化CA模型 针对石漠化演化模拟预测CA模型在单机上训练和运行时间较长的问题。给出了MapReduce编程模型实现的并行化石漠化CA模型,并在用普通PC搭建的Hadoop集群上进行研究实验。实验结果表明,在Hadoop集群上实现的MapReduce并行化石漠化CA模型具有较好的加速比。 2011年选题方向和重点栏目组稿内容 [正文]网络与通信物联网技术(RFID应用)、嵌入式技术、无线传感网、通信技术、互联网体系结构、网络协议、下一代网络(未来网络)技术、社交网络。信息安全密码技术、数据安全、计算机病 大力推进数据科学的理论研究 "目前,数据科学是一个人们既""熟悉""又""陌生""的领域。""熟悉""是因为人类已进入""大数据时代"",大数据正在改变着我们的生活、工作和思维模式。对于理工科,尤其是计算机科学与技术而言,以统计学和机器学习为基础发展起来的新学科——数据科学,似乎离我们很近,每个人或许对其略知一二。""陌生""是因为人们至今对数据科学的学术研究相对较少,尤其是缺少深层次、系统性和突破性的认识,数据科学的理论研究仍停留在起步阶段。" 一种基于栈式分配的JVM垃圾收集算法 为了减少Java虚拟机垃圾收集器的开销,对Java栈帧进行改造使其支持对象的存储,在此基础上提出一种基于栈式内存分配的垃圾收集算法。算法首先在编译阶段通过对Java源代码进行分析确定栈式分配对象,并用扩展指令标识这些对象,程序运行时它们直接被分配到Java栈上,这些对象在程序离开其作用域时立即被释放,其它对象则分配到堆上,由垃圾收集器进行回收。实验结果表明,与传统基于堆式的垃圾收集算法相比,新算法内存分配和即时回收性能优,占用内存空间少,垃圾回收更及时,减轻了垃圾收集器的负担,提高了运行速度。 最优小波转移的逆向果蝇优化算法 针对果蝇优化算法在优化复杂高维问题易陷入局部最优,从而导致收敛速度慢,寻优精度较低的问题,提出一种最优小波转移的逆向果蝇优化算法.鉴于果蝇优化算法只向种群最优个体聚拢的算法局限性,增加了小波转移的逃逸机制以保证迭代方向选择的正确性.在种群多样性较低时对群体进行逆向小波转移,指引种群从局部限制逃离向全局最优解处收敛.通过仿真实验测试,新算法可以有效保持种群正确的进化方向、有效抑制算法陷入局部最优并具有良好的优化性能. 基于镜头竞争力的多模态视频场景分割算法 为了能快速、有效地进行视频场景分割,论文提出一种基于镜头竞争力的多模态视频场景分割算法,充分考虑视频中多模态之间的时序关联共生特性,通过对视频物理特征的提取、融合计算出镜头间相似度,结合镜头竞争力的判定思想分割出视频场景。实验结果表明,该算法能较为高效地进行视频场景分割,查全率和查准率可达82.1%和86.7%。 基于RWR的自然图像抠图 "提出了一种基于图论的自然图像抠图方法。该算法首先在图像上建立图的模型,并用不同颜色标记目标种子点和背景种子点;接着采用有重启概率的随机游走方法计算像素点之间的相似性,进而提出一个线性概率模型;最后求解模型并分别估计前景和背景物体。与目前的抠图方法相比,该算法具有以下特点:将RWR方法结合图论用于自然图像抠图中;减小了RWR算法在图像分割中迭代求逆的高复杂度,大大提高了抠图速度;解决了""弱""边界的问题。" 汽车转向传动轴扭转疲劳寿命试验台设计 针对汽车转向传动轴扭转疲劳寿命的测试,设计了基于PIC16F877单片机的试验台,给出了具体的试验方法,在传动轴与方向盘联接的一端,利用步进电机驱动传动轴,模拟方向盘正反方向的转动,传动轴另外一端,由程控电源控制磁粉制动器,产生扭转负载,与磁粉制动器串联安装有扭矩传感器,能对试验负载进行检测反馈,单片机与程控电源通过串口通信,能自动调节程控电源输出的电流大小,从而使磁粉制动器输出的扭矩负载恒定;该系统测试数据准确,具有试验次数记忆和故障报警功能,成本低,具有实用价值。 基于CNN与词语邻近特征的情感分类模型 基于卷积神经网络(CNN)的方法在情感分类任务中得到广泛应用,该方法使用词向量作为网络的输入,但是,在卷积过程中每个词向量只能表征一个单词,并不蕴含上下文信息,导致了信息传递连续性的降低。为此,构建一种基于词语邻近特征的CNN模型,在卷积过程中让每个词向量携带邻近词语的特征,既保证信息传递的连续性,也保证词向量在局部范围内的序列性。实验结果表明,在COAE2014(二分类)和COAE2015(三分类)的情感分类任务上,该模型的准确率分别达到89.43%和85.61%,验证了其可行性和高效性。 基于仿射不变约束与快速EKF滤波的航拍图像稳像 考虑到航拍机载成像平台抖动严重、视频稳像匹配环节精度不一致的特点以及航拍图像稳像技术快速、准确的要求,提出了一种结合仿射不变约束与快速扩展卡尔曼(Extend Kalman Filter,EKF)滤波的图像稳像算法。该算法首先以视频参考帧中的角点量作为特征点,通过Harris检测器选择出稳定角点;然后对待配准点构建Delaunay三角网进行初始匹配,提出利用仿射不变约束方法筛选出精确匹配点;最后利用快速EKF运动滤波方法实时估计和修正噪声的统计特性,从而解决摄像机扫描运动中存在的抖动问题。在对大量分辨率为640×480pixel的航拍图像的仿真实验中,可通过仿射不变约束实现精确的模型估计,采用的快速运动补偿方法在补偿过程中耗时为5.054ms,比传统的运动补偿方法节约了69.5%的时间。实验结果表明,该算法能够实时稳定航拍视频帧间的抖动现象,并能有效跟随场景的真实扫描。 小型无人机飞控系统软件可靠性设计与建模研究 小型无人机硬件受系统造价及其他因素的影响,不能很好地保证小型无人机系统的整体可靠性,而必须通过软件来补充并提高小型无人机系统的整体可靠性;飞行控制系统作为无人机的核心子系统,它的软件可靠性成为了可靠性保证的重中之重。文中首先论述了某小型无人机飞行控制软件的系统结构以及软件可靠性设计的方法和过程,然后利用白盒测试方法结合修正条件/判定覆盖标准对该软件的可靠性进行了测试;测试过程中,通过设计4组不同的测试用例,检验这些用例所对应的测试路径是否与实际情况相同;实验结果表明,所设计的方法满足软件可靠性测试的需要。 一种选择性加权聚类融合算法 传统的聚类融合方法通过融合所有成员实现融合,无法彻底消除劣质聚类成员对融合质量的影响,而从聚类成员的选择和加权两方面进行聚类融合,即先采用两两融合技术代替融合所有聚类结果进行聚类成员选择,然后进行基于属性的聚类成员加权,在理论上具有更好优越性。通过对真实数据和模拟数据的实验发现,该算法能有效处理聚类成员的质量差异,比传统聚类融合能得到更好的聚类结果,具有较好可扩展性。 基于促销投资的供应链应急协调研究 研究了由单制造商和单零售商组成的供应链中,零售阶段需求依赖于零售商的促销投资水平,当制造商的生产成本和零售商的存货投资成本同时出现扰动时的应急协调问题。通过对生产成本和存货投资成本的扰动程度进行情形分析,提出了不同程度扰动时的最优应对策略和协调机制。该机制为集中供应链系统中的决策者和制造商在面临生产成本和存货投资成本同时扰动时提供了理论决策依据。 限定领域智能导学系统问题生成及对话管理技术 智能导学是当前信息化教学研究的一个热点领域,将导学技术应用到难于识记并需要严格遵守的规章规程类知识领域,将极大地提高领域人员对相关知识的快速理解与掌握能力.采用面向对象的知识表示方法,提高了对通用主题和问题模板表示的灵活性.设计了基于模板的领域知识表示方法和导学问题生成方法,可实现一个主题知识生成多种问句.采用约简的有限状态转移方法,避免了对话管理中状态数量庞大的问题.最后,本文以船舶损管领域为例,将此方法运用到船舶损管训练语音导学原型系统中.系统运行良好,充分表明这种设计方法是切实可行的. 全国计算机仿真大奖赛简章 "[正文]总则本次竞赛的目的是响应江泽民同志""发展我国仿真技术 勇攀世界科技高峰""号召的具体落实,从而营造全民学科学、爱科学的良好科技氛围。本次竞赛面向全国大学生、从事仿真技术的科研和工厂单位以及经营仿真技术和仿真产品公" 参数为k的几乎树中的染色多路割 染色多路割问题源于对等网络中的数据分片,是传统多路割问题的推广。给定颜色相关边赋权图G和G上若干特异顶点的局部染色,将该局部染色扩展到所有顶点上,使得两端点染不同颜色的边的权和最小。对于参数为k的几乎树,给出了多项式时间精确算法。也就是说,染色多路割问题是固定参数可解的,其中的参数k是使得G中任意双连通分支C成为树所要拿掉的最大边数。 带自控能力的捕食模型正解存在性与数值模拟 在齐次Dirichlet边界条件下,研究一类低密度食饵下,捕食者具有自控能力的捕食模型平衡态正解存在性。通过连续延拓意义下建立的连续算子,利用度理论给出了平衡态正解存在的充分条件,并对理论结果进行数值模拟。研究结果表明,只要捕食者和食饵的生长率适当大,则捕食者和食饵可以共存。 粗糙表面Cantor集分形对温度场影响分析 由于Cantor集性质较好,能够很好地模拟粗糙表面,已应用到温度场研究中,但其参数的取得以及微凸体排列对温度场的影响尚未有公开的研究和应用。进行Cantor集粗糙表面参数估计,在样本足够大时,模型可以以任意精度收敛于实际参数。考虑排列不唯一时微凸体之间不同的组合,以飞机刹车副C/C材料为例对其进行计算和仿真分析而后推广,从仿真结果可以看出Cantor集的排列对温度场的影响,应该按照工程实际进行处理,为深入研究各种温度场分布以及各种粗糙表面的热物理效应打下基础。 领域知识在文本聚类应用中的机遇和挑战 最近几年,越来越多学者意识到单靠数据驱动的无监督聚类方法很难满足用户对富含语义信息的文本数据的处理需求。领域知识,如领域本体的人工或自动构建、百科全书Wikipedia的网上公布为文本处理带来了新的希望和美好的前景。本文主要阐述领域知识在文本聚类过程中的具体应用、研究现状和所面临的挑战。 标准文献内容指标服务系统 标准在一定范围内具有法律法规效应,它是国民生产、贸易往来的基础规范.标准文献的收集整理与挖掘利用是标准咨询与服务的必要条件.本文结合标准文献资源的现状与实际用户需求,设计了从标准文献影印件到标准内容指标的加工流程,实现了标准文献内容指标服务系统. 基于视觉词袋与文本分析的成人图像判定算法 针对目前单纯依赖于分析图像内容或文本关键词的成人图像判定算法的不足,提出一种融合网络图像的相关文本特征与图像内容语义特征的成人图像判定算法。成人图像的特征信息可能存在于其图像内容及其相关文本如图像文件名、所在网页中。在视觉词袋模型的基础上,将文本分析得到的相关文本特征与图像视觉元素特征如纹理、局部形态等进行底层特征融合,并采用支持向量机分类器实现图像分类。实验结果表明,该算法具有较好的分类效果。 具有任意自由度的B样条非均匀细分 为了便于工程实际应用,非均匀细分方法现在已经成为计算机图形学和几何建模中的热点问题。提出一种具有任意自由度的B样条非均匀细分算法,其实现与B样条均匀细分即Lane-Riesenfeld细分方法相似。该算法包含了非均匀d环结构生成的双重控制点,其中d环相似于d度均匀B样条曲线的Lane-Riesenfeld算法中均匀的d环结构。Lane-Riesenfeld算法是由B样条曲线基函数的连续卷积公式直接得出的,而本算法是blosso-ming方法的一个扩展。对于非均匀B样条曲线来说,该节点插入方法比之前的方法更简单有效。 冲压发动机进气道堵盖碎块运动仿真研究 整体式冲压发动机进气道出口堵盖大多为可抛易碎式,助推器工作结束后,堵盖会分裂成小碎块随气流进入燃烧室内。碎块在运动过程中,可能与燃烧室壁面发生碰撞,当碰撞严重时,会对热防护结构造成破坏。在非定常流场数值仿真结果的基础上,经过适当地简化,模拟了冲压发动机可抛易碎式堵盖碎块在燃烧室内的运动过程。仿真研究表明,堵盖破碎后大部分碎块会在燃烧室中后部与壁面发生碰撞;离燃烧室头部较近的堵盖破碎后,朝壁面运动的趋势更明显,危害性更大;碎块的运动过程与相对气流的速度密切相关,而与自身受到的重力关系不大;增大气流沿燃烧室轴线方向的速度分量有利于减小碎块对壁面的撞击力;堵盖所用材料的密度及碎块的尺寸对其运动轨迹影响不明显。 考虑系统调用和失效模式的软件可靠性模型 可靠性是软件系统质量需求中的一个重要指标,而软件可靠性建模为人们提供了一种评估软件可靠性的方法,能够在软件质量控制方面有效地提供决策依据。无论是曾经受到广泛关注的黑盒方法,还是现在大量研究的白盒方法,这些模型都试图越来越精确地刻画软件的可靠性。然而,这些模型都是将软件独立在运行环境之外,只是纯粹地、抽象地考虑软件本身,完全忽略了软件所依赖的操作系统和运行环境对可靠性的影响。将软件运行所依赖的系统调用纳入了考虑范畴,考虑了软件中的多种失效模式(内核态失效、用户态失效),同时对系统中的错误传播行为进行了建模,经过对经典模型逐步扩展,建立了一个更加符合实际情况的软件可靠性模型。通过一个实例详细描述了该模型的如何应用。 网络化非线性系统的非脆弱H_∞控制 具有对数量化、网络诱导时延和数据包丢失的网络化Lipschitz非线性系统控制器,存在参数摄动问题。为此,设计一种加性非脆弱状态反馈H∞控制器。将数据量化和网络诱导时延对被控系统的影响,转化为系统的不确定参数,网络化控制系统建模为马尔可夫跳变系统。采用Lyapunov稳定性理论和线性矩阵不等式方法,给出网络化Lipschitz非线性系统的加性非脆弱状态反馈H∞控制器存在的充分条件,该非脆弱H∞控制器可通过解线性矩阵不等式求出。仿真结果表明,当控制器存在参数摄动时,与传统控制器相比,非脆弱控制器不仅能使被控系统稳定,而且满足设定的H∞性能指标。 RNA二级结构预测优化模型的仿真分析 由于RNA的结构与功能密切相关,可通过预测RNA的结构可以深入挖掘其功能,研究分子生物的重要性能。传统的预测方法主要是通过RNA的功能特征进行预测,在针对海量RNA序列时,RNA分子降解速度快导致功能特征多样化,增加了计算的复杂性,导致预测准确率低。提出改进模拟淬火算法的RNA二级结构的预测方法。利用适应度函数获得初始的RNA结构,采用遗传算法获得其它形式的结构,并通过模拟淬火算法不断调整能量。引入遗传算法的变异和选择获得RNA的最优结构。根据适应度函数越小,种群越优,RNA结构能量越低,结构越稳定的原理确定最优RNA的二级结构。仿真结果表明,改进算法能够提高RNA二级结构预测的准确率。 基于短地址混淆和谷歌云推送的移动僵尸网络的构建 为了提升对移动僵尸网络的预测能力和防御能力,提出了一种基于短地址混淆(USSes-Flux)和谷歌云(GCM)推送的移动僵尸网络的构建机制。设计了基于中心结构和对等网络(P2P)混合的拓扑结构的移动僵尸网络模型,给出了USSes-Flux算法,从而增强了命令与控制信道的隐秘性和强壮性。给出了该移动僵尸网络的控制模型,分析了不同僵尸节点的状态改变、命令设计和传播算法。实验环境中,研究了短地址的失效率与申请数量之间的关系,并对该移动僵尸网络与不同命令和控制信道的样本进行静态分析、动态分析和电量测试。结果表明:该移动僵尸网络具有较强的隐秘性、强壮性和低消耗。 基于word2vec的网站主题分类研究 为了对网站主题高效的进行分类,建立一种基于word2vec的分类模型。针对预先定义的财经、娱乐、军事、科技、健康、体育、教育、社会共八个主题,首先通过word2vec训练得到包含语义的词向量。根据八个主题分别列举对应的特征词,将每个主题的特征词对应的词向量取平均作为该主题的中心词向量。对于待测网站文本,通过DBSCAN聚类提升文本的数据质量,计算文本与各主题的余弦相似度,取最大的作为该文本的所属主题。实验采用8000个文档进行测试,实验结果表明,利用该方法可以高效地对网页文本主题进行分类,有助于更好地了解人们的阅读偏好。 水下柱体近壁过程数值仿真研究 水下物体在近壁运动过程当中会受到壁面的流体动力干扰作用,在流体动力计算中必须加以考虑。基于非结构动网格技术,采用有限体积法,在不同的Re数下,对圆柱近壁面过程进行二维数值仿真,得到了不同间隙比(e=h/Dc)下流体动力变化规律及速度场压力场的流场细节。仿真结果表明在间隙比(e=h/Dc)较大时,圆柱受壁面影响较小,受力大小与无界流场中圆柱绕流受力接近;在间隙比较小时,圆柱受壁面干扰作用较明显,并且在靠近壁面时其两侧涡结构会往上移动。仿真结果在远壁面时与试验值接近,并且与势流理论所得结论吻合,为进一步计算更加复杂的流体动力干扰提供快捷可信的方法。 基于高精度时间的时间同步方法研究 为了实现低成本、高精度的时间同步,根据时间戳获取的不同方式,设计了3种方法,并分析了采用这3种方法所能取得的时间戳精度。在此基础上,提出了一种基于Windows平台的时间同步方法,通过在网卡驱动程序和传输驱动程序之间插入一层处理程序,截获时钟计数器并在应用层与系统时间建立关联,同时引入时钟频率调整算法,实现了高精度时间同步。实验结果表明,该方法的同步精度达到亚毫秒级,从而证明了模型的可行性和算法的有效性。 基于面向对象贝叶斯网络的威胁评估模型 针对复杂环境下威胁源种类数量繁多、建模难度大以及可维护性差等问题,文中提出一种基于面向对象贝叶斯网络的多威胁源综合评估模型及分类融合方法,并针对该模型的特点提出了单威胁源的层次消元推理算法。各类威胁源采用统一的贝叶斯网络顶层评估类设计,为评估提供了统一的标准接口及框架。融合算法根据同类和不同类威胁源的特点,分别使用S型曲线和考虑可控程度的加权融合。同时,针对单威胁源评估模型中输入输出节点确定的特点,将单威胁源评估模型转换为层次结构,按自底向上的顺序进行消元推理。实验结果表明,该模型能在复杂环境下对多威胁源进行有效的综合评估。 基于MOPSO—PSC雷达罩阵列低副瓣方向图综合 针对雷达罩阵列天线低副瓣方向图综合复杂度高的问题,提出了方向图空间相关性的多目标粒子群算法。建立方向图空间相关性的数学模型,给出采用空间相关性系数的副瓣最大辐射强度和改进的副瓣平均功率目标函数。建立机载雷达罩的三维阵列模型,分析上述模型的方向图空间相关性,对两种目标函数采用不同角度间隔采样进行低副瓣约束。仿真结果表明,在保证低副瓣性能的同时,相关性系数强的大间隔角度采样,能够减小粒子迭代时间,降低算法的复杂度。在低副瓣约束性能和算法复杂度上,平均辐射功率优于最大辐射强度,能够更有效地求解Pareto最优解,对阵列低副瓣方向图综合研究与设计具有指导意义。 MTAODV:一种新的高速公路场景下VANET路由协议 在高速公路场景中,针对车辆间通信特性,在AODV的基础上提出了一种基于应用消息类型的改进路由协议(MTAODV).其核心思想是在路由建立过程中充分考虑VANET中应用消息的类型,从而减小路由请求洪泛范围,降低网络开销.仿真实验结果表明,与AODV协议相比,MTAODV协议能够有效地提高分组递交率,降低了路由开销,改善了分组时延和抖动. 基于DNA计算的最大流算法在图聚类上的应用研究 提出使用DNA计算解决图聚类问题,提供了使用DNA两阶段法求最小切进行图分析的新思路.在使用两阶段算法前,首先根据一定的规则对给定图进行构造,使其适合使用DNA两阶段算法.在两阶段算法中,使用DNA分子对图中顶点、边进行编码.经过生化反应生成关于构造图从选定源节点到槽节点的所有路径,再利用电子计算求出关于给定源节点和槽节点的最小切,从而完成对图的划分,然后迭代执行两阶段算法直到获得满意的聚类数目为止.给出了算法的证明,说明了算法的可行性. 地铁区间过渡电阻测试仿真研究 地铁区间过渡电阻是影响杂散电流大小及分布的主要因素,由于回流轨对地电阻分布不均,所以对过渡电阻进行测试分析成为优化地铁排流系统、减小杂散电流危害的关键。由于地铁拓扑结构及影响因素的复杂性,使得过渡电阻的测试及分析非常困难。针对地铁拓扑结构及影响因素的复杂性问题,利用CDEGS仿真软件建立了精确的地铁隧道拓扑结构模型,并利用参数分析法对地铁区间过渡电阻进行了仿真,得到了过渡电阻与不同参数之间的关系曲线。仿真结果表明:轨道绝缘层和混凝土层电阻率是影响过渡电阻的主要因素且正常情况下过渡电阻主要由轨道绝缘层承担;结构钢筋网宽度及埋深对过渡电阻的影响较小。最后通过实验验证了仿真所得结果规律的正确性,证明上述仿真模型可为地铁区间过渡电阻进行可靠的仿真测试及分析提供了参考。 基于QoS的网络负载均衡选播路由算法 传统演化算法在解决选播路由问题时,初始种群仅包含到选播组中部分服务器的可行路径,并且未考虑服务器的负载,设置的QoS约束惩罚函数过于简单,这些方法易导致算法收敛到局部最优路由。针对这些问题,提出一种根据选播组中成员服务器的负载来初始化种群的选播路由算法,首次提出用区分度更高的QoS约束惩罚函数来组成适应度函数。在随机生成的Waxman网络拓扑环境下进行仿真实验,结果表明,与传统算法相比,该算法得到的最优路由具有更大的带宽,更小的时延,且能在更少的代数内收敛。 基于EPON的三网融合通信服务系统的设计 基于当前电信网、广播电视网和计算机网三网融合的社会背景及发展趋势,提出了一种基于EPON技术的综合通信服务系统模型;该模型中互联网端光信号、电信网端光信号直接通过FTTx接入以太无源光网络设备EPON,广播有线电视端数字有线信号通过射频、光发机处理后转换为1550nm光信号接入EPON;局端OLT使用ATCA架构,光分路器ONU端采用FTTH接入;结果证明该模型可实现三网综合信息的融合,具有一定的实用推广价值。 PCA方法的运动轨迹检测算法研究 以陀螺和加速度计为敏感器件的导航参数解算系统称之为惯性导航系统,通过陀螺的输出建立导航坐标系,而加速度计的输出可以算出运动物体的速度和位置。MPU6050整合了三轴陀螺仪,三轴加速度器,磁力传感器或者其他传感器。它可含有两个I2C端口,可以进行数位运动处理,向运动端输出单一形式的数据流。针对运动传感器应用广泛的现状,提出了一种采用MPU6050模块的运动轨迹检测算法设计方案。轨迹检测的原理是加速度经过二重积分后可以得到位移。由于加速度传感器输出存在积累误差,采用了主成分分析(PCA)方法来抑制积累误差。用PCA进行特征提取,对运动状态下的加速度和速度进行修正,消除积累误差并重建轨迹。传感器的硬件平台搭建主控制器为STM32,通过MATLAB软件绘图功能,利用多组三个方向加速度值和三个方向角速度值来做出运动轨迹和解算姿态。实验结果表明:所述方法使得物体运动轨迹检测的精准度有所提高。 气液两相流含气率图像检测方法 开发了一套基于机器视觉技术的气液两相流在线检测系统,用于测量气液两相流的含气率等参数。根据最小二乘拟合法得到二值化图像的毛细管及气泡的左右边界的线性表达式;根据一阶导数原理确定气泡的上下边界;测量气液两相流的含气率等参数。实验结果表明,系统有较好的重复性,准确率高。 粒度可配置的密码算法重构单元设计 针对分组密码可重构设计中关键模块的详细实现问题,深入研究了分组密码算法中可重构逻辑单元的划分,以及需要实现的常用功能模块;利用可重构原理,设计了分组密码算法中的加法模块和S盒的重构实现方案,给出了详细的实现原理图;为了提高运行速度,给出了在重构结构下的流水线设计;经实验测试表明,设计的重构功能模块在进行加法运算时最高可提高8倍速度,进行S盒查表时,资源节省达52%。 基于Skyline的动态可信服务选择方法 服务选择是实现服务共享、组合、复用的重要前提。随着具有相同功能属性但非功能属性(如服务质量(Quali-ty of Service,QoS))不同的候选服务数量日益增多,QoS已成为服务选择的关键指标。然而,在动态网络环境下,服务选择过程中QoS数据的真实可信性无法得到保障。通过引入包括度量服务资源种类及服务提供商信任的服务信任(Trust of Service,ToS)来构建服务的信任机制。利用Skyline技术的支配关系及投影模型,给出QoS和ToS两者之间的关联,提出了基于Skyline的动态服务选择算法,它在确保服务质量的前提下可提高服务选择的可信性。仿真实验表明了所提算法的可行性与有效性。 多物理场耦合界面数据传递的仿真研究 高超声速飞行器的系统仿真是一个气动流场、结构应力场以及结构温度场的高度耦合过程,仿真过程中,需要在耦合界面上完成数据的迭代交换,传递的内容主要包括位移、速度、压力、温度等耦合数据,主要作用就是完成各个耦合场的方程之间参数的传递,在统一的仿真时间内实现仿真的迭代。耦合界面数据传递的技术难点在于要保证耦合界面处的总功守恒以及多物理场耦合仿真的精度[1]。目前大多采用以插值为主的局部数据传递方法针对二元耦合开展研究与应用[1,3],局部插值的缺点在于全局精度偏低,偏差较大,计算速度不高。采用径向基函数法(RBF),对界面数据交换方法的仿真应用进行了研究,验证结果表明,计算精度满足仿真要求,求解方程的速度较快,工程应用效果较好。 特征回归与检测结合的人数统计方法 针对目前特征回归与检测这2种主流的人流量统计方法的不足,提出一种基于特征回归与检测结合的人数统计方法.对于视频帧的远景区域,采用背景分割的方法提取出前景块,将前景块中的特征通过贝叶斯多核支持向量回归方法估计出人数;对于近景区域提取HOG特征,采用弱标签结构训练出模型,并采用星型结构混合模型级联检测实现行人的准确定位并统计出人数.在视频数据库上的实验结果表明,该方法不仅能够较准确地实现人流量的统计,在一定程度上减少统计时间,还能够准确地定位出一定尺度范围内行人的位置. 基于GSPN的嵌入式产品研发过程建模与优化 一个高效的产品研发流程可以提高企业的工作效率和市场的竞争力。针对嵌入式产品研发过程管理混乱、研发项目过程中时间管理控制不足等特点,运用广义随机Petri网理论和工作流技术建立嵌入式产品研发过程模型来优化当前的嵌入式产品研发过程,对模型进行定性分析和定量分析,得到模型正确性和工作流的关键性能指标。最后以此为基础实现嵌入式产品研发过程的优化,优化后的工作流模型符合企业的实际需求,缩短了工作流的平均执行时间。 基于参数自整定和模糊前馈的疏浚系统控制 在疏浚工程中抽砂系统一般采用PID控制器调节泥浆浓度,但当河床形态及河水流速等工况变化时,基于固定参数的PID控制难以达到满意效果;针对被控对象的特性,提出将参数模糊自整定PID与模糊前馈结合的控制方案;该方案能根据工况变化动态调节PID参数,并根据龙头吸咀与河底距离提供前馈补偿,能及时抑制吸咀位置对泥浆浓度灵敏度的影响;仿真结果表明,与传统PID控制相比,该方案对不同工况均能表现出较低的超调量,更快的响应速度,以及更强的抗干扰能力和稳定性。 PRT系统的最终有界和正向不变集及其应用 通过构造一个广义正定径向无界的Lyapunov函数和最优化理论,研究了一个在实际中描述血浆运动的PRT混沌系统的最终有界集和正向不变集,得到了三维椭球估计。将得到的变量xyz的界应用到混沌同步中,设计一个尽可能简单的线性控制器研究了该系统的完全同步。数值仿真验证了同步理论的有效性。 考虑量子效应的短沟道n-MOSFET表面电势分布数值模型 提出了一种考虑量子效应的短沟道沟道表面电势数值模型,并在此基础上分析了源漏偏压对表面势分布的影响.计算结果和二维量子力学数值模拟结果很好地吻合.结果表明:源漏偏压会造成线性区的沟道表面势减小,进而导致阈值电压下跌;而在饱和区,源漏偏压的影响更大,会造成表面势明显下降,阈值下跌将会更加严重. 中国古建筑三维构件库设计研究 对中国古建筑构件的实际构造进行分析研究,阐述古建筑构件库的系统设计和技术实现,结合参数化设计方法和层模式设计架构,运用工厂方法设计模式进行系统实现,保证了系统的可扩展性和平台移植性。力图实现一款比较通用的三维数字化建模软件。 基于聚类分析的微博广告发布者识别 微博空间存在大量的广告内容,这些信息严重影响着普通用户的用户体验和相关的研究工作。现有研究多使用支持向量机(SVM)或随机森林等分类算法对广告微博进行处理,然而分类方法中人工标注大数据量训练集存在困难,因此提出基于聚类分析的微博广告发布者识别方法:对于用户维度,针对微博广告发布者通过发布大量普通微博来稀释其广告内容的现象,提出核心微博的概念,通过提取核心微博主题及其对应的微博序列,计算用户特征和对应微博的文本特征,并使用聚类算法对特征进行聚类,从而识别微博广告发布者。实验结果显示,所提方法准确率为92%,召回率为97%,F值为95%,证明所提方法在广告内容被人为稀释的情况下能准确地识别微博广告发布者,可以为微博垃圾信息识别、清理等工作提供理论支持和实用方法。 折线模糊神经网络对Chonquet可积函数的泛逼近性 首先定义了Choquet积分模的概念,然后分析了折线模糊神经网络在Choquet积分模意义下对模糊值函数的泛逼近性,证明了当模糊值函数满足相容性时,折线模糊神经网络能够以任意精度逼近该Choquet可积模糊值函数. 基于边界曲线弧分割的多椭圆检测 不同于传统的Hough变换算法,提出一种基于边界弧分割的椭圆检测方法。首先将边界从交点处分割成弧段,将得到弧段划分为长弧和短弧两组并按长度降序排序,然后从两组中找出属于某个椭圆的若干弧段,利用最小二乘法拟合得到候选椭圆并验证是否为真正椭圆。实验表明该算法能快速检测出图中椭圆,运行时间远小于采用随机Hough变换算法,在具有噪声、椭圆残缺的情况下仍能有较好的检测结果。 基于改进粒子群算法的云计算平台资源调度 针对云计算任务动态变化造成集群资源利用不均衡问题,提出一种基于虚拟机动态迁移技术的云计算资源调度策略。迁移过程中采用引入窗口思想的指数平滑预测确定负载热点,虚拟机选择中综合考虑迁移效果和迁移速度,采用基于退火思想的粒子群算法寻找虚拟机最优放置,并借用轮盘赌思想实现平台资源长期优化。利用云仿真框架Cloud Sim对云计算平台中服务等级协议(SLA)违背率、虚拟机迁移次数、集群能耗以及剩余资源率进行实验,并将本算法与顺序放置、贪心算法和标准粒子群算法进行对比分析,结果表明本算法在上述各方面表现优于其他算法,为提高云计算平台性能提供了新思路。 基于灰色理论与线性回归的降雨量测点优选 提出基于灰色系统理论中灰色关联分析与线性回归的建模方法,建立降雨量测点优选模型,从众多分散的雨量测点中筛选出关键的观测点,既能观测有代表区域的雨量及整个地区雨量的现状,又能节省成本。在实例分析中,将前9年的监测数据作为训练数据,建立优选模型,选择出12个测点中的6个作为关键测点,将最后一年的数据作为测试数据,结果表明,该模型能够优选出降雨量关键测点,并能准确反映总的降雨量,避免降雨量测点之间相互耦合的影响,准确性和鲁棒性均得到较大提高。 一种基于深度残差网络的车型识别方法 针对传统的车型识别方法提取的特征的可分性较差、鲁棒性不足等问题,提出一种基于深度残差网络的车型识别方法。相比于传统的特征提取方法,深层网络模型具有模型参数更为充分完善的优势,同时也更加适合于处理大规模的数据集,其提取的特征具有天然的层次结构,类型也更加丰富。深度残差网络使用的残差单元可以改善深层网络模型寻优的过程,减少模型收敛的时间开销。在深度残差网络的基础上添加类别中心正则化的约束可以改善特征的分布空间,强化同一类别内的特征的相似性及不同类别的特征的可区分性,进一步提高模型的分类性能。训练时,将训练过程分为两个步骤,分别使用不同的数据集进行训练可以提高训练的效率,充分利用预训练模型的优势。实验结果表明,该算法在识别精度上优于传统的车型识别方法。 适用于覆盖移动自组网的队列调度机制 为提高移动自组网(MANET)控制系统的稳定性,建立基于覆盖技术的MANET。考虑到不同业务类型的数据对时延、丢包率的服务质量(QoS)要求不同,基于服务权重指标,提出一种适用于覆盖移动自组网的公平队列调度机制。实验结果证明,该机制部署方便,可在一定程度上保证不同业务流的QoS要求。 针对RSA算法的踪迹驱动数据Cache计时攻击研究 Cache计时攻击是旁路攻击领域的研究热点.针对滑动窗口算法实现模幂运算的RSA算法,分析了RSA算法访问驱动Cache计时攻击的难点,建立了踪迹驱动数据Cache计时攻击模型.在攻击模型与原有踪迹驱动计时攻击算法的基础上,利用幂指数与操作序列的相关性、窗口大小特征和预计算表索引值与窗口值的映射关系,提出了一种改进的幂指数分析算法,并给出了利用幂指数dp和dq的部分离散位恢复出私钥d的格攻击过程.利用处理器的同步多线程能力实现了间谍进程与密码进程的同步执行,针对OpenSSL v0.9.8b中的RSA算法,在真实环境下执行攻击实验.实验结果表明:新的分析算法大约能够获取512位幂指数中的340位,比原有算法进一步降低了密钥恢复的复杂度;同时对实际攻击中的关键技术以及可能遇到的困难进行分析,给出相应的解决方案,进一步提高了攻击的可行性. 一种面向M5的图形化并行模拟技术研究 针对目前使用M5模拟器时出现的两个主要问题,提出一种面向M5的图形化配置与并行测试方法,通过可视化界面实现被测试系统中功能部件的连接和属性配置,并自动生成运行M5所需的Python脚本,同时支持多核处理器上多个测试程序的并行运行,提高了M5模拟器的使用效率. 基于HALCON的板料成形网格应变测量系统的实现 随着计算机技术和信息技术的发展,视觉检测技术被引入到板料成形应变测量中。通过基于先进的图像处理软件HALCON,利用双目立体视觉原理,对摄像机进行标定,以获取摄像机的内外参数,并分析图像数量对标定准确度的影响。利用视差原理获得匹配点的三维坐标,根据圆形网格变形后的椭圆长短轴的长度变化,计算真实应变,并分析匹配误差对三维重建的影响,用OpenGL语言将应变可视化;在VC6.0下调用HALCON的算子库编程实现板料成形网格应变测量系统,实验证明该系统在速度、准确度方面能够达到测量要求。 智能自助回收装置的技术现状及问题分析 随着社会的进步,垃圾围城、可回收物与不可回收物混装、可回收物分类回收不彻底的现象日趋严重。为了更好地解决上述问题,对现有的智能回收系统及针对三类主要可回收物设置的回收装置(包括饮料瓶回收装置、电池回收装置、图书回收装置)的技术现状和特点进行了简要的分析,分别指出了现有装置中所存在的问题。主要针对可回收物的识别问题、二次污染问题、高能耗问题提出了相应的解决方案,同时提出了集多种类物品回收与处理于一套装置的技术方案。该技术方案中提出的装置能够高效地对可回收物进行分类,并且能够实时进行加工处理。 可证明安全的有向传递签名方案 2002年,Micali和Rivest首次提出了传递签名的概念,构造了第一个无向传递签名方案,并把构造有向传递签名方案作为公开问题。为了解决上述问题,提出了一个方向状态函数的概念,用于表示有向图节点之间的方向关系,使传递签名的明文空间扩展到任意的复杂有向图。结合节点签名范例,利用节点秘密值,构造了一个基于离散对数困难问题的有向传递签名方案,并在随机预言模型下证明了该方案在自适应选择消息攻击下是安全的。 虚拟场景中起重机运动仿真技术研究与应用 为解决目前人工进行吊装方案规划效率低,准确性得不到验证等问题,对虚拟场景中的起重机运动仿真技术进行了研究。提出了面向运动仿真的实时参数化自动组装方法,运用成组技术分析了其最小组装单元,构建了整车节点结构树;建立了汽车起重机三类工况下的运动学模型,应用三维渲染引擎OpenSceneGraph实现了起重机自动运动仿真和交互式运动仿真。应用以上技术成功开发了一款起重机大型吊装工程仿真平台,在实际工程中获得应用。仿真结果表明,该平台可以有效地辅助进行吊装方案规划,提高了吊装方案规划的效率和准确性。 保持视觉感知的三维树木叶片模型分治简化方法 三维树木的复杂外观形态和庞大网格数据量使得要实现精细树木模型的快速绘制十分困难.由于叶片信息在三维树木模型数据中占的比重很大,为了减少三维树木模型的数据量,提出一种保持视觉感知效果的三维树木叶片模型分治简化方法.该方法综合考虑了树叶纹理颜色和几何形状的相似性来进行相似树叶的选择与合并,并根据树木的树枝拓扑结构或树叶的空间区域分布来划分树叶简化区域,采用相应的分治策略以加速树叶的合并过程,以实现保持视觉感知特征的三维树木模型的简化.实验结果表明,文中方法能有效地减少树木模型的几何数据量,提高绘制效率,可应用于近景树木的快速逼真绘制. 基于QEMU的外部总线仿真技术 综合嵌入式系统通过外部总线实现各个子系统之间的通信连接。为解决综合嵌入式系统的接口测试问题,采用系统虚拟机仿真综合嵌入式系统的连接方式,通过在虚拟机中创建外部总线仿真模块,配置仿真模块的连接,从而实现虚拟机之间的通信。提出一种基于以太网通信的外部总线仿真结构,以CAN总线仿真为例,在全系统虚拟机QEMU的内部总线上配置与注册外部总线设备,为读写总线设备数据的接口建立共享内存,组织总线协议报文。实验结果表明,通过网络收发报文,可实现总线仿真节点模块之间的数据交换,从而达到仿真总线的数据通信功能。 基于ARM/WiFi/QT的无线视频监控系统的开发 利用ARM和QT开发了一款基于WiFi网络的无线视频监控系统.系统由远程视频采集端、WiFi网络和监控中心三部分构成.远程视频采集端采用PXA270 ARM10微处理器为核心的硬件平台,并在其上建立Linux操作系统,完成视频的采集、H.264编码压缩和传送.监控中心使用QT利用多线程技术开发了客户应用软件,实现监控视频的解码和显示.WiFi网络实瑞视频采集端和监控中心间的视频传输.使用效果表明,该系统能稳定实时地显示一路或多路视频监控图像,很好地达到了设计的要求,在各领域具有广泛的应用前景. 基于ArcGIS Engine/ArcSDE的农用地定级模型设计与实现 针对农用地定级过程数据管理困难、计算量大、操作繁琐等问题,以ArcGIS engine/ArcSDE为基础构建农用地定级模型,使用ArcSDE和ADO.NET进行空间数据和属性数据的存取。在.NET平台和SQL Server数据库管理系统下,对模型实现的关键算法和技术作了研究和探索。通过该模型既实现了空间数据的有效管理和存储,也通过ArcGIS engine的强大接口,高效、精确地实现了农用地定级过程中的自动化操作,并且具有很高的通用性。实际应用表明该模型能够有效地完成农用地定级,并取得了良好的效果。 基于VB的个性化测试系统设计与实现 个性化的测试有利于学生根据自身的学习水平自定步调进行学习,以便更好地掌握所学的知识,提高学习效率。本文以Word操作的学习为例,根据不同学生的学习情况提供不同的测试内容,并且使用自动改卷及时给学生提供反馈,提高学生的学习效果。 采用单目视觉的桥吊负载空间定位方法 针对基于模板匹配算法的单目视觉桥吊负载空间定位系统中标识模板旋转、倾斜等造成的匹配性能降低的问题,提出一种结合垂直梯度方向线圆检测与线性预插值的桥式吊车负载实时空间定位方法。该方法通过设置一个附于负载上方的球形标识物,利用球形标识物在被检测时对旋转和倾斜等情况不敏感的特性,首先利用垂直梯度方向线的圆检测算法,对兴趣区域内的球形标识物图像进行精确快速的检测;再结合空间几何方法对球形标识物球心空间坐标进行计算;此外,还使用线性预插值的方法解决了空间坐标的实时反馈问题。通过物理实验,将空间位置数据转换为负载摆角与吊绳绳长,与传统接触式方法进行对比。实验结果表明,该方法转换得到的摆角数据精确更高,且满足实时性要求;同时,绳长转换数据与接触式方法测得数据误差满足在2.49%以内,满足精度要求。 基于集群的海洋遥感图像融合并行计算策略 集群体系下的大规模并行计算,是高性能计算的基础。遥感图像处理效率的提高,有赖于并行计算技术的应用。在分析已有网格计算环境下分布式任务分配方法的基础上,针对海上遥感图像目标物数量相对较少的特点,首先利用四叉树结构理念对目标区域进行划分,同时采用动态负载均衡的任务分配策略与并行计算思想,提出对目标区域图像进行融合处理的集群体系任务分配算法处理模型。通过对比验证,表明该集群体系下算法模型能有效地提高图像融合的速度。 基于AUVs的水下移动无线传感器网络愈合算法 水下移动无线传感器网络(MUWSNs)动态演化特性制约着网络的拓扑的可靠性,很大程度上限制了MUWSNs的推广应用。针对水下实体移动导致的MUWSNs拓扑失效,提出了一种基于自主式水下航行器(AUV)的MUWSNs拓扑愈合算法,并构建了水下实体移动模型,利用AUV自主移动特性对失效拓扑进行快速愈合。仿真结果验证了该算法的正确性和有效性,极大地提高了MUWSNs可靠性,对MUWSNs拓扑领域研究具有一定贡献。 一种基于压缩域模板匹配的快速人脸检测算法 人脸的检测是人脸信息处理领域中的一项关键技术,在自动人脸识别系统、基于内容的图像检索、视觉监测、场景检测、新一代人机交互技术等领域具有广阔的应用前景。通过在压缩域利用DC系数重构图像,提出了改进的链码模板和通过训练产生角度模板来对候选区域进行搜索匹配和检测。实验结果显示,本方法在检测人脸的过程中速度快,漏检率较低,非常适合实时性要求高的人脸检测系统。 基于像素置乱和比特替换的混沌图像加密算法 分析了当前一类基于混沌系统的图像加密算法,指出普遍存在安全性方面的不足.基于此,提出了一种全新的基于像素置乱和比特替换的混沌图像加密算法.该算法采用了Kent映射和Logistic映射,并且使加密过程与明文图像的大小及像素值总和等特性密切相关.首先,使用Kent映射产生混沌序列使得明文图像位置的全局置乱;然后,使用Logistic映射产生两组混沌序列,将位置置乱后的图像序列巧妙地融合到两组混沌序列中产生两个中间密文,使得明文图像信息得到很好的隐藏.通过实验仿真表明,该算法不仅能较好地抵抗差分攻击、统计特性分析,还能有效地抵抗选择明文(密文)攻击,而且密钥空间大、加密效果好. 一种基于冲突域的不完备决策表属性约简算法 以不完备决策表为研究对象,通过对冲突域的概念进行研究,给出在不完备决策表下的基于冲突域的属性约简的定义。证明该属性约简的定义与基于正区域的属性约简定义是等价的,同时设计一个在不完备决策表中的新的属性约简算法。该算法的时间复杂度为O(|K||C|2|U|),其中K=max{|TC(xi)|,xi∈U}。最后用实例说明该算法是有效的。 基于模态Petri网的行为有效区间寻找最优路径的方法 为满足客户需求,在行为约束下的业务流程中寻找行为执行的最优路径具有一定的实际意义。已有的研究是建立在静态分析基础上,通过优化算法或是行为分析来寻找最优执行路径,忽略了行为约束条件对执行行为有效性的影响,所得结果具有一定的局限性。文中在已有方法的基础上,利用Petri网行为轮廓序关系描述约束条件并确定行为有效区间,提出了基于模态Petri网分支有效区间的业务流程最优路径选择方法。该方法以行为有效区间替代已有的固定值方法,以便更好地描述业务流程的行为约束以及约束下的有效行为。通过一个具体的业务流程分析实例分析了该方法的有效性。 改进的Otsu方法的双边滤波边缘检测算法 Canny边缘检测是一种比较新的边缘检测算子,具有良好的边缘检测性能,得到了广泛运用。然而经典Canny边缘检测算法在去除噪声和门限确定上还有很大的局限,针对以上弱点,提出了基于改进的双边滤波的自适应边缘检测算法。用改进的双边滤波方法代替传统的高斯滤波平滑图像,这样在更好地去除噪声的同时保留了边缘信息;在门限确定上,用类间方差与类内方差之比最大的方法确定高门限,该方法具有较强的自适应能力。理论推导和实验证明该算法具有很好的准确性和实用性。 基于改进混合高斯模型的人群密度估计方法 人群密度估计对于公共安全管理至关重要。针对视频监控系统下的人群密度估计问题,提出了一种基于改进混合高斯模型和像素统计的人群密度估计方法。通过计算图像的均值和偏差均值,提取高斯模型特征,在恒定的模型更新速率指导下,重建混合高斯背景图,从而获取人群二值图,最后,利用像素统计的方法实现人群密度快速估计。实验结果表明,较传统方法,该方法可以更准确有效地估计人群密度。 基于ESB的服务排队路由 在现有关于企业服务总线(enterprise service bus,ESB)服务路由研究的基础上,提出将功能相同的服务事先进行科学分析再排队的方法,设计服务的排队选择模型对生成的队列加以利用。实现该模型的整体流程,一系列实验结果表明,服务经过排队后的路由能够很好地应对网络环境的动态变化;综合分析其稳定性、高效性和可靠性,分析结果表明,该模型能够提高ESB服务路由的整体效能。 基于游程统计的含噪图像分割效果评价方法 含噪图像的分割效果与其干扰噪声强度有着紧密的联系。为了对含噪图像的分割效果进行量化计算和分析,首先定义了一个评价含噪图像分割结果的差异函数,该函数值反映了含噪图像的分割结果和原始图像的分割结果之间的差异程度。同时,根据序列随机性检验方法中的游程测试方法,给出了一种针对含噪图像分割效果评价的方法,该方法基于分割图像的二值化灰度矩阵游程统计量,定义了评价图像分割效果的游程函数,在对含噪图像的分割效果进行评价时,可以计算分割图像的游程函数来进行量化比较。对含噪灰度图像的分割结果与相应的差异函数和游程函数之间的关系进行了实验分析,结果表明,差异函数和游程函数不仅表达简单、计算便捷,而且能够准确反映出含噪图像的干扰噪声强度和分割的结果图像之间的变化关系。 基于字典稀疏性的脑图像数据盲分离方法 针对独立成分分析(ICA)方法的独立性假设在实际脑图像分析中很难完全满足的问题,根据脑图像数据的特点,提出一种基于字典稀疏性的盲分离方法。结合字典学习和盲分离技术,利用源信号在所选字典中的稀疏性,将盲分离的过程转换到稀疏域中,通过在稀疏域中对源信号施加稀疏性约束进行盲分解。采用脑激活区定位实验对该方法进行验证,并用线性相关方法进行结果比较。结果证明,与ICA方法相比,该方法得到的激活体素平均时间序列与实验任务更相关,激活体素空间分布也更集中,具有较高的求解质量和求解效率,可用于更好地分析脑图像数据,在稀疏域中进行盲分解时提高盲分解的质量。 基于FLST变换的多尺度面状地物提取方法 提出了一种基于快速水平集变换(FLST)的多尺度面状地物提取方法。该方法利用FLST变换将图像分解为灰度水平集,面状体物由于在空间分布和灰度值上的相近,其相关信息很容易整体转移到水平集内;通过一种水平线Min/Max流方法对包含地物信息的形状进行多尺度边缘平滑处理,滤除图像中的细节信息,保持面状地物轮廓基本不变;最后进行重构图像,重构结果具有灰度分布分片恒定的特点,很容易从图像中获取目标地物的分布情况。对遥感影像中的典型面状地物,如农田、水域、积雪等,使用该方法进行了地物提取实验,提取结果与人工方法提取相比,其精确度均达到了90%以上。 Oralce数据库的SQL查询优化研究 Oracle数据库的性能优化问题一直是决策者及技术人员共同关注的焦点,影响数据库性能的一个重要因素就是SQL查询语句的低效率。阐述了几种常见的Oracle数据库的性能优化技术,如数据库设计的优化、I/O优化、数据库各种动态参数的调整,并着重讨论了SQL语句的查询优化。从查询优化的测试结果来看,系统的响应时间明显降低,系统运行效率得到提升。 人脸表情动画与语音的典型相关性分析 表情动画作为语音驱动人脸动画的一部分,在增加人脸动画逼真性方面起着重要的作用,但已有的工作没有定量分析人脸表情动画与语音之间的关系.文中通过研究人脸表情动画与语音的相关性,采用典型相关性分析方法(CCA)定量分析两者之间的内在联系,得出这些关系直观的量化的结论.首先计算人脸表情动画与语音的典型相关性系数,衡量两者的相关程度;然后分析人脸表情动画与语音的典型负荷、典型交叉负荷等数据,并挖掘两者内部各分量之间的联系,由此得出直观的量化的结论.最后验证了结论的稳定性.分析结果表明两者具有强相关性,并揭示了人脸表情动画各成分与语音声学特征之间的具体内在联系.文中成果可为语音驱动人脸动画技术提供理论参考及结果评价依据. 移动智能终端的SSL实现安全性分析 SSL协议已经成为保护通信安全的重要手段。在移动互联网环境下,移动智能终端应用软件也大量使用SSL协议对网络数据进行安全保护。为了评估移动智能终端应用软件的安全性,对国内6万个Android应用软件的SSL实现安全性进行分析,发现这些应用软件SSL实现方面的四类安全缺陷:可信证书链认证不完整、域名认证不完整、Web View错误忽略和证书绑定不完整。提出相应的检测方法,进而实现了分析和检测工具SSLGuard。对150个银行、金融类样本进行深入分析,实验结果表明:目前国内市场的Android应用软件存在较严重的SSL实现安全缺陷,亟需对手机银行等重要应用软件进行全面测评和认证。 基于Laplacian中心性的密度聚类算法 聚类分析是一种重要的数据挖掘工具,可以衡量不同数据之间的相似性,并把它们分到不同的类别中,在模式识别、经济学和生物学等领域有着广泛的应用。文中提出了一种新的聚类算法。首先,把待分类的数据集转换成一个加权的完全图,每个数据点为一个节点,两个数据点之间的距离为相应两个节点之间边的权值。然后,用Laplacian中心性来计算和评价该网络每个节点的局部重要性,聚类中心为局部的密度中心,它具有比周围的邻居节点更高的Laplacian中心性,并且与具有更高Laplacian中心性的节点之间的距离也较大。新算法是一种真正的无参数聚类方法,不需要任何先验参数便可以自动地对数据集进行分类。在6种数据集中将其与9种知名聚类算法做了对比,结果显示该算法具有良好的聚类效果。 基于动态函数连接神经网络的自适应逆控制系统辨识研究 自适应逆控制将系统扰动消除和动态响应性能独立分开控制,其性能的优劣取决于系统对象、逆对象及逆控制器模型辨识精度的高低。文中提出用动态函数连接神经网络来实现自适应逆控制系统对象、逆对象的同时在线建模和逆控制器的离线建模,并将模型参数的辨识转化为空间参数寻优。针对混沌初始化对已收敛种群结构的破坏性,提出用变参数混沌粒子群优化算法对神经网络权值进行全局寻优,通过仿真实验可以看出基于动态函数连接神经网络的建模误差小,辨识精度高;与当前的参考模型自适应控制方法进行对比分析,所提方法能取得较好的扰动消除效果,并能使系统的跟踪响应性能得到提高,从而验证了方法的有效性、可行性。 欢迎订阅《计算机与现代化》,欢迎投稿 [正文]《计算机与现代化》是计算机专业学术期刊。其特点是:时效性强,密切跟踪计算机科技发展动态,以最快的速度精选刊登计算机专业的新理论、新技术及其在各领域应用成果的论文。 分水岭优化的C-V模型脑肿瘤图像分割 C-V模型可有效对脑肿瘤等医学图像进行分割,但存在对初始轮廓位置敏感及重新初始化耗时的问题,为此,提出了一种分水岭优化的C-V模型脑肿瘤分割方法。首先引入标记函数,通过强制最小技术改善传统分水岭变换的过分割现象,得到粗分割结果,然后在粗分割基础上确定C-V模型初始轮廓位置,最后采用无需重新初始化的C-V模型进行细分割,得到较精确的脑肿瘤分割结果。实例结果表明,经过分水岭优化后的C-V模型能够对常见脑肿瘤图像进行有效分割,尤其是能够将与组织粘连的肿瘤分割出来。 融合拓扑势的社交网络层次化社区发现算法 社交网络的社区结构呈现层次性。针对传统凝聚式层次化社区发现算法效率不高以及生成的层次谱图复杂的问题,提出一种融合拓扑势的层次化社区发现算法,利用拓扑势场呈现的自然峰谷结构揭示社交网络社区间的层次关系。该算法搜索局部极大势值节点,并根据局部极大势值节点完成社区的初始划分;根据局部极大势值节点间的距离对初始社区进行迭代合并,直到所有社区被合并为一个社区。在真实社交网络和人工网络上的实验结果表明,该算法能够高效地发现社区的层次结构,生成的层次谱图简单直观。 一种基于关系和量化的盲水印算法 盲水印提取时不需要参考原始图像,在数字产品的版权保护中具有更广泛的应用前景。提出的盲水印算法在分块DCT的基础上,采用了关系嵌入和量化嵌入自适应选择的嵌入策略。关系为8×8图像块DCT的直流系数和之字形排列的第二个低频系数之间的大小关系。量化嵌入采用抖动调制,可以方便地调节水印的透明性和鲁棒性。大量仿真实验结果表明它对噪声、滤波、JPEG压缩、剪切等攻击有很好的鲁棒性且具有良好的透明性。 级联式多轴运动控制系统及其同步策略 为了实现普通数字脉冲接口的伺服驱动器网络化控制,提出一种级联式运动控制方案,采用三端口转换器实现网络节点的级联,并提供伺服装置所需的控制和反馈接口。此外,为了提高系统的同步运动精度,提出一种新的同步补偿策略,通过在三端口转换器内设计时间补偿定时器,克服了网络延时对多轴同步运动的不良影响。实验表明,以工业塑料光纤为传输介质,信号每经过级联网络的一个节点增加约120ns的时间延迟,而采用上述同步策略后,伺服节点之间运动同步脉冲的时间抖动减小到25ns之内。 基于模型的自动化测试探索 分析测试设计的问题和挑战,结合测试设计和自动化测试的发展,提出基于模型的自动化测试基本思路和解决方案。以TD-RNC的一个特性为例,给出基于该方案的具体实现过程。 基于双向强化学习与动态码率调节的无线mesh网络协议 针对现有无线mesh网络协议的用户体验质量(QoE)较差的问题,提出一种基于双向强化学习与动态码率调节的无线mesh网络协议。设计了兼容不同服务类型的无线mesh网络QoE度量框架和基于双向强化学习的无线mesh网络路由协议,并结合QoE感知的差异化报文调度策略与数据流源节点码率动态调节算法进一步优化终端用户的QoE质量。基于NS-2仿真平台的对比实验结果显示,协议可明显地提高无线mesh网络的QoE指标,同时具有较低的控制开销。 基于Unity 3D的船舶压缩空气系统的虚拟设计 针对目前船舶空气压缩仿真训练系统大多采用半物理仿真与二维软件界面仿真相结合方式训练效果不佳的问题,将虚拟现实技术引入到船舶压缩空气系统的仿真设计;设计一套基于Unity 3D的船舶压缩空气系统,实现虚拟仿真程序和三维虚拟场景的实时交互,生成一个逼真的三维视觉、听觉、触觉的感官世界,加强培训人员的沉浸感,从而获得一种置身于真实环境中的感受;系统可供学员无限制反复训练,节省系统的维护费用,规避实物操作设备中可能出现的各种风险,提高训练效果。 多传感器信息融合系统恢复现场技术研究 从工程应用出发,提出了一种多传感器信息融合系统恢复现场模型,主要包括:历史融合目标信息加载、历史融合目标消批处理、历史融合目标判断、历史融合目标处理和定时历史融合目标消批。该模型恢复现场效果较好,且便于工程实现。 改进型遗传蚁群混合算法求解0/1背包问题 针对原有的遗传蚁群混合算法收敛速度慢、运行时间长等缺陷,提出了一种新混合算法,该算法从蚁群中选取部分优良个体采用遗传算法寻优,所选个体数目随迭代次数自适应变化,同时,对算法中的交叉、变异操作以及赋值等方面进行了一些改进。仿真结果表明,该算法在搜索能力、收敛速度以及程序运行时间方面都有明显的提高,由此证明了该算法的有效性。 矢量拉力垂直起降无人机姿态纵向控制研究 针对使用矢量拉力控制纵向飞行姿态转换的坐式垂直起降固定翼无人机,分析其在垂直和水平飞行两种状态转换过程中存在的一些控制问题;建立了其动力模型,并对模型参数进行了整定。针对其在非线性条件下动态性能欠佳的问题,把容易实现、鲁棒性好的PID控制法与智能模糊控制算法结合,设计出自适应模糊PID控制器。并且模糊PID的超调小、动态响应快等特点在仿真和飞行实验中得到验证,使系统的抗干扰性得到提高。 三维散乱点云快速曲面重建算法 提出了一种基于Delaunay三角剖分的三维散乱点云快速曲面重建算法。算法首先计算点云的Delau-nay三角剖分,从Delaunay四面体提取初始三角网格,根据Voronoi体元的特征构造优先队列并生成种子三角网格,然后通过区域生长的方式进行流形提取。实验结果表明,该算法可以高效、稳定地重构具有复杂拓扑结构、非封闭曲面甚至是非均匀采样的点云数据。与传统的基于Delaunay的方法比较,该算法仅需要进行一次Delau-nay三角剖分,无须极点的计算,因此算法的重构速度快。 一种新型结构的GPS检测相关器研究与实现 提出了一种速度更快的GPS检测算法,在该算法基础上采用硬件描述语言实现了一种新型结构的GPS检测相关器.该相关器采用pipeline技术,在相同并行度要求下电路规模更小,数据吞吐率更高.采用modelsim进行了功能仿真和时序仿真,仿真结果表明该相关器功能正确,时序满足要求. 基于AR模型和ZMNL变换的K分布海杂波仿真方法 海杂波统计模型的研究对最优检测算法设计和雷达性能预估有重要作用。文章介绍了一种基于AR模型和ZMNL变换的K分布海杂波仿真方法,结合ACI准则和Yule-Walker方程,利用Levinson-Durbin递推关系式求解AR模型的阶数和参数,将生成的高斯序列通过线性滤波器产生服从K分布的相关序列。仿真结果表明,无论是功率谱还是概率分布都与理想分布相吻合。 基于形殊点抗局部非线性几何攻击水印算法 为了提高数字水印抗非线性几何攻击的性能,设计了一种基于形殊点的鲁棒数字水印算法。该算法利用Canny算子提取图像边缘信息,将图像边缘分块并计算分块子图的形殊点坐标;利用形殊点坐标生成代表原始图像信息的特征向量;最后结合用户密钥和数字水印实现图像版权保护。仿真实验结果表明,算法在大幅度降低算法复杂性的同时,提供了一种安全的抗图像局部非线性几何攻击的方法。 基于0-1规划的快速图像阈值分割算法 阈值方法是一种重要的图像分割方法,在图像分割中得到了广泛应用。Otsu算法虽然是图像分割阈值法中较好的方法之一,但是由于传统的Otsu算法通常用穷举法求解,使得处理多阈值问题时运算速度太慢,难以满足应用需求。为了快速有效地确定阈值,提出了一种改进的Otsu算法。将Otsu算法转化为一个非线性0-1数学规划问题,再利用遗传算法求解得到最优阈值。通过对测试图像的分割实验,表明该算法与传统的Ot-su算法相比运算速度有非常显著的提高,能够满足一般的应用需求。 RFID与WSN在物联网下协同机制的分析 在物联网的概念提出之前,射频识别(radio frequency identification,RFID)和无线传感器网络(wireless sensor network,WSN)均沿着各自的技术路线发展,它们之间的兼容性问题尚未被考虑。而在物联网中,这两种技术需不断地进行融合,研究它们在物联网中的工作机制和协作方式将具有十分重要的意义。通过对相关应用中RFID与WSN协作机制进行深入分析,将RFID与WSN在物联网下的协作分为四种融合方式,并对这四种融合方式的设计原理与工作过程进行了分析与探讨,最后指出了RFID与WSN协同工作机制目前需要解决的关键问题。 基于HBase的监控视频中目标图片的存储系统 目标图片作为监控视频中重要的信息,在视频检索应用中起到重要的作用,同时随着监控视频数量的增长,目标图片的数量也是海量的,如何有效地存储这些目标图片成为研究热点。HBase是一种面向列的非关系型数据库,主要提供对海量数据的实时和随机的读写访问。文中通过分析监控视频中海量目标图片的特点和存储需求,以及对HBase的存储架构进行研究的基础上,提出一种基于HBase的海量监控视频中目标图片的高效存储方案。该方案完成了海量监控视频中目标图片的存储表和检索方案设计;同时,通过设置系统参数、预建Region、多线程并发写入实现了存储系统的写入优化。实验结果表明,该存储架构满足监控视频中海量目标图片的存储和检索要求,具有良好的水平扩展性,同时提高了写入效率。 基于广义投影神经网络优化的模型预测控制 为降低模型预测控制优化问题的计算复杂度,以时滞系统的模型预测控制问题作为研究对象,利用神经网络动态平衡点与优化问题解相对应的特点,提出一种基于广义投影神经网络的模型预测控制优化算法。首先将模型预测控制优化问题描述为一个带约束的二次规划问题,再通过广义投影神经网络模型进行在线优化。该方法充分发挥了神经网络并行、结构简单的优点,通过具体实例仿真验证了该算法的有效性和优越性。 AI赋能肿瘤放射治疗 6月11日,百洋医药集团旗下百洋智能科技与医科达联合宣布,双方就Watson for Oncology(WfO)签署战略合作协议,共同推动医疗人工智能在肿瘤治疗领域的应用,为患者提供个性化、规范化的治疗方案。一.国内放疗占比低,引进成熟医疗AI产品弥补差距一方面是由于在中国每百万人拥有加速器的数量仅为1.49台,与WHO所要求的每百万人2~3台加速器还有差距,除此之外,制约肿瘤放疗的瓶颈还包括专业医技人员匮乏和治疗技术相对滞后等问题,放射肿瘤学科的 求解分裂可行问题的改进投影算法 分裂可行问题是一类有着广泛应用的最优化问题。文中由变分不等式改进的修正外梯度方法得到启发,对求解分裂可行性问题的修正松弛CQ算法进行改进,即对该算法的步长提出了一种新的取法,从而减少了算法迭代步骤,提高了算法运行效率,比常规的算法效率提高了17%。此外,证明了算法的全局收敛性。数值实验结果表明,文中改进的投影算法具有较快的收敛速度和良好的可行性,特别地,当维数较大的时候,其优越性更明显。 面向GPU计算平台的归约算法的性能优化研究 归约算法在科学计算和图像处理等领域有着十分广泛的应用,是并行计算的基本算法之一,因此对归约算法进行加速具有重要意义。为了充分挖掘异构计算平台下GPU的计算能力以对归约算法进行加速,文中提出基于线程内归约、work-group内归约和work-group间归约3个层面的归约优化方法,并打破以往相关工作将优化重心集中在work-group内归约上的传统思维,通过论证指出线程内归约才是归约算法的瓶颈所在。实验结果表明,在不同的数据规模下,所提归约算法与经过精心优化的OpenCV库的CPU版本相比,在AMD W8000和NVIDIA Tesla K20M平台上分别达到了3.91~15.93和2.97~20.24的加速比;相比于OpenCV库的CUDA版本与OpenCL版本,在NVIDIA Tesla K20M平台上分别达到了2.25~5.97和1.25~1.75的加速比;相比于OpenCL版本,在AMD W8000平台上达到了1.24~5.15的加速比。文中工作不仅实现了归约算法在GPU计算平台上的高性能,而且实现了在不同GPU计算平台间的性能可移植。 框架理论在匹配追踪算法中的应用 在匹配追踪算法中,库函数的选取非常重要。库函数的选取不当就有可能导致算法不能够收敛。由于空间任一元素都能够由框架展开,所以选取空间中的框架作为库函数,从而确保算法的收敛性。通过计算示例,表明算法的收敛性能够较快收敛,残差范数能够较快地衰减到零。 一种构件系统重新配置协议的关系逻辑模型 基于构件的软件系统在运行过程中需要适应环境和用户需求的变化对自身的结构进行动态的重新配置.本文提出了一个用形式化语言Alloy实现的求解重新配置协议的关系逻辑模型.该模型定义了构件和连接的各种状态,状态之间的转换操作,和每种操作的前置和后置条件.这些前置和后置条件具体实现了保证系统一致性的不变式.在利用Alloy分析器验证了该模型的一致性的基础上,进一步将其转换成一个可以自动生成重新配置协议的多项式时间算法.与定理证明器相比,Alloy模型的修改和验证更加简便. 疾病-病症和病症-治疗物质的关系抽取研究 随着生物医学文献的快速增长,在海量的生物医学文献中存在大量有关疾病、病症和治疗物质的信息,这些信息对疾病的治疗和药物的研制有着重要的意义。针对疾病与治疗物质之间的信息抽取,重点训练两个模型,即疾病与病症模型和病症与治疗物质模型。疾病与病症模型判断一种疾病是否会存在或者导致一种生理现象的产生;病症与治疗物质模型判断一种物质是否改变人的生理现象或者生理过程。使用半监督学习的Tri-training的方法,利用大量未标注数据辅助少量有标注数据进行训练提高分类性能。实验结果表明,Tri-training方法中利用未标注数据有助于提高实验结果;且在训练过程中使用集成学习的思想将三个分类器器集成在一起,提高了学习性能。 基于求积分卡尔曼滤波的交互式多模型算法 针对非线性系统中的多模型估计问题,将求积分卡尔曼滤波算法应用到交互式多模型算法过程中,提出一种基于求积分卡尔曼滤波的交互式多模型算法。该算法不需要求取非线性方程的雅可比矩阵,且能够获得比基于不敏卡尔曼滤波的交互式多模型方法更高的滤波精度。仿真结果证明了该算法的有效性。 基于DCT域的数字水印算法 提出一种矢量地图水印嵌入不依赖原始地图的盲提取算法,该算法在离散余弦变换域上转换而成。离散余弦变换的特性之一是对相互依赖的数据能够产生能量聚集效益,而矢量地图同一条边上点与点具有依赖关系,在一条边上依次选取8个顶点作一个集合,并对这组集合数据经变换导出离散余弦值,将水印加密嵌入其系数中。实验表明该算法对矢量地图经DCT变换未产生较大的差异。 基于SCIT的移动目标防御系统分析研究 "移动目标防御技术是近年来美国科学技术委员会提出的网络空间""改变游戏规则""的革命性技术之一。在SCIT模型基础上,提出一种基于服务器切换和清洗的移动目标防御系统,通过引入软件的多样性、系统的随机性和不可预测性使防护对象机动化,以改进其安全性。实验结果表明,改进后系统对攻击者的要求更高,系统被入侵的概率显著降低,系统安全性得到进一步提升。" 一种具有逼真效果的虚拟人动画生成方法 针对传统的虚拟人动画逼真度低、动画生成复杂、且动画存在飘移现象的问题,提出了一种基于视频关键帧获取运动数据的虚拟人动画生成方法。首先利用线性混合蒙皮算法建立了新的人体几何模型;其次利用正向运动学方法驱动虚拟人的骨骼模型,并利用平移补偿原则消除虚拟人运动中的飘移现象;最终实现了基于双目正交视觉获取关节运动参数的虚拟人动画。实验结果表明,新方法能逼真地模拟真实人体动作,且方法易于实现、实用性强。 一种劣解突变策略引导的混合人工蜂群算法 标准的蜂群算法有着过早收敛,领域搜索不够广,收敛速度慢,搜索精度低等问题。为了提高领域搜索的广度与深度,并提高算法的收敛速度和精度,取得质量更高的解,提出一种基于劣解突变的混合人工蜂群算法。该算法引入了劣解突变和二项交叉操作两种改进策略,通过这两种策略,既提高了领域搜索的广度,又提高了领域搜索的深度,并取得了良好的收敛效果。经过多个标准测试函数的仿真实验表明,改进算法具有更好的领域搜索能力,有效地提高了收敛的速度和解的精度,并且在稳定性方面也得到了不错的效果。 基于类别条件的受限玻尔兹曼机改进设计 针对受限玻尔兹曼机(RBM)在进行无监督训练时易出现特征同质化导致泛化能力较差的问题,设计了将类别条件引入RBM训练中,从而提出了基于类别条件的RBM(lCRBM)。针对RBM的训练,将类别信息作为模型隐单元训练条件,参与到隐单元后验激活概率计算中;并将该模型作为深度玻尔兹曼机(DBM)的底层结构,应用于深度学习中。通过手写数字识别集合测试,该模型在训练速度和特征提取有效性上均有较大改善,并且能够提高深度模型的特征学习能力。 基于用户QoS分析的无线Mesh网络部署优化 在使用定向天线部署的无线Mesh网络中,为了保证用户的接入范围,在一个AP接入点必须配置多条定向天线,定向天线的数目增加会导致整个网络部署成本的增加以及在AP节点处造成天线自身的干扰。针对上述问题,提出一种基于用户QoS分析的部署优化算法,在保证用户QoS需求的前提下,减少AP处配置的天线数,达到减少天线自身干扰和降低网络部署成本的目的。最后对提出的算法进行了实验仿真分析,证明了算法的可行性,能够在实际的网络部署中获得良好的优化效果。 基于CK810 LINUX3.0内核的移植实现 介绍Linux 3.0的基本架构。重点阐述Linux在CPU架构上移植的关键点,如系统调用、进程管理及中断异常处理等。通过LTP测试及profiling应用,检验了Linux 3.0在CK810上的移植实现具有可运行性、健壮性和实用性。 多方向独立小波耦合神经网络的图像融合算法 针对当前图像融合算法因利用下采样分解导致信息冗余、融合图像对比度和清晰度不高等不足,提出基于非分离小波多方向分析NSWMDA(non-separable wavelet multi-directional analysis)与脉冲耦合神经网络PCNN(pulse coupled neural network)的图像融合算法。根据非分离小波变换和非下采样方向滤波器,设计多尺度NSWMDA机制,获取源图像的低频、高频子带;引入高斯-拉普拉斯算子,提取高频子带的高频信息,设计自适应PCNN融合准则,完成高频信息融合;基于高斯加权平均的低频融合规则,对低频子带完成融合;利用NSWMDA重构,输出融合图像。仿真结果表明,与当前图像融合算法相比,该算法的融合图像具有更优的交互信息、PSNR(peak signal to noise ratio),以及融合效率。 基于动态参数的函数空间学习最优核映射 核函数方法可挖掘出高精度快速印刷品图像间的非线性分布规律,而挖掘能力由所选择的核函数及其参数来决定。这两者的学习与选择同样是核函数理论继续发展与实际应用需要迫切解决的问题。针对印刷品智能检测这一特定背景,提出了一种新的基于优化问题的从具有动态参数的函数空间中学习核函数及参数的方法,以此来使核函数方法达到最优性能。与传统的计算方法不同之处在于其核函数空间中的核参数是连续变化的,这使学习的范围得到一个维度上的扩展。实验结果显示,结合理论分析的迭代算法仅需要10次迭代便可得到统计最优的核函数及参数,利用学习到的核函数计算的复原误差是统计最小的。 基于最小且非重叠发生的频繁闭情节挖掘 "事件序列上的频繁闭情节挖掘是一个重要课题,现有的研究基于最小发生的支持度定义和广度优先的搜索策略,不可避免地导致了情节发生的""过计数""和大量候选情节的产生问题,因此,基于最小且非重叠发生的支持度定义和深度优先的搜索策略,提出了一个事件序列上的频繁闭情节挖掘算法FCEMiner,在此基础上,利用特殊前向扩展的非闭一致性避免了冗余的闭合性检查,缩小了频繁闭情节的搜索空间.理论分析和实验评估证明FCEMiner能够有效地发现事件序列上的频繁闭情节." 高精度混凝土裂缝宽度智能监测系统设计 为了能自动定时监测裂缝宽度及其变化,避免传统人工裂缝宽度监测方法耗时、耗力且人工读数误差大等缺点,设计了一种基于STC90C54AD与ELIS-1024的混凝土裂缝智能监测系统;采用单片机STC90C54AD作为处理器,利用CMOS图像传感器ELIS-1024对裂缝表面进行成像,通过对图像进行去噪、平滑、边缘识别后提取裂缝信息,进而计算裂缝的宽度;给出了整个系统的设计思路及相应的硬件电路图、图像处理算法等;通过实验验证,该系统稳定可靠、使用方便,精度高达0.004mm;适合用在桥梁、大坝、房屋、道路等混凝土裂缝宽度监测领域。 弱共变-逆变模拟的公理刻画 进程代数是并发理论研究的主流方向,是分析和描述并发与分布式系统的重要工具之一。模拟是进程代数中刻画精化关系的核心概念。共变-逆变模拟派生于通常的模拟关系,它区分动作的类型,直观上,表达了状态的行为数目越多但并不一定越好的事实。然而,该模拟关系忽略了可观测动作与内动作的区别。因此,给出一种弱共变-逆变模拟关系及其相应的公理刻画,并且建立了该公理系统的可靠性与基完备性,进而证明了该公理系统亦是ω-完备的。 模糊综合评判在人员绩效评价中的新式应用 当被评价对象较多时,模糊综合评判法的传统应用方式存在重复操作量大和二次处理较繁琐的问题.文中提出一种新式应用方法,通过建立模糊级别模型,将被评价对象集引入模糊综合评判的计算过程,从而可以直接对所有评价结果进行二次处理,得到最终需要的全体综合评价集.以某院校的教研评价为例进行试算,表明该方法能够通过一次多级模糊综合计算得到所有教师的评价排名,有效克服传统应用方式的弊端,具有较好的实用前景. 云计算环境下的信息资源云服务模式研究 云计算技术为进一步提升信息资源管理与利用创建了全新的理念。在深入探讨云计算技术及其应用特征的基础上,重点研究了基于云计算技术的信息资源即服务(Information Resource As A Service,IRaaS)的云服务体系架构,探讨了信息资源即服务的云服务模式。信息资源云服务模式研究扩展了云服务研究范围及其应用领域,为深入开展云服务相关研究做出了有益的尝试,并奠定了重要基础。 模具行业需求驱动的云制造服务平台 为研究云计算技术如何落地,如何促进工业化的问题,分析了我国模具行业存在的问题、需求及其原因,提出模具行业云制造服务平台、系统和关键技术。利用云计算技术支持模具零件库和知识库建设、企业诚信协同管理、协同设计和制造、协同维修服务和信息技术服务;将模具行业云制造服务平台的建设作为社会技术系统进行研究,建立多种形式的联盟,保证平台和系统的成功实施;面向模具价值链的全过程,帮助模具企业向服务业拓展,向产业上下游拓展。建立了模具行业云制造服务平台原型,提出了模具行业云制造服务平台的发展愿景和模式。 基于ARM9的GPRS图像传输系统的设计与实现 为了实现对特殊环境和对象图像信息的采集,设计了基于ARM9体系结构的S3C2410处理器的图像传输系统。采用嵌入式操作系统Linux,在QT/Embedded平台下完成终端应用程序开发,程序主要对USB摄像头进行图像采集,并且通过GPRS网络和服务器进行通讯。在LabWindows/CVI下编写PC端服务器程序采用多线程对多个终端客户进行图像传输处理。该系统完成了PC服务器和ARM终端之间的相互图像传输和显示等功能。野外实验测试结果表明了该系统的可靠性。 关于助老机器人路标定位优化仿真研究 针对助老机器人服务的特殊性,决定了其工作环境的开放性,而在开放环境中要求精确定位,保证助老机器人安全工作。影响机器人精确定位的因素主要是,未知环境下路标特征的提取易受环境影响,所建模型的噪声特性未知。为了提高助老机器人的精确定位,提出一种采用未知路标的多传感器信息融合的无迹卡尔曼滤波的定位方法,并采用改进的SageHusa自适应滤波算法对其未知噪声方差阵进行估计。仿真结果表明,在未知路标下,系统噪声曲线稳定控制在极小范围内,证明了Sage-Husa自适应UKF算法是有效性,为助老机器人的广泛使用提供了理论基础。 Web社区管理研究综述 随着互联网不断增长,对Web社区这种新的社会交流应用形式进行研究具有重要的意义,并引起人们广泛关注,但其理论研究仍然落后于实践。通过讨论Web社区的定义,对比分析国内外的研究现状,对Web社区管理的研究主要集中在建模、社区发现、用户交互和推荐等领域,其中社区发现和推荐研究充分利用了Web社区具有关系和协同的特点。总结相关研究成果,并从服务管理、声誉管理和社会搜索三个方面对未来的研究趋势进行了展望。 一种径向基函数神经网络预测在超临界温度控制系统中的应用研究 超临界温度控制系统具有较大的惯性、时滞和非线性,且动态特性随运行工况而改变,难以建立其精确的数学模型,本文采用GGAP算法的RBF神经网络构成神经网络预测控制器,将在线学习和预测控制相结合,以某超临界电厂主汽温度为研究对象,MATLAB仿真实验表明,该方法能对超临界温度控制系统实现有效的控制,动态性能较传统的PID控制有较大的提高。 插值FFT和滑动DTFT的科氏流量计信号处理方法 科氏流量计通过计算一次仪表输出的两路同频正弦信号的时间差来测量质量流量。实时精确估计两路信号的频率和相位差是科氏流量计数字信号处理的关键。针对科氏流量计实测信号,提出一种基于插值FFT和滑动DTFT的信号处理方法。利用插值FFT估计信号频率,通过计及负频率的改进滑动DTFT估计相位差,进而计算出时间差。方法兼顾了参数的估计精度和算法的计算效率,对比实验表明了该方法的有效性和优越性。 Spring框架在图形用户界面中的应用 将XML技术应用到图形用户界面描述中,给出了一种新的图形用户界面开发方法。通过运用Spring依赖注入特性,将界面组件各属性的设置由Spring配置文件完成,通过调用Java Swing组件库,从而产生基于Java Swing组件的图形用户界面。结论表明,将该方法应用于信息管理系统的开发中,能够降低界面设计编程工作量,并在一定程度上提高软件的开发效率和可维护能力。 气象计算网格模式预报系统的建立与优化 为了在气象部门内跨地域共享使用MM5模式系统,基于建成的气象计算网格平台,成功地接入了MM5模式系统。根据用户预报需求,设计了参数化和业务运行方案,建立了华中区域MM5数值预报应用系统。完成模式系统部署运行后,通过使用网格平台的资源调度和工作流引擎等工具手段,优化了后处理运行流程。运行分析表明网格平台上MM5模式系统的运行效率显著提高。 最小二乘支持向量机和证据理论融合的体育视频分类 针对单一特征的体育视频分类的正确率低和稳定性差等缺陷,提出一种最小二乘支持向量机(LSSVM)和证据理论相融合的体育视频分类模型(DS-LSSVM)。提取颜色、纹理、亮度、运动矢量场等4种反映体育视频类别特征,将4种单特征的LSSVM初步分类结果作为独立证据构造基本概率指派,运用DS组合规则进行决策级融合,根据分类判决门限给出最终的体育视频分类结果,最后进行仿真实验。结果表明,DS-LSSVM的体育视频分类正确率高达97.90%,相对于参比模型,DS-LSSVM具有体育视频分类正确率高、稳定性好等优势。 面向合作方协同设计的PDM架构 在企业业务模式由垂直集成向水平集成转换的趋势下,对企业原有的PDM系统进行升级,设计完成了合作方协同设计管理平台,构建了基于Web Services的系统架构来适应业务模式的改变,详细叙述了该系统的高层架构设计及服务的搭建. M3-SVM在帕金森疾病UPDRS分类中的应用 根据帕金森疾病对男女患者语音的影响不同这一现实依据,提出将性别这一先验知识融入到最小最大模块化支持向量机(M3-SVM)中,实现对统一帕金森评定量表(UPDRS)的分类。首先将性别作为先验知识,对UPDRS分类问题进行任务分解;其次,对经过任务分解后的训练样本集合运用支持向量机(SVM)进行训练得到基分类器;最后,通过MIN、MAX规则对所有基分类器的预测结果进行集成得出最终的分类结果。在两类及三类远程帕金森数据集的仿真实验中,基于性别划分得到的最好的F-measure值分别为80.19%和69.26%,与随机划分相比,分别提高了3.75%和5.19%,与超平面划分相比,分别提高了0.96%和4.15%。实验结果表明,使用性别划分能够更加准确地根据实际情况分解数据,基于性别划分的M3-SVM提高了UPDRS分类的准确率。 基于Otsu算法的木材缺陷图像分割 提出了基于Ostu算法的木材缺陷图像分割。该方法借助于二维中值滤波器对木材图像进行平滑处理,将平滑过的图像用Otsu算法确定图像分割阈值。实验结果表明,该方法可以有效地实现木材表面缺陷图像分割。 国家风能资源数据库的建立与应用 为有效管理并利用风能资源数据,建立基于GIS技术的国家风能资源数据库。给出数据库的总体流程,分析风能资源的数据来源,介绍风能资源调查数据的质量检查、风能资源专题图制作、风能资源储量估算等数据处理技术,采用Oracle9i数据库系统及ArcSDE数据空间引擎实现一体化存储管理。应用分析表明,该数据库为风能资源的管理与评价提供了技术平台。 基于优化目的的人机界面知识化表示方法 对一种新的人机界面操作和交互行为的知识化表示方法进行了介绍和分析。以语义网络为基础,通过对人在界面操作中的知识作业过程及交互行为进行分析研究,建立了一种带距离标识的基于面向对象的语义网络的界面知识化表示方法,提供了人机界面特征集定义、推理规则和交互描述模型。该表示方法从界面语义模型和心理语义模型的角度描述了交互,能够正确地描述人机界面的语义知识特征。并以手机短信界面为例说明了该方法的有效性和必要性。 基于局部学习的差分隐私集成特征选择算法 面对海量数据,特征选择在数据挖掘和机器学习领域上通常是不可或缺的一步。目前,机器学习安全领域受到了越来越多的关注,尤其是隐私保护方面。然而,对于隐私保护的特征选择仍然是一个比较新的课题,特别是与集成学习相关的集成特征选择。差分隐私是一种有着严格理论基础的隐私保护方法,因此提出了一种基于局部学习的差分隐私集成特征选择算法。该算法的主要思想是基于一种输出干扰策略,即向输出结果添加噪声从而保护隐私,而且该噪声依赖于原始算法的隐私度和敏感度。除了严格的理论证明之外,也从实验中展现了算法的性能。实验采用KNN和SVM作为分类器,分别分析了隐私度和特征数量的影响。结果显示随着隐私度的降低,提高了隐私保护程度。 外逆P-集合与数字图像的双信息伪装-还原及应用 将算子引入外逆P-集合的研究中,拓宽逆P-集合的应用领域。把外逆P-集合的理论应用于数字图像的信息伪装研究,建立了f信息伪装、g信息伪装、双信息伪装以及信息伪装度量的概念。给出了信息伪装度量性质定理、f信息伪装-还原定理、g信息伪装-还原定理以及数字图像的双信息伪装-还原定理。最后给出了应用实例。 基于历史车牌识别数据的套牌车并行检测方法 针对现有套牌车检测方法中所具有的成本高及检测效率低等缺点,提出一种基于历史车牌识别数据(ANPR)集的套牌车并行检测方法 TP-Finder,实现了基于整数划分的数据分块策略,能有效求解大规模数据并行处理时的数据倾斜问题,显著提升套牌车辆的发现性能。此外,实现了基于TP-Finder方法的套牌车辆查询系统,可准确呈现所有疑似套牌车辆的历史行车轨迹。最后,在某市真实交通数据集上对TP-Finder方法的性能进行了实验验证。实验结果表明,与缺省的MapReduce分块策略相比较,TP-Finder的分块策略能够带来最大20%的性能提升。 考虑时域约束的线性系统非脆弱H_∞控制 针对带有时域约束(包含控制输入约束、状态约束或两者的混合约束)的线性系统,在线性矩阵不等式(LMI)优化框架下,提出了一种非脆弱H∞状态反馈控制器设计方法。首先通过初始条件和外部干扰能量的假设确定一个能包含系统所有可能状态的固定椭圆域,然后得到控制器增益在一定范围内摄动情况下确保闭环系统满足时域约束的充分条件,进而转化为相应的矩阵不等式,详细地给出了推导过程。最终时域约束线性系统的非脆弱H∞控制问题可转化为求解多目标的LMI优化问题。将该方法用于质量-弹簧-阻尼系统的干扰抑制控制。仿真实验结果表明:利用该方法设计的控制器能够在满足时域约束的条件下,提高闭环系统对控制器增益摄动的鲁棒性。 尺度自适应的SAR图像显著性检测方法 人类视觉系统能够通过对场景中感兴趣的不同事物进行显著性检测,有效地配置处理资源。基于视觉注意机制的显著性检测方法能够简化遥感影像场景分析、目标解译的复杂程度,节省处理资源。以视觉注意机制为基础,提出了一种尺度自适应的SAR图像显著性检测方法,通过不同尺度下的局部复杂度和自差异性来度量图像的显著性测度,设计显著性尺度确定算法以及融合显著性尺度和显著性测度以生成显著图,完成显著性检测的流程。实验结果表明该方法能够有效应用于SAR图像显著性检测,较之其他主流显著区域检测算法更适用于SAR图像场景分析。 局部统计不相关非线性鉴别变换 子空间学习方法是研究人脸识别问题的经典算法。然而,在实际中,人脸图像通常是高维线性不可分的,此时线性方法很难提取出更有效的特征;同时,人脸图像不满足理想的高斯分布,而传统的统计不相关方法使用所有样本的均值来估计期望,这种估计方法在样本数很少的情况下会出现较大的偏差。为了解决上述问题,文中提出了局部统计不相关非线性鉴别变换(LUNLDT)方法。该方法在核空间中,通过改良的统计不相关约束,迭代地求解出最优的鉴别向量集。AR人脸库和CAS-PEAL人脸库的实验结果验证了所提算法的有效性。 一种改进型Min-Min调度算法 依据经典Min-Min调度算法,针对网格任务本身以及资源的属性,采取了具体化属性参数的方法,选取具有代表性的相关属性,设计了约束函数和优先权模型,从不同角度改进算法,提出了P-Min-Min调度算法。将调度算法仿真结果中的若干数据进行了系统的比较和分析,从而验证了改进的调度算法在解决类似问题的有效性。 分支嵌套循环的自动并行化研究 GCC编译器是一种受广大研究者青睐的开源优化编译器,但它仅仅能够对完美嵌套循环进行依赖分析。为了更好地挖掘嵌套循环粗粒度的并行,深入研究了GCC5.1数据依赖分析过程,提出了一种能够处理分支嵌套循环的依赖测试方法。首先识别出分支嵌套循环,然后分析数组下标与分支嵌套循环外层索引变量的关系,最后计算出外层循环索引变量的距离向量,并通过检测距离向量判断循环是否存在依赖。实验结果表明,该方法能够正确、有效地分析出分支嵌套循环的依赖关系。 基于DBMS的元数据管理策略 海量存储系统的元数据一般采用层次结构或哈希法来管理,存在元数据修改和查询目录等操作所需时间和空间开销大等问题,严重影响了系统的性能。通过引入二维表保存元数据信息,提出了一种基于DBMS的新型元数据管理策略。分析了将基于DBMS元数据管理策略用于管理海量存储系统中的元数据信息时,所需的时空开销以及管理元数据的灵活性。验证了基于DBMS元数据管理策略能有效地减少查询和更新所需的时空开销,实现高效、灵活的元数据管理功能,从而有效地提高海量存储系统的性能。 一种基于细粒度污点分析的逆向平台 分析对比了现有的行为分析系统的优缺点,针对逆向分析过程中所遇到的代码保护技术等困难,研究并改进了指令级的动态污点分析技术。利用虚拟化技术,设计了一套具有通用性的逆向分析平台。研究插件结构实现可扩展性,以适应漏洞挖掘、恶意行为检测等领域的应用。经实验测试,该设计能与常规的逆向手段配合,显著地提高分析的效率。 基于OSG的混合系统三维仿真技术的研究与实现 在连续/离散混合系统的物流生产过程中,利用OSG(Open Scene Graph)开源软件包实现虚拟车间物流生产过程的三维仿真。为了开发一个性能良好的虚拟仿真系统,建立了合理的虚拟对象体系,解决了场景建模时的模型对象和三维仿真时的仿真对象的关系,使得整个运行过程效率更高。最后将离散事件与连续系统有效结合,在仿真时钟推进机制和基于消息的事件调度的控制下,实现了新的混合系统的三维仿真。 一种协同定位算法在智能照明系统中的应用 灯具是智能照明系统的控制对象,而控制灯具的前提是找到灯具的位置;针对灯具的定位问题,提出了一种基于加权质心和泰勒级数展开的协同定位算法;为使定位更加稳定与精确,该算法利用加权质心算法对未知节点进行初始定位,再将其作为泰勒级数展开算法的初值,精确估计未知节点的位置;仿真结果表明,与传统的加权质心算法相比,该协同定位算法收敛性好,定位精度高,最大定位误差小于1.8m,平均定位误差可以达到0.7m;这种定位算法应用于智能照明控制系统中,有利于精确地对灯节点进行控制。 一种全概率联合远程制备四粒子cluster类态的方案 为了解决四粒子cluster类态的联合远程制备问题,提出了一种新的制备方法。采用两个GreenbergerHorne-Zeilinger(GHZ)态作为量子信道,每个制备者只需依次执行2×2维Hilbert空间二粒子投影测量。在接收端,接收者将对手中两个粒子进行某种酉操作,并分别对两个辅助粒子进行受控非门操作,从而最终获取需制备的cluster类态。经分析,该协议可实现全概率制备,即Psuc=1,并且效率比同类协议更高,因而更加经济、实用。 道路交通流多步预测流量控制建模研究 对道路交通流多步预测流量控制进行研究,解决了传统模型只反映交通流的部分信息,且预测精度较低的问题。结合交通流量数据的周期性特征,提出了一种根据PCA周期分量提取的交通流多步预测模型。上述模型对历史交通流采用循环移位方法构造出样本矩阵,对其进行主分量分析和重构,提取出占交通流绝大部分能量的周期分量,对交通流的非周期分量进行奇异值分解和滤波,保障了交通流量多步预测的精度。上述模型不需要考虑路网复杂的拓扑结构和时空关系,仅根据交通流历史流量,即可实现多步预测。仿真结果表明,改进速路交通流进行有效的多步预测,且具有较高的预测精度。 三相全桥电路中功率MOS管失效原因分析 文中针对某风扇电机驱动电路高故障率的现象进行研究,并对其中三相全桥电路的失效原因进行分析,通过对失效现象的观察,着重对电路中的功率MOS管的失效原因进行分析。采用示波器观察波形的方法,首先采取对电路进行负载测试,以此来确保电路设计的正确性;然后对电路进行高压测试,模拟失效现象,分析其产生失效的原因。通过实验验证,提出了相应的解决办法,为工程人员提供了一种分析MOS管失效原因的参考方法。该方法适用于工程中大量使用的功率器件失效的疑难分析。最后,分别从硬件电路的设计以及软件的设计上提出了改进的方法。 批处理机调度问题的蚁群算法求解 为了求解工件具有不同尺寸的批处理机调度问题,将蚁群算法调整为工件直接成批的调度算法,并提出了一个新的局部优化算法对蚁群算法进行改进。最后通过仿真实验将本算法与其它算法对本问题的求解进行了比较,表明该算法在求解批调度问题上有较好的性能。 基于多特征距离学习的视频分类 视频分类在视频检索、内容分析等应用领域具有十分重要的意义。多模态视频特征,如音频、静态图像及视频动作特征等都已经应用于视频分类中,因此如何对多种视频特征进行最佳组合来改善视频分类的性能成为了一个重要研究课题。提出一种基于L1正则化的距离学习方法,对利用多种特征组合提高视频语义标注性能的问题进行研究。由于引入一阶范数正则项,使得模型拥有选取多种视频特征进行最优组合的能力。该方法在通用的Columbia Consumer Video(CCV)视频数据集上显著提高了视频分类的性能。 小型全向移动安检机器人行为控制系统结构设计 "提出了一种小巧、便携的移动式安检机器人系统(MIRS),用于自主/半自主地执行停车场车辆排查任务;其硬件结构特点一是配有三个速度和方向都可以独立地加以控制的全向轮;二是由多处理器和多传感器阵列组成的机器人电子系统;软件方面使用新颖的参数化指令语言以实现机器人智能行为,其控制系统的核心特征是一种能够将传感器数据识别成模型库中特定模型、进而在任务执行时在线生成运动/行为控制指令参数的""物体识别系统"",从而实现不确定环境下的适应性行为;实验结果证明,集成上述软/硬件体系的MIRS能够在线识别停车场常见物体,具有不确定环境下的智能行为能力。" 基于柯西变异的果蝇优化算法 针对果蝇优化算法在寻优过程中易陷入局部极值点的不足,提出一种基于柯西变异的果蝇优化算法.当算法陷入局部最优时采用柯西变异策略,更新果蝇群体位置,使算法继续迭代寻找全局极值.通过六个基准函数对算法性能进行测试,实验结果表明基于柯西变异的果蝇优化算法在收敛速度和收敛精度都有较大提高. 综合模块化航空电子分区配置启动机制 "为了解决综合模块化航空电子(IMA)系统资源分配问题并明确分区启动时间,以VxWorks 653分区操作系统为研究实例,针对分区配置和启动过程采用统一建模语言(UML)建立了包括类图和时序图在内的模型。该模型深入地分析了分区配置和启动机制,说明了分区配置在资源分配以及操作系统编译和分区初始化过程中的作用,阐述了分区""冷启动""和""热启动""模式的差别,并建立了实验平台测试两种启动模式的启动时间,测试结果显示分区冷启动和热启动的时间分别为148 ms和8.5 ms,进而提出了这两种分区启动模式的应用场景以及分区配置和应用程序软件启动初始化设计的策略。例如在建立分区主时间框架和健康监控策略过程中应确定分区启动的方式并充分考虑分区启动时间,这些设计策略也适用于其他高安全性应用环境中分区系统的设计。" 分数阶对偶Burger方程的精确解 将分数阶复变换方法和tanh函数方法相结合,得到了一种用来求解时-空分数阶非线性微分方程精确解的复变换-tanh函数方法。借助于软件Mathematica的符号计算功能,使用该方法求解了分数阶对偶Burger方程,得到了分数阶对偶Burger方程的新的精确解。 云计算环境中能效评估方法 云时代的到来使得数据中心的高能耗问题愈发严重,如何实现高性能、低功耗且具有Qo S保障的高能效云环境已经成为研究热点.论文对能效的基本概念进行了阐述,并对能效的处理模式包括计算模型和测量方法以及基准测试进行了归纳总结,在此基础上对能效的关键技术进行深入的分析,最后归纳总结了高能效云环境面临的问题和挑战. 一种女书曲线轮廓字形自动生成方法 针对点阵字形存储量大、缩放字形质量难以保证的缺点,对将女书点阵字形自动转换成曲线轮廓字形的方法进行了研究。首先提取女书轮廓数据;然后提出了一种基于最大误差值的特征点提取方法;最后用直线和二次Bezier曲线拟合字形轮廓段,存储曲线轮廓数据,从而得到用曲线描述的女书字形。实验结果表明,该方法计算简单,大大减少了存储空间,拟合出来的曲线和原字形轮廓一致,同时也消除了因手写而造成的毛刺现象,为在计算机中处理女书奠定了基础。 基于DSP和ARM的自适应振动信号采集系统 本文提出并设计了一种能够实现增益自适应功能的振动信号采集系统,该系统采用数字信号处理器(DSP)及嵌入式处理器(ARM)。该系统特点是能够根据被测信号的幅值变化自动调节放大器的增益,因而使得系统具有较广泛的适应性。同时利用SPI接口进行DSP与ARM之间的通信和数据传输,实现了高动态范围振动信号的高速采集。 一种斩波式异步串行通信接口的设计 "研究一种斩波式串行通信接口;针对主从测控系统下位机现场供电不便的问题,设计一种馈电与数据共线的斩波式异步串行通信接口,由上位机向下位机馈电,同时接口利用晶体管饱和阶段将馈电线电压强行拉低至一定值实现""0""信号的传输,截止阶段馈电线电压恢复为较高值实现""1""信号的传输,从而实现在馈电线上同时传输数据;使用Proteus软件仿真测试,结果显示当上位机向下位机提供80mA直流时能可靠传输0.6k字节的批量数据,提供50mA直流时能可靠传输2k字节的批量数据;该接口在某电力部门远程测控系统中试用,并经模拟雷电干扰试验,证明下位机馈电正常、数据传输可靠、抗干扰能力强,具有较强实用性。" 基于K型热电偶的测温装置设计 工业现场的温度检测大多以K型热电偶为传感器测量高温,针对热电偶测量温度存在线性度差,测量误差大等问题。现采用K型热电偶,高度集成的ADC转换芯片ADS1148,LM35温度传感器设计一种以STM32微控制器为主控芯片的高精度测温装置。通过分段线性拟合,LM35温度传感器进行冷端补偿等方法,使该测温装置-50℃~500℃范围内测量误差为±0.1℃。ADS1148芯片内部包含增益PGA,恒流源,滤波等降低了该装置的复杂性。该测量装置具有线路简单,测量方便等特点。 无人机飞行仿真平台控制系统设计 为了便于在实验室模拟无人机在空中的偏航、俯仰、翻滚3个自由度的飞行姿态,设计了一套用于验证飞行控制系统的三轴转台半物理仿真系统;给出了系统的硬件结构和控制策略;转台系统采用TMS320LF2407作为核心处理器并采用旋转变压器作为反馈环节组成位置和速度的闭环控制;为了提高传统PID的控制精度,提出了一种速度、加速度前馈补偿PID控制方法,有效解决了位置随动系统的快速性和准确性这一矛盾;实验运行结果表明,该平台运行良好,控制精度高,能较好实现对无人机飞行姿态的模拟。 动态分配模式下的宽带频谱感知 频谱感知是认知无线电的一项关键技术,其能够检测出未被主用户占用的频谱空穴,提高频谱利用率。提出两种宽带感知方法——矩形谱感知法和谱相关感知法,分别适用于已知主用户带宽和主用户功率谱(PSD)的情况。不同于以往将宽带频谱感知转换为窄带频谱感知的方法,利用主用户先验PSD在宽带范围内直接搜索主用户信号。该方法不需要带宽划分,直接在宽带内进行检测,对主用户信号PSD没有特殊要求,主用户信号可以随机出现在所检测的带宽范围内。仿真结果表明,由于谱相关法比矩形谱法利用了更多的先验信息,其检测性能优于矩形谱法,主用户载波估计更为准确。 大规模社交网络社区发现及可视化算法 针对现有社区发现算法存在社区质量不满足图可视化要求和算法效率低的问题,提出一种改进的启发式社区发现算法.该算法基于模块度优化,通过结合预先选取种子节点的方法,抑制算法中大社区的过度合并,同时及时合并小的社区;然后针对力导引布局算法存在社区结构不明显和布局效率低问题,提出一种展示大规模社区结构的社区布局算法,通过引入社区引力促使同一社区中的节点聚拢,优化了社区引力建模,简化了布局算法步骤.实验结果表明,文中算法能够清晰、高效地展示大规模社交网络数据. 梯度与曲率变形力相结合的图像配准方法——大形变非刚性图像配准 Active Demons算法是Demons算法的改进形式,其将形变配准视作扩散问题,利用牛顿作用力与反作用力思想,仅依靠梯度信息确定浮动图像的位移,在处理大形变配准问题时存在配准精度不高的弊病。将等照度线曲率作为一个控制形变的驱动力因素引入Active Demons扩散方程,建立了一个具有梯度与曲率双重驱动力相结合的非线性扩散模型(Active G&C model),并在Active G&C模型应用于大形变图像配准的算法实现过程中加入多分辨率策略,以提高大形变图像的配准精度。实验结果表明,这一模型较经典的Active Demons算法具有更好的配准性能。 一种基于改进人工蜂群算法的机器人实时路径规划方法 在移动机器人控制领域,路径规划是最重要并具有挑战性的问题之一。本文首先采用栅格法对环境进行建模,然后提出一种改进的人工蜂群算法用于机器人的路径规划。在该算法中,为了提高人工蜂群算法的收敛速度,提出自适应的搜索方式,并采用精英保留选择策略以避免机器人路径规划陷入局部最优。仿真实验结果表明,所提算法在机器人路径规划上的可行性与有效性。 嵌入式图形用户界面系统的设计与实现 针对GUI开发方式存在规范化和标准化程度低,代码复用性差等问题,提出了一种轻量级嵌入式图形用户界面LIGUI(lightweight embedded GUI)系统。系统采用分层结构和模块化设计思想,通过输入输出抽象层屏蔽底层设备的不一致,采用双向链表组织窗口结构,在应用接口层提供配置选项,支持系统裁剪和扩展。实际应用表明,该系统占用资源少,适用于低端配置的应用环境、系统可移植、易裁剪和配置,可以满足嵌入式环境应用需求。 数字图像DCT变换的FPGA实现 图像DCT变换由于计算量大,软件实现往往难以满足实时处理的要求,基于FPGA在硬件上实现了图像的DCT变换。设计采用了2D-DCT的行列分解结构,在两级1D-DCT之间引入双RAM结构,通过乒乓操作保证了前后级DCT运算的并行性,提高了运算速度。整个模块使用Verilog HDL建模,通过ModelSim编写激励对逻辑功能进行了验证,最后在Quartus Ⅱ上通过了综合编译,设计优化后下载到Altera EP2C70F896C6芯片上进行实现。结果显示,该模块功能结构正确,可作为一个独立单元集成在图像的实时处理系统中。 射频通信信号传输精度优化处理仿真研究 对射频通信信号传输精度进行优化,可以有效削弱射频通信非平稳信号的影响。对通信信号传输精度的优化,需要对信号进行分层处理,然后对信号进行小波滤波,完成对通信信号传输精度的优化。传统方法组建信号尖端点的隶属度函数,得到各个信号点的尖端程度,但忽略了对信号进行小波滤波,导致传输精度优化效果不理想提出基于平滑先验的射频通信信号传输精度优化方法。结合平滑先验理论组建射频通信信号观测模型,估计原射频通信信号中的趋势项,并结合射频通信信号中趋势项的频率范围,得出标准化参数取值,利用多分辨率分析对消除趋势项的信号进行分层处理,对射频通信信号进行小波滤波,以此为依据完成对射频通信信号传输精度优化。实验结果表明,所提方法能够有效提高射频通信信号传输精度。 基于词序统计组合的中文文本关键词提取技术 为进一步改善关键词提取的效果,提出一种基于词序统计组合的关键词提取方法。通过词序统计、词性标注、停用词过滤、词语组合等步骤,实现短语或组合词的生成和候选关键词的过滤;通过其它特征项的引入,进一步提高最终提取关键词的准确度。实验结果表明,该方法对中文文本的关键词提取具有良好的效果。 增量式目标信息系统的分布约简算法 知识约简是粗糙集理论中的重要研究内容之一。由于静态分布约简算法不适应处理快速增长的信息系统,时间开销过大。通过研究新增对象对于信息系统中划分的影响,以划分为桥梁,讨论对象与分布约简的理论关系。基于已有的分布约简算法,提出增量式目标信息系统的分布约简算法,使其能够将原有知识和新增数据相结合,快速获取分布约简。使用UCI数据库中的数据集对算法进行测试,验证了该增量式算法的有效性和可行性。 面向大坝变形监测的时空一体化预测算法 大坝变形的时空演变预测分析有助于大坝管理人员及时掌握大坝空间的整体变形状态。目前,大坝变形预测研究分为两个方面:1)通过仅对分布变形仪器部位进行时间序列预测,得出下一时刻的变形值(如BP神经网络);2)利用周围变形数据进行空间插值,得到当前时刻未分布仪器点的变形值。单独使用上述任何一种方法都无法利用历史变形数据预测下一时刻未分布仪器部位的变形状况。针对该问题,结合空间预测模型时空克里金方法(STKriging,STK)与神经网络模型即BP神经网络及门限循环神经网络(Gated Recurrent Unit,GRU)各自的优势,构造了一种新型时空序列预测算法(BP-STK-GRU),实现了对未分布监测仪器部位的变形值预测。主要步骤包括:1)GRU优化单个测点的历史时间序列变形值;2)BP拟合测点下一时刻数据的整体趋势;3)利用STK拟合BP预测结果的稳定部分;4)结合空间插值及BP空间整体预测值,得出未分布仪器点的变形值。实验结果表明,所提方法是有效的,并且在对未知点的变形预测稳定性及精确度方面都有很好的表现。 基于拦截器和标签模式的网站认证权限系统实现 阐述了在Web中多网络应用系统下开发网站认证权限系统的思想和主要技术,并根据实际经验,对拦截器和标签的设计进行了详细论述,旨在帮助开发者对设计网站认证权限系统有个整体认识,并对实际开发有所帮助。 基于深度学习的显著性检测方法模型——SCS 提出一种基于深度学习的图像显著性区域检测方法,该方法对2种视觉注意机制所涉及的低级对比特征和高级语义特征分别进行提取,并结合2类特征进行模型训练最终得到基于分类思想的图像显著性区域检测模型——SCS检测模型。通过对比实验得出:该方法训练得到的检测模型在检测准确度上具有显著的优势。 基于ARM9的车载信息收发平台的硬件设计 移动车载设备已经广泛应用于现有的公交体系中,以辅助智能公交系统的运行;针对现有车载设备信息采集方式的局限性,介绍了一种新的车载信息收集解决方案,以配合现有的车载设备搭建一个更加完善的车载信息收发平台;主要介绍一种车载信息收发平台的硬件设计,其中包括平台电源分配设计、电池设计、UART口扩展、电源隔离设计以及阻抗匹配设计等,也给出了部分最终硬件平台的调试结果;经测试,各个电源降压模块工作稳定,GPS信号接收能力较强。 面向RTX操作系统的GUI中间件研究与实现 在嵌入式软件设计中,使用嵌入式实时操作系统RTX设计GUI应用程序时,应用程序完成的工作量往往较大,且程序跨平台性较差;针对这一情况,设计了一款轻型的面向RTX操作系统设计GUI中间件;中间件位于RTX操作系统和GUI应用程序之间,采用分层结构设计;底层的图形抽象层及输入抽象层使程序具有较好的可移植性,上面的图形设备接口层及窗体管理层为上层应用程序设计提供了API编程接口,大大减少了应用程序的工作量;最后结合RTX操作系统介绍了该GUI中间件在呼吸机界面中应用。 基于Arnold变换和图像融合的数字图像隐藏算法 针对传统Arnold反变换需要先计算出变换周期和恢复图像时间较长的弱点,提出了快速Arnold反变换方法,该方法利用6个方程组求解得到.实验证明该方法可行、效果好,大大节省了恢复原始图像的时间,提高了传统Arnold算法的效率,同时具有良好的鲁棒性. 一种基于虚拟集合的权值数据确定方法 在层次分析法的基础上,通过构造虚拟集合来降低层次因素数量对权值数据确定过程的影响,进而减少权值数据确定过程对专家经验的依赖,提出了一种基于虚拟集合的权值数据确定方法,为仿真系统有效性评估提供了一种新的途径和思路。 基于稀疏表示和支持向量机的人脸识别算法 随着人脸识别技术的发展和应用,现今人脸识别的方法也趋于多样化,其中基于稀疏表示分类(SRC)的人脸识别方法是随着压缩感知理论兴起而诞生的一种全局线性方法。在先前研究的基础上,文中提出用正交匹配追踪法(OMP)代替梯度投影法(GPSR)来求解稀疏表示模型,通过设置稀疏阈值来控制稀疏系数的稀疏度,消除了非零系数出现在非样本所在类的现象。此外,基于SRC的人脸识别的识别准则是重构残差最小,对于一个测试样本,需要计算其与其他每一个样本的相似度,识别效率低。针对这个缺点,提出将多分类支持向量机作为最后分类的工具,在ORL人脸库上进行了实验验证,结果表明,该方法可以提高人脸识别的速度和准确率。 基于基因表达式编程的规则分类 为了提高基于规则的分类法中挖掘规则的效率,提出了将基因表达式编程用于挖掘规则的分类方法。针对规则分类问题,设计出了一种新形式的染色体终端符号,引入规则的正确率作为适应度函数度量;将适应度由高到低排序,建立备选规则集;通过使用基因表达式编程挖掘Monk与Acute Inflammations中的规则,利用挖掘出的规则对数据集进行分类。实验结果表明了基于基因表达式编程的挖掘规则分类算法的准确率会高于传统分类算法。 基于TAM分组策略的SoC测试多目标优化设计 在片上系统芯片(System-on-Chip,SoC)测试优化技术的研究中,测试时间和测试功耗是相互影响相互制约的两个因素.在基于测试访问机制(Test Access Mechanism,TAM)分组策略的基础上,以测试时间和测试功耗为目标建立了联合优化模型,运用多目标遗传算法对模型进行求解.以ITC’02标准电路中的p93791电路为实例进行验证,表明此方法能够在测试时间和测试功耗的优化上获得较理想的解,且能提高TAM通道的利用率. 异构环境下语义Web服务发现算法研究 随着网络上越来越多种类各异的Web服务,如何对其进行有效发现是实现动态Web服务组合的关键.本文研究了异构环境下服务发现语义信息的匹配问题,利用领域本体解决了异构数据的综合利用与共享,并通过实验表明了本文提出方法的有效性. 基于混合差分进化的混排Flow-shop分批优化调度 考虑到实际环境中的订单批量性,研究Flow-shop制造过程分批优化调度。针对制造过程中不同产品所含批量之间允许交叉生产的情况,考虑传输批量大小约束,建立了问题模型。基于分批生产策略设计了一种混合差分进化算法来优化确定批量划分和排序优化。该算法采用两级染色体编码,对划分染色体和排序染色体分别采用前面所设计的进化过程。为了进一步缩短完工时间,在算法解码过程中基于分批传输策略进行二次划分,得到小传输子批。通过实验仿真对所提方法进行比较分析,验证了所设计划分方法的有效性以及算法的优化性能。 基于改进遗传算法的图像边缘特征提取 遗传算法作为一种实用、稳健的优化搜索算法,已经渗透到许多学科及工程领域,在数字图像处理中的应用亦日趋广泛。为了能够快速有效地提取出图像的边缘,对遗传算法的选择、交叉和变异算子分别进行了改进,并将其应用到图像边缘特征提取中。实验结果表明,改进遗传算法在保证有效地提取出边缘的基础上,提高了收敛性能,是一种有效的、可靠的优化算法,具有一定的实用价值。 选择性自适应水平集演化模型 自适应距离保持水平集演化模型是在无需初始化模型基础上引入了可变权系数,从而很好地摆脱了演化曲线对初始位置的依赖。该模型存在着一些明显的不足:一是对噪声比较敏感;二是对灰度不均图像分割不准确。基于自适应距离保持水平集演化模型,引入了一个新的可变权系数,据此定义了一个新的边缘停止函数。实验表明,新的自适应距离保持水平集演化模型较好地克服上述两点不足。 乙炔基双二茂铁丙烷衍生物的密度泛函理论研究 为揭示取代基对金属有机化合物乙炔基双二茂铁丙烷电子结构的影响,采用密度泛函理论方法模拟计算了乙炔基双二茂铁丙烷及其2个衍生物苯炔基双二茂铁丙烷及二茂铁炔基双二茂铁丙烷的电子结构、前线轨道、电离能(IP)以及电子亲和势(EA),并讨论了取代基对化合物能级和能隙的影响。计算结果表明,电子在基态与激发态间的跃迁,主要是发生在双二茂铁丙烷和乙炔基苯或乙炔基二茂铁之间。取代基为苯基时化合物最高占据轨道(HOMO)能级降低量小于最低空轨道(LUMO)能级降低量,因此二者之间的能隙总体降低。取代基为二茂铁基时化合物HOMO能级增加,LUMO能级降低,从而使HOMO-LUMO能隙降低。结合化合物6-苯炔基双二茂铁丙烷电子亲和势最大以及化合物6-二茂铁炔基双二茂铁丙烷电离能最小,可见苯基取代使炔基双二茂铁丙烷类化合物电子传输能力增强,而二茂铁基取代则可以使该类化合物的空穴传输能力增强,这使炔基双二茂铁丙烷类化合物在功能导电材料方面具有较好的应用前景。 脑电信号中眼电伪迹自动识别与去除方法研究 传统盲源分离算法消除眼电伪迹须用到两个眼电信号作为参考,但在采集眼电信号时易给被试带来不适产生噪声,且识别时需要人为辨别,为了解决这些问题,提出一种基于FastICA的眼电伪迹自动去除方法。该方法先计算出FastICA提取出的各独立成分与GFP(Global Field Power)值的相关系数,再比较相关系数,将其绝对值最大所对应的独立成分识别为眼电伪迹独立成分,最后把该独立成分置零重构干净的脑电信号,实现眼电伪迹的自动去除。通过自采的30例脑电数据实验结果表明:该方法能完全自动地去除眼电伪迹成分并有效保留其他脑电成分,且快速准确,适用于实时场合。 基于弧不相交着色树的多链路故障恢复方法 为实现网络多链路故障快速恢复,提出一种基于弧不相交着色树重路由的恢复方法。通过改进着色树机制,建立弧不相交着色树生成模型,提出一种改进的布谷鸟算法,引入动态调整步长操作降低陷入局部极值的概率,优化着色树求解。仿真实验表明,该方法不仅能够实现网络多链路故障的完全覆盖,还可获得较短的恢复路径。 基于空洞填补的虚拟视点绘制 在虚拟视点绘制过程中,参考视点中被前景物体遮挡的区域在虚拟视点中会变得可见,该区域由于缺少图像信息容易产生空洞。为此,提出一种基于背景识别的空洞填补算法。采用局部阈值分割与加权平均的结构张量,改进优先级计算的方法,利用深度图与最大化类间方差算法,给出新的源空间识别方法,以解决相对背景的识别问题。实验结果表明,与基于样本的图像修复算法及其改进算法相比,该算法可以较好地解决空洞问题,并完成虚拟视点绘制。 基于局部聚类的特征匹配筛选算法 特征匹配是图像拼接中的关键步骤之一,基于最邻近与次邻近欧氏距离比值的匹配算法往往存在大量的误匹配,好的筛选算法可以降低误匹配率提高处理效率,因此对于此类算法的研究具有重要意义.早期的RANSAC算法是一种被广泛使用筛选算法,但其存在迭代次数不确定,对BA过程不友好等缺陷.本文提出了一种全新的基于局部聚类思想的匹配筛选算法(LCMF).利用SURF和ORB提取特征点,使用最邻近算法进行匹配,之后利用LCMF算法进行筛选,实验表明,在使用ORB特征提取时,该算法可以获得较好的筛选效果. 基于HHT的人员脚步信号识别算法 针对地面环境监控中的人员脚步信号识别问题,提出一种基于希尔伯特-黄变换的识别算法。利用经验模态分解方法将探测得到的震动信号分解成若干个固有模态函数分量,找出各分量在频谱上的奇异点,通过对这些奇异点的希尔伯特谱进行判定,实现对人员脚步信号的识别。实验结果证明,该算法具有较高的正确识别率。 一种利用近邻和信息熵的主动文本标注方法 由于大规模标注文本数据费时费力,利用少量标注样本和大量未标注样本的半监督文本分类发展迅速.在半监督文本分类中,少量标注样本主要用来初始化分类模型,其合理性将影响最终分类模型的性能.为了使标注样本尽可能吻合原始数据的分布,提出一种避开选择已标注样本的K近邻来抽取下一组候选标注样本的方法,使得分布在不同区域的样本有更多的标注机会.在此基础上,为了获得更多的类别信息,在候选标注样本中选择信息熵最大的样本作为最终的标注样本.真实文本数据上的实验表明了提出方法的有效性. 一种改进的无可信中心的自认证多代理签密方案 针对一种无可信中心的自认证多代理签密方案进行攻击,指出原方案中签密组内成员可以利用自身掌握的信息伪造出合法新消息,从而不具有其声称的不可伪造性。接着提出新的改进方法,利用有限域上离散对数问题的难解性,在会话加密过程中加入发送者私有数据。除了原方案一些安全特性外,经过分析,新方案具有不可伪造性、抗滥用性和不可否认性等优点。 事件触发关键级提升的实时任务可调度性分析 混合关键级(mixed criticality,MC)系统能够同时保证高效地资源利用与高关键任务的正确执行.当前,对混合关键级系统的研究多认为,从低关键级提升到高关键级的时机是高关键级任务执行超过其低关键级模式下时间预算的时刻.但在实际应用的嵌入式系统中,关键级模式的提升是由诸如所处环境变化、控制切换等系统外部事件触发的,即关键级的提升可能发生在任务执行过程中的任何时刻.在单处理器平台上,针对使用固定优先级调度策略的周期任务集,当外部事件触发关键级提升后,基于响应时间分析得出了可调度高关键级任务的必要条件;并对关键级提升后,高关键级任务可能通过优先级交换满足截止时限的条件进行了分析,得出了相应的优先级交换算法.仿真实验验证了事件触发关键级提升时高关键级任务的可调度性及优先级交换算法的有效性. 基于噪声分类的双边滤波点云去噪算法 针对三维点云数据模型在去噪光顺中存在不同尺度噪声的问题,提出一种基于噪声分类的双边滤波点云去噪算法。该算法首先将噪声细分为大尺度和小尺度噪声,并使用统计滤波结合半径滤波对大尺度噪声进行去除;然后对三维点云数据进行曲率估计,并对现有点云双边滤波进行改进,增强其鲁棒性和保特征性;最后使用改进的双边滤波对小尺度噪声进行光顺,实现三维点云数据模型的去噪、光顺。与单独使用双边滤波、Fleishman双边滤波相比,改进算法在三维点云数据模型光顺平均误差指标上分别降低了50.53%和21.67%。实验结果表明,该改进算法对噪声进行尺度的细分既提高了计算效率,又避免了过光顺和细节失真,较好地保持模型中的几何特征。 基于笔式交互的农产品信息采集系统的研究与设计 在传统的农产品信息采集与发布过程中用户通常只能使用人工采集,之后二次录入计算机的方式,造成了资源的浪费;采用基于WIMP交互范式的界面软件分散了用户的注意力,给用户带来认知负担。针对此问题,本文基于笔式交互技术中的PGIS交互范式,结合笔式电子表单技术设计并实现农产品信息采集与发布系统。该系统有效地结合了笔交互自然、高效的特点和计算机强大的处理能力,具有较好的应用前景。 异构网的QoS参数映射模型和映射方法 针对异构融合网络中不同网络的Qo S和映射问题,解决分类和映射方法是提出Qo S参数映射模型。在充分研究现有异构网Qo S参数映射方法的基础上,提出了Qo S参数映射三维模型(Three-dimensional Model for Qo S Parameter Mapping,Qo S-TMPM),模型采用颗粒度适中、区分度较大的Qo S分类关键参数进行搭建。同时,基于Qo S参数映射三维模型的Qo S参数映射方法能顺利地完成异构网络间的Qo S参数映射,仿真结果表明Qo S参数模型和参数映射方法能改善Qo S保证服务,从而提高用户的业务体验质量。 一种基于光照变化补偿的颜色识别方法 针对RoboCup中型组足球机器人比赛中光照变化会使颜色发生色彩漂移,影响颜色识别的准确性问题,提出一种基于光照变化补偿的颜色识别方法。该方法首先利用球的历史信息预测球的位置,然后在较小的区域内用基于色调直方图反向投影的方法找到球后,通过球的亮度直方图的变化计算出光照变化率,用于动态补偿颜色查找表。实验表明该方法能提高颜色识别的光照自适应性。 多投影机非平面自动几何校正 非平面投影以其高度的沉浸感、多样的表现形式和灵活的场景布局,近年来越来越多地应用在虚拟现实、数字娱乐、展览展示等领域。非平面投影与平面投影相比,由于涉及到投影机位姿标定以及失真图像校正等问题,实现难度较高。目前的投影校正方法虽然可以通过重建或者非重建方法实现非平面屏幕投影的几何校正,但是它们多少都存在成本高昂,步骤复杂,需要人工干预,适用面窄等问题。针对非平面屏幕中最常使用的柱幕面型,提出一种非重建的多投影机投影几何校正方法,此方法可自动实现柱幕环境的几何投影校正,并且可对柱幕在加工中引入的倾斜、表面起伏等外形偏差予以修正,实现了复杂柱幕环境下多投影机高精度、短时间投影几何校正。提出的方法不仅适用于柱幕,还可扩展应用于其他可参数化描述的面型,如球面、双曲面、抛物面等面型的投影环境,具有广泛的适用性。 非线性系统的PID控制器的研究与设计 研究工业过程控制,非线性系统难以建立其精确的数学模型,常规PID算法难以实现其控制。对此,将神经网络算法和PID算法结合起来,为提高性能和响应速度,设计了针对非线性系统的自适应PID控制器,PID控制器采用单神经元来实现自适应调整。分别采用了三种学习算法来实现单神经元PID控制器参数的调整,即基于二次型性能指标的学习算法、基于BP神经网络辨识的学习算法和基于RBF神经网络辨识的学习算法。三种算法的仿真结果表明,都实现了PID控制器的自适应调整的目的,实现对非线性系统的有效控制,证明三种方案的可行性。 时变网络条件下带时间窗的食品冷链配送定位—运输路径优化问题 "为解决食品冷链配送系统优化问题,针对易腐品特性,结合配送网络时变特征进行行程时间分析,根据服务时间窗设计满意度函数,建立时变条件下的仿真模型;采用""预优化阶段+实时优化阶段""两阶段求解策略,利用分解法进行问题分解,设计最小包络聚类分析方法与混合遗传算法求解。仿真计算验证了模型和算法的有效性与研究的实用价值。" 强跟踪稀疏网格滤波在水下目标跟踪中的应用 针对水下目标发生强机动的情况,为解决稀疏网格求积滤波(Sparse Grid Quadrature Filter,SGQF)精度下降,甚至发散的问题,提出了强跟踪稀疏网格求积滤波(Strong Tracking Sparse Grid Quadrature Filter,STSGQF)算法。SGQF能够在保证滤波精度的情况下,大大降低运算成本。在此基础上引入强跟踪滤波(Strong Tracking Filter,STF),STSGQF不仅保留了SGQF跟踪精度高、运行时间短的优点,还提高了算法的鲁棒性,同时,也解决了STF需要求解Jacobian矩阵的问题。通过仿真实验验证了STSGQF的有效性。 基于指令集随机化的代码注入型攻击防御技术 针对当前代码注入型攻击防御机制容易被绕过的现状,提出一种基于指令集随机化的防御技术。该技术制定了指令集随机化规则,利用该规则改变obj文件中的指令,从而实现了指令集的随机化。外部注入代码与生成的指令集不兼容,经过动态二进制分析平台翻译后,程序代码正常执行而注入代码变为乱码。基于该技术设计了一套原型系统,并通过大量实验表明可以防御大部分代码注入型攻击。该技术打破了缓冲区溢出漏洞利用所需要的稳态环境,实现了对攻击的主动防御。 高空太阳能飞艇方案/动力系统及气动设计 为提供一种可行的高空飞艇动力系统设计,对飞艇所受到的力、能源系统、飞艇重量进行了研究,并对不同动力布局的飞艇所受到的气动力进行了数值仿真。引入混合浮升气体以提升飞艇的单位升力,并考虑了过热对飞艇升力的影响;对能源系统采取恶劣条件作为飞艇的设计点,计算了冬至日时单位面积的太阳直接辐射量;采用能量平衡方法,对飞艇能源系统进行分析;应用以上方法初步确定了高空飞艇的基本参数。此外,建立了两种不同的动力布局模型,并对不同模型进行了气动数值仿真,得出不同布局对飞艇气动性能的影响,为设计提供了参考。 基于集成学习的个性化推荐算法 在2009年结束的Netflix推荐大赛中,由于顶级参赛小组均使用集成学习算法,使得基于Bagging和Stacking的Ensemble方法得到了广泛的关注,而基于Boosting的集成学习方法相对来说却无人问津。首先分析了基于Boosting的集成学习算法在分类问题中的优势,以及在推荐问题上的缺陷。通过对用户评分矩阵的简化和分解,将问题转换为简单的分类问题,使得Boosting的集成学习算法能够应用到推荐问题中,提出了基于KNN的集成学习推荐算法,通过集成多个不同的相似度计算方法来提高最终的推荐准确率。在大规模真实数据集上的实验说明,基于Boosting的学习框架可以较大提升单个推荐算法的性能。 用于医学图像认证的Contourlet-SVD双水印算法 针对现有的数字图像水印算法在应用于医学图像时过于复杂,接收端定位感兴趣区域处理时间长的问题,提出一种依据特征值自动还原感兴趣区域的双水印嵌入算法.使用Harris角点法提取医学图像特征值,根据特征值分布划分感兴趣区域,使用奇异值分解将认证水印嵌入感兴趣区域经过轮廓波分解后的低通子带的最大奇异值中,病人信息嵌入至经过轮廓波分解后的非感兴趣区域中频子带系数中,并选择一定数量的感兴趣区域稳定特征点值嵌入至高频子带中.实验结果显示嵌入水印前后特征提取匹配程度高,篡改探测实验成功率均大于90%,PSNR值大于42db.算法通过提取特征值实现了感兴趣区域自动选择,提高实际应用效率,充分利用轮廓波分解得到多个子带提高图像水印负载能力,与奇异值分解法结合保证水印具有良好的不可见性和抗攻击能力,增强医学图像传输的安全性和完整性. 代谢途径智能优化的研究 研究非线性动力学生化代谢途径的参数估计(反问题),对α-蒎烯热异构化动力学模型进行研究,针对非线性代数-微分方程约束的非线性规划问题,频繁的病态和多峰值,传统的算法(如梯度算法)并不能得到满意的解。提出利用智能优化算法求解代谢途径的参数估计,利用算法的非线性逼近能力,将参数编码成算法的一组解向量,以实验值和预测值的误差平方加权的和为目标优化函数。仿真结果表明用多样性指导量子粒子群(Diversity-Guided Quantum-behaved ParticleSwarm Optimization,DGQPSO)算法求解较好,算法运用自适应机制来控制算法的收缩-发散过程,使算法在一定种群多样性下保证收敛精度,有效地估计模型中的参数,证明算法有较好的求解性能。 基于改进蚁群算法的网络负载均衡路由优化 最短路径优先的路由控制策略在解决突发业务模式下的拥塞问题上存在不足,针对该问题,采用随机选择尺度因子实现负载均衡,增加路由器向所有相邻路由器转发分组的机会。设计路由算法模拟器,完成改进蚁群网络路由优化算法在4种典型网络上的仿真。以CHINANET为例的对比实验表明,在重负载情况下,改进的蚁群网络路由优化算法能获得较高的吞吐量、较低的平均时延与丢包率。 基于正交盖氏矩和SVM的车牌字符识别 针对传统字符特征提取算法中特征不稳定的缺点,提出一种基于正交盖氏矩的特征提取方法。采用支持向量机解决车牌字符识别问题,自动寻找对分类有较好区分能力的支持向量,由此构成的分类器可以最大化类间间隔,达到正确区分类别的目的。实验结果表明,该方法对于实时视频流中的车牌识别能取得理想效果,在解决有限样本、非线性及高维模式识别问题中表现出优越的性能,且具有适应性强和效率高的特点。 基于情境感知的用户个性化兴趣建模 用户兴趣模型作为个性化信息推送的基础和核心,其性能的好坏直接关系到个性化推送服务的质量,如何全面了解用户动态的兴趣需求并及时为用户提供其实时有效的兴趣,是目前用户兴趣模型研究的热点问题。主要利用情境感知、用户行为等多维因素建立一种M-C-W用户兴趣模型,提出结合用户显式和隐式兴趣度的计算,挖掘出用户显式兴趣和隐式兴趣的关联性,实现多维动态情境兴趣的结合。通过多角度综合计算用户的兴趣度,实时而准确地表达用户的兴趣爱好。最后,通过实验验证了该模型的有效性和可靠性。 OpenCL加速的基于虚拟节点法的柔性体实时切割仿真 为了提高柔性体变形仿真运行速度并且解决切割对变形计算稳定性的负面影响,提出了能与GPU加速的变形算法协同运作的基于虚拟节点法的柔性体实时切割仿真方法.柔性体模型由真实四面体网格嵌入虚拟四面体网格中构成,前者用于碰撞处理和图形渲染,而后者则用于变形计算.切割算法首先分裂真实四面体网格;然后复制包含超过一块真实四面体连通碎片的虚拟四面体,每个复制品包含一块真实四面体碎片;再根据真实四面体之间的连接关系更新虚拟四面体之间的连接关系;最后更新真实四面体网格与虚拟四面体网格之间的镶嵌关系.为了确保仿真系统不被限制在NVIDIA公司的GPU上,GPU加速使用OpenCL实现.仿真测试结果表明,该方法可以在任意多次切割情况下保持变形计算稳定不发散,并且在NVIDIA公司和AMD公司的GPU上都可以正确运行. 研究生创新型学习的教学研究与实践 "在建设创新型国家和培养创新型人才的前提下,如何进行专业创新型学习的教学,培养学生的创新性思维,无论是从教学模式还是课程形式,都已经成为深化高等教育改革的主要方向之一。本文针对软件工程专业硕士研究生的培养,结合软件工程""项目实训""课程,探索创新型学习的教学模式,在创新型学习的课程设计、教学方法和教学过程以及考核标准等方面进行了有效的研究和实践。" 基于Compact FieldPoint模块的气敏传感器阵列自动测试系统 实现了一种可检测多种气体的气敏传感器阵列的自动测试系统;提出了可精确控制气体流量的配气系统;采用美国国家仪器(NI)公司的Compact FieldPoint模块实现了气敏传感器阵列的数据采集和测试系统的控制;并且利用图形化软件LabVIEW设计了一种兼容Compact FieldPoint模块的自动化控制和测试软件;结果表明,该自动测试系统稳定、便捷,可以在计算机自动测量与控制领域广泛的应用。 数据块相容性统计的测试数据压缩方案 通过对测试集的研究发现,与参考数据块相容的数据块数目随着值的增加,其出现的频率急剧下降。基于这个特征,提出了一种利用FDR码变体来编码相容数据块数目的测试数据压缩方案。通过分析可知方案的解压电路结构简单,所需的硬件开销很小,对ISCAS’89基准电路的实验结果表明,该编码方法能有效地压缩测试数据。 基于System Generator的测频算法快速实现 超宽带接收机系统需要对工作频谱范围进行全景扫描来确定信号的载波频率,而载波频率的估计算法有很多,本文根据通信信号的特点选择了性能良好的频率居中法,详细介绍了算法的模型搭建过程,并利用Xilinx集成设计工具System Generator将其在FPGA上实现.仿真及硬件测试结果表明,在中等信噪比(10dB)情况下,测频结果误差较小.该设计具有较好的实用性. 永磁直线同步电机推力扰动抑制仿真 当前永磁直线同步电机(PMLSM)推力扰动抑制算法的高复杂度导致了伺服系统调节响应速度的滞后性,在高响应速度要求的情况下成为了不可忽视的问题。为解决上述问题,在对PMLSM进行了动态带载电磁场有限元分析的基础上,采用分数槽设计削弱了齿槽效应并提出了基于灰色预测理论(GM)的PMLSM推力预测前馈控制策略,提高了推力补偿调节响应速度。仿真结果证明:所提出的控制策略有效地提高了PMLSM伺服动态调节响应速度和运行精度。 Shell粉煤气化及Texaco水煤浆气化模拟对比及分析 以Aspen Plus为模拟工具,选择反应平衡模型,应用Gibbs自由能最小化方法建立了Shell粉煤气化模型及Texaco水煤浆气化模型,运用灵敏度分析研究了不同操作条件对气化工艺的影响,结果表明:对于Shell气化工艺,蒸汽煤比和氧煤比是影响Shell气化炉的出口组成的主要因素,当蒸汽煤质量比为0.08~0.11,氧煤质量比为0.8~0.9时进行气化较为合适;对于Texaco气化工艺,水煤浆浓度和氧煤比是影响Texaco气化炉的出口组成的主要因素,当水煤浆浓度在65%(wt)左右,氧煤质量比为0.95~1.05时进行气化较为合适。通过对两种气化工艺的对比与分析,为IGCC、煤气化等过程的气化工艺选择提供了重要的参考。 低维人体运动数据驱动的角色动画生成方法综述 人体运动的合成与控制是计算机图形学研究的热点之一,但由于人体的关节变量很多且人类对自身运动非常熟悉,使得这项研究变得极具挑战性.为了解决该领域存在的问题,很多研究者尝试通过对运动数据进行降维在低维空间中对人体运动进行合成,或是利用低维信号进行运动控制,均取得了很好的效果,当然也有各自的局限性.文中以运动数据的低维表达为主线,回顾和总结了人体动画领域一些最新的研究成果,并对基于低维数据的人体动画生成技术的发展趋势进行了展望. 基于多核处理器实时并行半实物仿真平台研究 针对复杂模型半实物仿真中每周期耗时接近或超出仿真步长的问题,提出基于多核处理器实现并行仿真从而提高仿真实时性方法。方案关键在于半实物仿真软件的并行化设计思路和实现手段。首先分析运载器串行仿真模型程序与并行仿真模型程序之间的差异;设计一种控制系统实时并行半实物仿真软件架构,采用Vx Works实时操作系统和多核处理器计算机进行实现,同时研制开发相应的实时并行半实物仿真平台。最后,通过半实物仿真验证,在给出半实物仿真结果的同时证明该仿真方法的正确性。并行半实物仿真平台的建立对同类型控制系统半实物仿真具有一定的借鉴意义。 基于词项关联关系与归一化割加权的微博用户兴趣模型 提出一种基于词项关联关系与归一化割加权非负矩阵分解的微博用户兴趣模型构建方法。该方法首先基于词分布上下文语义相关性来建立词项关联关系矩阵刻画词项间相似度,然后应用归一化割加权非负矩阵分解算法获取用户—主题矩阵,产生用户感兴趣的微博主题聚类结果。实验表明,此方法能有效地进行微博主题聚类,并支持微博用户兴趣模型构建。 考虑级联效应的交通网络关键站点评估及其安全优化 针对道路交通网络中的级联失效可能导致大规模交通瘫痪的问题,模拟道路交通网络中由于站点堵塞促发的级联效应动态行为,建立了道路交通网络级联失效模型.以提高道路交通网络的安全性为目的,给出了增强道路交通网络安全性的优化方法.通过仿真实验结果的对比分析,发现该优化方法能够从很大程度上提高道路交通系统的安全性,对增强道路交通网络安全性具有很大的应用价值. 基于自适应蚁群算法的JSP问题仿真研究 研究车间作业调度优化问题,以实现资源优化配置。针对提高生产效率,缩短周期,降低成本,传统蚁群算法应用于JSP(车间作业调度问题)易出现停滞和陷入局部最优,以致作业调度效率低。为改善传统蚁群算法在车间作业调度的状况,提高车间作业调度效率,提出一种基于自适应蚁群(AACA)优化的车间作业调度算法模型。算法在基本蚁群算法中引入一种新的自适应机制,用于车间作业调度中。AACA在迭代初期快速搜索,可对后期精细寻优,克服了传统调度算法搜索JSP最优解时出现的收敛速度慢、精度不高的缺陷,对照实例进行仿真。仿真结果表明,采用的AACA调度算法在迭代100次以内能找到最优解或满意解,收敛速度快,精度高,优于传统的调度方法 GA、SA和SB,提高了作业调度效率,验证了AA-CA在实际生产中的有效性和实用性。 一种Windows应用程序的GUI自动化遍历方法 基于模型驱动的自动化测试研究大多需要事先对应用程序GUI进行遍历进而构建模型。然而以往自动化遍历的研究大部分局限于移动端并且GUI覆盖率并不高。为此,提出一种Windows应用程序的GUI自动化遍历方法。该方法基于事件触发动态探测应用程序GUI,通过对比应用软件窗口集合判断GUI状态是否迁移,提出步骤回溯以及样式过滤思想,解决了GUI遍历过程中的回环、遍历不充分、遍历效率低三个关键问题。基于Pwinauto实现了可以自动遍历Windows应用程序GUI的原型工具。实验表明,该方法平均近似GUI覆盖率可以达到57. 8%~74. 3%。 基于束搜索算法的汽车涂装车间虚拟重排序 针对汽车涂装车间经常遇到的虚拟重排序问题,以最小化车辆生产序列中的颜色改变次数为目标建立了0-1整数规划模型,并采用一个有向无圈图来描述虚拟重排过程,将其转化成最短路径问题,进而提出求解该问题的束搜索算法。搜索过程中利用局部和全局评价函数对节点进行择优选取。采用不同参数组合的设计算例验证所提算法的性能,结果表明,该算法可以显著降低初始序列中的颜色改变次数,并且求解质量优于文献中已有的基于简单规则的束搜索算法。 改进的核相关滤波器目标跟踪算法 针对传统单目标的核相关滤波器(KCF)跟踪算法在目标尺度变化的跟踪中存在的问题,提出了一种基于相关滤波器(CF)和尺度金字塔的多尺度核相关滤波器(SKCF)跟踪算法。首先通过传统KCF跟踪算法中分类器的响应计算当前目标是否受到遮挡,在未受到遮挡的情况下,对当前目标建立尺度金字塔;然后通过相关滤波器求取尺度金字塔的最大响应得到当前目标尺度信息;最后使用新目标图像为训练样本更新目标的外观模型和尺度模型。与核化的结构化输出(Struck)算法、KCF算法、跟踪-学习-检测(TLD)算法和多示例学习(MIL)算法进行对比,实验结果表明,所提出的多尺度核相关滤波器(SKCF)跟踪算法在五种算法中精确度和重合度都取到最高值。所提算法能够广泛应用于目标跟踪领域,对目标进行准确的跟踪。 无线网络钓鱼AP攻击检测技术研究 随着无线局域网应用的普及,针对无线网络的攻击方式也逐渐增多。无线钓鱼AP攻击通过被动或主动方式诱使用户连接钓鱼AP,进而获取用户的敏感信息,是当前被滥用的攻击方式之一。针对这种情况,提出一种改进的钓鱼AP攻击检测方法,通过利用TTL值的递减变化,以及综合分析网关与路由信息,实现对AP的合法性检测。实验结果表明,该方法能够有效地检测无线钓鱼AP和无线中间人等攻击。 基于Petri网基本结构的相似最优校准计算方法 为了提高事件日志与过程模型之间一致性检查的效率,提出一种基于Petri网基本结构的相似最优校准计算方法。根据网上购物流程建立Petri网模型,计算给定迹与模型之间的所有最优校准。分析发现有些最优校准包含的移动集合完全相同,只是移动出现顺序不同,定义为相似最优校准。通过对相似最优校准的性质进行分析得出定理与推论。定义最优校准相似关系和等价关系,给出了最优校准集合的划分方法,该方法可选取代表项,体现迹与过程模型之间的所有偏差。通过分析四种工作流模式提出多阶段校准算法,用于求解Petri网模型与约束迹之间相似最优校准代表项。仿真实验例证了该相似最优校准方法的正确性和有效性。 火星着陆器过渡段飞行组合导航优化仿真 以火星探测任务为背景,针对着陆器进入、下降及着陆过程中,传统的惯性导航精度受初始位置误差影响大、着陆落点精度低等缺点,充分利用两器间的特高频通信链路,提出环绕器无线电测量以及着陆器自身对火视线测量的组合导航方式,运用扩展卡尔曼滤波对着陆器状态进行估计,达到改善导航精度的目的。理论分析与仿真结果表明:增加两器间无线电辅助测量有助于改善动力学惯性外推误差,抑制发散;环绕器无线电测量/着陆器视线方向测量组合导航可使着陆器过渡段导航精度达到5km。着陆器过渡段导航精度的提高有助于改善后续进入、下降及着陆过程中的惯性导航以及最终着陆点位置精度,为火星探测任务提供参考。 模糊子空间聚类的径向基函数神经网络建模 传统径向基函数(radial basis function,RBF)神经网络模型在处理噪声环境下的数据时,会因缺乏去除噪音特征的机制而使得受训模型的泛化性能下降。针对此缺陷,根据模糊子空间聚类(fuzzy subspace clustering,FSC)算法的子空间特性,为RBF神经网络添加特征抽取机制,提出了一种模糊子空间聚类RBF神经网络建模新方法(RBF neural network modeling using fuzzy subspace clustering,FSC-RBF-NN)。与传统RBF神经网络建模方法相比,FSC-RBF-NN方法可根据FSC的子空间特性和特征抽取机制,为不同的隐含层节点选取不同的特征子空间。当训练数据中含有大量噪音特征时,FSC-RBF-NN方法可通过特征抽取机制去除噪音特征,只保留对建模有积极作用的特征,使模型能保持良好的泛化性能。模拟和真实数据集上的实验结果亦验证了FSC-RBF-NN方法在噪声环境下具有更好的鲁棒性。 一种优化的众核处理器核级冗余拓扑重构算法 现有的行波列借拓扑重构算法是基于分级优化思想,把整体优化问题分解为以失效核为中心的局部优化问题,通过局部搜索失效核重构的最优解来求解整体优化问题的最优解。但其在局部邻域进行的是单向搜索,易导致搜索到的解并不是局部最优解,或者前一单元依次占用下一单元最优解而导致连锁列借操作。针对上述情况,构造一种局部邻域双向搜索的优化行波列借算法,可使局部解更优,并避免连锁操作。实验结果表明,该算法在失效核数目较多的情况下,与原有行波列借算法相比,众核处理器虚拟拓扑结构性能明显提高。 前向树状紧急疏散网络的自适应性控制策略分析 针对前向树状的紧急疏散网络,提出了一种不需要进行需求预测且具有适应性和强鲁棒性的分散式控制疏散策略。通过分析如何确定典型匝道与快速路交汇点处的最优疏散路线,明确了构建可控疏散网络的基本原则。定义了前向树状紧急疏散网络,并对所构建网络的适用范围和具体风险水平标定处理作了明确说明。针对前向树状网络的典型子结构,给出了可以始终充分利用下游通行能力,且充分考虑上游来流具有较高风险水平特点的具体疏散路线选择及放流策略。在上述典型子结构分析基础上,给出了包括如何逆流向确定路段限制性通行能力、顺流向确定路段最大可行流量以及实时动态调整疏散策略等基本步骤的网络疏散策略。最后,通过一个数值算例,比较了新策略与纯粹上游优先策略、纯粹下游优先策略的运行效率,证明了新的适应控制策略的可行性与有效性。 考虑客户感性需求下的产品模块化配置方法 感性需求是客户需求的重要组成部分,也是影响其购买行为的重要因素.为了在产品配置中满足客户感性需求的目标,遵循感性需求特性和在产品上作用机制的特点,提出了在感性需求和功能需求双重驱动下的产品模块化配置方法.该方法按照客户感性需求表达的特点,设计了从客户感性空间压缩到设计感性空间而获取感性偏好的方法;进而根据感性需求实现的特殊性,提出了符合其要求的映射规则和配置规则;在此基础上,根据对感性需求和功能需求的权衡提出产品模块化配置的求解策略,充分符合了感性需求性质特点.最后通过一个汽车产品实例说明了考虑感性需求下的产品模块化配置过程. 基于灰色理论的无线传感器网络信任模型 针对无线传感器网络(WSN)中通信节点精确评估的问题,提出了一种基于灰色理论的信任模型(GTTM)。该模型充分监测节点行为,构造样本矩阵,以灰色关联思想计算推荐节点的权重,以灰色聚类思想计算节点的信任值。仿真实验表明,与经典的基于信誉的信任管理框架(RFSN)模型比较,GTTM网络中通信节点的信任值收敛更加平缓,能够抵御恶意推荐,及时降低不可信节点的信任值,在网络遭受攻击时仍能获得较高的交易成功率;与基于Bayes估计的信任计算模(TCM-BE)比较,即使在推荐样本较少的情况下,GTTM仍能保持较低的恶意节点误报率。实验结果表明,所提模型能够准确评估节点的可信度,保证网络的可靠运行。 一种改进的基于二部图网络结构的推荐算法 基于网络结构的推荐算法得到了研究者越来越多的关注,以往的基于二部图网络结构的推荐算法只是判断用户是否选择过项目,不区分用户对项目评分的高低。这些算法倾向于推荐流行商品,没有考虑项目度和权值的影响。针对这些问题,在区分高低分的情况下提出了改进的基于加权网络结构的推荐算法。算法在计算用户间的相似性系数时,引入项目度与项目的权值之和的比值θ,以提高推荐多样性。实验结果表明,改进后的算法能够提高推荐准确性和多样性,并且降低了推荐项目的流行性。 基于PNN的音乐情感分类 为提高音乐情感分类的精度,提出一种采用概率神经网络的音乐情感分析模型。以提高识别率为目标,建立音乐特征和情感之间的联系模型,通过概率神经网络对情感进行主观分类训练,在音乐的播放过程中提取特征参数,同时判断应具有的主观感受。实验结果表明,该模型对音乐的主观情绪判断具有较好的准确率,在识别率上该算法比HMM算法、主成分析法和多元回归分析法具有更好的识别精度。 一类G~1连续的空间五次PH拟合曲线 为了构造一种空间五次Pythagorean-hodograph G~1连续拟合曲线以重建空间曲线,对已知空间采样点数据加入中间条件确定首末端点数据,对其进行G~1Hermite插值构造拟合PH曲线。根据空间PH曲线的充分必要条件,给出由四个二次多项式组成的四次导函数,比对其与空间五次Bézier曲线的导函数在Bernstein基下分别对应的向量型系数,形成向量等式,再根据Bézier曲线导函数的系数与其控制多边形顶点的关系,引入自由参数建立五次Bézier曲线导函数的系数与首末端点的等量关系,并与前述向量等式组成方程组。通过求解方程组可得一段由G~1Hermite插值构造出的满足由中间条件给出的首末端点数据且G~1连续的PH拟合曲线,并给出了数值实例。此构造方法直观,有多个自由参数可对曲线进行拟合效果的形状控制,且通过数值实验拟合效果较好。 基于多级索引的云平台镜像管理系统Milance的设计与实现 OpenStack云平台的开源掀起了对于基础设施即服务的云平台的研究热潮,但基于本地存储的镜像管理存在资源利用率不足的问题。为此,开发了新型的多级索引的镜像管理系统Milance替换现有的镜像管理系统,旨在解决现有系统存在的虚拟机实例启动延时大、做快照时间长、镜像池占用空间较大的问题。通过测试,相对于现有的系统,Milance系统在虚拟机启动、虚拟机做快照花费的时间较少。同时,Milance系统也相对于现有系统更加节省镜像空间。 一种压缩感知的异构传感网络分簇路由算法 分簇路由算法对用于事件监测的无线传感器网络具有较好的节能性,压缩算法可以降低节点传输的数据量,但增加了分簇簇首的计算能耗和汇聚中心的时间复杂度,而由高端节点担任簇首可以实现能量均衡并提升服务性能.设计了一种适应多级能量异构网络的压缩感知算法,簇首当选的概率由异构节点的剩余能量大小确定,簇首负责收集簇内成员节点的数据,进行稀疏、压缩,减少传输的数据量,簇间路由采用多跳最小的代价函数传输,而汇聚中心通过重构算法将少量信息解码得出原始数据.仿真结果表明,该算法能有效解码目标源,减少死亡节点数量,并且能均衡异构节点的能耗. 具有预解算子的随机脉冲积分微分包含 利用Krasnoselskii-Scheafer型集值映射不动点定理结合预解算子理论,在公理化定义的相空间上,研究了一类随机脉冲中立型积分微分包含温和解的存在性,并建立了此类问题温和解的存在性。 话题案例知识库动态模型及优化策略 传统的话题检测方法仅通过最初几篇话题相关报道的特征来表示话题,不能适应话题动态变化的特点。为此,提出一种话题案例知识库的动态模型。采用资源描述框架,实现话题案例知识表示,并在层次语义树基础上,利用案例融合策略实现话题案例知识库的动态更新,使用最大容忍优化策略解决话题质心漂移问题。实验结果表明,通过提高话题案例知识的全面性和内聚性,该模型能够改进话题检测和追踪的效果。 分子场快速计算及在蛋白质识别研究中的应用 蛋白质识别关键区域的研究对揭示生命现象的本质规律,提高药物设计效率,降低新药物开发的成本和周期有重大的应用价值。但由于蛋白质大分子结构的高度复杂性,一般的计算机系统难以对蛋白质识别过程中结构与功能的连续性变化实现快速动态分析。设计并实现了一种基于GPU/CPU异构的集群系统,根据生物计算的特点对异构集群进行数据结构和算法设计,建立起基于GPU的Kd-tree构造和访问的高效算法,以提高系统并行计算的性能。在此基础上对蛋白质分子场的动态时变序列进行快速计算,对结果进行分类,能快速高效地确定出蛋白质的相互作用关键区域。该方法得到了相应的生化实验结果验证,为揭示蛋白质作用机制提供了一种高效直观的新方法。 基于AR模型的置信规则库结构识别算法 针对以置信规则推理作为系统控制器的应用,传统的置信K均值聚类算法往往不能充分利用数据中时间上的动态关联信息。因此,在模糊聚类算法的基础上引入自回归(AR)模型,将集约生产计划中的需求数据作为一组时间序列进行动态的聚类分析。该算法不仅可以充分利用集约生产计划中的需求数据的内部自相关性,而且可以进一步利用隶属度函数对AR模型的预测过程进行模糊化调整,从而得到更为理想的置信规则库结构,提高推理与决策的精度。 云服务平台多层网络协同控制模型 面向制造业产业链上中下游大规模多类型企业群,针对产业链协同云计算服务平台的访问控制问题,提出多类型协同的多层级体系控制机制。基于SaaS/PaaS的云服务模式,从云服务平台产业链的业务协作与访问控制协同出发,给出多层次、分体系的平台访问控制方案。利用各体系层次的关联关系,构建了多维关系布尔矩阵。利用语义关系将布尔关系矩阵转化为多层级体系复杂网络控制模型,并对网络模型进行了仿真实现,给出了模型的实际应用方案及效果。该网络模型改进了传统的基于角色的访问控制静态控制模式,且具有较好的稳定性与扩展性,为解决大规模企业群在角色层次授权多样化、权限体系动态变化过程中的云服务平台访问控制问题,提供了较好的理论支撑。 某型飞机空中加受油训练模拟器的研制 根据加受油模拟训练的实际需求,提出了某型飞机空中加受油训练模拟器的功能,设计了模拟器的体系结构,并说明了模拟器模拟座舱、飞行仿真、伞套运动、运动平台、信号采控、操纵负荷、视景、教员控制台、计算机网络、音响通讯等分系统的实现.该模拟器采用实物与图像相结合的半实物仿真方式,空中对接环节临场感强、效果逼真,对实装飞行帮助很大,受训飞行员对该模拟器评价较好.该模拟器的投入使用,对提高仿真训练的质量效益,促进部队战斗力建设具有十分重要的价值和意义. “电脑”型产品需求预测的Gompertz模型与随机模拟 "电脑型产品包括手机、电视机、电脑等无形性变质产品,这种产品需求波动性大,随机性强、历史数据失效或根本不存在历史数据,其需求量的预测往往比常规品更为困难。介绍了""电脑""型产品需求预测的Gompertz模型,并应用这个模型对2010年长沙市电脑需求情况进行了预测,通过计算机随机模拟,对2010年长沙市手机产品的需求量也进行了预测,取得了较好的效果。为进一步研究""电脑""型产品库存控制问题提供了较好的基础。" 基于位置-动作特征的助老机器人服务对象异常行为检测 随着人口老龄化和科技的发展,助老机器人在家庭中的应用越来越广泛。除日常功能外,及时发现老年人所处异常状态进而对老年人实现即时救助,也成为助老机器人必不可少的一项重要智能。针对助老机器人服务对象异常行为发现这一重要问题,从位置、动作特征信息融合的角度对其进行研究。首先,对老年人的位置和动作特征进行提取,建立基于DAEI的动作特征模型;然后,利用SLAM地图的位置信息和动作图像局部二阶相对矩生成特征向量;最后,通过改进的FCM算法对特征向量进行聚类。实验结果表明了该方法的可行性。 一种改进的快速SLIC分割算法 近年来,超像素算法被应用到计算机视觉的各个领域。超像素捕获图像冗余信息,降低图像后续处理的复杂度。超像素分割作为图像的预处理过程需要满足图像处理的实时性和准确性。在SLIC算法的框架下,所提算法的主要目的是提高超像素分割的效率;通过原图像降尺度过程,提取原图像中少量像素,生成降尺度图像;利用SLIC算法对降尺度图像进行超像素分割;初次超像素分割之后,根据降尺度图像的分割结果对原图像中像素进行K近邻分类,实现原图像的超像素最终分割结果。实验表明,对于同一处理对象,在准确度相近的状态下,本算法处理速度高于SLIC算法。 采用反馈式多级喷泉码的深空中继协作传输协议研究 针对深空长时延、高误码率等通信特点,在传输过程中引入反馈信道,研究了在深空中继协作通信模型中如何有效地利用有限的反馈信号,提出了基于反馈式多级喷泉码的深空中继协作传输协议.阐明了基于反馈式多级喷泉码的中继协作传输协议具体的编码结构和传输方案,从理论上分析了所提出的传输协议与非反馈喷泉码传输协议的传输性能,并通过实验比较了上述两种传输协议以及基于喷泉码的译码转发中继协作传输协议在不同误码率和传输距离的深空通信环境下的传输性能.仿真结果表明,采用多级反馈式喷泉码中继协作传输协议提高了编码有效性,降低了传输时延. 基于带QoS能力模型的资源匹配方法 资源的描述需要综合考虑属性、能力、状态三个方面,特别是在物联网中用户更加注重资源能力和状态的描述。目前,大多数资源的描述都是通过资源的基本属性来表示,很少考虑资源的能力、状态和服务质量。针对这一问题,将资源的QoS(服务质量)参数引入到资源的能力模型中,提出了一种带有QoS信息的、基于行动的资源能力建模方法,基于此能力模型提出了一种资源的四步匹配方法(BQRM),并通过实验验证了该方法的有效性和优越性。 基于自然邻的自适应谱聚类算法 在传统谱聚类算法中,构造相似矩阵时需要人为输入尺度参数;除此之外,之后的k-means过程中还需要人工输入确切的聚类数目,而以上两个参数对聚类效果影响巨大。针对以上问题,提出了一种基于自然邻的自适应谱聚类算法。该算法不需要人为输入任何参数,完全实现自适应,主要方式是通过自然邻算法获取各点之间的邻近信息,其中包括自然邻个数、自然逆邻个数、自然邻居集以及自然逆邻居集。通过实例分析,在多重尺度数据集下或者在流行数据集中,充分利用以上先验信息构造出更加符合实际情况的相似矩阵。另外,根据近邻传播思想获得聚类数目。将该算法运用于部分人工数据集上,且与谱聚类算法进行比较,聚类效果显著改进。实验结果表明,该算法具有一定的有效性和优越性。 一种基于局部特征匹配的财产保护算法 在加速分割检测特征(FAST)的基础上提出一种在复杂环境中实现财产保护的局部特征匹配方法。首先,检测每一帧视频图像中指定财物区域对应的FAST特征点集合,然后计算每一帧的特征点集合与初始帧的匹配率,最后通过匹配率和时间阈值判断指定财物是否遗失。为验证方法的有效性,进行了两组对比实验。基于局部特征匹配和基于全局特征匹配的对比实验结果分别显示了该算法在响应时间和检测准确性上的性能较其他方法更优。 自适应调整虚拟机权重参数的调度方法 在基于特权服务操作系统的虚拟机架构下客户操作系统需要借助特权服务操作系统来访问真实硬件,目前虚拟机调度算法的优化主要是侧重于I/O密集型虚拟机的研究,而忽视了CPU密集型虚拟机,更忽视了特权服务操作系统的I/O处理能力对虚拟机整体性能的影响.针对这些问题,提出了一种基于Credit算法的自适应调整虚拟机权重参数的优化调度方法,将特权服务操作系统的I/O处理能力作为虚拟机参数调整的一个重要参数,同时兼顾I/O密集型虚拟机和CPU密集型虚拟机对资源的需求.实验结果表明该方法能够及时根据当前的I/O请求数量和特权服务操作系统的处理能力合理调整虚拟机的权重参数,从而大大提高了客户操作系统CPU处理性能和硬件设备的访问性能. 下颌骨骨折虚拟手术培训系统研究 针对下颌骨骨折手术操作技能要求高的特点,使用Unity3D和HTC VIVE进行用于培训和术前模拟的虚拟手术系统的开发。将虚拟手术系统分为三维模型模块、光照系统模块、交互系统模块和手术室瞬间移动模块,对下颌骨骨折手术的场景、交互和手术过程进行模拟。结果表明此虚拟手术系统具有良好的真实感、沉浸感和交互性,能有效引导操作者完成手术流程,达成进行手术训练、模拟的目的。 一种车载自组织网络路况信息的数据信任模型 动态寻路是解决城市交通拥堵的重要手段。在动态寻路中,一些车辆产生和转发路况信息,使其他车辆能够避开拥堵路段。但一般车载自组织网络寻路算法缺乏验证路况信息的真实性的措施,导致恶意车辆能轻易篡改路况信息,误导其他车辆选择错误路线。提出了一种路况信息鉴别模型,该模型将基于数据的信任模型应用到路况信息真伪的鉴别中,并在一般投票算法的基础上利用D-S理论增加不确定情况下的鲁棒性。仿真实验表明,该算法在不增加额外信息交互的前提下,有效规避了恶意伪造信息,改进了车辆的行程时间。 基于稀疏编码的双尺度布匹瑕疵检测 瑕疵检测是布匹质量控制的重要环节。为了使检测算法具有较高的通用性和检测精度,提出了一种基于稀疏编码的双尺度布匹瑕疵检测算法,综合了大尺度下检测稳定性高和小尺度下检测敏感性高的优点。首先,采用一种小规模过完备字典的训练方法得到大小尺度下的字典;其次,利用检测图像块在字典上的投影提取检测特征;最后,利用距离融合方法综合大小尺度下的检测结果。小规模完备字典的采用以及对大尺度下的检测进行下采样,克服了因引入双尺度而造成计算量太大的缺点。实验采用德国TILDA布匹样本库,实验结果表明,该算法能有效地检测平纹布、格子布、条纹布上的瑕疵,综合检测率达到95.9%,并且计算量适中,能够满足工业实时检测的要求,具有实际应用的价值。 用Excel设计标准化试卷的自动判卷系统 在日常的教学过程中,各种测验、考试,必不可少,因而高效地进行判卷对减轻教师的工作量有非常重要的意义。使用专业的无纸化考试系统,不仅投入多,且不一定适合自己的教学。本文根据通用的标准化试卷的判卷需求,利用Ex-cel的数据处理、数据管理和数据安全功能,设计无纸化考试的标准化试卷模板,将Excel作为开发平台,用VBA语言实现标准化试卷的自动判卷功能,从而提高判卷效率,减少教师的工作量。该系统操作简单、使用安全、高效,在教学中很有实用价值。 基于计算机视觉的虚拟安全空间预警技术 随着现代工业技术的飞速发展,现代工业对安全的要求也越来越高。在工作环境中,由于一些原因如操作人员的注意力、判断力、视力范围的限制,极易发生作业设备超过警戒线而发生事故。文中利用计算机视觉技术,提出了一种虚拟安全空间的预警技术。通过两个摄像头采集实时环境图像,根据透视投影模型和矫正过后的图像中的点的三维位置坐标以及两个摄像头的坐标位置,计算出目标物的物理坐标,然后根据物理坐标计算出目标物和虚拟安全空间之间的距离,将其与安全距离比较,实现预警。仿真实验表明,此项预警技术具有良好的实时性和准确性,能够满足一定范围内的预警需求。 基于体感技术的手势追踪与识别 体感技术使人们更直接的通过自己的肢体动作与电脑设备产生互动,减小了鼠标、键盘等传统输入设备带来的束缚.使用Kinect体感设备,对体感关键技术及Kalman滤波器算法进行了深入的研究,并在此基础上提出了一种基于骨骼坐标的手势识别算法.最后详细阐明了基于Kinect的手势追踪与识别系统的具体设计与实现方法. 基于构件的作战计划软件的架构与设计 针对目前作战计划软件的计划内容、流程固定以及无法灵活适应不同作战样式的问题,引入了基于构件的思想,分析了基于构件的军事业务软件的设计方法。在对作战计划软件的业务流程进行归纳、明晰的基础上,采用了构件技术设计软件的体系结构,一方面使软件具有良好的重用性、可伸缩性以及更强的灵活性,另一方面促进了对构件的统一管理,便于构件的集中式部署、升级等操作;开发了完整的软件,并在军事指挥控制领域得到验证和应用,为基于军事业务构件的软件开发提供了可行的解决途径。 基于全局结构相似度度量方法的显著性检测 视觉显著性检测是计算机进行图像处理的重要步骤,它包括目标识别、图像分割等,在网络图形、指纹识别等诸多领域都获得了广泛的应用。提出了一种全局结构相似度度量方法进行显著目标检测:利用全局结构相似度对人类视觉系统的高层次抽象,并且考虑了人的视觉特性和心理感受,把全局结构相似度理论用于显著程度的度量,度量结果进行加权后求均值得到显著图,并采用二值法提取显著目标。与经典Itti算法相比,不仅克服了马赛克现象,而且考虑了全局空间信息对结构相似度的影响,能够根据计算能力和精度选定参数。 基于人工势场法的多智能体编队避障方法 编队避障问题是多智能体编队研究的关键问题之一。针对动态环境中多智能体编队避障问题,提出了一种基于人工势场法(APF)与布谷鸟搜索算法(CS)相结合的编队避障方法。首先,在动态队形变换策略的异构模式下,利用APF为多智能体编队中每个智能体规划避障;然后,针对APF在引力增量系数和斥力增量系数设置的局限性,利用CS中的莱维飞行机制思想,来随机搜索得到适应环境的增量系数。Matlab仿真实验结果表明,所提方法能够有效地解决复杂环境下多智能体编队避障问题,使用效率函数对实验数据进行评价及分析,验证了所优化方法的合理性和有效性。 结合用户判断力和相似性的协同推荐算法 作为解决信息超载问题的有效方式,协同过滤技术已被成功地应用到推荐系统。为进一步提高协同过滤算法的性能,首先利用用户评分的历史信息,估计用户的判断力。接着结合用户间的相似性,提出一种改进的协同推荐算法。实验结果表明用户的判断力可与用户的推荐能力正相关,也验证了用户判断力深入抽取用户评分信息以及影响用户采纳某项推荐结果的因素,以更好地刻画用户之间的相似性,从而提高算法的推荐准确度。 铁路应急资源动态多阶段调度决策模型及算法研究 近年来,铁路突发事件时有发生,严重影响铁路的正常运营,合理地进行应急资源的调度是提高铁路整体应急救援能力,减少突发事件所造成损失的有效途径。以博弈论为理论基础,将各应急点看作博弈局中人,考虑救援点到应急点的运力限制以及不同资源在不同应急点的重要度等因素,构建了资源动态需求函数,并用应急点对资源缺少量的时间累积来刻画系统损失。将多应急点的资源调度描述为一个多阶段非合作博弈过程,以系统总损失最小为目标,建立多应急点—多救援点—多种资源的动态多阶段资源调度模型,并设计了求解该模型Nash均衡的改进布谷鸟算法,从而得到最优的铁路应急资源调度方案。通过具体算例验证了模型的可行性与算法的优越性。结果表明该模型较为切近实际、适用性较强且改进后的算法更具高效性,可为铁路应急资源调度决策提供依据和支持。 改进的文档图像扭曲校正方法 由照相机拍摄的文档图像可能因扭曲变形导致OCR软件不能正确识别。为解决上述问题,采用图像分割技术进行单词及文本线检测,利用线性拟合得到单词的较低基线和较高基线,根据校正基线对单词进行旋转和垂直位移,得到校正后的图像。实验结果表明,该方法能快速有效地校正扭曲的文档图像,使校正后的图像在光学字符识别阶段的识别率有较大提高。 SPM结构上冗余读延迟写优化的设计与实现 随着微处理器架构的发展,将片上SRAM组织成SPM这种软件管理的非cache结构成为众多处理器的选择。SPM结构的特点是实现简单,访问延迟低、带宽高。要有效利用有限的片上SPM空间提升程序性能,必须由用户显式进行数据的布局和传送,或者由编译器进行高效的自动访存优化。冗余读延迟写优化从循环中多个主存访问之间的关联性出发,自动进行了数据传送和缓存优化,提高了SPM上的数据重用率。经过测试,可以有效提升程序性能。 一种描述逻辑SHIF的ABox一致性判定算法 为了判定SHIF的ABox一致性,提出了一种Tableau算法。该算法先通过预处理将ABox转换成标准形式,然后按照特定的完整策略将一套Tableau规则应用于ABox,直到将它扩展成完整的ABox为止。ABox与TBox一致,当且仅当算法能产生一个无冲突的完整的ABox。算法所采用的阻塞机制可以避免Tableau规则的无限次执行。为了提高算法的效率,该机制允许一个新个体被在其之前创建的任意新个体直接阻塞,而不仅仅局限于其祖先。通过对算法的可终止性、合理性和完备性进行证明,算法的正确性得以确认。 远程地下水COD在线检测仪设计 目前,我国在地下水水质检测领域,以人工现场采样、实验室仪器分析为主要方式,存在采样误差大、检测周期长、操作繁杂、不能及时反映水体受污染变化状况等缺陷,难以满足水质环境监测发展的需求;鉴于此,设计了一种基于紫外-分光光度法自动抽取水样及清洗的远程在线地下水水质COD(化学需氧量)检测仪;该仪器主要由远程终端、远程数据接收发送模块、水质COD检测模块和水样抽取清洗模块四部分组成;远程终端发送信号,由远程数据接收发送模块接收后,控制水质COD检测模块和水样抽取清洗模块动作,检测后的数据经处理后再由远程数据接收发送模块发回至远程终端;实验结果表明,该仪器可实现远程控制且检测时长可在20min内完成,能有效的检测地下水水质COD浓度,分辨率达到1mg·L-1,具有良好的灵敏度,准确性和重复性,适用于绝大多数浊度较低的地下水在线监测。 基于SCA的分布式Web应用研究 针对传统的企业级分布式应用体系结构中由于平台和技术独立而存在的紧耦合、互操作性及重用性差等问题,深入理解了面向服务的体系结构(service oriented architecture,SOA)的特点,分析了SOA的最佳实现方式服务组件架构(servicecomponent architecture,SCA)的优势,在Java EE平台上构建基于SCA的分布式Web应用系统,重点介绍了SCA与Spring整合原理,并结合企业信息管理系统的应用实例,给出了该方案的实现技术。实验结果表明,该架构能很好地分离应用的业务逻辑和IT逻辑,具有易于构建、更改和部署等优势。 云环境下基于SLA的优化资源分配研究 针对云计算环境下如何高效分配资源,实现资源供应者利润最大化这一难题,提出了一种基于服务级别协议(SLA)的动态云资源分配策略。该策略通过将SLA中的计算力、网络带宽、数据存储等属性作为优化参数,构造了一种服务请求与资源的映射模型,同时设计相应的效用函数,并结合改进的与模拟退火算法相融合的混合粒子群算法(SA-PSO),实现云环境下的优化资源分配。实验分析结果表明,基于SLA参数的SA-PSO算法具有更好的全局最优值,在给定虚拟资源相同情况下,调用该算法完成用户任务实现的利润更高。 飞机防滑刹车系统控制器优化设计 防滑刹车系统的优化,对飞机起飞、安全着陆起着重要的作用,刹车系统性能的好坏直接影响到飞机及机载人员的安全。飞机着陆过程是一个强非线性和时变性的过程,工作环境复杂,会受到各种外部因素和内部不稳定因素影响。为了提高某型飞机刹车效率及刹车过程的稳定性,针对传统防滑刹车控制器所存在的低速打滑及抗随机干扰能力不足的问题,依据估计补偿不确定因素控制技术的思想,进行了自抗扰控制器的设计,利用数值仿真,选取一组合适的控制器参数,并在相同的条件下与现行国内装机运行最多的带压力偏调的PID控制器进行比较。结果表明,ADRC可以有效地提高刹车过程的动态特性,具有较强的鲁棒性,能够满足更高的安全要求,为飞机防滑刹车系统的优化提供了科学依据。 面向集成变量化设计的三维几何约束求解方法 针对集成变量化设计中三维几何约束和装配几何约束的混合建模与求解问题,提出改进的有向图方法.该方法采用几何约束的基本约束表达和几何实体的抽象对偶实体表达,引入定向弧表达实体之间的内在依赖关系建立混合几何约束有向图模型;结合约束有向图的优化处理,实现了几何约束系统的细粒度分解和高效并行求解.最后用实例验证了文中方法的正确性和有效性. 双层多媒体动态同步模型构建方法研究 "多媒体同步是分布式多媒体应用的关键技术,解决流内、流间及用户交互同步的矛盾,细化同步粒度,提高同步精度,是学术界一直努力探索但至今尚未解决的问题。提出的双层多媒体动态同步模型构建方法,基于""自然同步点""和""准同步点""两类不同同步点,分两个层次,采用Petri网和Agent两种不同方法,在两种不同的尺度下完成多媒体同步。模型较好地协调了流内同步和流间同步的关系,简化了用户实时交互后的再同步,增强了多媒体的动态协同表现能力。" 无线可充电传感器网络中充电器的部署优化 为在无线可充电传感器网络中部署尽量少的充电器实现充电代价最小化,根据传感器节点的位置关系和拓扑特征设计2种无线充电器位置优化算法:近似算法与聚类分区算法。采用分割技术将网络划分成若干个网格的形式,分别求解每个网格内最少充电器数目,并通过移位策略减少充电器数目,提出近似比为(1+ε)的近似算法。同时,采用贪心思想进行聚类分区,结合最小包围圆算法提出聚类分区算法。复杂度分析与仿真结果表明,2种算法都能准确求解出充电器的位置,其中聚类分区算法部署的充电器数目更少,并且时间复杂度更低。 卫星通讯系统的可靠性评估 针对卫星通讯系统可靠性评估试验大多采用有缺失数据的样本,导致模型变得越来越复杂,传统的可靠性分析法变得很难实现的现状,为了提高通信数据精度,保证可靠性,提出了运用Bayes方法研究系统可靠性仿真技术,为评估通讯系统可靠性提供了一种新方法,方法能有效解决数据缺失场合和部件寿命分布中两参数都未知的情况下,解析方法难以处理的系统可靠性估计难题。算法不仅得到传统的可靠性指标而且还得到预测值的仿真结果,为评估卫星通讯系统提供了动态依据。给出了仿真算法及步骤,最后通过实例进行仿真。经与真值比较,结果验证了通讯系统可靠性评估的正确性和可行性。 基于多模板卷积的人脸确认算法 提出一种多模板卷积与动态规划相结合的人脸确认算法,利用阈值原理判断待检图像是否为人脸.首先利用人脸样本图像训练得到人脸模板、双眼模板和嘴鼻模板的权重系数和最优阈值;然后裁剪出待检图像人脸、双眼、嘴鼻区域并进行尺寸、像素值归一化处理;之后计算不同区域与其模板卷积值,并利用模板权重加权求和得到人脸确认最终卷积值;最后通过最优阈值与卷积值关系判断待检图像是否为人脸.为验证方法的正确性、有效性,分别利用人脸图像、非人脸图像进行实验,在本文使用的实验库中成功确认率达94%、84%以上. Kaffe在龙芯2E上的移植 为了在龙芯2E处理器上建立稳定的Java运行环境,丰富龙芯平台的上层软件库,以Kaffe这款开源Java虚拟机为移植对象,分析了其运行机制,确定了其代码结构中平台相关的3个主要模块:SysCallMethod、Trampoline和JIT,并结合龙芯2E处理器的特点,给出了相关模块的修改方案。最后用第三方的测试标准对移植后的虚拟机进行了测试,表明了移植的有效性。 一种采用公平策略的CSFQ算法 研究一种对核心无状态公平队列调度(CSFQ)算法的改进方法。针对CSFQ缓存资源管理的弊病,造成路由器突发性流响应差及对响应流TCP和非响应流UDP不公平的问题,提出了一种公平策略的CSFQ算法(FCSFQ)。FCSFQ提出了基于共享存储器模式的动态缓存管理机制,并以此来改善CSFQ突发性响应差问题,同时采用对响应流与非响应流不同的丢包策略,来解决CSFQ对不同数据流不公平问题。NS仿真实验表明,在现实网络环境下,FCSFQ算法对突发性响应及带宽在TCP、UDP数据流之间的公平分配都有明显改善。 基于存储器内建自测试的全速测试设计 存储器内建自测试(memory built-in-self-test,MBIST)已成为可测性设计(design-for-testability,DFT)中用以测试嵌入式存储器的重要方法.在一款以太网芯片中基于传统存储器内建自测试,提出了一种多级流水寄存器的全速测试结构,减少了测试时的读写时钟周期,缩短了测试时间,降低了测试成本.经过仿真验证,证明了该流水结构设计能够有效提高内建自测试效率. 基于Shepp-Logan头模型的锥束CT仿真投影快速计算 针对三维Shepp-Logan头模型投影仿真,提出了一种快速并行投影计算方法。首先依次计算三维射线与各椭球的交点,然后对交点序列进行排序,用排序后的交点序列来确定射线穿过模型的区域编号及长度,最后将每个区域内的投影累加得到射线的投影值。在此基础上,将计算任务分解为4个独立的子任务,通过多线程技术在多核平台上实现了锥束CT仿真投影的快速并行计算。实验结果表明,该方法非常有效,在四核平台上取得了约3.5倍的加速比;图像重建结果验证了该方法生成的投影数据是准确的。 基于NSCT的乳腺图像分类方法 乳腺癌是女性最为常见的一种癌症。虽然随着医疗的发展,乳腺癌的诊断和治疗技术都有所提高,但是由于不能在乳腺癌早期及时做出诊断,导致乳腺癌的死亡率依然很高。针对此现象,对基于非下采样轮廓变换法(nonsubsampled contourlet transform,NSCT)的乳腺X线图像的分类方法进行了研究。该方法首先对乳腺X线图像的感兴趣区域(region of interest,ROI)进行多分辨的NSCT分解,然后用泽尼克矩(Z-Moments)对NSCT分解后的图像进行特征提取;其次对每一个感兴趣区域所提取的特征形成的矩阵进行奇异值分解(singular value decomposition,SVD),以提取重要的可以概括全局的特征。该方法组合了纹理和形状特征,使用支持向量机(support vector machines,SVM)分类算法将乳腺X线图像分类为正常、良性和恶性,实现了乳腺病变的检测和分类。通过实验可以看出,该方法的准确率达到了96.76%,并且训练时间大大减少,与其他目前最先进的方法相比,在准确率和时间效率上都取得了显著的成效。 PartitionSim:一个面向众核结构的并行模拟器 该文提出了一个面向众核处理器的并行模拟器:PartitionSim.PartitionSim采用了一种新颖的方法——时序分割来加速众核结构模拟.时序分割的提出基于这样的观察:在众核结构中,有些模块之间频繁交互而有的模块之间没有交互.有鉴于此,该方法将目标结构分割成两部分:交互部分和非交互部分.当模拟交互部分时,主机线程严格同步,维持时序精确.当模拟非交互部分时,主机线程通过异步运行,提高模拟速度,并且产生较小的时序损失.文中所述工作在一个16核的SMP机器上用PartitionSim模拟了千核规模的Godson-T众核结构.实验结果显示,PartitionSim展示出良好的加速比,达到最高25MIPS的模拟速度,时序损失平均值为0.92%. 基于多序的空间数据索引结构——MOIS-树 以提高查询效率为目标,运用数据空间分割技术、结合B-树和R-树思想,提出了一种空间数据索引结构——MOIS-树,给出了全新的区域查询处理方法和空间对象按其MBR进行排序的4种序关系定义,并以此为基础给出了MOIS-树的定义,规定MOIS-树中的中间节点的所有孩子节点按其几何位置满足某种序的关系,从而使得在中间节点中进行查询时可以进行快速定位,明显地加快了查询的速度.此外,在查询算法中引入查询窗口包含中间节点MBR的检测,对于较大查询窗口的查询,有效地减少了常规查询算法中大量无效的相交性判断,从另一方面加快了查询速度.给出了MOIS-树的建立算法、节点插入算法及算法的正确性、可终止性证明及时间复杂度分析,并给出区域查询算法及算法的性能分析.实验表明,索引结构区域查询速度有很大的提高. 非饱和状态下TCP/UDP混合流的EDCA模型分析 IEEE 802.11e EDCA机制允许采用CFB(竞争空闲突发)模式,使站点在整个TXOP中都能对媒体进行控制,进而省去部分竞争信道的时间,提高服务质量,然而很少有文献考虑动态TXOP非饱和状态模型。提出了非饱和状态下TCP/UDP混合流的简单分析模型,该模型考虑了提高服务质量的竞争自由脉冲(CFB)模式。仿真结果表明,该模型能有效地预测混合流的EDCA机制性能,并反映出混合TCP/UDP流共用信道时会产生相互影响。 一种基于主机特征的未知恶意程序动态识别系统 分析可疑程序执行前后的主机状态变化,利用虚拟执行技术设计一种新型的基于主机特征的未知恶意程序动态识别系统。所有可疑程序被重定向到特定沙箱中执行,通过对沙箱中的文件、注册表、进程、服务和网络的实时监控与深度分析识别未知恶意程序,再根据其执行过程记录动态生成告警信息,从而保护真实环境文件不受篡改、破坏。实验表明,该系统能显著提高对未知恶意程序攻击的识别精度,从而高效防御智能电网遭受未知恶意程序的攻击。 一种新的CMA神经网络均衡器 在数字通信中,接收信号通常会受到码间干扰的影响。采用盲均衡技术可以消除码间干扰,常模算法(CMA)是应用较广泛的盲均衡算法。因基于常模算法的盲均衡器存在收敛速度慢,剩余误差大的缺点,提出了一种新的基于神经网络的CMA盲均衡器。通过很少的训练序列使网络收敛,再转入盲均衡算法。实验仿真表明,无论是在线性信道还是非线性信道,该均衡器的剩余误差都比普通CMA均衡器较小,收敛速度也较快。 LTE-FDD与eHRPD重叠覆盖系统中的负载均衡算法 利用3G eHRPD和4G LTE-FDD移动通信系统进行混合组网时,每种网络制式下的小区在用户增多时都有可能过载。针对该问题,提出一种新的负载均衡算法。以网络资源利用率为参考对系统内的用户进行异系统垂直切换,使混合组网后的系统负载得到均衡。仿真结果表明,在保证无线链路丢失率不受显著影响的前提下,该算法可使LTE-FDD与eHRPD重叠覆盖的蜂窝小区平均吞吐量得到提高,并能找到使吞吐率最大的最佳切换阈值。 Postgresql数据库集群在主题网络爬虫的应用 根据网络信息量大的特点,以及主题网络爬虫效率上的要求,将postgresql数据库集群技术运用在主题网络爬虫当中,解决了爬虫对大信息量的存储,并采用缓存技术解决了集群技术在爬虫应用中的效率瓶颈。 实时数字浮雕建模 针对现有的数字浮雕生成方法速度较慢且浮雕细节不够丰富的缺点,提出了新的高细节实时可交互地生成数字浮雕的方法.首先提出了一种高细节动态范围压缩函数,并在理论上证明了它比目前的压缩函数能更好地保持细节;然后基于该函数建立了一个实时数字浮雕建模系统,其将建模、交互和渲染流程放到GPU上实现,并利用多目标渲染提高帧缓存的利用率,获得了实时建模速度.系统中还设计了一个交互控制界面,使用户能实时地调整刻画浮雕的各种参数.实验结果证明,该方法能快速、便捷地制作出比现有方法具有更高细节特征的数字浮雕. 网络视频服务提供模式与仿真研究 面对盗版视频进入市场,向用户提供付费模式视频节目还是嵌入广告的免费视频节目,是正版网络视频运营商在选择节目提供模式时面临的两难选择问题。基于搜索理论,研究发现用户搜索成本较高、广告价格较低时,运营商视频节目的最优提供模式为付费模式,并且正版运营商有激励提高用户的搜索成本,而当用户搜索成本大于临界值时,正版运营商将独占市场;而当用户搜索成本较小、广告价格较高时,运营商视频节目最优的提供模式为免费模式,当用户搜索成本小于临界值时,并且正版运营商有激励增加用户的搜索成本;而当用户搜索成本大于临界值时,盗版运营商将独占市场。正版运营商视频节目采用付费模式时,随着用户搜索成本的增加,其最优价格、用户规模、最优利润增加;正版运营商视频节目采用免费模式时,随着用户搜索成本的增加,其最优广告量、用户规模减少,而最优利润则先减少后增加。 基于MongoDB的蛋白质组学大数据存储系统设计 蛋白质组学质谱数据具有关系复杂、数据量大、查询方式多样等一系列的特点。在研究蛋白质组学质谱数据时,传统的存储系统一般采用文件和关系型数据库存储数据,往往需要预定义数据表结构,难以实现动态增加多样化蛋白质组信息的功能。此外,关系型数据库的集群架构关系复杂,维护成本高,代码处理也复杂。为解决传统存储系统在海量蛋白质组学数据的存储和访问的效率瓶颈问题,应用No SQL非关系型数据库,提出了一种基于Mongo DB分布式数据库存储结构的蛋白质组学数据存储系统设计方案。通过系统的功能测试、性能测试,结果表明,随着数据量和访问量的上升,Mongo DB显示出了更高的性能和更快的处理速度,该平台能够改善传统的文件存储和关系数据库存储所暴露的部分性能问题。 基于注解信息的系统虚拟机内存寻址优化技术 通过剖析系统虚拟机的运行过程,提出一种基于注解信息的系统虚拟机内存寻址优化技术。在译码阶段对源机器的寻址特点进行分析,获得相关的注解信息,在翻译阶段指导生成优化代码。鉴于系统级虚拟机的特点,为维持运行稳定,提出一种动态的代码剖析机制,选择部分适合优化的基本块,使用优化翻译方式对其进行处理。实验结果表明,优化后的系统虚拟机平均代码膨胀率下降约13.85%,优化翻译块中访存类指令平均减少约11.23%,单个翻译块指令数最多减少46条。 基于Ceph集群的能耗管理策略研究 数据中心能耗高是云计算发展过程中一个亟待解决的关键问题。建立了一个集群能耗优化模型,并在此基础上提出了一种基于Ceph集群的数据副本放置策略。该策略在考虑集群可用性和容错性的同时,采用了顺序存储和随机存储相结合的存储方法,使得Ceph集群在满足用户SLA需求和保证集群性能的前提下,达到节能的目的。实验结果表明,与原始Ceph集群相比,该数据副本放置策略在保证集群服务质量的同时,使得数据中心耗电量降低了14.3%。 面向海洋场景的无线传感器网络体系结构模型研究 研究了传统无线传感器网络体系结构的缺陷,分析了海洋场景的特点,总结了基于海洋场景的无线传感器网络的典型需求目标,归纳出海洋环境中无线传感器网络体系结构模型设计的若干原则。结合海洋环境自适应、网络自愈合、能量高效、动态优化等目标需求,提出了一种面向海洋场景的无线传感器网络体系结构模型WSNAOS,并对WSNAOS模型的设计理念、主要特色、各层和各模块功能进行了详细阐述。WSNAOS模型不仅具备了海洋环境自适应和能量高效等特征,还能为面向海洋场景的无线传感器网络各类协议的研究提供一个统一的问题描述和分析的理论框架。 基于视频的大空间建筑消防系统研究 针对基于视频的大空间建筑火灾消防存在的实时性和有效性问题,提出了一种基于改进的自适应差分进化算法的摄像机自标定方法。用基于比值法与相关函数法融合的SURF火灾图像特征点匹配算法,快速得到准确的匹配点对;进行摄像机自标定,用较为准确的匹配点对求得基本矩阵F,利用绝对二次曲线的性质,得到优化函数。利用基于改进的差分进化算法对其进行优化,求得摄像机内参数,得到火源的三维信息。实验结果证明,该方法短时间内,算出了较为准确的火源的空间三维信息,实时性和精确度均能够满足火灾消防的标准,有效地进行灭火。 同步卫星扫描视锥与轨道的绘制算法 为了模拟同步卫星在外太空的飞行状态,建设先进的同步卫星模拟仿真系统对于卫星的研制与性能分析有着重要的意义;由于卫星是在特定的轨道上飞行,所以对卫星轨道的绘制建模是卫星模拟仿真系统开发中关键的一环;介绍了同步卫星与地面观察站通信过程中的扫描视锥与同步卫星运行轨道的绘制算法,重点探讨了基于OpenGL基本矢量图元的绘制以及利用世界坐标系和向量的方法对在绘制过程中所需数据的求解过程;分析了根据同步卫星的运行姿态与其所在轨道的位置来确定同步卫星扫描视锥的角度;最后通过一个虚拟世界的3D引擎验证算法的可行性与绘制效果。 基于图像分块的局部区域动态阈值选取方法 全局阈值的边缘检测方法容易导致局部图像的边缘漏检,而将图像分块进行局部检测,又存在局部间阈值差异较大,导致局部边缘误检和漏检。针对此问题,本文提出一种基于图像分块的局部阈值动态选取方法:利用熵对图像分块,考虑上邻域的影响动态求解局部阈值,保证局部间阈值的连续性。将本文方法应用于Robert算子、Canny算法,结果表明可有效提高检测精度,并能增强边缘的连续性。 面向实时路况的云端调度算法研究 "针对现有的出行信息服务系统存在实时路况数据源不足、可视化感知手段缺乏、交互协作能力薄弱等问题,通过提升信息分享""端""的路况实景获取能力及信息分发""云""端的智能调度能力,设计了一种面向实时路况移动感知的出行信息服务系统交互模型MMTIS,以及基于""云""端调度模型的实现算法,进行了仿真实验及移动终端样机测试,研制完成了一套出行信息服务平台,并开展了应用推广。" 融合多特征的纹理图像分割算法 针对传统的只用纹理的一种特征进行纹图像分割时的分割错误率较高的问题,提出了一种融合多特征的纹理图像分割算法。该方法综合考虑纹理的空间特征和频域特征,其中,空间特征提取在支持向量数据域描述的基础上进行;频域特征提取则利用改进的小波框架反映不同尺度间的特征;在此基础上,利用k均值算法对融合后的纹理特征进行聚类从而完成纹理图像的分割。实验结果表明与传统的只利用纹理的一种特征进行分割相比,该方法的错误率明显降低,同时在边缘准确性和区域一致性上都得到了明显的改善。 并行I/O技术在气候数值模式中的应用研究 在气候变化数值模拟工作中,气候数值模式运行效率主要受到计算效率和I/O效率的共同影响。目前,模式计算部分已经基本实现并行,计算效率显著提升。随着气候数值模式时空分辨率的提高,对I/O效率的需求也不断增加,数据并行I/O技术已经成为提高模式整体运行效率的有效方法之一。文中深入分析了BCC_AGCM模式串行I/O算法及NetCDF数据结构特点,采用基于MPI-IO的高层I/O库对模式I/O算法进行并行优化,优化后可支持多类气象要素并行输出,输出效率明显提升。为我国应对气候变化数值模式的运行效率优化工作,进行了有益的技术探索和积累。 改进的反向蛙跳算法求解函数优化问题 针对混洗蛙跳算法在求解连续函数优化问题中出现的收敛速度慢、求解精度低的缺点,提出了一种基于反向学习策略的改进算法,在种群初始化和进化过程中分别加入反向操作,产生更靠近优质解的种群,从而提高了算法的全局寻优能力,促进了算法收敛。实验仿真表明,新算法在寻优效率、计算精度等方面均优于原算法。 兼顾费用与公平的带通信开销的多有向无环图调度 针对云环境下多有向无环图(DAG)工作流的调度算法应考虑执行时间、费用开销、通信开销、公平性等多个指标的问题,在模型带通信开销的DAG(CA-DAG)的基础上结合公平性算法提出一种优化完成时间的后向求异(BD)原则与兼顾费用和公平的多DAG调度策略CAFS。CAFS调度策略分为两个阶段:预调度阶段利用带通信开销的工作流费用优化(CACO)算法在考虑通信开销的同时求解所有任务的最优服务并优化费用,采用fairness算法得到较公平的调度顺序;调度阶段采用BD原则,根据在预调度阶段得出的调度顺序进一步优化整体的完成时间并执行调度。实验结果表明,CAFS调度算法具有较好的公平性,在不提高费用的基础上时间减少19.82%。 一个高效的基于身份签名方案的安全性分析 黄一才等人(密码学报,2017年第5期)提出一个高效的基于身份签名方案,并采用混合游戏的方法在标准模型中证明该签名方案是强不可伪造,其安全性依赖于CDH假设。但是方案的安全性证明存在严重的安全缺陷。为分析该方案的安全性,构造一个多项式时间区分算法,以不可忽略的概率区分模拟签名与真实签名的概率分布,表明模拟游戏和真实游戏是可区分的,从而说明黄一才方案不能正确地证明该方案是强不可伪造的。设计一个多项式时间算法输出该方案的伪造签名,挑战者无法利用伪造的签名求解CDH问题。安全性分析结果表明,该方案的安全性并不能归约到CDH假设,将其安全性归约到CDH假设的结论是错误的。 β-乳球蛋白过敏原表位适配体的计算机辅助分子设计与筛选 本研究以β-乳球蛋白为模板,针对不同过敏原表位,基于正义链和反义链互补的原则,利用计算机辅助分子设计筛选出与β-乳球蛋白过敏原表位很好结合的适配体。分子对接结果表明,适配体QWVW,RRSL,GWGLP,RDGY和WVDL与β-Lg对接的能量是几个主要的过敏原表位中较低的,其中适配体RRSL与β-乳球蛋白对接的能量为本次实验最低,为-107.292 kcal/mol。并通过对分子对接过程中氢键作用、静电作用、疏水作用的研究,证明设计筛选出的适配体可以特异性地与β-乳球蛋白过敏原表位结合,从而达到掩盖β-乳球蛋白过敏原表位的目的。 MapReduce中连接负载均衡优化研究 数据分析和处理是大规模分布式数据处理应用中的重要任务。由于简单易用和具有灵活性,MapReduce编程模型逐渐成为大规模分布式数据处理系统(如Hadoop系统)的核心模型。由于所处理的数据可能不是均匀分布的,MapReduce编程模型在处理连接操作时,会出现数据倾斜问题。数据倾斜问题严重降低了MapReduce执行连接操作的效率。针对MapReduce中连接操作的数据倾斜问题,分析了造成MapReduce连接性能瓶颈的原因并建立负载均衡代价模型,提出了用范围分割方法控制连接过程中的数据倾斜问题实现负载均衡的策略。实验结果表明,所提方法明显提高了连接的效率。 显示仪表中的GPS串行数据接收 某仪表显示设备中需在GPS定位状态下,从GPS接收机接收并解析GPS定位信息进行显示。根据任务需求及设计约束,该显示设备选用80C32单片机作为任务主处理器。由于80C32单片机I/O、中断等资源有限,如果直接采用单片机I/O口接收GPS数据,则可能无法保证设备中其他显示、通讯等功能的实现。文中基于单片机系统提出一种用双64位移位寄存器对128位GPS串行数据进行接收的电路设计思想。该电路设计节省了系统资源,简化了软件设计,同时经过了飞行试验验证。 一种高能效无线传感器网络MAC协议 介质访问控制(MAC)协议是无线传感器网络研究领域的基础协议和支撑技术.因此,一种能量高效的MAC协议是提高整个无线传感器网络能量效率的基础.针对无线网络中能量储备有限的特点,在分析了现有无线传感器网络节能协议的基础上,提出了一种能量高效的MAC协议.通过动态调整占空比和消除边界节点等方式,提高了无线传感器网络的能量效率,延长了网络的生命周期. 基于美食互动社区的用户饮食行为模型研究 "随着大数据、""互联网+""时代的到来,互联网美食互动社区的用户原创内容呈爆发式增长,从海量饮食数据中发现自己希望寻找的内容越来越不容易,同时该部分数据没有得到广泛的利用和深度的挖掘;传统的对于饮食行为的研究多采用问卷调查等形式,耗费了大量人力、物力、财力。针对以上问题,提出了基于LDA的用户饮食行为模型:利用LDA模型的思想,分析互联网美食互动社区的用户原创内容,根据困惑度确定主题数,构建用户饮食行为模型,进而可以计算用户饮食行为相似度,以此为美食社区用户进行好友和美食推荐提供模型基础,同时为饮食行为研究提供了一个新思路。以爬虫技术获取互联网美食互动社区上的用户原创内容作为数据集,通过实验验证了这种算法的可行性和有效性。" 一对多业务服务协商模型 为了对包含多项功能属性的业务服务质量优劣程度进行量化度量,提出基于指标分层结构和受多个利益相关方偏好影响的指标权重确定方法,进一步根据不同服务质量指标的特性分别制定了相应的效用度量方法,并建立了基于对方报价与剩余资源影响的报价策略,在此基础上构建了一对多业务服务协商模型,并以算例对本模型进行了验证。 基于WebSocket的网络实时通信 目前Web实时通信方案主要基于HTTP协议,存在效率低、消耗大的缺点。通过分别构建基于HTTP协议的AJAX轮询和基于WebSocket的实时通信框架,对比测试吞吐量和延时,证实WebSocket在Web实时通信领域具有较高效率。 天然气脱硫装置再生系统的优化研究 针对某天然气净化厂处理量为200×10~4 m~3/d装置因酸性组分含量增加而加大MDEA循环量,可能导致该装置不能稳定运行的情况,本研究利用流程模拟软件ChemCAD 6.0.1对该装置进行了流程模拟,并利用FRI TrayRating 1.0.7、HTRIXchanger Suite 4.00及Pipe Flow Expert 2010软件分别对再生系统的MDEA再生塔、贫富液换热器、MDEA重沸器及机泵管线进行核算与分析,核算结果表明,MDEA再生塔的各项流体力学性能均在正常运行的范围内;维持在役贫富液换热器台数不变,将其排列方式由2串两并改造为4串可以解决该设备换热能力不足的问题;MDEA重沸器换热面积过小导致换热能力不足,需要增加换热管管束才能满足生产要求;由于再生塔顶部酸气出口管线PG-1109的气速过大而导致再生塔出现憋压,可通过增大管径措施解决。 人体通信的信号传输机制与特性研究 针对人体通信的信号传输机制和传输特性,建立多层组织结构的人体通信系统模型,采用时域有限差分法从电磁场与人体相互作用的角度对其进行探讨。仿真结果表明,在人体通信中,信号在人体表面的传播具有表面波的特征,电磁场在平行和垂直于人体表面的方向上具有不同的衰减常数,在0~1 000 MHz的频率范围内,500 MHz~600 MHz是最佳的人体通信频段,在收发器与人体表面相隔一定距离的情况下,同样可以获得较好的通信效果。 动态自适应的混合智能协同推荐算法 针对当前协同过滤推荐算法存在数据稀疏、用户兴趣变化和时效性不明显、推荐质量差等问题,提出了一种动态自适应的混合智能协同过滤推荐算法。首先利用修正核模糊聚类算法进行聚类分析,得到目标用户初始邻居集,缩小计算范围;重新定义了初始等价关系和等价关系相似性,提出了动态x近邻算法,得到准确邻居集并用预测评分填充矩阵,优化数据质量;最后引入用户兴趣变化因子和评价时效,挖掘用户潜在的兴趣变化,得到较好的推荐结果。实验结果表明,该算法能够得到更准确的最近邻居集,提高预测准确率和推荐质量,为用户提供更好的个性化推荐。 基于联合支持向量机的目标跟踪算法 为得到包含目标与背景的区分度以及目标自身特性的外观模型,给出一种联合支持向量机。结合一类支持向量机和二类支持向量机的特点,设计优化的目标函数,利用拉格朗日乘子法给出其对偶形式,实现求解步骤,并基于此提出目标跟踪算法,以加强目标外观模型表达的鲁棒性,提高对目标和背景的鉴别能力。在公开的测试视频集上的实验结果表明,该算法能够准确地跟踪目标,并且具有较好的稳定性。 基于韵律间断层级的汉语韵律间断分类 针对韵律间断的层次性,提出了一种层次韵律间断分类方法。该方法能够充分利用韵律结构层次性,同时又能够充分利用来自声学、词典以及语法方面的特征,对不同类型的韵律间断进行分类。通过在具有韵律标注库ASCCD上的实验,该算法在综合测试集上达到平均78.25%检测正确率。 现代化战争条件下的兰切斯特战斗模型 针对现代化战争,在分析经典兰切斯特方程的基础上,通过引入信息作战能力系数以及战斗暴露系数对经典兰切斯特方程进行修订,提出了更加适合现代化战争的兰切斯特战斗模型。 基于RFID和低占空比机会路由协议的冷链物流监控系统设计 针对现有冷链物流监控系统往往侧重于系统软硬件设计或仅对感知层获取的数据进行压缩融合处理,没有考虑到可靠、节能和短路径路由协议的设计,设计了一种基于RFID和低占空比机会路由协议的冷链物流监控系统;首先给出了系统总体结构框图,并对各组成部分即RFID标签,标签阅读器、节点和汇聚节点均进行了硬件设计,然后,设计了一种基于低占空比休眠机制的机会型路由协议,通过汇聚节点广播Hello数据包确定数据传输方向和邻居候选节点集,在此基础上进一步通过预测候选节点在某时刻的状态来确定是否为最终的候选节点获得转发机会;通过部署实验进行测试,结果证明文章设计的系统能实时有效地对冷链物流系统进行有效监控,较其它方法,具有数据传输路径短、数据包到达率高和数据延时短的优点,具有较大的优越性。 基于关联图的干扰检测算法 根据不同干扰攻击所导致的网络现象之间的差异,提出一种基于现象-攻击关联图的干扰检测算法。该算法分为学习和检测2个阶段。学习阶段各节点通过学习无干扰和有干扰场景下的样本,基于各类测度的变化划分网络现象,建立现象-攻击关联图。检测阶段各节点依据各自的关联图,根据观察到的现象判断自身是否受到干扰攻击以及攻击类型,采用匹配度对检测结果的准确性进行评估。在NS3上的仿真结果表明,该算法可以准确检测按需、随机和持续干扰攻击。 遗传算法的改进——“抖动”遗传算法 "传统的遗传算法在处理一些数据量比较大的问题时常常会遇到运算效率不高的情况,这使某些迫在眉睫的问题不能得到迅速的解决。因此,论文提出""抖动""遗传算法,经过试验证明,其效率得到了大幅度的提升。" 基于分层与容错机制的云计算负载均衡策略 针对混合动态负载均衡算法应用在云计算中,出现的站点信息交换过于频繁导致处理效率低下以及缺乏容错机制等问题,提出了基于分层与容错机制的负载均衡算法。算法融合集中式和分布式的优点,通过组织邻站点,使站点信息交换控制在邻站点范围之内,在任务调度时携带站点实时负载信息以解决频繁广播负载消息导致网络繁忙与服务器效率低下的问题。算法实现云系统负载均衡,减小请求响应时间,引入容错备份机制,以增强系统鲁棒性。实验结果表明,基于分层与容错机制的云计算负载均衡策略在任务分配时间、任务响应时间方面比传统算法提高20%以上,且在稳定性方面所提算法优于传统算法。 用于多标记学习的K近邻改进算法 ML-KNN是应用KNN算法思想解决多标记学习问题的一种算法,但存在时间复杂度高和少数类分类精度低的问题。提出一种加权ML-KNN算法WML-KNN,通过取样和加权的方法,在降低算法时间复杂度的同时提高少数类的分类精度。实验表明,WML-KNN算法性能优于其他常用多标记算法。 基于GPU的LLVM程序分析信息并行提取 LLVM中间表示(IR)中包含大量的程序分析原始信息,传统基于CPU的程序分析信息提取方法大多采用串行的循环迭代方式处理较大规模程序,可扩展性较差。为此,结合图像处理器(GPU)的通用计算优势,提出一种基于统一计算设备架构的LLVM平台程序分析信息并行提取方法,在CPU上实现程序特征分析及IR预处理、存储结构设计及提取信息的可视化,在GPU上完成并行线程调度的程序分析信息匹配及提取工作。实验结果表明,该方法可提高LLVM平台程序分析信息的提取效率,与串行提取方法相比,最高可获得4倍的加速比。 高性能并行仿真中持久性研究 随着分布式仿真规模的日益扩大,高性能计算的不断发展,高性能仿真技术逐渐成为仿真领域新的研究热点,其中持久性框架及其实现机制是高性能仿真引擎中至关重要的一层支撑技术,它不但用于系统的负载平衡而且用于检查点及恢复操作。该文针对高性能仿真持久性问题,先提出了持久框架的结构,重点研究了两种持久库对持久框架性能的影响,通过实验数据对其性能差异进行分析,得出基于C++模板的持久框架更具优越性的结论。 LTE系统中基于分簇的改进有限反馈新算法 研究了LTE系统的一种基于信道信息均值的低反馈量有限反馈新算法。该算法利用子载波之间的频率响应相干性,将OFDM各子载波均匀分割成若个子载波簇,对每个簇内,除去簇头的其余子载波再等分分组,以各分组内子载波的信道信息均值为依据,进行最优预编码矩阵搜索。数值仿真表明,该算法不仅有效地保证了系统系能,而且使反馈开销得到了显著降低。 一种基于内容特征的Word文件雕复方法 "提出一种不依赖于文件系统元信息,而凭借于文件数据内容及其内部结构特征的Word文件雕复方法,其基本原理是利用文件头/根存储/最大扇区、分片文件的扇区分配表和分片文件的数据流等验证方法。此雕复方法能自动雕复在原始磁盘镜像中连续和分片有序存储的Word文件。实验结果表明该方法可以在Word文件自动雕复的高准确率情况下,确保低""误报""率。" 基于改进量子粒子群算法的支持向量机参数优化方法 支持向量机参数的选择对建模精度和泛化性能等有着重要的影响,提出量子粒子群优化(QPSO)改进算法优化支持向量机(SVM)参数的方法。该方法首先将混合扰动算子引入QPSO算法中,用于获取平均最优位置,建立一种基于混合扰动算子的QPSO算法改进方法(IQPSO),然后用IQPSO算法的全局优化能力对支持向量机惩罚系数和核参数进行综合寻优,求取最优化参数组合,从而提高支持向量机的求解速度和解的精确性。利用测试函数和UCI测试数据,对IQPSO-SVM进行仿真测试与分类,实验结果表明,IQPSO能获得很好的优化结果,IQPSO-SVM具有较好的泛化性能。 基于pCTL的循环优化测试用例自动生成方法 循环优化测试对保证现代编译器质量有着重要作用.传统手工构造测试用例方法面临着效率低的问题,而目前的自动构造方法对循环优化的针对性不足.提出并实现了一种基于参数化分支时序逻辑(pCTL)的循环优化测试用例自动生成方法.并用生成的测试用例对GCC-4.1.1进行覆盖率测试,结果表明该方法可以生成具有很高针对性的循环优化测试用例,并且很少的测试用例即可达到较高的覆盖程度. 时间传播网络中受影响顶点的预测 在时间传播网络中预测受影响顶点时,验证顶点状态会耗费大量计算资源,并且计算顶点受影响概率是#P-hard问题。为此,基于路径跳数受限近似和迭代更新算法提出顶点受影响概率预测算法IPH,实现顶点受影响概率的近似计算,并选择其中概率最大的顶点进行验证。考虑到验证后剩余顶点受影响概率趋于相同,结合广度优先遍历(BFS)算法进一步提出相邻顶点受影响概率预测算法AIPH。实验结果表明,与BFS和随机游走算法相比,IPH与AIPH算法均具有较高的准确率和召回率。 基于可靠运行区间重叠度的设备维修决策模型 为使设备维修模型更加符合生产实际,以维修时间点与维修程度最优化为目标,在充分考虑设备可靠性和经济特性的基础上,建立了基于设备可靠运行区间重叠度的维修决策模型。定义了各功能部件可靠运行区间的重叠度以量化各部件维修后可靠性的匹配程度,为维修结果的评价提供了新思路。通过合理维修提高功能部件的可靠运行区间重叠度,在维修成本一定的情况下,设备的可靠工作时间大幅增加。算例表明,该方法综合考虑了不同维修手段的选择,对于各功能部件可靠度衰减梯度差异较大的设备维修有明显的优化作用,能够为决策者提供更充分的定量决策依据。 基于事务的SMC动态演化容错机制 "为提高""软件人""构件动态演化失败时的容错能力,提出一种基于事务的""软件人""构件动态演化容错机制。通过在""软件人""构件的管理外壳中扩展事务元接口,使""软件人""构件具备事务操作能力,定义演化失败时的事务处理流程;为支持""软件人""构件的分布式事务处理,提出一个轻量级的、支持""软件人""构件分布式动态配置的事务处理实现框架,为""软件人""构件动态演化失败时容错恢复的实施提供有效保障。" 普适计算环境中异构设备交互协调性软件架构 普适计算的应用对计算科学的各个方面都提出了新的要求和挑战。其中在系统软件上,由于各种异构设备交互协同的需求对系统软件提出了前所谓有的挑战。旨在嵌入式异构设备系统之上,提出一种符合普适计算环境下设备间相互交互协作要求的软件体系结构,并在此基础之上提出一种普适环境下异构设备协作模型和协作机制,并对该协作机制给出了相应的算法流程。 约束逻辑程序的良基模型研究 在介绍约束逻辑程序的定义、可满足性及其稳定模型等概念的基础上,研究约束逻辑程序的正文字展开方法和约束原子的正文字前缀幂集方法,对展开前后逻辑程序的等价特性进行逻辑证明。分析正规逻辑程序良基模型的构建方法,以求得经展开得到的等价正规逻辑程序的最小不动点为切入,给出简单约束逻辑程序交替不动点的良基语义模型。经推理证明,该良基模型是合理的,用该模型的构建方法将约束逻辑程序转化为正规逻辑程序也是可行的。 水下等离子体放电的电磁辐射脉冲测量方法 水下等离子体声源应用越来越广泛,但其电磁辐射脉冲测量却是一个空白和难点;分析了水下等离子体声源的工作特性,论证了电磁辐射脉冲测量设备,根据国家标准及电磁场辐射理论制定了测量方案,建立了水下等离子体声源电磁辐射脉冲测量系统;结合水下等离子体放电实验,对辐射电场和磁场进行了实时测量,并利用Matlab软件对测量数据进行了分析处理,研究了水下等离子体声源电磁辐射脉冲的时域频域特性。测量结果显示,在10μF/16kV电弧放电条件下,触发脉冲辐射的电场强度为45dBV/m、磁场强度为-112dBA/m,放电脉冲辐射的电场强度为35dBV/m、磁场强度为-98dBA/m。与国家标准规定的限值比较,辐射脉冲还未超过限值。 基于Android平台的隐私泄漏静态检测工具的分析与比较 近年来,Android平台应用程序的隐私泄漏问题受到越来越多的关注。应用程序恶意获取用户隐私信息将会增加智能手机用户的隐私泄漏风险,针对该问题,国内外研究人员研究并提出了多种Android平台应用程序的隐私泄漏检测工具。对9种Android平台应用程序的隐私泄漏静态检测工具进行了分析与比较,总结了这些静态检测工具的检测对象、检测方法、能够检测的错误类型和检测效果,并为两种开源工具FlowDroid和IccTA设计了相关实验,以检验其性能及检测效果。针对50个下载的应用程序,FlowDroid成功检测出9个应用存在隐私泄漏,IccTA成功检测到7个组件间泄漏;针对12个自主设计的测试集,FlowDroid和IccTA都成功检测出其中涉及的多种隐私泄漏。 设计意图捕捉与参数化设计集成研究 为实现意图捕捉、建模和求解,并且使求解结果可直接作为参数化设计的输入,提出了意图域、载体域和信息域三个概念。从需求的角度分解设计意图,形成意图域;在意图域的指导下,对产品进行结构划分,形成载体域。用意图域映射载体域,形成含有设计信息的信息域。信息域中每个信息单元为一个独立的物理模型,应用优化理论求解模型,得到参数化设计中的主参数。以叉车设计过程为例验证了所提方法的有效性。 高铁客运站技术设备使用时段分配网络模型 针对计算机编制高铁客运站技术作业计划的方法进行研究,为开发计划自动编制系统及模拟仿真程序提供指导。方法基于模块组合思想,利用网络对空间结构的描述能力,通过定义不同技术设备的描述符号以及相关计算法则,结合专为各种性质列车分别创建的网络变换建立高铁客运站技术设备使用时段分配网络模型。模型采用按一定顺序寻找满足要求的网络通路并进行网络变换的方式,在确保各项作业安排限制后续安排的同时消减后续作业安排对前期安排的影响,保证求解所得方案具有可执行性。实例模拟结果表明,模型的实现具有可操作性,且实现过程方便直观,所编制的技术作业计划也可实现,能够用于指导现场工作,应进一步研究完善以提高所编制计划的质量。 基于模糊时序分类与加权相似度的推荐算法 为解决用户推荐过程中的数据稀疏性和冷启动问题,通过构建模糊时序分类模型设计相似度加权推荐算法。预处理数据时结合用户属性标签和时间维度建立模糊时序分类模型,并采用拉格朗日插值法进行空白数据的预测填充。针对不同用户个人评分偏高或偏低造成的评分差异以及单方面评级问题,利用相似度加权融合方法提高算法准确性。实验结果表明,该算法能有效降低平均绝对误差,提高推荐质量。 脉冲防暴水炮近流场的数值模拟 为研究脉冲防暴水炮非稳态气液两相射流的雾化机理,采用一方程大涡模拟耦合有界压缩型VOF方法构建数值计算模型,对近流场进行数值模拟。从喷雾形态看,由数值模拟得到的气液两相界面分布图与近流场的高速摄影图像相符程度较高。对两者进行分析比较后发现,在近流场中高压气体膨胀为射流发展的主要动因。 一种基于支持向量机的入侵检测模型研究 入侵检测系统都存在误报、漏报及实时性差等缺点,需要大量或者完备的审计数据集才能达到比较理想的检测性能,并且训练学习时间较长,将支持向量机应用到入侵检测中,在先验知识不足的情况下,支持向量机分类器仍有较好的分类正确率,从而使得整个入侵检测系统具有较好的检测性能。 基于后退式搜索的自适应多叉树防碰撞算法 针对无线射频识别(RFID)系统中常见的标签防碰撞问题,在后退式搜索算法的基础上提出了一种改进的多叉树防碰撞算法。根据标签碰撞的特点,采用休眠计数的方法,以及遇到连续碰撞位时进行四叉树分裂的策略,使得在搜索过程中能够动态选择分叉数量,缩短了标签识别时间,有效地提高了算法的搜索效率。性能分析表明,该算法的系统识别效率达76.5%,且随着标签数目的增多,优越性更加明显。 新型信号预处理分离系统算法及性能分析 提出了一种新的信号预处理半盲分离算法(pre-processing second-order cyclostationary BSS algorithm,pPSoCBSS).该算法通过对信号进行预处理,使源信号具有可控的循环平稳特性,利用该特性在接收端对信号进行有效分离.此方法通过对发射端源信号进行预处理、信道识别和信号分离,使接收端可以精确地估计信道混合矩阵,并且具有优越的抗噪声特性,该方法即使在欠定的条件下也同样可以进行信道识别且性能没有损失.同时,通过计算机仿真对分离矩阵的估计效果采用PI指数进行衡量,并与JADE算法和SOBI算法进行了比较,仿真结果表明采用算法PI指数性能优于两种传统算法. 人体语音特征提取身份优化验证仿真研究 对人体语音征提取身份优化验证,可为说话人识别奠定基础。进行人体语音征提取身份验证时,应分析人体语音音段韵律特征矢量序列,提取最优音段韵律的高维特征值和特征向量,但是传统方法通过对标注音节的持续采样点数进行分析完成检测,但是不能精确分析人体语音音段韵律特征矢量序列,无法准确提取最优音段韵律的高维特征值和特征向量,存在人体语音征提取身份验证误差大的问题。提出一种改进混沌的人体语音征提取身份优化验证方法。上述方法先融合于混沌理论采集人体发声过程中音段韵律原始信号,将原始韵律信号映射到高维空间实现音段韵律相空间重构,映射相空间中音段韵律间相邻轨道发散的平均变化率,然后利用K-均值聚类的方法对音段韵律的语音帧进行聚类,获取规范化的音段韵律特征矢量序列,将规范化的音段韵律特征矢量序列投影到音段韵律高维核空间中,提取最优音段韵律的高维特征值和特征向量,依据人体语音征提取身份优化验证,仿真结果证明,所提方法特征提取精确度高,能够有效地提升人体语音征提取身份验证的辨识率。 基于改进蚁群算法的关键链项目进度管理研究 项目进度管理是项目管理工作中的重要内容,关键链法是目前项目管理中较为常用的进度管理方法之一,其本质为多约束优化问题。结合混沌运动与遗传算法的优点,对蚁群算法进行改进,并将其应用于解决关键链项目管理的优化调度问题。克服了蚁群算法由于前期信息素匮乏而导致的需要较长时间进行搜索、容易得到局部最优解的缺点,使混合算法的搜索范围有所增加,蚁群群体的进化速度得到提升,并保持了蚁群算法鲁棒性及收敛性,且算法的计算精度较高,求解速度较快。实例对比分析表明,在求解关键链项目进度管理问题上,混沌蚁群进化算法比遗传蚁群算法更具有优势。 基于平均奖赏强化学习算法的零阶分类元系统 零阶学习分类元系统ZCS(Zeroth-level Classifier System)作为一种基于遗传的机器学习技术(GeneticsBased Machine Learning),在解决多步学习问题上,已展现出应用价值。然而标准的ZCS系统采用折扣奖赏强化学习技术,难于适应更为广泛的应用领域。基于ZCS的现有框架,提出了一种采用平均奖赏强化学习技术(R-学习算法)的分类元系统,将ZCS中的折扣奖赏强化学习方法替换为R-学习算法,从而使ZCS一方面可应用于需要优化平均奖赏的问题领域,另一方面则可求解规模较大、需要动作长链支持的多步学习问题。实验显示,在多步学习问题中,该系统可给出满意解,且在维持动作长链,以及克服过泛化问题方面,具有更优的特性。 无动力滑翔弹在风干扰下的控制与仿真研究 风场是无动力滑翔弹飞行过程中的一项重要干扰因素。针对滑翔导弹的气动力模型和其在不同飞行时段的运动特点,建立了航弹的姿态控制模型和制导律模型。并在一定的初始投抛条件下,利用C++与Matlab飞行仿真软件混合仿真,对航弹的飞行参数进行了大量的计算和相应的6-D飞行轨迹仿真。提出了无动力飞行器的姿态控制方法和计算机软件实现仿真的技术途径。仿真结果表明风干扰是影响无动力滑翔弹控制系统稳定性和精度的重要因素。对于具有滑翔段的飞行器在实际设计中很有参考价值和工程实用价值。 基于零级泛与运算的谓词形式系统及其完备性 泛逻辑是在研究柔性世界逻辑规律时发现的一个新的连续值的逻辑体系,它通过引入广义相关性和广义自相关性刻画命题之间的相互关系.本文主要解决基于零级泛与运算的一阶谓词演算形式系统ULh∈(0,1]的完备性.通过引入全称量词和存在量词,建立与命题形式系统ULh∈(0,1]相对应的一阶谓词形式系统ULh∈(0,1],并证明其完备性定理.从而得到系统ULh∈(0,1]的语义和语构是和谐的. 多视图立体三维重建中的孔洞修复算法 为了解决多视图立体三维重建算法不能很好地处理弱纹理或无纹理及高光区域的重建问题,提出一种基于可见外壳与多视图三维点云有机融合的多视图立体三维重建孔洞修复算法.该算法以可见外壳及多视图三维点云为输入,首先提取出可见外壳内满足点云稀疏度约束的叶节点,然后利用可见外壳法向量射线约束去除包裹在三维点云外层的叶节点,最后通过加入三维点云曲面曲率约束来消除点云中凹陷区域的影响.实验结果表明,文中算法有效地解决了物体缺乏纹理区域表面的孔洞修复问题,使得最终生成的三维网格模型完整和平滑,具有参数可调、易于实现的特点,对于不同的模型都具有非常好的鲁棒性. 一种基于分层无线传感器网络的路由算法 "在多跳无线传感器网络中,靠近sink的节点由于需要转发来自外部的数据,其能量消耗速度快于离sink较远的节点,从而导致""能量空洞""的出现。采用分层的网络结构能够有效延迟能量空洞的出现。在分析现有路由算法的基础上,结合分层的思想,对现有算法的路由算法进行了改进,提出了分层网络中各层环内最佳簇头和成簇概率的计算方法。在路由发现阶段引入了簇头路由指标,用于控制路由簇头接纳的路由数量,从而平衡了环内各个路由簇头的能量消耗。仿真实验结果表明,新的路由算法在网络生存时间、能耗均匀程度方面均优于现有算法。" 一种新的固定点容积Rauch-Tung-Striebel平滑算法 针对在目标跟踪实际应用中对于观测时间区间的某个固定时刻估计值精度的要求,提出了一种运用于非线性模型中的目标跟踪算法——固定点容积Rauch-Tung-Striebel平滑。该算法将高斯最优平滑中固定点平滑策略与传统的运用于非线性状态空间模型的容积Rauch-Tung-Striebel平滑相结合,有效地提高了固定点估计值的精度。仿真结果验证了新算法的有效性。 基于内容的图像情感语义检索研究 基于内容的图像检索是使用图像的底层视觉特征对图像进行检索,使检索结果在视觉角度上尽可能相似。但能否通过图像的底层特征来准确体现人对图像的视觉感知(即图像的情感语义)有待于进一步的探索。首先构建检索性能较好的基于内容的图像检索系统,并针对分类标准不同的两类图像库进行多次实验。实验证明,图像的情感语义无法通过单一的图像底层特征描述。 一种方向链码扫描与跟踪的图像细化后期处理算法 目标图像骨架的提取是智能分析中的重要组成部分,利用Zhang并行细化算法提取的目标骨架不是单一像素且极易产生毛刺。提出一种获取单一像素并消除毛刺的快速目标图像骨架提取算法。该算法首先对提取得到的目标二值图像进行形态学预处理,然后结合8邻域方向链码扫描编码原理对细化后的图像进行单一像素处理,最后采用优化的8邻域方向链码来消除毛刺。实验结果表明,提出的算法不仅效率高,而且能够很好地获得单一像素宽度、无毛刺的骨架。 搜索雷达目标回波射频模拟试验设计与仿真 使用真实目标的雷达试验耗费巨大且难以重复多次进行,中视频模拟式雷达试验不能完整地测试整个雷达系统且需要模拟复杂的雷达杂波。为克服上述困难,针对搜索雷达,设计了一种在外场进行的从雷达天线旁瓣注入模拟目标回波的雷达射频模拟试验,并介绍了试验基本原理,指出了该试验相较于实测试验与中视频模拟试验的优势,推导出了试验中模拟器相关辐射参数计算公式,建立起了模拟回波数学模型,最终设计出了模拟试验流程。以二坐标搜索雷达模拟试验为例进行了仿真,仿真结果验证了试验的有效性。 采用信任网络增强的协同过滤算法 由于数据稀疏性问题的普遍存在,不仅传统的协同过滤系统中使用单一相似度进行的推荐不具备较高的可信度,而且共同评分项过于稀疏也会导致其推荐性能大打折扣。针对以上问题,提出了一种采用信任网络增强的协同过滤算法(记为ECFATN)。通过引入社会网络中常用的信任关系,即在原始的用户—项目评分矩阵上,通过信任计算建立用户间的信任关系,并使用传播规则传递信任关系,构建一个用户信任网络;最终使用用户间的信任度与相似度线性加权作为新的权重进行推荐。在真实的数据集上进行测试,实验结果表明,ECFATN算法不仅在一定程度上缓解了数据稀疏性问题并提高了推荐精度,而且由于信任关系的引入,对于用户冷启动问题也有较大的改善。 面向2D对象形状识别的空间模糊查询研究 基于空间形状的查询与认知主体的空间推理密切相关。从空间认知的角度,通常希望查询结果是一类形状结构相似的对象集合。以形状的不确定性表达和模糊查询为研究内容,提出一种面向2D对象形状识别的空间查询方法——向心包络算法。算法将对象划分为以最大内径中心为公共点的三角形集合,在此基础上建立相应的形状度量方法,通过提取所有顶点关于对象整体结构的形状影响因子求得对象之间的形状相似度,并建立与模糊形状谓词的匹配关系。实验表明,该方法可以实现2D对象的空间模糊查询,且查询结果与模糊形状谓词基本一致。 基于故障树和神经网络的火箭故障诊断方法 针对运载火箭的复杂特性以及传统BP算法的收敛速度慢、极易陷入局部极小的缺点和实际的故障样本少的特性,提出了一种基于故障树和SVM(Support Vector Machine)算法神经网络的故障智能诊断方法。通过采用分层树形结构表示规则间的逻辑关系、广义规则表示知识及条件表等拆解节点,从而建立基于故障树的知识库。用SVM算法来构建计算网络;网络不仅能对线性函数进行计算而且也能将非线性问题线性化,从而使得该方法在应用中具有极强的泛化性;故利用该网络的智能学习功能来对输入数据进行智能计算判别,从而达到对运载火箭故障的分析、定位和诊断。最后,以CZ-3型运载火箭为诊断对象进行了仿真验证,结果表明该方法提高了诊断能力。 基于模板的汉英专利机器翻译研究 为了改善专利文献的机器翻译效果,提出了一种基于模板的机器翻译方法。通过分析汉英双语对齐的专利文献语料,人工书写了600余条模板,模板的设计思想源于弱化的正则文法;设计和实现了一个模板翻译模块,并将其与已有的一个基于规则的机器翻译系统相融合。实验结果表明,40%以上的测试文本的翻译质量得到了提高,因此,这种基于模板的方法对于改善专利文献的机器翻译效果是有效的。 延迟容忍网络中移动模型对路由算法的影响 延迟容忍网络是一种新型的自组织网络。由于其高误码率、长延迟以及动态的拓扑结构,导致网络中难以维持稳定的端到端的路径。路由算法是延迟容忍网络中研究成果最丰盛的领域,研究者们也取得了许多具有代表性的研究成果。在网络中,移动模型控制着节点的运动方式,确定节点移动的方向、速度,包括在某一点停留的时间。然而,在通常情况下,研究人员往往只在单一的移动模型下评估所提出的新的路由算法,而忽视该算法在其他移动模型下的表现。文中从传输成功率、平均延迟和路由开销三个方面重点研究不同的移动模型对路由算法的影响。实验采用ONE模拟器,仿真了节点在南京市特定区域上的移动情形,得出了路由算法在不同移动模型下的性能表现折线图。结果表明:移动模型对路由算法有着巨大的影响。 一种基于Hadoop的高效K-Medoids并行算法 针对传统K-Medoids算法对初始聚类中心敏感、收敛速度慢,以及在大数据环境下所面临的内存容量和CPU处理速度的瓶颈问题,从改进初始中心选择方案和中心替换策略入手,利用Hadoop分布式计算平台结合基于Top K的并行随机采样策略,实现了一种高效稳定的K-Medoids并行算法,并且通过调整Hadoop平台,实现算法的进一步优化。实验证明,改进的K-Medoids算法不仅有良好的加速比,其收敛性和聚类精度均得到了改善。 上海卫生监督信息数据规范制定方法研究 信息标准是信息化建设的基础工作和信息交换与共享的基本前提。研究卫生监督信息化的分类与框架以及数据元与代码的制定方法,可以为上海卫生监督信息化进程提供数据规范服务,促进上海市卫生监督信息化的建设。结合上海市公共卫生突发事件信息系统项目的建设,从卫生监督信息标准制定方法和流程、卫生监督信息分类框架、数据集与代码的编制等方面,描述了上海卫生监督信息数据规范的制定方法。 基于ARM-3S的物流监控系统研究与设计 从物流监控系统的现状与需求出发,深入研究了基于嵌入式技术的物流监控系统的整体结构,提出了基于ARM9微处理器、GPRS无线传输、GPS定位以及RFID射频识别技术的物流监控网络体系的设计方案;通过构建物流监控系统的整体架构与分析3S通信网络的实现方案,实现了物流信息的智能化管理;文章在Linux和ARM S3C2410X处理器为核心的终端软硬件系统上,扩展了无线通信模块,有效实现了物流车辆的定位与实时控制、货物在途的相关监测。 最大间隔集成学习算法与应用 针对集成学习算法的不足,提出了一种新颖的集成学习算法-集成最大间隔集成学习算法(MMEA)。该算法的时间与空间复杂度都是O(N),而标准的SVM算法的时间复杂度是O(N3),空间复杂度是O(N2),其中N是数据样本的大小,并从理论上证明了MMEA算法的收敛性。用MMEA算法与Bagging LibSVM,AdaBoostLibSVM,BaggingLiblinear,AdaBoostLiblinear流行的集成算法对扩展的MIT人脸数据集进行分类。实验结果表明,提出的MMEA算法在多项指标上均达到最优。 一种高效的社交网络朋友推荐方案 当今社会,人们越来越多地通过社交网络来发言、聊天、交友。在互动过程中,除了用户主动关注感兴趣的人之外,社交网络也会为其推荐朋友。然而,所推荐的朋友大部分只是社交网络的推广,不一定符合用户的兴趣。针对社交网络推荐朋友的随机性和不可靠等问题,研究并提出了一种基于用户兴趣标签匹配的高效朋友推荐方案。首先,通过Word2Vec来训练语料库中的关键词,得到每个关键词的向量,产生一个词向量空间。其次,利用余弦相似度技术计算关键词之间的相似度并通过实验进行比较。实验中,综合选取合适的相似度值作为两个词向量是否相似的判断阈值。最后,将选取的相似度阈值应用到所提出的朋友兴趣匹配推荐算法中,并进行性能测试和各方案的仿真比较。结果表明,所提出的方案可靠且准确。 数据立方体选择的改进遗传算法 数据立方体选择问题是一个NP完全问题。研究了利用遗传算法来解决立方体选择问题,提出了一个结合局部搜索机制的遗传算法。这一算法的核心思想在于,首先运用一个基于单位空间最大收益值的预处理算法来生成初始解,然后该初始解经结合了局部搜索机制的遗传算法进行提高。实验结果表明,该算法在寻优性能上优于启发式算法和经典遗传算法。 基于虚拟样机的无返回力矩钟表机构仿真分析 研究无返回力矩钟表机构计时特性问题,传统的仿真分析大多只考虑擒纵机构的参数对G摆卡子振动特性的影响,而忽略了传动机构对其的影响。为了准确预测钟表机构延期解除保险时间,提出将虚拟样机技术应用于钟表机构计时特性研究中。在UG中建立好三维装配模型,并利用Adams软件平台建立完整的无返回力矩钟表机构虚拟样机,分别对传动机构的理想状态、计及传动轴系变形以及考虑传动轮系接触碰撞三种模型进行了多体动力学仿真。仿真结果更接近实验值,证明虚拟样机模型的正确性,表明传动机构的轮系接触碰撞也同样影响G摆卡子的振动特性,所得结论对擒纵机构零件的设计与强度校核具有重要的参考价值。 基于DNA图谱的藏药品质检测方法研究与仿真 研究基于DNA图谱的藏药品质准确检测问题。每种藏药成分都具有独特的气味,长期放置的藏药,藏药的气味特征会淡化,造成基于气味特征转化的DNA图谱信息丢失、模糊。针对DNA图谱信息丢失、模糊,造成无法识别模糊DNA图谱信息,藏药品质检测准确率过低,提出了峰谷谱带识别算法的藏药品质检测技术,利用高斯滤波方式进行模糊噪声抑制,根据峰谷谱带识别方式进行残缺图谱泳道分割处理,克服传统方法的缺陷。实验证明,峰谷谱带识别算法的藏药品质检测技术提高了检测的准确率,避免了藏药长期存放对监测正确率的干扰。 基于空域差分直方图差异的MB隐藏检测 基于模型(MB)隐藏会使图像的纹理分布趋于一致,使其难以被检测。针对该问题,利用空域差分直方图能较好度量图像纹理的特性,提出一种新的图像MB隐藏检测算法。分析JPEG图像空域差分直方图在MB隐藏前后的变化情况,结合校准和重嵌入技术,实现MB隐藏的有效检测。实验结果表明,该算法具有较高的检测率和较低的虚警率。 面向小运动目标的压缩域跟踪方法 压缩域跟踪是直接从压缩码流中提取运动矢量和块编码模式来实现目标对象的跟踪.针对现有压缩域跟踪方法对小运动目标跟踪性能较差的问题,本文提出了一种面向小运动目标的压缩域跟踪算法.在分析现有算法不足原因的基础上,本文从起始帧掩模的获取、离群值边界的设置和预测跟踪小目标的边缘控制三个方面提升小目标跟踪的性能,并通过数据驱动的方法寻找到块编码感知的系统参数优化.所提算法在三个小目标视频序列上进行了测试,实验结果表明,与其它压缩域跟踪算法相比,本文算法可以有效地提高小运动目标跟踪的准确率和F度量. 面向服务的供应链绩效评价研究 面向服务的架构SOA是一种应用程序体系结构。从国内外供应链管理绩效测评方法研究存在的不足出发,结合供应链绩效管理需求,介绍了SOA定义及其特性,构建了面向服务的供应链绩效管理评价体系架构;描述了其业务应用层、服务总线层及基础服务层的基本组成与功能;分析了该评价体系的运行方式。 一种考虑洋流影响的AUV组合导航算法 针对由捷联惯导(SINS)、多普勒测速仪(DVL)以及深度传感器组成的自主水下航行器(AUV)组合导航系统,当DVL测量距离无法达到海底的情况下,洋流是该系统主要误差源之一的问题,在SINS/DVL组合导航算法的基础上,提出了一种在原算法中加入洋流信息提高系统导航定位精度的方法,并将以上两种导航算法解算出的AUV位置信息进行仿真对比,仿真结果表明:与未考虑洋流信息的算法相比,加入洋流信息的算法能够有效提高AUV的定位精度。 基于个性化订制与跨语言搜索技术的网络信息服务平台 针对目前普遍使用的网络搜索引擎存在缺乏个性化服务、单语言信息搜索和被动响应搜索请求三大功能局限,提出一种基于个性化订制、跨语言网络搜索及主动推送服务的网络信息服务平台构想。介绍了系统的实现原理和逻辑架构,并对系统关键技术进行了分析和研究。 基于XML的P2P网络资源检索系统 结合P2P技术和XML技术在挖掘网络上广泛分布的异构资源的优势,构建了一个基于XML的高效的P2P分布式网络资源检索系统,采用强大的全文检索开源工具包Lucene进行了实现,并对核心功能在基于android平台的移动客户端进行了延伸.本系统的设计和解决方案对于解决网络上异构资源的共享具有重要的借鉴意义. 基于VXI总线的导弹燃气联试自动测试系统实现 根据某导弹燃气联试的要求,将VXI总线技术与虚拟仪器技术相结合应用到燃气联试系统中。完成符合VPP规范的模块的仪器驱动程序和可独立运行的仪器软面板的完整设计,特别介绍了8通道并行A/D的驱动程序设计。重点研究燃气联试软件设计,实现燃气发生器压力测试、侧燃发生器压力测试、燃气联试任务,完成对试验信号记录和燃气参数测试工作。研究成果对促进军工设备的智能化和模块化具有重要意义。 自适应模糊决策树算法 为改善模糊决策树算法凭经验设定参数值的不准确问题,在分析模糊决策树算法的主要参数特征后,提出使用粒子群算法智能设定参数值的自适应模糊决策树算法。实验表明,与经验设定参数值的模糊决策树算法相比,自适应模糊决策树算法生成的模糊决策树的性能明显提高;最后,通过实验数据分析了关键参数之间存在的交互影响关系。 WordNet中概念语义相似度IC参数模型研究 给出了一个新的用于计算WordNet中概念的语义相似度的IC(信息内容)模型。该模型以WordNet的is_a关系为基础,只通过WordNet本身结构就可求出WordNet中每个概念的IC值,而不需要其他语料库的参与。该模型不仅考虑了每个概念所包含的子节点的个数,而且将该概念所处WordNet分类树中的深度引入到模型当中,使得概念的IC值更为精确。实验结果显示将该模型代入到多个相似度算法当中,可以明显提高这些算法的性能。 基于单片机和LabVIEW的无线火灾监测系统设计 设计一款无需布线、人机交互界面好、系统数据及信息显示直观的RFTR1无线火灾监测报警系统;系统以单片机STC12C5A60S2为核心控制器,利用LabVIEW开发环境设计上位机的监测界面,上位机通过串行口与单片机通信,从而实现对多路数据的采集与监测;文中重点介绍系统的实现过程,设计并解释系统的硬件连接,对系统作软件设计,解释了LabVIEW监测平台的应用;经测试火灾监测系统运行正常,无线通信距离较远(无障碍时达1 000m),系统具有对功能变化的适应性强、抗干扰能力强、安装调试简便等特点。 石油管式加热炉燃烧流场的数值仿真 管式加热炉是石油化工企业的重要耗能设备,对燃烧优化操作向来是研究的热点。但由于内部燃烧炉况较为复杂多变,导致传统的监测手段存在测量精度不高、实时性不够且设备成本高昂等问题。利用计算流体学对工业燃烧和传热过程进行数值仿真,研究内部温度场、流场等特性是一种行之有效的方法。通过选择不同的燃烧和辐射模型,研究对炉内燃烧过程的影响,确定研究对象的最佳数学模型,并分析比较不同空预温度对燃烧炉况以及污染物排放特性的影响,确定较为优化的炉况操作条件。结果表明:采用标准k-ε两方程模型、PDF燃烧模型、P-1辐射模型以及SIMPLE算法,仿真加热炉的非预混燃烧完全合理,适宜的空预温度操作范围有助于提高加热炉燃烧热效率。 大型换乘站客流组织优化技术研究 大型换乘站具有客流量大、客流状况复杂的特点,需要进行有序组织,传统算法主要采用城市道路交通预测方法进行客流组织。忽略了突发情况下大型换乘站客流的盲目性和无序性,导致大型换乘站客流组织效果差,严重的影响大型换乘站的正常运营。提出一种新的大型换乘站客流组织优化技术,对人群正交实验结果进行分析与预测,在预测的基础上建立调度模型,选取符合要求的客流组织评价指标,通过对大型换乘站内乘客的常规活动进行仿真,运用灰色定权评价法对车站的实际客流运行情况进行判定,根据判定结果实时调整大型换乘站客流量,实现客流组织优化。仿真结果表明,优化方案可以对大型换乘站客流进行有效的组织。 基于GA的知识约简及其在电力GIS中的应用 为了快速高效地对海量GIS数据进行知识约简,分析了常见的约简算法及各自适用的范围。在此基础上,提出了基于遗传算法的约简方法,通过选取有效的适应度函数,很大程度上提高了约简的性能。引入了自适应遗传算法的思想,使适应度函数中的因子能够随适应度的改变自动改变,从而增强了算法的全局寻优能力。通过对电力业务中属性数据的实例分析,表明了该算法在海量数据约简方面的可行性和有效性。 基于Docker的Hadoop平台架构研究 Hadoop作为云计算中重要的大数据处理平台,需要较高的读写速率。传统的虚拟化技术对于物理主机的资源利用率,无法达到真实物理主机的水平。同时,传统虚拟化技术难以灵活配置文件和自动化创建、部署机制。容器是基于共享Linux内核的一种虚拟化技术,能够达到接近物理主机的资源利用率。Docker是一种轻量级新兴的虚拟化容器技术,在复杂的集群系统的搭建方面,具有可移植、易使用、跨平台等优势。所以,在复杂的分布式应用集群的部署中,Docker能够快速、准确、标准化封装应用程序并自动化部署整个运行环境。因此,Docker是容器虚拟化技术下一个相对成熟的实现方案。通过实验验证了Docker相比传统虚拟化技术在读写性能上的优势,并构建了基于Docker的Hadoop平台,讨论了在Docker上构建Hadoop的优势。 自适应对偶树复小波-Curvelet变换的遥感图像融合 Curvelet变换克服了小波变换在处理高维信号时的不足,比小波变换具有更好的方向性、较高的逼近精度和更好的稀疏表达性能。因此将Curvelet变换应用于图像融合领域,能更好地提取图像边缘特征,为融合提取更多的特征信息。利用对偶树复小波-Curvelett变换的多尺度和多方向性特征以及自适应融合规则在选取融合系数上的优势,提出了一种基于对偶树复小波-Curvelet变换的自适应遥感图像融合新算法。算法是将全色图像和多光谱图像进行对偶树复小波-Curvelet变换分解后,针对不同的频率域特点选择不同的融合规则,对低频系数选取区域能量的加权系数自适应融合规则,对高频系数特性选用了区域特征自适应的融合规则,最后通过重构得到融合图像。将其他的融合算法和所提算法进行主观和客观的对比,结果表明,基于对偶树复小波-Curvelet变换区域特征自适应的图像融合算法是一种有效可行的图像融合算法。 磷酸铁锂电池的保护监测系统分析 针对目前性能稳定应用于电动自行车、电动高尔夫球车、电动汽车的磷酸铁锂电池动力模块,本文介绍其保护监测系统的软件设计及硬件基础,实现电池组的有效管理和监控,解决锂电池应用过程中安全性差、充电一致性差等技术难题。 Plateaued函数的对偶性 研究了Plateaued函数的对偶性;基于Plateaued函数对偶性的定义及函数限制的理论,得到了Plateaued函数与其对偶函数在子空间上的限制及正规性上的对应关系;利用Plateaued的对偶这一工具,得到了两个Plateaued函数的互相关平方和指标的界。 欢迎阅读/订阅2014年《计算机科学》杂志 "[正文]《计算机科学》杂志系""中文核心期刊""、""中国科技论文统计与分析用期刊""、""中国期刊方阵双效期刊""和""中国科学引文数据库涞源期刊""。主要报导国内外科学与技术的发展动态,内容涉及程序理论、计算机软件、计算机网络与信息、数据库、人工智能、人机界面、国际会议、应用等。" 基于视觉的航天电连接器的智能识别与装配引导 为提高操作空间狭小的精密航天产品的手工装配效率,提出一种基于视觉的航天电连接器的智能识别与装配引导方法。利用肤色特征与零件的轮廓特征提取原始图像中零件所在的区域。提取电连接器的斑点特征和尺度不变特征变换特征放入支持向量机的分类器,训练得到零件训练模型。借助Hough变换与零件训练模型实现了电连接器的在线分类识别,整个识别过程无需人工干预。通过预先构建完善的三维装配工艺信息模型,利用识别结果实时触发并调取对应零件的装配工艺信息,用于指导装配。实例验证表明,零件识别平均准确率达90%以上,单幅图片识别时间在2s内,能够满足在线识别精度和效率的要求。 符合AUTOSAR规范的底层驱动软件开发 为快速高效地开发稳定的符合汽车开放系统架构(AUTOSAR)规范的底层驱动,从底层驱动接口标准、参数配置接口、错误处理机制等方面研究符合AUTOSAR规范的底层驱动设计开发方法,并以CAN驱动的开发为例验证该方法的可行性。测试结果表明,利用该方法开发的驱动程序能有效捕获CAN控制器运行时发生的错误,并抛出相应的异常和激活相应的异常处理程序,从而可降低驱动错误对上层系统稳定性的影响。 基于OOXML格式的电子表格组件的设计与实现 介绍基于OOXML格式的电子表格组件的独特功能和应用前景,阐述该组件的设计方法和实现途径,实现电子表格全部功能的一套API接口,为应用程序开发人员二次开发、创建和管理电子表格文档和数据提供了解决方案。 基于配置空间理论的启发式空间调度算法 针对船舶分段建造等空间问题,对分段和组立平台进行抽象,建立数学模型,在配置空间理论的基础上提出基于任务优先级和启发式空间布局规则(最大残余空间利用规则、初始配置规则和BL矩形规则)的单场地空间调度算法。在不同规模的实验数据下与传统的基于网格的近似全局搜索算法进行对比,结果表明所提算法在相对短的运算时间内能够获得更优的调度方案。 基于节点相似度的社团检测 针对目前社团结构检测算法计算量大以及不稳定的问题,在经典的Newman快速与LPAm的基础上提出了一种基于局部信息的社团发现新算法。算法利用节点度和共享邻居数定义节点相似度,并结合两个预设参数,逐步优化社团结构。性能分析证明,该算法不仅具有线性阶时间复杂度,而且是一种稳定的算法。实验结果表明,该算法在准确度上优于Newman快速和LPAm,且可行与有效。 TDCOL:列式存储的XML关键字查询处理策略 针对已有方法在XML数据上基于SLCA(smallest lowest common ancestor)语义处理查询时存在的冗余计算问题,提出了一种基于列存储的倒排索引CList,用于避免已有方法的倒排表中相同数据重复存储的问题。基于CList,提出了一种自顶向下的查询处理算法TDCOL(top-down SLCA computation based oncol-umn storage)来提升系统的处理性能。对于给定查询Q={k1,k2,...,km}的每个公共祖先结点,TDCOL在保证仅处理一次的情况下即可得到所有满足条件的结果,因而将时间复杂度降为O(m′|LID1|′lb|Skmaxch(v)|),其中|LID1|是Q的最短倒排表中包含的不同ID值的数目,Skmaxch(v)是所有被处理结点的包含关键字的孩子结点集中的最大集合。最后通过比较各种指标,从不同角度对TDCOL算法的性能优势进行了验证。 基于集对分析与演化细胞学习自动机的质量—成本控制方法 为了研究不确定信息对供应商参与下的产品质量—成本控制过程的影响,基于集对分析建立了质量—成本控制的多目标贴近度优化模型。对演化细胞学习自动机算法进行适应性改进后用于求解该优化模型,并得到相对确定条件下质量—成本控制方案集合的优劣排序——基序。考虑到不确定因素的影响,利用模糊集值统计法获得差异度系数后,按照联系度对基序重新排序,进而筛选出最佳的产品质量—成本控制方案,并为每种零部件选择合理的供应商。以大型空气分离设备的质量—成本控制问题为例进行仿真计算,结果表明了所提方法的可行性与有效性。 用于推荐系统聚类分析的用户兴趣度研究 根据推荐系统对用户(商品)聚类的要求,探讨采用用户(网页)兴趣度进行聚类分析的合理思想。通过用户浏览时间、浏览行为以及网页信息量差异等因素的对比,得出用户对某类商品的兴趣度计算方法。借助阈值的设定,定义了用户感兴趣的商品集、商品的感兴趣用户集和兴趣相似的用户集,得到了基于用户兴趣度的用户聚类的一般过程,具有一定的推广价值和借鉴意义。 基于JSF和JPA框架的高校项目管理系统设计与实现 在对高校项目管理现状分析的基础上,提出JSF和JPA相整合的集成框架,并在J2EE平台的基础上,利用该框架构建高校项目管理系统。目前,该系统已成功在南阳理工学院投入运行。高校项目管理系统的使用,能够弥补传统手工管理项目的缺陷,提高高校项目管理的质量和效率。 时间敏感的社交网络热点话题检测 为降低算法复杂性以及改善其普适性,提出了一种时间敏感的新型话题检测技术。该技术抽取微博内容,根据一个新型老化理论建立了词语生命周期模型,来挖掘最新出现的术语。若一个词组在特定的时间段出现频率高、而在过去一段时间内未出现,可表示为突发事件出现。此外,考虑内容重要性也取决于其来源,使用通用的Page Rank算法分析社交网络关系,以确定用户的权威性。结合用户权威性以及突发词组实现在用户假定时间限制下的热点话题检测。在新浪微博数据集上的多个实验结果表明,该算法能够高效识别出特定时间段内的热点话题。 适用于密集人群的异常事件实时检测方法 在密集人群场景下,针对现有异常检测算法在实时性和适用性方面的不足,提出了一种基于光流特征和卡尔曼滤波的实时检测方法.该方法首先提取图像的全局光流强度作为运动特征;然后对全局光流值进行卡尔曼滤波,并对残差进行分析;假设残差在正常状态下服从高斯分布,利用假设检验加以验证;运用最大似然(ML)估计得到残差的概率分布;在一定置信度下,确定正常状态的可信区间和异常状态的判定公式,并以此判断异常事件是否发生.实验结果表明,该方法对尺寸为320×240的视频,平均检测时间低至0.023 s/frame,且准确率可达95%以上.因而,该方法在保证较高检测率的同时,还具有良好的实时性. ETL应用优化设计与实现研究 分析和研究了传统ETL工作流程处理过程,采用JAVA技术,提出了ETL工作流程优化方案,对传统ETL性能问题提出了优化办法,并进行优化处理,很好地解决了数据集成处理过程数据融合、数据一致化和数据同步更新等重要问题,减轻了瓶颈制约,节约了处理时间开销.实际应用证明,优化后的ETL流程方案有效地减少了传统ETL工作流的时间花费,执行效率好,具有良好的实践应用价值. 基于Pushlet服务器推送技术的Web车辆实时定位系统 "为满足车辆实时定位应用对实时性的要求,分析传统B/S方式只能""请求-应答""传送数据的不足,提出利用开源服务器推送技术框架Pushlet,实现服务器端数据实时推送,从而设计并实现一个真正意义上的Web车辆实时定位系统。该系统利用Pushlet技术将GPS车载定位仪发送到服务器端的数据直接推送到浏览器,然后利用电子地图进行实时位置的展现。通过测试并与传统轮询方式对比,发现Pushlet推送方式具有请求次数少、延时小、通信流量适中的特点,为Web实时定位应用提供了一种解决方案。" 改进的蚁群算法在图像边缘检测中的应用研究 针对传统的蚁群边缘检测算法耗时长的问题,提出基于邻域中节点梯度计算启发式信息值的方法。该方法能够更快更好地引导蚂蚁向边缘节点进行移动,减少耗时。同时,还引入模糊C均值算法,用以确定蚁群算法中信息素阈值,使其更加准确合理,更精确地判断边缘节点。实验表明,该改进算法能够减少耗时,有效地抑制噪声,并能更加有效、精确地检测出图像的边缘。 一种新的Vague集的熵 受Fuzzy集模糊熵的启发,提出了Vague集熵的公理化定义。充分考虑到Vague集的真隶属度、假隶属度和犹豫度三个方面,提出了新的Vague集的熵的计算公式,用于度量一个Vague集的模糊性。以实例来说明新的公式的有效性和实用性。 外螺纹斜轧机轧制系有限元分析与数值模拟 先对外螺纹斜轧机的斜轧理论、轧制系结构和工作原理的进行了分析;然后在Solidworks环境下,利用特征建模技术建立了轧制系的三维实体模型;并利用Solidworks集成的快速有限元工具COSMOS/Works对轧制系主要零件轧辊和轧辊轴等进行了有限元分析,得出了轧件成形角与牙形斜角对不同轧辊辊形在工作时的应力应变影响规律,为轧辊的优化设计提供可靠依据。 时分半双工MIMO中继系统性能分析 分析并推导在解码-转发中继方式下时分半双工MIMO中继信道的容量公式,在2种不同的中继选择算法下,应用蒙特卡罗仿真比较MIMO中继系统与一般蜂窝系统的性能。仿真结果表明,在使用适当的中继选择算法后,与一般蜂窝系统相比,时分半双工MIMO中继系统在容量、覆盖范围等方面均有更好的性能,且中继用户的能量消耗较低。 一种基于视觉信息的可见光通信室内定位方法 可见光通信技术的发展为室内定位提供了新的契机。为了提高室内定位精度,文中提出一种基于视觉信息的可见光通信室内定位方法。该方法通过LED灯发送可见光通信信息,并将低分辨率的光电二极管传感器阵列接收到的可见光通信信息与手机终端的前置摄像头接收到的视觉信息进行融合,实现室内定位。同时,阐述了两种不同信息源的匹配融合的方法,并针对手机终端的前置摄像头与多盏LED成像之间的相互关系,提出了改进的共线方程模型。当输入数据为终端传感器接收到的LED灯可见光信息、相关视觉信息以及手机前置摄像头参数时,利用该模型可得到手机终端的三维坐标信息。文中针对定位模型进行了半实物仿真,验证了定位方法的可行性。根据仿真结果,定位精度达到分米级。 大数据云平台数据流量优化管理仿真 对大数据云平台数据流量进行有效管理,可以更好地提升云网络环境的服务质量。对云平台数据流量进行管理时,流量的最优分流是最重要的环节,需要获取流量任务的动态优先级,根据不同的优先级进行流量管理,但是传统方法依据目标流量数据在流量数据节点传输的情况,对数据节点的流量进行计算完成流量管理,但是难以获取平台数据流量子任务动态优先级,不能根据优先级对流量进行动态调整,数据流量管理效果较差。提出一种大数据云平台数据流量优化管理模型。模型首先对平台数据流量分流任务的样本数据进行分析,计算总平台数据流量分流任务量,对当前状态下网络平台数据流量分流子任务量进行分类,获得平台数据流量分流动态优先级任务。对平台数据流量分流任务不同优先级指标进行规则化处理,组建各分流子任务优先级标准矩阵,给出全部平台数据流量分流任务的不同指标标准差,利用分流任务动态优先级权重因子计算分流平台数据流量数据任务的效率,融合粒子群理论对组建的平台数据流量分流模型进行迭代寻优,利用寻优的结果组建大数据云平台数据流量优化管理模型。仿真结果表明,所提模型可以大幅度提升云网络环境的服务质量。 一种基于变精度粗糙集的C4.5决策树改进算法 针对C4.5决策树构造复杂、分类精度不高等问题,提出了一种基于变精度粗糙集的决策树构造改进算法。该算法采用近似分类质量作为节点选择属性的启发函数,与信息增益率相比,该标准更能准确地刻画属性分类的综合贡献能力,同时对噪声有一定的抑制能力。此外还针对两个或两个以上属性的近似分类质量相等的特殊情形,给出了如何选择最优的分类属性作为节点的方法。实验结果证明,该算法构造的决策树在分类精度和规模上均优于C4.5算法。 基于数码设备的二代身份证号码识别系统 研究基于数码设备(如手机、Pad等)的第二代身份证号码识别技术。对于预处理,提出基于Sobel算子边缘检测的身份证图像竖拍或倒拍的判断方法;对于字符分割,基于沈俊边缘检测的边缘二值图像,提出改进的垂直投影法,有效地解决了粘连体字符的切分;对于字符识别,提取模糊网格八方向梯度特征,采用K近邻分类器的方法进行分类识别。实验结果表明,本系统能准确定位和识别二代身份证号码,具有较高的识别准确率,具有一般通用性。 基于训练图CNN特征的视频人体动作识别算法 为将卷积神经网络(CNN)应用到视频理解中,提出一种基于训练图CNN特征的识别算法。利用图像RGB数据识别视频人体动作,使用现有的CNN模型从图像中提取特征,并采用长短记忆单元的递归神经网络进行训练分类,研究CNN模型和隐层的选择、优化、特征矢量化和降维。实验结果表明,与使用图像RGB数据注意力模型的算法和组合长短期记忆模型算法相比,该算法具有更高的准确率。 一种高吞吐量低时延的太赫兹无线个域网MAC协议 现有MAC协议在设计时未考虑太赫兹无线链路的衰减特性,难以稳定工作从而影响网络性能。针对此问题,提出一种适用于太赫兹无线个域网环境的高吞吐量低时延MAC协议HTLD-MAC(high throughput and low delay MAC protocol for terahertz wireless personal area networks)。HTLD-MAC协议通过采用基于信道质量预留时隙机制以及自适应确认机制,能够合理分配超帧时隙资源、提高网络吞吐量,降低数据时延。仿真表明相较于IEEE 802.15.3c和ES-MAC协议,HTLD-MAC在太赫兹链路质量较差情况下具有更好的网络性能。 基于蚁群优化的无线传感器网络非均匀分簇路由算法 无线传感器网络中,采用分簇技术的路由协议可以提高网络的可扩展性.簇首以多跳方式向基站发送数据时,未考虑簇首剩余能量及路径状况而形成的路由会造成簇首寿命减少,严重影响网络的生存时间.提出一种基于改进的蚁群优化的无线传感器网络非均匀分簇路由算法.算法首先采用改进的非均匀分簇方法对网络进行分簇,计算过程考虑节点剩余能量和节点与基站距离;然后采用改进的蚁群优化算法进行簇间多跳路径搜索,计算过程在基站进行以减少节点能耗,并且考虑了路径传输能耗、路径最小剩余能量、传输距离和链路带宽等因素.实验结果表明,该算法与EEUC算法和ACOUC算法相比,能更有效延长无线传感器网络的生存周期. 基于小波矩和BP网络的声音识别 目前大多数声音识别系统在无噪声环境下可以达到很高的识别率,但是在噪声环境下,识别率急剧下降。针对这个问题,提出一种基于小波矩和BP网络的声音识别方法。根据声音信号生成声谱图;通过小波矩对声谱图进行特征提取,选取有代表性意义的特征参数;根据选取的参数进行BP网络分类识别,从而识别声音的种类。实验结果表明,该方法在不同噪声种类以及不同信噪比的噪声环境下仍然具有较好的识别效果,克服了低信噪比下识别率低的缺陷。 基于ARMA和BP_AdaBoost的组合销售预测模型研究 为了提高销售预测的准确性,建立了组合销售预测模型。历史销售数据是非线性、时变的时间序列,可看成由线性和非线性2部分组成。用ARMA模型预测线性部分,用BP_Ada Boost模型预测非线性部分,然后将2部分预测结果叠加得到销售预测结果。该组合模型克服了单纯采用ARMA模型预测结果精度低的问题,也克服了单纯使用BP神经网络模型容易陷入局部极小值的问题。经实验对比表明,采用组合预测模型能够更加准确、全面地反应销售规律,提高了销售预测的准确性。 基于最大类间方差的权重自适应活动轮廓模型 针对传统的活动轮廓模型不能有效处理灰度非均匀图像以及权重参数需要手动调节等问题,提出一种基于最大类间方差的权重参数自动调节模型。将最大类间方差的思想引入LIF模型并作为局部项,通过最大化目标和背景的类间差异提高分割准确度;将C-V模型作为全局项降低对初始轮廓的敏感性;引入图像熵自适应调节局部项与全局项的比重,提高运算效率和精度。实验结果表明,该模型对多种灰度非均匀和噪声图像均可实现理想分割,对初始轮廓的位置不敏感,提高了分割效率。 不确定性目标的CLARANS聚类算法 在传统CLARANS聚类算法基础上,提出一种针对不确定性目标的CLARANS聚类算法。在该算法中,待聚类的每个不确定性目标都被表示成高斯混合模型,即高斯分布的一个加权和,并将Kullback-Leibler散度作为不确定性目标间的距离测度。在图片数据库上的实验结果表明,该算法具有较高的聚类精度。 全局保持的流形学习算法对比研究 全局保持的流形学习算法主要是基于保持高维观测空间和内在低维流形的全局几何特性。详细比较了全局保持的典型流形学习算法的特点及其相互之间的联系,标明了它们的优点与缺陷。实验说明这些方法发现的内在维数和内在低维流形的差异。最后提出了一些新的流形学习研究方向。 三维隧道矢量模型生成方法 在矢量模型的基础上设计一种隧道生成方法,在保证隧道形态正确的同时,将隧道模型的生成与连接处的平滑一次性完成。它首先在中心线上插入垂直的断面组,然后根据隧道截面形状生成一组平行线起始点,并将平行线与断面组求交,最后连接对应断面上的交点完成建模。针对隧道连接处处理这个难点,在隧道连接处插入旋转的断面组,使生成隧道体的同时又完成了连接处的平滑处理,既保证隧道无缝连接又降低了算法的复杂度。 应用于石油钻井安全评价的改进主成分分析-贝叶斯判别方法 针对主成分分析-贝叶斯判别法(PCA-BDA)仅支持安全评价但不能发现危险因素的问题,引入属性重要度的概念,提出一种改进的PCA-BDA算法,并将其应用于石油钻井安全评价。首先,使用原始PCA-BDA方法评估出各条记录的安全等级;然后,利用主成分分析(PCA)过程中的特征向量矩阵,贝叶斯判别(BDA)过程中的判别函数矩阵,以及各安全等级的权重计算得出属性重要度;最后,通过参考属性重要度来调控属性。安全评价准确率的对比实验中,改进PCA-BDA方法准确率达到96.7%,明显高于层次分析法(AHP)和模糊综合评价法(FCE)。调控属性的仿真实验中,调控重要度最高的3个属性70%以上的钻井安全等级得到改善;相对地,调控重要度最低的3个属性钻井安全等级几乎没有变化。实验结果表明,改进PCA-BDA方法不仅能够准确地实现安全评价,同时能够找出关键属性使石油钻井安全管理更有针对性。 信号控制下的动态路段行程时间模型 通过对车辆在路段上所处的状态不同,将路段行程时间划分成多个组成部分,并分别研究各部分的计算模型,提出一种新的动态路段行程时间模型。这种新的模型计算简单,能够适用于实际交通网络中对动态路段行程时间进行预测计算。通过算例的分析表明,在信号灯的控制下,车辆的动态行程时间是一个间断函数,其不仅仅与路段流量有关,还与该车辆进入路段的时刻以及控制信号设置有很大关系。 双馈感应发电机独立运行励磁控制策略研究 研究变速恒频双馈感应发电机独立运行励磁控制,由于航空发电机是直接与负载相连,发电机启动励磁不稳定且易受负载扰动的影响导致控制失衡。传统的改善独立运行双馈感应发电机的励磁控制精度低,速度慢的问题。为解决上述问题,提出了独立运行的双馈感应发电机的模型及结构,采用定子磁链定向控制策略对独立运行的双馈感应发电机进行改进,利用matlab/simulink对该控制策略进行了仿真。结果表明,基于定子磁链定向的矢量控制策略能很好地控制发电机启动及负载变化,该策略独立运行的双馈发电机静态、动态特性良好,可以输出高质量,稳定的电压电流,实现了优化控制。 基于视频反馈和实时仿真的机器人远程控制系统 为了在保证安全和经济的前提下提高机器人远程操作的用户体验,设计并实现了一种基于视频反馈和实时仿真的工业机器人远程控制系统。提出了一套通过常见的游戏手柄对工业机器人进行控制的方法,研制了通过互联网和仿真反馈及视频反馈对工业机器人的动作进行预测和监控的平台。在此基础上根据仿真平台特点,提出了将3D碰撞检测引入系统以提高系统的安全性。最后,实验结果表明了该系统的正确性和有效性。 针对SSL/TLS协议会话密钥的安全威胁与防御方法 分析安全套接层/安全传输层(SSL/TLS)协议在客户端的具体实现,利用浏览器处理SSL/TLS协议会话主密钥和协议握手过程中传递安全参数存在的漏洞与缺陷,结合Netfilter机制进行会话劫持,提出一种针对SSL/TLS协议的安全威胁方案(SKAS)并对其进行安全研究,给出随机数单向加密、双向加密及保护会话主密钥安全的3种防御方法。经过实验验证了SKAS威胁的有效性,其攻击成功率达到90%以上且攻击范围广、威胁程度高,提出的3种防御方法均能抵御SKAS威胁,保证了客户端和服务器间SSL/TLS协议的数据通信安全。 太原空气污染监测系统中空气污染知识本体设计 目前空气污染已经成为全球面对的重大问题,建设快速直观的空气污染信息系统非常必要。研究基于智能型空气污染无线传感器网络系统,着重于系统中空气污染知识本体的规划与构建。研究利用protégé软件描述空气污染知识本体的概念属性与关系,建立空气污染相关知识本体,空气污染监测系统通过知识本体对污染情况进行的解析及推论,最后产生决策支持信息。通过在太原空气污染监测系统中实际应用,结果表明,系统在本体的支持下可快速准确判断污染的情况并采取相应的措施,具有较高的实用价值。 一种航空发动机导叶角度调节器控制方法研究 航空发动机静子导流叶片角度数字电子控制系统的性能和可靠性对发动机的正常工作十分重要;为获得发动机的最优性能,提高飞行可靠性,并保证压气机工作稳定性,文章提出了一种基于RBF(Radial Basis Function)神经网络的PID控制器,构建了3层神经网络数学模型;在AMESim软件平台上,建立了该航空发动机导叶控制系统的数学模型,在Matlab/Simulink中搭建了RBF神经网络控制器;仿真结果表明,在相同参数设置下,本文所设计的控制器与传统PID控制器相比能够实现导叶角度调节器作动筒位移的更加快速、精确控制,表明该控制器设计方法是可行、有效的。 基于数据挖掘的日负荷曲线预测与修正 分析了传统负荷预测方法的缺点,提出了一种基于数据挖掘技术的负荷预测方法.利用决策树算法进行负荷预测,根据预测结果找出负荷不正常点.依靠关联规则算法,对不正常负荷进行修正,从而使预测结果更加精确. 大型线性方程组求解的可验证外包算法 随着云计算的发展,可验证的外包计算受到了越来越多的关注。对普通用户来说,大型线性方程组的求解是一个困难问题,可通过外包计算进行解决。现有的大型线性方程组外包求解方案计算效率较低或计算结果无法完全验证。提出了一个可验证的大型线性方程组求解的外包计算协议。在完全保护用户隐私的前提下,所提方案大大提高了用户的计算效率。与同类方案相比,所提方案降低了用户的计算代价,且用户可以完全验证服务器的外包计算结果。实验表明,所提方案用户的计算时间远小于直接计算所用的时间,且小于服务器的计算时间。 基于视觉缩略图的高维音乐信息可视化的关键技术研究 对基于视觉缩略图的高维音乐信息可视化技术作了深入探讨。对代表性的音乐可视化、特别是视觉缩略图的各种技术进行了广泛调研,通过一系列的用户调查分析了音乐内容的视觉缩略图应具备的基本特征。在此基础之上,提出了一种新颖的视觉缩略图ThumbnailDJ,并对其进行了一系列的用户测试。在对实验结果进行分析后,讨论了音乐信息可视化的应用前景、发展方向及相关研究重点。高维音乐内容的视觉描述有助于提高音乐库的浏览和检索效率,本研究将有助于缩小音乐视觉描述与用户音乐感知之间的语义鸿沟,提高音乐库的浏览和检索效率。同时,研究成果也将对高维数据的信息可视化研究起到重要的借鉴作用。 基于Bass与元胞自动机混合模型的快速消费品产品扩散研究 快速消费品产品扩散主要涉及首次购买和重置购买两个方面。现有的Bass模型对快速消费品的首次购买者有较好的宏观预测能力,而元胞自动机模型可对重置购买者进行较好的预测。借鉴Bass模型和元胞自动机模型各自的优势,提出了一种混合模型,期望用于对快速消费品产品扩散的市场预测。对中国1999年~2006年乳制品的销售量的估计值与实际值的误差为4.11%,拟合度为96.7%,对2007年~2009年乳制品销售量预测值与是价值相差15%,7%和2%,验证了所提出模型的有效性和适用性。 基于IGA与GMM的图像多阈值分割方法 为了实现图像的有效分割,提出了一种自适应多阈值图像分割方法,能够自动获得最佳分割阈值数目和阈值。该方法对灰度直方图进行合适尺度的连续小波变换,将小波变换曲线中幅值为负的波谷点构成阈值候选集;再应用免疫遗传算法从阈值候选集中选取准阈值,准阈值的个数对应为最佳分割类数;根据准阈值构建灰度直方图的高斯混合模型,由最小误差准则求得分割阈值。仿真实验表明,该方法能够实现图像的自动多阈值分割,能够得到很好的分割结果且分割效率高,在多目标图像分割中能够得到很好的应用。 凌云体系结构及关键技术研究 云计算系统的基本模型、体系结构、关键技术与系统实现是当前学术界、IT企业界共同关注的热点问题.通过分析现存的2种云计算系统特征,包括垂直型和混合型云计算系统,发现构建生产性云计算系统过程中的3个重要且富有挑战性的问题:异构应用负载模式的高效支持、多租户的资源租赁和利用一套统一的系统整合海量异构的云资源.针对这些问题,简单介绍了凌云系统的组成与目标,提出了一种基于资产租约模型的云计算系统体系结构,并对资产分区管理、虚拟网络管理、虚拟电器管理、虚拟机群租赁框架、全局云用户管理等关键技术进行了简要分析.目前,凌云系统已经实现,并且已在实际环境中部署和实施.根据评价结果,凌云在统一性、灵活性、安全性、好用性和高效性等非功能性目标上基本都优于另外2套系统:OpenNebula和EnomalyECP.性能测试数据表明,在64个虚拟机同时部署的情况下,凌云的虚拟机平均逻辑部署速度能够达到OpenNebula和VIDA组合的4.1倍. 面向输电场景的分层混合通信系统研究 基于输电线路状态监测的通信特点和应用需求,分析电力光载无线通信系统的局限性,提出光载无线和无线多跳相结合的分层混合组网架构。考虑到输电场景的链式组网特点,为保证通信网络的可靠性,并防止网络单点失效带来的网络中断问题,提出3种多跳链路维护方法,对输电场景的通信系统建设具有一定借鉴意义。 基于SCA安全规范的无线电加密子系统设计 针对无线电信息传输存在的安全性问题,通过对无线通信系统中加密体系结构的深入研究,结合软件通信体系结构安全规范执行措施,对加密体系结构的核心模块加密子系统进行了设计,并详细描述了该子系统内部各结构模块的工作原理和主要功能。设计的加密子系统为用户与无线电之间的传输信道实施加密,达到了安全通信的目的。 一种扩展正域的属性约简方法 在经典粗糙集理论模型中,边界域过大会限制其实际应用。针对这一情况,指出决策表中边界域扩展为正域已有方法存在的不足,基于不确定条件下的自主式学习理论,定义一种新的扩展正域方法,并提出计算不相容决策表中认知属性核和认知属性约简的算法。实验结果证明了该方法的有效性。 智能(数字)化发电厂信息与优化控制技术 加快电厂信息化进程有助于提高电厂的生存能力,而电厂智能(数字)化又是电厂信息化的必经阶段,提高电厂的数字化迫在眉睫。从建设智能(数字)化电厂的技术背景入手,阐述了数字化电厂建设过程中涉及到的各种技术,包括设备级层面的分散控制系统,现场总线控制,可编程逻辑控制器以及新型检测与监控终端,机组级层面的控制系统优化,厂级层面的监控信息系统和管理信息系统,并对各种技术做了深度分析。 基于链接路径搜索的URL属性集成方法 在W2DR算法实验中,部分网页因其锚文本提供的信息量不足,导致利用半结构化的网页信息填充结构化数据库内容效果不佳。为此,提出一种基于链接路径包的URL属性集成方法。采用将锚文本和网页标题相结合的机制,从被搜索网页集中,根据最佳匹配策略求解得到URL属性值,并将其填充到目标数据库。实验结果表明,与W2DR算法相比,该方法在2个不同数据集中的F值分别提高13.91%和3.54%。 基于韦伯局部算子和颜色特征的行人再识别 针对当前识别精度高的行人再识别特征数值复杂、提取困难的问题,提出一种数值简单、提取速度快的融合特征。在分析韦伯局部算子差分激励和方向分量的基础上,用圆形邻域的差分激励表现图像的纹理特性,然后用LBP(局部二值模式)编码的方向分量表现图像边缘方向,再用HSV颜色空间直方图表现图像颜色信息,最后串联特征。实验结果表明在ETHZ、VIPeR行人再识别数据集上,该特征提取速度快,对姿态、视角、光照、身体部分被遮挡变化有较强的鲁棒性。 大型建筑的多角度图像三维建模仿真分析 在建筑内部的结构稳定性研究中,由于形成的多角度离散网络模型无法形成稳定的拓扑结构,导致传统的三维图像建模只能忽略拍摄角度不同带来的坐标计算误差,以保障稳定的三维模型拓扑结构,导致转换的三维坐标存在失真,三维建模不准确。提出改进离散算法的大型建筑多角度图像三维建模方法,首先对大型建筑的多角度原形进行采集,其次通过分析原始数据点之间的拓扑关系,组建大型建筑的多角度图像离散网络模型。融合于双目立体视觉成像原理,依据不同位置拍摄的大型建筑多角度图像中对应点的图像坐标,计算出不同角度的大型建筑在三维空间中所对应点的三维坐标,实现了大型建筑的多角度图像三维稳定建模。仿真结果证明,改进离散算法的大型建筑的多角度图像三维建模准确率高,实用性强。 调频率正负交替变化的SAR成像及抗欺骗干扰方法 为了提高合成孔径雷达(SAR)抗数字储频式欺骗干扰的性能,提出一种正负交替改变SAR调频率的方法,通过发射按正负交替序列规律改变SAR调频率的信号,使干扰信号在调频率上和原信号产生差异,经过匹配滤波后可以滤除干扰信号,进而抑制欺骗式干扰。仿真了在正负交替改变调频率情况下的成像及对抗欺骗式干扰的效果,仿真结果验证了这种方法的有效性。 具有随机变量形式属性值的突发事件应急方案选择方法 突发事件应急响应面临多个可能状态的应急方案选择问题,是一个值得探讨且具有实际价值的研究课题。针对具有随机变量形式属性值的突发事件应急方案选择问题,给出一种应急方案选择方法。针对属性值为随机变量形式的各个属性,构建在各状态下针对每个属性的占优矩阵,构建针对各属性的优势度矩阵,并将其规范化得到规范化优势度矩阵;针对属性值为数值型的各个属性,构建针对各属性的规范化决策矩阵,将规范化优势度矩阵和规范化决策矩阵进行集结,构建综合决策矩阵。在此基础上计算出各备选应急方案的综合评价值,并依据综合评价值的大小来确定突发事件应急响应的应急方案。最后通过算例说明了提出方法的可用性。 航空装备故障损伤等级的可拓精准评定研究 为了满足舰面维修人员对海军航空装备维修保障的需求,提出了航空装备故障损伤等级的可拓评定方法;首先,给出了故障元的概念,以形式化描述航空装备发生的故障及故障的判别特征;其次,给出精准度的概念,对判定故障判别特征量值的准确性进行刻画;在此基础上,利用可拓学的可拓识别方法对航空装备发生故障的损伤等级进行综合评定,提供一种确定航空装备故障损失等级行之有效的途径和方法,为确定故障维修计划及方案提供重要的理论参考借鉴;最后,通过某次远航中直升机发动机的故障算例分析显示:该方法可靠、简单、实用,具有较好的推广应用价值。 QARMA算法的相关密钥不可能差分攻击 QARMA算法是一种代替置换网络结构的轻量级可调分组密码算法。研究QARMA算法抵抗相关密钥不可能差分攻击的能力,根据QARMA-64密钥编排的特点搜索到一个7轮相关密钥不可能差分区分器,在该差分区分器的前、后各添加3轮构成13轮相关密钥不可能差分攻击。分析结果表明,在猜测52 bit密钥时,与现有中间相遇攻击相比,该相关密钥不可能差分攻击具有攻击轮数较多、时间复杂度和空间复杂度较低的优点。 可远程支持的航空活塞发动机故障诊断专家系统研究 通用航空存在布局分散、企业机队小及维修技术力量薄弱等特点,使通用航空飞机排故困难;为解决这种情况,对基于故障树和神经网络的航空活塞发动机故障诊断技术进行了研究,构建了基于故障树和神经网络结合,辅以远程专家视频会诊的航空活塞发动机故障诊断专家系统,并给出了故障知识库的构建和管理方法,三种故障诊断模型的推理机制和融合方法;利用收集的航空活塞发动机故障数据对神经网络故障诊断方法进行了验证,有效地诊断出航空活塞发动机的故障。 基于滚动窗口的机器人自主构图路径规划 针对智能机器人如何以最优探测路线自主探测和构建室内环境地图的问题,提出一种基于滚动窗口的路径规划算法。将传统遍历构图的牛耕遍历方式改进为未知环境的探测策略,并结合滚动窗口实现对未知环境的滚动探测和构图路径规划,同时利用A~*算法规划滚动窗口中的局部路径和机器人进入死胡同的逃离路径。仿真结果表明,该算法可以有效减少构图节点,缩短构图路径,使机器人更快速、高效地自主构建环境地图。 基于对偶模型的拥塞控制算法:Dvegas 针对TCP Vegas算法的一些不足,引入控制理论,结合TCP Vegas算法的一个对偶模型,给出一个新的拥塞控制算法:Dvegas(对偶的Vegas)。NS-2网络模拟实验表明Dvegas算法改进了TCP Vegas在同其他TCP流竞争时处于不利地位的缺陷,同时保留了Vegas低丢包率的优点,此外相对于TCP Vegas算法,Dvegas有更好的稳定性。 采用过零刺激方案提高电子耳蜗声调识别 针对目前噪声环境下电子耳蜗汉语声调和语句识别率急剧下降问题,提出了一种基于时间精细结构过零刺激方案(FSZC)。利用语音信号时间精细结构的过零点时刻产生高速脉冲刺激序列,从而不仅将时间精细结构编码到电子耳蜗语音算法中,同时采用过零点时刻非均匀的采样脉冲刺激序列,确保了语音的空间编码和时间编码。声学模拟实验表明,相比于连续交替采样策略(CIS)和过零点时刻刺激策略(ZCT),FSZC方案在汉语声调和语句识别率上都有较大程度的提高,而且在噪声环境下对于声调和语句识别具有相对较强的抗噪性。 Com-WSN:一种组件化的无线传感器网络测试平台 随着对无线传感器网络研究的不断深入,人们越来越重视利用真实传感器节点进行网络测试。而在真实测试床上实现和测试新的协议需要大量的编码工作。为了能够降低测试编码的复杂度,提出了基于组件的无线传感器网络测试平台Com-WSN。Com-WSN充分考虑了系统资源的合理分配和协议功能的组件化,保证了平台的易用性和扩展性。通过在MICAz平台上的测试,表明Com-WSN能够有效减少编码工作量,提高测试效率,具有很好的易维护性和可扩展性。 基于链接相似度和作弊系数的Spam网页识别算法 Spam网页主要通过链接作弊手段达到提高搜索排名而获利的目的,根据链接作弊的特征,引入链接相似度和作弊系数两个指标来判定网页作弊的可能性。借鉴BadRank算法思想,从Spam网页种子集合通过迭代计算链接相似度和作弊系数,并根据与种子集合的链接指向关系设置权重,将待判定的网页进行度量。最后选取Anti-Trust Rank等算法作对比实验,结果验证了本文算法在准确率和适应性方面优于对比算法。 一种基于灰度值矩阵的文档复原方法研究 对于切碎英文文档自动拼接还原中无法利用碎纸片几何形状特征的问题,提出一种基于灰度值矩阵的文档碎片拼接方法。利用碎片图像的特征向量消除同行字母处于不同高度的负影响,提取其特征位置作为动态聚类的分类标准,依据文本行特征进行动态聚类和类间排序,设计了一种基于原图像碎片边界矩阵的四邻拼接算法进行逐一高效地拼接还原。仿真结果表明,该方法准确率较高且操作简便,对规则碎片拼接还原具有良好的实际意义。 一种客户端Web色情图片过滤软件框架设计 为了限制Windows客户端浏览器对含有色情图片的网页和网站的访问,设计一种浏览器Web色情图片过滤软件框架。该框架基于Winsock 2分层服务提供者LSP,对于IE内核浏览器和非IE内核浏览器均有效,克服基于浏览器助手对象BHO的过滤软件对于非IE内核浏览器无效的缺陷。测试表明,该软件框架对Web色情图片能达到较好的过滤效果。本框架对同类过滤软件的开发具有一定的参考价值。 基于行扫描和小波变换的车牌定位算法 为了能在复杂背景和不同光照条件下快速、准确地定位车牌位置,提出一种基于行扫描和小波变换的车牌定位算法。该方法首先对车牌图像实行边缘检测、二值化等预处理,在此基础上,利用行扫描法确定车牌的上下边界,对水平区域图像的垂直投影进行小波变换,设定阈值,确定左右边界。实验结果表明,该方法定位速度快、准确率可达到98%,具有很好的鲁棒性。 一种用于NoC知识产权的积极保护方法 提出一种针对片上网络知识产权保护的新型方法——节点激活.设计的NoC上某些节点在初始状态下处于非激活状态,无法正常传输数据包.只有注入带有密钥的特殊数据包进入网络才能激活待激活节点.因此,该方法实现了片上网络的积极保护.通过在Noxim仿真器上的实现,证明了该方法能够有效地保护片上网络的知识产权.在FPGA上的实现说明该方法成本极低. 大规模流媒体直播系统扰动性研究 在基于P2P技术的大规模流媒体直播系统中,节点频繁地加入和退出,形成扰动问题,严重影响了系统设计和整体性能。通过分析对等网络节点会话时间概率分布理论,在充分利用会话时间长的节点基础上,提出了抗扰动节点选择机制来增强系统稳定性。通过对比实验证明,该方案降低了扰动问题对流媒体直播系统的影响。 基于有限资源的微小型多机器人协同避障策略 "为实现由低成本、资源有限、小体积机器人组成的多机器人系统在未知环境中的自主运动,设计一种基于简单传感器的相对定位系统,通过融合扩展卡尔曼滤波与运动补偿算法为机器人提供相邻机器人或者障碍物的相对位置信息。基于""领航者-跟随者""编队结构与机器人局部感知,实现微小型多机器人在未知障碍环境下的队形保持与队形变换。在真实实验环境中的实验结果验证了相对定位系统与协同策略的有效性。" 图形转换的构件组装框架唯一性研究 图形转换为动态的构件组装带来了新的思路.H.Ehrig在基于连接件体系结构的框架上利用此技术给出一种通用构件组装框架,该框架可以适用于不同领域的动态和静态的构件组装.但由于其限制连接件的输入接口是互不相交的,因而限制了其实际应用的灵活性.本文扩充了该框架体系,侧重从每一归约步组装的语法和语义的实现进行描述,给出归约步组装的唯一性条件及基于该条件的结构唯一性和内容兼容性的证明. HFC接入网MAC层QoS系统架构研究与实现 "针对HFC(Hybrid Fiber-Coax)接入网络服务质量保证问题,通过对DOCSIS(Data-over-Cable Service Interface Specifi-cations)QoS(Quality of Service)标准进行深入研究,采用多种QoS技术并对其进行有针对性的改进从时延、带宽等方面保证了不同调度业务的服务质量,从而给出了一种适用于HFC网络接入设备的QoS系统解决方案.网络仿真结果显示采用该QoS系统架构提高了信道带宽利用率,保证了高优先级调度业务对带宽、时延等要求,""尽量级联""的级联策略使上行带宽利用率提高了大约25%.此外,该QoS系统架构已经成功应用于符合EuroDOCSIS1.1规范的支持双向有线电视点播平台中,通过了功能验证并表现出良好的稳定性." 分布式应用的系统协同测试方案 在分布式应用中,系统配置项多,系统集成测试比较复杂。针对上述情况,提出分布式应用的系统协同测试方案,给出应用场景分析过程、测试脚本文件生成方法及分布式系统性能、功能和接口协同测试的实现,在此基础上对分布式系统进行故障检测和质量评估。实践结果证明了该协同测试方案在提高测试效率、节约测试成本方面的有效性。 基于RFID的免携带设备手势识别关键技术研究 近年来手势识别作为人机交互的重要组成部分,受到广泛的关注.很多应用受益于手势识别,比如智能手机、智能家居、体感游戏等.与现有基于射频识别(radio frequency identification,RFID)的手势识别系统相比,基于RFID的免携带设备(device free)手势识别方法,不需要用户携带任何设备,因此有更好的用户体验.其主要思想是利用手势动作对信号的干扰信息作为指纹特征,并且利用多径增加匹配难度,从而保证了手势识别的准确度.具体思路为:通过数据分片解决RFID通信在时域上不连续的问题,进而采用雷达中合成孔径雷达(synthetic aperture radar,SAR)算法获取每个手势对应的指纹特征矩阵.最后,借鉴动态时间归整(dynamic time warping,DTW)算法匹配先验手势指纹库,从而完成手势识别.真实环境下的实验结果显示该方法可达到约85%的正确识别率,证明给出方法具有很高的可行性. 在线视频点播系统节能方法与策略研究 目前随着海量数据应用的快速发展,如何减少海量数据存储造成的巨大能耗成为研究热点。分析了在线视频点播的海量存储系统,根据正态分布分析视频访问的时间段,结合磁盘的特点提出一种基于视频点播的节能策略。策略中将磁盘的状态划分为不同的等级,降级时根据历史时间间隔调整时间窗口,同时根据视频的种类制定分布,以最终满足系统的性能和节能需求。根据计算,使用节能策略后系统平均节能达到44%。 金融业分析型CRM系统探索与应用 围绕CRM系统的关注点、核心价值、特点、系统架构等多方面技术,描述如何建设一个适合企业发展的分析型CRM系统。不同于仅从技术层面描述如何建立一个CRM系统,着重描述如何规划、设计一个分析型CRM系统的建设过程及其所需要的建设理念。通过分析型CRM系统建设,能最大化地提高企业的投资回报率。所描述的系统已经在多个金融企业加以实际应用,具有较强的实用性。 一种基于视觉特性加权的图像质量评价方法 图像质量评价在视频图像的各种应用中起着重要的作用。由于结构相似度图像质量评价方法在利用视觉特性方面的不足,因此文中在结构相似度图像质量评价方法的基础上,引入包含重要视觉信息的梯度幅值和对不同频率感知差异的对比敏感度特性对其进行改进,提出了一种基于视觉特性加权的图像质量评价方法。首先采用Sobel算子计算图像的梯度幅值,对结构相似度评价方法进行改进;再利用对比敏感度函数计算图像块的感知因子,给图像块赋予相应的权值;最后通过图像块评价值的加权得到整体评价值。实验结果表明,该算法优于结构相似度和峰值信噪比图像质量评价方法,更好地反映了人眼的主观感受。 一种浓淡远近效果的3D水墨渲染算法 在美术作品研究中,提出一种对三维模型实现水墨效果的渲染方法,可以对水墨画中浓淡远近的绘画技法进行仿真。首先构建二维水墨纹理,然后利用模型的轮廓线信息控制纹理的水平分量映射,最后通过属性函数控制纹理垂直分量的坐标,调整水墨颜色色调。分别采用线性属性函数、二次属性函数以及加入光源因子的属性函数进行仿真。结果表明,在三维场景的水墨仿真中,利用上述方法可以使画面更具层次感,更符合画家的实际手法,为三维模型的水墨渲染提供了一种可行的技术手段。 基于熟人模型的大型养殖池塘多增氧机智能控制系统 为解决目前大型养殖池塘多台增氧机不能及时控制问题,系统采用GPRS和WSN混合通信,并通过设计多台增氧机智能控制的方式对系统进行监控。簇头节点收集数据后发送给网关节点,当溶解氧测量值与上次发送值误差在0.02 mg/L范围内时,不向簇头发送数据。网关节点分析水质数据,若发现数据异常,通过数据包中节点编号快速定位。根据增氧机和异常点间的熟人模型,确定增氧机。网关节点根据各簇头的自信度、剩余能量和与被选中增氧机间的熟人关系,为增氧机控制命令从网关节点发送到增氧机找一条最优传输路径。实验结果表明,系统减少了传输能耗和增氧机的开机时间,实现了对多台增氧机智能控制,满足了大规模水产养殖的需要。 自然图像颜色空间统计规律性研究 自然图像统计模型(NSS)在无参考图像质量评价中得到了广泛应用,但目前绝大部分的图像质量评价方法都是针对灰度图像的,没有有效地利用彩色空间的信息。对RGB、HSV、LAB、YCBCR、YIQ 5种颜色空间的规律性进行分析,对归一化的系数值使用高斯分布、对数正态分布、极值分布和T分布进行拟合,对拟合结果进行分析和比较,从中找出最适合各个色彩空间的模型。然后使用拟合成高斯模型的参数作为特征对LIVE库的失真图像进行分类。实验结果表明,某些色彩分量分类效果要优于灰度空间。 一种应用遗传算法的彩色图像分割方法 遗传算法在复杂大空间搜索近似最优值有着很好的效果,利用遗传算法的优势,应用其解决图像分割问题。图像分割中,区域间差别度和区域内相似度是评价图像分割的重要因素,用遗传算法优化图像区域间差别和区域内相对相似度,获得高质量的图像分割结果。为了提高算法效率,采用贪心方法进行图像预处理,以及最小生成树初始化来减小算法的规模和搜索空间。实验证明采用遗传算法在图像分割问题可取得有效的结果。 双重并行环境下最短路径的研究 并行问题和最短路径问题已成为一个热点研究课题,传统的最短路径算法已不能满足数据爆炸式增长的处理需求,尤其当网络规模很大时,所需的计算时间和存储空间也大大的增加;MapReduce模型的出现,带来了一种新的解决方法来解决最短路径;GPU具有强大的并行计算能力和存储带宽,与CPU相比具有明显的优势;通过研究MapReduce模型和GPU执行过程的分析,指出单独基于MapReduce模型的最短路径并行方法存在的问题,降低了系统的性能;论文的创新点是结合MapReduce和GPU形成双并行模型,并行预处理数据,针对最短路径中的数据传输和同步开销,增加数据动态处理器;最后实验从并行算法的性能评价指标平均加速比进行比较,结果表明,双重并行环境下的最短路径的计算,提高了加速比。 基于虚假最近邻点GT准则的化工模型变量选择 针对传统变量选择方法对复杂非线性化工模型进行变量选择时,由于缺乏输出变量的有效监督,导致所选择输入变量不能有效解释输出变量的问题,提出基于虚假最近邻点Gamma检验(Gamma test,GT)准则的变量选择方法。首先借鉴虚假最近邻点法,实现对所有变量的全面搜索;再采用能够在输出变量监督下进行非线性系统噪声估计的GT准则,计算各输入变量置零前后数据噪声的伽马统计量,得到输出变量对各输入变量的敏感度,以此为依据进行变量选择。使用线性、非线性模型验证了该方法的有效性。最后对氢氰酸复杂非线性化工过程建模进行变量选择,结果表明合理的变量选择有效地提高了模型精度。 基于Kullback-Leibler分歧的变分滤波WSNs贝叶斯移动定位跟踪西 为了在降低资源能耗和带宽占用情况下,提高无线传感器网络WSNs移动目标定位跟踪的精度,提出了基于Kullback-Leibler分歧的变分滤波的WSNs贝叶斯移动目标定位跟踪算法。首先,利用高斯和Wishart分布在不考虑速度限制和方向移动限制情况下,构建WSNs移动定位的贝叶斯状态演化模型,并基于路径损耗模型构建移动目标定位的观测模型;其次,利用Kullback-Leibler分歧构建变分滤波的误差计算模型,通过周围激活节点实现移动节点目标的位置估计,设计了递归概率计算过程综合预测和更新两个过程,并实现了定位和目标跟踪的同步化;最后,通过仿真验证了所提模型在跟踪精度和资源节约上的优势。 面向专利的技术主题检测 对专利文献自身特点及TF-IDF和TextRank关键词抽取技术进行研究,提出面向专利文献标题和摘要的技术主题检测方法。通过分析专利文献标题和专利文献摘要中专利技术主题的分布特点、词长特征和位置信息,计算候选技术主题的主题度,筛选主题度最大的候选主题词作为显式技术主题;根据TF-IDF算法和TextRank算法,从专利文献内容中提取关键词作为隐式技术主题词;将所提取的关键词进行加权计算,筛选权值最大的词作为最终的专利技术主题来评测。实验结果表明,该方法是有效的,可用于进一步支撑专利技术功效矩阵的构建工作。 基于动态特性的实时视频烟雾检测 针对室外或大空间环境下烟雾的动态特性,实现一种实时视频处理的烟雾检测算法。首先采用高斯混合模型GMM(Gaussian mixture model),利用背景差分方法实现对运动目标的提取;其次在小波域上分辨出烟雾可能出现的图像区域;再次计算目标在空间扩散的动态特性;最后采用支持向量机区分出烟雾目标。实验结果表明,该算法适应背景复杂场景,能够有效抑制环境扰动影响,快速、准确地检测视频图像中出现的烟雾。 MAX~+公式的结构特征 改名是一个将变元映射到变元本身或它的补的函数,变元改名是公式变元集合上的一个置换,文字改名是一个改名和一个变元改名的组合。改名技术在简化一些难例公式的消解证明和构造高效的可满足算法方面有重要意义。MAX+公式是MU公式中的一个重要子类,该类公式可以通过递归的方式产生。通过分析MAX+公式的结构,得到了一些关于此类公式的结构特点,对进一步研究这类公式的改名问题有较大意义。 一种基于兴趣群组的混合式P2P供应链信任模型 提出了一种基于兴趣群组的混合式P2P供应链信任模型.该模型通过企业的兴趣相似度来刻画企业在其偏好领域上的服务行为相似性,同时采用信任和信誉衰减机制实现对供应链上企业节点的信任评估,并进行了实验仿真和比较.结果表明,利用该模型可以在供应链企业间建立高效、可靠的信任合作,有效防止企业的败德行为,从而实现各节点企业的信息共享和资源整合,提高供应链效率. 基于图像识别和遗传算法的Tripod机器人最优轨迹控制 为实现工业生产中使用贝加莱(B&R)Tripod机器人重复抓放物体的操作,提出了一种基于图像识别和最优轨迹设计的方法。首先使用摄像头对机器人平台进行拍照,图像传输至PC机后进行透视变换、颜色设定、二值化和Canny算子边缘提取等图像预处理操作;接着使用八邻域搜索法和质心法对平台与9个几何体进行定位;再使用局部角点检测法识别这9个几何体的形状和旋转角度;然后运用基于遗传算法的共生进化法规划最优抓取路径,并建立TCP/IP通信,将所有几何体信息发送给贝加莱可编程计算机控制器(PCC),最终实现几何体的精确抓取。实验结果表明,在不同的光照条件下,机器人均能快速、准确地定位9个几何体并进行抓取,正确识别率为100%,准确放置率达69.44%以上,具有较强的实效性和抗干扰性,满足工业生产要求。 一种网格融合算法 快速建模是三维游戏动画领域的重要技术,从已有模型经过修改、编辑、融合构建出新的模型是一种高效的建模方法.本文提出了一种网格模型融合算法,该算法首先将需要的部分网格从源模型上交互剪切下来,并将其配准对齐;然后将两网格模型转化成点模型表示,并将点模型转化成RBF隐函数表示;再对两隐函数进行布尔运算;最后将布尔运算生的隐函数曲面在两网格接合区域进行三角形化,得到最终的网格模型.算法定义了隐函数曲面的影响区域,有效控制融合过渡.采用边界扩展的三角形化方法,保留了融合区域以外源模型的特征.实验结果表明,本文算法具有很好的网格融合结果,可用于游戏动画中快速造型. IMM-UPF算法在机动目标跟踪中的研究 为解决机动目标跟踪的非线性和噪声不确定等问题,提出了一种新的滤波算法:融合了交互式多模型(IMM)、粒子滤波(PF)和无迹卡尔曼滤波(UKF)的IMM-UPF算法。该算法采用多模型结构以跟踪目标的任意机动,粒子滤波能处理非线性、非高斯问题,而采用UKF产生粒子,由于考虑了当前观测值,使得粒子的分布更接近后验概率密度分布,克服粒子的退化现象,从而提高估计精度。系统的模型集根据实际的目标系统设计了三个非线性模型。通过实例仿真,结果证明了IMM-UPF算法的有效性,且其性能优于PF、UPF算法。 异平台雷达和ESM的高精度协同估计方法 随着网络的应用,不同平台的协同作战成为一种趋势,该趋势促成了异类平台间的数据融合需求。作为典型的协同模式,异平台的雷达和ESM观测的坐标系不同,无法直接融合,且二维ESM数据和三维雷达数据直接融合的精度较低。论文提出将雷达距离及方差转换到ESM坐标系下,转换得到虚假的距离量测结合ESM的角度量测,在三维坐标下进行Kalman滤波,获得ESM的估计及协方差矩阵,再进行协方差交集估计处理,可以较大的提高估计精度。 基于多核处理器的K线程低能耗的任务调度优化算法 针对具有独立DVFS的多核处理器系统,提出了一种K线程低能耗模型的并行任务调度优化算法(Tasks Optimization based on Energy-Effectiveness Model,TO-EEM)。与传统的并行任务节能调度相比,该算法的主要目标是不仅通过降低处理器频率来减少处理器瞬时功耗,而且结合并行任务间的同步互斥所造成的线程阻塞情况,合理分配线程资源来减少线程同步时间,优化并行性能;保证任务在一定的并行加速比性能前提下,提高资源利用率,减少能耗,达到程序能耗和性能之间的折衷。文中进行了大量模拟实验,结果证明提出的任务优化模型算法节能效果明显,能有效降低处理器的功耗,并始终保持线性加速比。 一种改进蚁群算法的UAV航迹规划方法 无人飞行器(UAV)低空突防航迹规划本质是复杂的多目标、多约束优化问题。为了提高UAV的作战效率和生存概率,在执行任务之前必须设计出高效的飞行航迹。由于求解的复杂性及难度高,常用的智能算法无法保证飞行航迹的最优性和可达性。针对上述难点问题,采用了蚁群算法进行航迹规划,并对蚁群算法进行了改进。通过引入拥挤度约束系数、改变信息素增量更新方式并自适应调整信息素挥发系数,有效地提高了算法的求解效率和全局搜索能力。根据敌方防区已知威胁源生成Voronoi图,并与所提的改进蚁群算法相结合求解规划空间中的最优航迹。仿真结果验证了改进算法能有效避免算法过早地陷入局部最优,加快算法的收敛速度,并能取得目标函数更优的航迹规划路径,为整体性能优化提供了参考。 移动车联网增值服务平台架构设计 基于无线车载智能终端和后台云服务器,设计全新的移动车联网增值服务架构。基于该架构可提供语音群聊、点播业务、路况分享、异动提醒等多种适于移动运营商运营的增值业务以及新颖的线上和线下互动服务。实测和试运行表明基于该架构的服务平台能带给用户全新的车载服务体验,不仅能为运营商拓展市场,而且能为其提供广阔的增值空间。 基于HVS的图像质量评价方法研究 针对目前视频会议图像系统优化中,由于在画面质量评测方面存在的差异大的问题,结合实际装备情况,研究图像质量准确评价问题,图像采集、压缩传输中会出现畸变,使图像模糊,传统评价方法难以对特征进行正确区分,导致图像质量准确率低。为提高图像质量评价准确率,提出一种基于HVS的图像质量评价方法。为业务处理提供科学依据,对于有效规范值勤业务管理,提高装备运行质量,增强任务保障能力,为提升视频会议保障图像质量具有十分重要的意义。 基于环路分类的围长至少为10的QC-LDPC码显式构造方法 对于围长至少为10的(3,L)-规则准循环(QC)低密度奇偶校验(LDPC)码,现有的显式构造法在参数选取上不够灵活。针对该问题,提出一种基于环路分类的显式构造方法。该方法在构造过程中,首先在循环置换矩阵(CPM)尺寸为无穷大的假设下,考虑各种长度小于10的环路形状导致的等式约束,以渐进方式确定出QC-LDPC码对应的指数矩阵中的每个元素的取值下界;然后根据指数矩阵确定出CPM尺寸的连续取值下界。这种方法允许L任意取值,允许CPM尺寸在一个门限以上任意取值。仿真结果表明,对于L=5,新码与Tanner提出的围长为12的(3,5)-规则QC-LDPC码的译码性能几乎一致。 基于AGA-BP强预测的爬升段油耗估计 为准确预测燃油消耗量,减少民航碳排放,分析飞行数据影响油耗的参数,提出两种爬升阶段油耗预测模型,即BP预测模型与基于自适应(简写为A)GA-BP强预测模型。GA-BP强预测模型以BP预测网络为弱预测器,经有限次数迭代得到强预测器输出,实现误差的优化调整,解决非线性复杂问题。实验结果表明,该模型在非线性预测方面有明显优势,预测精度和拟合度较好,验证了两种模型在油耗预测领域都具可行性。 基于Fisher判别的改进WFCM分割算法 针对FCM算法对初始聚类中心、隶属度矩阵和噪声比较敏感且运算耗时的问题,提出一种椒盐噪声电路图像分割的WFCM改进算法。利用已有算法对椒盐噪声进行判断,粗略复原出原始图像直方图,找出波谷点作为图像的潜在分割点;根据Fisher判别思想构建确定初始聚类中心的最优化问题,计算改进WFCM算法的初始聚类中心;采用WFCM算法对非噪声点进行分割,得到其隶属度值;依据噪声点空间信息对其隶属度矩阵填值,获得整幅图像像素点的隶属度矩阵。仿真结果表明,改进算法能够更好地分割椒盐噪声电路图。 采用扩展公钥的云存储广播加密优化方法 基于广播加密的云存储系统受到研究者的关注.然而,基本的广播加密方案不能适应云存储环境中用户和权限的动态变更情况.针对广播加密中密钥管理分发开销大的问题,提出一种扩展公钥的广播加密优化方法,通过保留初始产生公钥时使用的部分私有参数,当用户加入或撤离系统时,使用保留的私有参数产生新的公钥来加密数据.这样,合法用户仍可以使用之前已分发的私钥解密新公钥加密的数据,从而避免了用户动态变化时公钥的频繁变化和密钥的重复分发.通过引入懒惰回收机制,降低了权限变更和密钥定期更新带来的开销.测试结果表明:采用优化方案后,增加用户数量和权限撤销时,系统性能得到较大提高. MEMS惯性测量单元的精确定标技术 在工程实际应用中,MEMS惯性测量单元的误差来源很多,噪声波动范围很大,温度特性和非线性严重;通过高低温实验,首先,分析系统的误差,建立系统的误差模型,在-30℃~+60℃范围内,进行全温度补偿;然后,分析噪声,根据实际要求,设计数字低通滤波器,对补偿后的数据进行滤波;结果显示,在满足工程实时性与动态特性要求的前提下,陀螺仪的零位补偿精度可以达到±0.03°以内,噪声波动范围可以控制在0.05°以内,加速度计的零位补偿精度达到±0.001g,满足工程实际要求,具有实用性! 用于多类别分类的一种加权超球支持向量机算法 在One-Class基础上发展起来的超球支持向量机算法能有效地解决多类别分类问题.但是原始的超球支持向量机算法仍有很多需要改进的地方.经过推导和实验,得到如下结论,即超球支持向量机算法过度依赖于每个训练样本,即使该训练样本为噪音数据或是离群异常数据.因此提出在训练之前加入预处理算法,通过相似度计算删除噪音点和异常点.在训练过程中,根据公式计算每个样本的权值,区别对待每个训练样本,确保SMO求解过程迅速收敛.在测试阶段,根据测试点的位置合理选择分类规则进行正确分类.实验结果表明提出的算法可以有效减少噪音数据和异常数据对分类结果的影响,同时提高了分类精度. 混沌序列在安全网络编码算法中的应用研究 为提高网络编码的安全性提出了一种在信源处使用混沌序列与信源信息相结合,在信宿处列表译码的安全网络编码算法。该算法使用改进型Logistic映射产生混沌序列对信源消息进行处理,传输过程保持原有网络编码体制不变,可以抵抗多种窃听和污染攻击。经过系统仿真及理论分析可知,该算法舍弃部分带宽来保证网络的安全性,以较小的开销达到了信息论安全的要求。最终实现了混沌序列在安全网络编码算法中的应用。 一种改进的i_p-i_q无功电流检测方法研究 为了保证电力系统安全稳定运行,需要对电网无功电流进行补偿,因效果取决于无功电流检测的精确性和实时性,在三相电网电压不对称时,传统ip-iq检测法提取的无功电流存在相位差和延时问题,影响了无功补偿的效果。为提高检测精度,提出一种改进的ip-iq无功电流检测方法。改进方法通过对三相电源电压、电流的检测,并将信号延迟算法与幅值积分器相结合,提取被测电压、电流的正序基波分量,再经过简单的坐标变换即可得到无功电流。既避免了三相电网不对称时相位差的检测,又消除了低通滤波器产生的延时问题。采用MATLAB仿真软件进行了建模仿真。仿真结果表明,改进的ip-iq无功电流检测方法明显提高了检测精度和实时性。 局域网广播风暴源自动定位算法的研究 提出一种自动定位广播风暴源位置的新算法。利用简单网络管理协议(SNMP)获取网络设备每个端口接收的广播/多播包的数量信息,通过计算端口广播/多播包接收速率定位广播风暴的来源端口。实验表明该算法可迅速、准确地定位广播风暴源的来源端口,有效地解决广播风暴源自动定位的问题。 云环境下的CRUAC访问控制模型 提出了云计算环境下的CRUAC访问控制模型.该模型以使用控制模型为基础,引入了角色的概念,并将约束信息加入到决策判定因素中.该模型能够解决使用控制模型中将权限直接分配给用户所带来的系统开发、重用和系统安全管理等复杂性问题,并且为云用户访问不同云服务器上的资源提供了一种解决方案,能够较好地满足云计算环境对访问控制的需求. 概率查询及其算法研究 给出了概率查询的分类,描述了最近邻查询及其基于R-树的深度优先算法,针对此算法的不足,提出了对mindist的改进以完成移动对象的最近邻查询。 基于RBAC的SaaS系统的权限模型 在研究RBAC模型的基础上,通过引入访问控制分层管理的思想来改良和扩展RBAC模型,建立了SaaS(软件即服务)系统的一种权限管理模型。从系统访问许可证、系统功能操作控制、系统数据访问控制三个层次建立了结构模型,并对其做了形式化定义。最后指出了新模型的优点。 一种对面积开销有效的组合逻辑选择性加固方案 工艺尺寸进入纳米级后,数字电路的可靠性面临高能粒子效应、延时故障、器件老化等方面的威胁.提高可靠性同时也面临着面积、延时、功耗等方面的挑战.针对高能粒子瞬时效应中影响组合逻辑电路的单事件瞬态(SET),提出一种对面积开销有效的组合逻辑选择性加固方案.ISCAS-89标准电路在45nm Nangate工艺下的实验证明,该方案平均增加11.14%~44.74%的面积开销,可达到50%~99%的可靠性. 基于VolumeViz的地震数据三维可视化关键技术 随着三维可视化技术的应用领域越来越广泛,三维地震数据的信息量过多,三维可视化绘制的运算量过大,渲染速度过慢等问题成为制约地震数据三维可视化技术发展的桎梏.本文基于Open Inventor的扩展模块Volume Viz,通过三维地震数据存储格式的转换实现大量数据的存储,通过自动控制分辨率的方式减少运算量,最后建立场景数据库并渲染实现地震数据三维可视化. 基于博弈理论的计算机病毒传播模型 已有的计算机病毒传播模型主要采用基于流行病学原理的SIR/SDIR模型。该类模型仅从计算机节点连接率考虑问题,不能准确、量化地反映病毒传播给用户带来的损失。为此,提出基于博弈理论的计算机病毒传播模型,通过分析正常用户和潜在攻击方之间的博弈,给出博弈双方期望收益的表达式。实验结果表明,该传播模型能够较好地模拟计算机病毒的传播趋势。 基于协同过滤的Web服务动态社区发现算法 针对现有社区发现算法挖掘结果精确度不高以及Web服务资源智能推荐质量较低的问题,在传统协同过滤算法的基础上,提出了基于节点相似性的动态社区发现算法。首先以连接节点最多的中心节点为起始网络社区,以社区贡献度为衡量指标不断形成多个全局贡献度饱和的社区;再使用重叠度计算将相似度高的社区进行合并,最后通过计算目标用户与社区中其他用户之间的动态相似度,将计算结果降序排列后构成邻近用户集,获得社区化推荐对象。实验结果表明,提出的社区发现算法对用户社会网络的社区分类与实际社区分类结果吻合,提高了社区挖掘的精确度,有助于实现高质量的社区化推荐。 基于Bag-of-words和Hash编码的近似重复图像检测算法 针对近似重复图像检测的传统算法存在检测效率和准确率不够高的缺点,提出了基于Bag-of-words和哈希编码的近似重复图像检测算法。该算法首先利用Bag-of-words把一幅图像表示成一个500维的特征向量;然后,利用主成分分析(PCA)和尺度不变特征转换(SIFT)进行特征降维,并利用Hash编码技术对特征进行编码;最后,利用动态距离度量技术实现近似重复图像的检测。实验结果表明,利用该算法进行近似重复图像检测是完全可行的,在准确度和查全率之间做到了较好的平衡,查准率可达90%~95%,查全率可达70%~80%。 移动导游服务平台的设计与实现 针对游客日益增加的对深度导游体验的需求,以及现有电子导游工具租金高、局限于某一景点的不足,提出一种新的基于移动互联网的电子导游服务的设计思想。随着3G网络的发展完善和智能手机的普及,手机终端逐渐成为人们便携的多媒体信息接收工具;同时服务器云端提供海量导游信息的存储和制作,形成云端—终端的服务模式,为游客提供丰富便捷的导游信息。系统实现了便捷的资源管理、导游信息制作、终端适配及多媒体信息发布等功能;结合短信、彩信、二维码等方式,手机终端可以访问景点的图文、音视频解说、路线提示、景点推荐及公共设施定位等导游服务。通过向公园、展会等潜在客户进行系统推广和演示,对此种服务模式和达到的效果较为认可。 基于文化社会认知算法的云服务优化组合研究 QoS感知的云服务优化组合是云计算领域亟需解决的问题。针对该问题,首先对社会认知算法进行了改进,然后将改进的社会认知算法纳入文化算法的框架之内,构造了新颖的文化社会认知算法,并采用该算法解决QoS感知的云服务优化组合问题。实验结果表明,文化社会认知算法在求解云服务优化组合问题时具有较强的搜索能力和较快的收敛速度;同时,该算法具有很强的推广性,可以用来求解其他类似的组合优化问题。 P2P空间矢量数据索引网络路由机制的研究与实现 随着地理信息系统的普及,越来越多的用户希望在线获取空间服务和空间数据。P2P技术为矢量地理数据的处理提供了充分的计算能力和服务能力,在P2PGIS系统中,索引网络的路由效率是主要问题之一。文中在已有的理论模型基础之上,采用结构化P2P网络协议Chord,扩展和修改JXTA路由协议规范,整合分布式哈希表(DHT)技术,实现了一个高效的、负载均衡的P2P空间矢量数据索引网络。实验结果表明,该索引网络比集中式索引网络具有更高的矢量数据传输效率。 独特字块结构的单载波时频混合均衡器设计 在单载波块传输系统中,提出了一种更适用于基于独特字块结构的时频混合判决反馈均衡器的设计方法。该方法利用独特字的已知特性,在接收端将经过信道的独特字和有用数据分开,再进行均衡处理,恢复出原始数据。根据这一方法,给出了基于独特字块结构的判决反馈均衡器的最优结构和次优结构。仿真结果表明,基于独特字的最优结构时频混合判决反馈均衡器相比传统基于循环前缀的时频混合均衡器性能有很大的提升;复杂度降低的次优时频混合判决反馈均衡器的误码性能仍要好于基于循环前缀的均衡器。 基于肌电信号和加速度信号的动态手势识别方法 为了增强手势识别的多样性和简便性,提出了一种基于肌电信号(EMG)和加速度(ACC)信息融合的方法来识别动态手势。首先,利用MYO传感器采集EMG和ACC的手势动作信息;然后分别对ACC和EMG信号作特征降维和预处理;最后,为减少训练样本数,提出用协作稀疏表示分类器来识别基于ACC信号的姿态手势,用动态时间规整(DTW)算法和K-最邻近分类器(KNN)来分类EMG信号的手形手势。其中在利用协作稀疏表示分类器识别ACC姿态信号时,通过对创建字典最佳样本个数以及特征降维的维数进行研究来降低手势识别的复杂度。实验结果表明,手形手势的平均识别率达到了99.17%,对于向上向下、向左向右4种姿态手势平均识别率达到96.88%,而且计算速度快;对于总体的12个动态手势,其平均识别率达到96.11%。该方法对动态手势的识别率较高,计算速度快。 基于聚类分析的可信网络管理模型 针对可信网络中动态信任模型对终端用户行为信任评估有效性问题,提出一种新的基于聚类分析的可信网络管理模型。该模型在传统信任模型的基础上引入聚类分析方法,从行为预期的角度研究用户的行为信任。通过对用户的历史行为数据进行聚类分析以构建行为预期,并利用行为预期评估用户行为,最后以信任评估结果为依据实现对网络中的用户的管理。实验表明该模型可以对长期接入的正常用户产生稳定的信任评估结果,同时迅速发现并隔离恶意用户,对可信用户与不可信用户有较高的区分度,与传统的信任模型相比具有更高的准确度及效率,达到了提高网络可信性的目的。 基于信息资源规划的企业级共享数据模型构建方法 针对电网企业的信息化建设,提出基于信息资源规划的企业级共享数据模型的构建方法,从数据元素级和业务活动级的细颗粒度入手,进行关系分析、数据分布研究、最终抽取出全企业共享的模型,为数据中心在信息管理领域奠定坚实的基础。 QoS图像自动处理模型设计 首先提出了结合QoS和自动图像处理的网格系统设计模型,本模型采用基于语义的高级请求分解并自动生成工作流,通过处理网格服务QoS属性的匹配处理过程,在满足QoS需求前提下找到最优服务组合。之后对模型主要模块进行详细分析,最后通过一个实验证明了模型在服务组合调度上的有效性。 基于云服务的数字化社区云平台的设计 "数字化社区建设与发展过程中逐步暴露出一些问题:例如没有统一的规划和管理、重复建设、共享性不高等。基于云服务的数字化社区云平台能够解决这些问题,并为管理者和用户提供随需随用的""云服务""。文中展望了数字化社区""云服务""应用前景,分析设计了平台的整体构架和接口框架,提出了采用虚拟化等关键技术构建平台的技术思路。通过云平台的构建,能够使社区服务摆脱物理和地理上的局限,建立一个便捷的""虚拟化服务社区""。基于云服务的数字化社区建设将各部门独立的信息资源和信息平台的建设,转向服务资源整合、服务模式创新,具有广泛的应用前景,但是具体实施还有很多困难需要解决。" 通用学习框架改进核PCA的单样本人脸识别 针对传统的人脸识别算法在每个人只有单个训练样本时识别性能严重下降的问题,提出了通用学习框架改进核主成分分析的单样本人脸识别算法。首先,选取一个合适的通用训练样本集,将各个单训练样本与通用训练样本集中某人的多训练样本按比例叠加;然后,利用经典的KPCA算法进行特征提取,将所有叠加后的训练样本和测试样本投影到特征子空间;最后,使用最近邻分类器完成最终的人脸识别。在Yale及FERET两大通用人脸数据库上的实验结果表明,相比其他几种较为先进的人脸识别算法,该算法取得了更好的单样本识别效果。 基于RFID的驾训车辆诱导系统的设计与实现 利用RFID(Radio Frequency Identification)技术辅以车牌识别技术、电感线圈车辆检测技术,建立驾训车辆诱导系统。明确系统工作流程、涉及到的对象以及各类用户,划分相应功能模块,确定阅读器及显示屏的设置地点及诱导内容,为实际应用提供参考。 基于剩余能量等级的MANET分簇算法 移动自组织网络具有自组织、动态拓扑、多跳通信和分布式控制等特点,可应用于小型区域战场和灾后现场的通信;然而通常由于节点能源受限,网络生存时间短暂,最大化利用现有资源是研究自组织网络的重要方向;自适应分簇算法是根据监测区域和节点位置划分网格,比较不同簇数下LBF值,得到最合理的分簇结构,选取剩余能量等级最高的节点作为簇头;通过对100个节点时指定簇头、轮换簇头和自适应分簇三种算法下的网络节点生存时间和每轮节点能量的方差进行仿真,得出自适应分簇算法将网络生存时间明显延长,并平衡了簇头的负载。 应用于分布式存储系统的准循环再生码构造方案 传统纠错码编码方案能够提高系统容错能力,但在数据修复时会占用大量带宽。为此,基于循环结构,构造一种面向分布式存储系统的准循环最小存储再生码。根据该准循环再生码的冗余系数向量权重和修复带宽边界,设计一种改进的节点修复算法,证明其修复带宽在最好情况能达到最小割下界,在最坏情况下也优于最大距离可分码的修复带宽。实验结果表明,该再码构造方案不仅节省存储空间,而且具有构造简单、运算代价低和修复带宽小等特点。 航空发动机需求预测 航空发动机价格昂贵、故障较多,保障人员仍采用经验预测需求,造成发动机短缺或者库存过度积压的问题经常发生。本文提出从到寿和随机故障产生的需求出发分析建立航空发动机的需求预测模型,到寿产生的需求通过装机发动机的规定寿命、剩余寿命、单机飞行任务等计算,随机故障产生的需求通过损坏频率和不可靠度2种方法计算。算例表明航空发动机需求预测模型具有一定的准确性和实用性。 民用飞机环控系统的仿真系统研究与实现 针对民用飞机环控系统的研究、开发和试验需要,讨论了环控仿真系统的功能需求与系统架构,提出了一种适用于ECS控制器开发全过程的硬件在回路仿真系统。仿真系统采用两台实时仿真机分别运行ECS控制器模型和ECS硬件模型,实现在研发前期确定环控系统动态性能指标和对ECS控制算法的设计与验证;并采用配线矩阵,可以在不改变布线的情况下随时接入真实试验件,实现在研发后期对ECS控制器实物的测试、系统故障模拟以及与真实试验件的联合试验。为ECS控制器的开发全过程提供了从算法到实物的研究、设计与验证的一体化仿真平台。 《信息安全研究》期刊简介 "[正文]习近平总书记指出""没有网络安全就没有国家安全,没有信息化就没有现代化"".数字时代信息安全工具的大众化是不可阻挡的历史潮流.大众化的信息安全已经直接影响到我们每个人的利益,信息安全已成为国家、地方区域经济结构优化提升和转型发展的新机遇.在信息安全上升为国家战略、行业迎来崭新发展机遇形势下,《信息安全研究》期刊应时代而生.《信息安全研究》是由国家发改委主管、国家信息中心主办的中文学术期刊,其宗旨是集中展示和报道国际、国内网络和信息安全研究领域研究成果及最新应用,传播信息安全基础理论和技术策略,服务国家信息" 基于线性参考系统的河流溢油动态预估实现 河流溢油发生时,溢油位置预估是进行有效处置的基础。论文分析了松花江流域溢油的特殊性,针对块状原油的特性,基于线性参考系统原理,建立了溢油动态预估模型,并对河流进行了矢量化处理,利用专业地理信息系统开发平台,实现了河流溢油位置的动态预估。 基于几何距离摄动的局部线性嵌入算法 传统局部线性嵌入(LLE)算法对近邻个数依赖性较强,不适用于处理稀疏数据源。针对该问题,提出一种基于几何距离摄动的LLE算法。通过线性块内的最大欧氏距离与测地距离之差构造几何摄动,描述流形数据的局部线性特性,对原始流形数据进行最大线性分块操作,保证局部模块的线性特性,并在每一个局部线性模块上应用LLE算法实现嵌入降维。实验结果表明,该算法能有效提高分类的平均准确率。 基于GMM的ESP流量应用层协议识别 提出一种高斯混合模型的ESP流量应用层协议识别技术,采用同步采集和流量模拟2种方式构造ESP数据集,建立HTTP、FTP、SMTP和TELNET 4种协议的ESP流量高斯混合模型,并对该模型进行测试,结果表明,高斯混合模型对ESP流量具有较好的协议识别能力,可利用ESP流量的网络层特征识别其应用层协议。 冠状动脉解剖异常及临床意义(二)——冠状动脉走行、终止、结构异常及发育不良 原发性冠状动脉解剖异常较为少见,大部分冠状动脉解剖异常是良性的,但有一部分异常可以导致心肌缺血或者猝死等。由于无创检查手段的广泛应用,特别是多层螺旋CT的成功应用,冠状动脉解剖异常的报道日益增多。本文就近年来关于冠状动脉走行异常、终止异常、结构异常以及发育不良等作一综述,以便更加深入地认识及了解。 基于多目标优化的云存储副本分布策略的研究 针对现有云存储副本分布策略优化目标比较单一的不足,提出了局部最佳分布策略(Local Optimum Distribution,LODS)。LODS策略通过给出一系列新定义并利用一致性哈希函数来缩小副本分布的节点选择范围,进一步结合层次分析法,将一定决策半径内的节点作为方案层中的候选对象,通过更深入地研究云存储多目标优化准则对其优化从而最终选择出当前候选方案中的最佳目标节点。实验结果表明,通过优化的最优决策半径取值相对稳定,不随云存储系统规模的扩展和数据的增多而剧烈变化,并且当取值最佳决策半径时,LODS策略的存储负载平衡、热度负载平衡、等待时间性能高于HDFS、Amazon S3等系统中所采用的副本分布策略。 基于声卡和幅度调制心电信号采集系统的研究 针对声卡只适合于声音频率范围内的信号(20Hz~20kHz)采集,而对含低频分量的心电信号采集效果并不理想的问题,提出了一种基于标准幅度调制原理与声卡采集频率相匹配的心电信号采集测量系统的设计方案。该方案通过幅度调制电路把低频的心电信号调制到高频载波上,由声卡对高频调幅波进行采集进入计算机,再通过解调模块将采集的调制信号解调还原为原始心电信号。实际应用结果表明,该系统可实现对心电信号的实时采集、显示和存储,而且性能可靠,工作稳定,成本又大大地降低。基于声卡的,尤其是声卡与虚拟仪器和调制相结合的信号采集技术在工程测试测量以及科研实验中具有广阔的应用前景。 基于区块链的供应链可信数据管理 为解决传统供应链中贸易数据潜在伪造、篡改等安全问题,提出了一种基于区块链技术的供应链可信数据管理方案.首先,以智能合约将贸易规则代码化,防范履约风险和提高贸易数据处理可信性;其次,采用ZSS04方案和抽样技术交互完成贸易数据完整性检验;再次,设计了适用于供应链的分布式共识机制,以提高贸易数据存储可信性;最后,利用区块链技术所具有的原生特性实现非可信环境下的可信数据管理.分析以及实验结果表明,该方案能够为供应链中贸易数据管理提供新的思路和技术支持. 融合词语共现距离和类别信息的短文本特征提取方法 针对传统特征加权方法未充分考虑词语之间的语义信息和类别分布信息的不足,提出了一种融合词语共现距离和类别信息的短文本特征提取方法。一方面,将同一短文本中两个词语之间的间隔词数作为共现距离,计算它们之间的相关度。通过计算这两个词语共同出现的频率,得到每个词的关联权重;另一方面,利用改进的期望交叉熵计算某个词在某个类别中的权重值,将两者整合,得到某个类别中所有词的权重值。对所有类别中的词按权重值的大小进行降序排序,选取前K个词作为新的特征词项集合。实验表明,该方法能够有效提高短文本特征提取的效果。 分布式本体集成框架下虚拟本体集合动态生成算法 为了有效组织和管理网格环境内存在的各类分布异构的本体资源,提出了基于网关服务的分布式本体集成框架。从体系结构角度对本体集成过程中涉及到的功能模块进行分析和划分,并基于网格服务设计了关键组件。在此基础上从提高计算资源利用率和满足用户多样性需求的角度出发,提出了虚拟本体集合动态生成算法。该算法将缓存技术与虚拟本体相结合,为本体数据的自动生成和再生成提供了较完整的系统方法,增强了本体资源的复用性。实验结果表明,该算法可以提高计算资源利用率,实现本体资源的复用率。 基于颗粒分析和骨架化的车道线检测方法 车道线的正确检测是提高车道偏离预警系统正确率的重要保障,车道线检测往往受到多种因素的干扰。针对直线模型下车辆对车道线检测的干扰,提出一种基于颗粒分析和骨架化的车道线检测方法。首先运用最大类间方差算法对车道线图像进行二值化,然后利用数学形态学的颗粒分析对二值图像进行处理,消除车辆对车道线的干扰,最后用数学形态学的骨架化得到车道的中心线。经实验证明,在车道线有车辆干扰的情况下,该方法不仅能较好去除车辆干扰,而且可以检测出车道线中心线,具有较强的鲁棒性和抗干扰性。 基于隶属度理论的云服务行为信任评估模型研究 针对云用户难以在大量性能特征不同的云服务提供者中找到满足自己性能偏好和特定信任等级的云服务的问题,建立了一个合理的、科学的云服务行为信任评估系统。设计了云服务行为信任评估系统框架,基于隶属度理论建立了云服务行为信任评估模型,利用综合信任云重心评价法来计算云服务行为信任度,经过实验分析,该模型能够根据用户性能需求,对云服务进行更准确、科学的信任评估。 基于差分图像分块的视频背景提取算法 为了降低背景提取算法的时间复杂度和空间复杂度,提出一种结合差分图像分块、背景减除和帧间差法的背景提取方法。对差分图像进行分块分类,提出了一种统计像素值的子块分类法,对不同类的块用不同的更新策略进行背景实时更新。该算法有效解决了背景更新过程中运动目标逗留、背景物体移入移出等问题的影响。实验结果表明该算法运算速度快、鲁棒性高、能准确地提取实时背景。 基于CouchDB的SPARQL查询引擎实现 传统的SPARQL查询引擎在处理查询时以三元组模式为基本单位做查询优化处理,在三元组模式较多时存在着过多的连接操作,开销比较大。文中基于文档数据库的存储和查询特点,提出一种利用主语分类的方式来存储RDF数据的方法,将不同的RDF三元组按主语分成不同的类,并存入文档数据库的文档中。在处理SPARQL查询时将三元组模式也按照主语分类,构成以主语相关块为单位的查询图,并提出一种基于属性相关性的选择度估计方法来优化查询执行计划。文中利用文档数据库CouchDB实现了新的SPARQL查询引擎,实验证明文中的方法能够提高SPARQL基本图模式查询的效率。 基于掩码推进的电调天线设备树形扫描算法 针对AISG协议要求和电调天线设备唯一标识符(UID)编码特点,提出基于掩码推进的电调天线设备(ALD)树型扫描算法,扫描过程中发生碰撞时通过掩码推进生成新的扫描分支。理论和仿真分析表明:1位掩码推进(二叉)树型扫描算法和2位掩码推进(四叉)树形扫描算法性能相似,而且性能接近最优。实际开发的电调天线控制系统采用2位掩码推进(四叉)树形扫描算法。实践证明,该算法具有较好的适用性,可以快速准确扫描识别不同厂家的各种ALD。 面向安全风险的云计算测试技术研究 对云计算安全问题进行了分析,构建了云计算安全风险框架,并给出了云计算安全测试技术路线,在此基础上,针对云计算渗透测试和数据残留检测,提出了两种解决方案,并成功应用于实际工程项目中. 基于结构聚类的图像去噪 为了克服传统BM3D去噪算法的不足,根据图像局部结构相似性提出了基于结构聚类的图像去噪算法。首先根据均值进行粗聚类构成块群;其次利用鲁棒数据归一化构造结构相似子群;最后对子群进行去噪,如果子群容量大于1,运用BM3D对该子群进行去噪处理,反之,运用基于阈值的DCT去噪算法对该块进行去噪。实验结果表明,该算法保护了图像的结构信息,相对于传统BM3D算法提高了图像的视觉效果。 基于Labview和CRIO的海洋环境测量平台的构建 随着人们对海洋方面研究的不断深入,海洋中各种环境物理场也越来越受到人们的关注,这就需要对海洋环境多物理场进行全面广泛的测量.传统的测试方法已经远远不能满足人们对于海洋资源勘测的要求,特别是在需要对对多个物理场同时测量的时候,繁多的各种物理场采集系统硬件设备将大大降低测量的灵活性以及系统的安全性和可靠性.因此,开发出小型化、智能化的现代测量系统成为了一大新挑战.. 均匀圆阵MIMO雷达中的DOA估计新算法 当前多输入多输出(MIMO)雷达波达方向(DOA)估计的研究均以传统算法为依托,无法估计具有循环平稳特性的信号的波达方向。因上述一类信号广泛运用于信号处理领域,为改进传统算法,提出了一种基于循环统计量的MIMO雷达DOA估计新算法,均匀圆阵可进行360度全方位、无模糊的方位估计,可同时提供方位角和俯仰角信息,并在均匀圆阵中进行了新算法的理论推导,实现了在非平稳信号环境中对俯仰角和方位角同时估计。 基于FPGA+DSP的数据采集系统设计与实现 文章阐述了一种基于FPGA+DSP构架的数据采集系统的设计与实现;该系统中FPGA先进行模拟量、频率量、离散量输入的采集,以及RS-422、ARINC429总线的数据接收工作,然后将采集和接收到的数据按约定数据格式,通过HPI发送至DSP,DSP按协议要求完成数据的运算、组合等处理后,FPGA控制HPI读取处理结果并控制离散量输出模块、RS422、ARINC429总线按照所需的数据格式和通讯周期将数据输出至相对应的系统;该系统具有丰富的接口,能满足多种类、不同时序周期的总线通讯。 增量形式背景的拓扑坍缩表示 形式背景表示是形式概念分析领域的研究热点之一,而增量式形式背景表示是增量式计算的表示基础.本文针对增量式表示的需要,将属性拓扑概念进行拓展,形成对象拓扑表示.并以对象拓扑为基础,通过分析新增对象对原有结构的影响,构造拓扑坍缩的表示方法.拓扑坍缩突出了新增对象与原结构之间的关系,对数据增量与存量间形成了明确的指向性,从而简化形式背景的增量式表示.实验表明,与原始对象拓扑相比,经过坍缩后的对象拓扑结构简单,易于后期计算.融入拓扑坍缩表示后的增量式概念计算速度得到了不同程度的提升. 融合评论标签的个性化推荐算法 传统的推荐算法大都从评论中挖掘用户兴趣或产品特征,然而由于评论形式自由,规则性差,导致从评论中获取有效信息较困难,推荐结果不理想。在电子商务等领域,评论标签作为一种新的评论方式已经被广泛使用。与评论相比,评论标签具有规则性强,信息密度大等特点,因此提出了一种融合评论标签的推荐算法。该算法从评论标签中挖掘用户对产品特征的观点,并利用其构建用户兴趣模型和产品特征模型,然后向用户推荐在他们感兴趣的特征上有较高评价的产品。与传统推荐算法进行对比,实验结果表明,融合评论标签的算法能有效地提高用户的覆盖率,并提升推荐算法的准确性。 改进的优势区分矩阵及其求核方法 研究了优势关系下不协调决策表的优势区分矩阵及其求核方法。用反例指出利用文献[6]中的优势区分矩阵来求核的方法是错误的,给出一个改进的优势区分矩阵的定义和求核方法,其空间和时间复杂度都优于现有的算法。 基于Mega2560的人脸检测与定位跟踪 为了解决存在外界干扰的人脸的检测和准确定位与跟踪问题,避免过于依赖软技术造成的算法复杂性,采用软硬件结合的方式,基于Mega2560单片机、VS2008开发环境与OpenCV函数库,实现了人脸检测与准确定位与跟踪。首先,利用Haar-like特征法寻找出图像中的人脸特征矩形,通过AdaBoost算法生成级联分类器,实现人脸检测;然后,将图像显示窗口中心选为坐标原点,计算人脸位置,用线性变换的方法获取人脸位置偏差,进而转换成角度偏差发送给下位机Mega2560;最后,单片机根据接收到的角度偏差信息,控制摄像头使人脸始终在坐标原点位置,实现人脸的准确定位与跟踪。通过实验表明系统具有较好的稳定性和准确性。 组合Web服务的价值分析方法 为评判组合服务中包含的原子服务及其QoS是否妨碍客户期望价值的充分实现,从而为后续组合服务的优化提供依据,研究组合Web服务的价值分析方法。提出基于状态转换的组合服务价值表述和度量方法,将组合服务所能提供的功能和能够达到的服务质量作为价值期望的约束条件,进而研究价值可满足性的判定方法,提出影响价值实现程度的责任点、关键度、敏感度分析方法,目标是找到妨碍价值充分实现的原子服务及其服务质量,为后续组合Web服务的优化提供依据。通过案例验证了所提方法的有效性。 压缩式垃圾车液压系统故障诊断方法研究 针对传统液压系统故障诊断方法诊断效率低、诊断精度不高等问题,以垃圾车液压系统为研究对象,提出了基于支持向量机的液压系统故障诊断方法。运用AMEsim软件建立垃圾车液压系统仿真模型、采集故障数据,利用故障数据建立支持向量机分类模型并进行参数寻优,最后利用分类模型对液压系统未知故障样本进行测试。仿真结果表明,上述函数和惩罚因子的选择对诊断精度影响较大;支持向量机诊断方法能更加有效提取液压系统故障信息,有更高的故障诊断精度和诊断效率。 一种基于RFID的感应式智能语音讲解系统 为了解决传统博物馆等游览过程中感官性参观和被动式参观的问题,结合RFID技术、无线通信技术和数字化语音技术,提出并实现一种实用性强、功耗低、适应范围广、高音质和稳定性好的感应式智能语音讲解系统。经系统测试,效果良好。 中性点绝缘系统单相接地故障辨识与仿真 正确判断故障类型是正确进行接地选线的前提和关键。以往国内多侧重于对接地选线方法的研究,而对选线的研究比较少。单相电弧接地故障是中压配电网中的主要故障形式。由于电弧的复杂性,以往的研究分析中,还没有很有效的方法可以辨识。为了进行故障辨识方面的研究,提出一种依据故障相电压稳态波形中基波含量大小来区分电弧故障的方法,与现有的比较中性点电压与故障前相电压相角差的方法相配合,就能有效地区分出不同类型的接地故障。通过PSCAD仿真验证,结论符合实际,表明辨识方法是有效的。 三维Hilbert曲线在图像置乱中的应用 研究三维Hilbert曲线基元在空间中的24种形态,提出一种基于基元分形走向的n阶三维Hilbert曲线生成算法,将图像像素点存入到一个空间立方体数组中,按三维Hilbert曲线遍历顺序对空间立方体中的像素点进行顺序扫描存储,从而实现图像置乱处理。实验结果表明,相比二维Hilbert曲线,三维Hilbert曲线置乱具有更强的图像置乱度和更高的图像加密安全性。 基于人脸检测与细胞自动机的人物图像分割 人物图像具有人体姿态的多样性、衣服颜色和纹理的各异性,存在噪声、低对比度、光照不均匀以及背景复等问题,以至于分割人物图像具有困难。为此,提出一种基于人脸检测和细胞自动机的全自动人物图像分割方法。用人脸检测算法识别人脸,得到面部轮廓。根据识别出的人脸位置建立目标和背景种子点估计模型,并得到目标和背景的种子点。采用细胞自动机进行像素标记任务,得到目标和背景两部分结果,实现全自动的人物图像分割。对分割数据集上不同类别的人物图像进行分割,实验结果表明,与Grabcut相比,提出的方法能自动和准确地对人物图像进行分割,并有效提高分割效率。 基于空间区域功能划分的人群移动模式可视分析 随着城市发展和城市人口密集化趋势的愈加明显,大量人群移动造成的交通拥堵、空气污染等城市问题日益突出;为了直观、有效地分析人群移动现象和理解背后的语义信息,提出了一可视分析方法,通过整合道路卡口数据和城市POI数据,采用改进后的DBSCAN算法将区域进行功能相似性划分以增强移动模式背后的意图,从而挖掘人群移动在数值和语义上的模式.进一步,基于Bubble Set可视化展示不同功能区域的分布和差异性,并连接不同的功能区块以展示区域之间的人群移动.最后通过案例分析,结合真实数据和区域功能特征,分析和探索人群移动意图,得到人群移动模式和功能区域之间的联系. 第3届多尺度结构与系统国际会议召开 [正文]第3届多尺度结构与系统国际会议(3rd International Conference on Multi-scale Structures and Systems,简称Multiscale-2010,http://www.multiscalesci.org)于2010年6月12~13日在北京中国科学院过程工程研究所新园区过程大厦召开。来自中国、英国、印度、美国、德国、澳大利亚、法国、日本、荷兰、瑞士等10个国家的约200位专家、学者及科研人员参加了本次会议。 具有最小时间加权平方误差积分的主动队列管理算法ISTE-PI "针对现有基于控制论的主动队列管理(AQM)算法的不足,在不忽略TCP/AQM传递函数模型中时滞环节的条件下,将TCP短流和UDP流作为干扰信号引入AQM控制器的设计过程,并借助最小""时间加权平方误差积分(ISTE)""控制方法,设计了一个基于PI控制器的AQM算法ISTE-PI.与现有算法相比,ISTE-PI算法同时具备响应速度快、抗干扰能力强、能用于大时滞网络环境且鲁棒性好等特点.鉴于Internet网络流量由TCP长流、TCP短流和UDP流构成,且是大时滞网络,因此ISTE-PI算法能更好地适应Internet网络环境下的主动队列管理." 基于对象频度的粗集约简算法研究 决策表中大量的不相容对象和相同对象会降低粗集约简算法性能,出现错误的属性核和约简结果。因此文中首先讨论决策表的不相容对象与相同对象即冗余对象对约简结果的影响,然后提出利用对象频度概念来删除冗余对象,组建最简决策表的算法。把求最简决策表的算法与高学东的粗集约简算法结合起来,形成了一种具有较好的处理不相容决策表、决策规则较合理的粗集约简算法。实例证明,该算法性能时间与空间复杂度与高学东算法相同,但明显优于Hu XiaoHua、叶东毅等的算法。 OFDM系统中子载波间干扰抑制算法的研究 针对频偏引起的正交频分复用(OFDM)系统中载波间干扰(ICI)的问题,为消除间干扰,提出了一种基于SSC-ASIZ方案的子载波间干扰抑制算法。为了更好地在接收端消除子载波干扰,发送端通过相邻子信道插零(ASIZ)算法对子载波进行分配;在接收端,针对发送端的特殊载波结构,通过接收符号移位抵消(SSC)算法来抑制对子载波的干扰。仿真结果表明,使用方案后系统信号干扰功率比(SIR)性能比常规系统提高了至少15dB,误码率(BER)性能也比其它方案优越,明显地改善了系统的性能。 智能卡COS安全性测试研究 智能卡COS是智能卡中重要的软件控制部分,它控制着智能卡内外部的数据通信和存储。智能卡COS的安全性是信息化应用健康有序进展的重要基础,因此,必须对智能卡COS进行科学全面的测试评价。文中首先描述了智能卡COS的组成结构;然后分析了智能卡COS需要保护的信息资产及其面临的安全威胁,在此基础之上,提出智能卡COS在软件设计实现中需要考虑的安全机制,阐明如何构建智能卡COS安全性测试评价平台;最后,重点从安全功能测试和穿透性测试两个方面阐述了智能卡COS安全测试的关键技术。 基于LDA模型的文本聚类检索 传统的判断2个文档相似性的方法没有考虑到文本背后的语义关联,导致检索系统返回的结果与用户的查询需求之间存在很大的差异。本文提出一种基于LDA主题模型的文本聚类方法,首先介绍LDA主题模型的应用原理,阐述文本挖掘的基本方法,之后构建LDA主题模型,采用Gibbs抽样的方法进行推导,得到特征词的概率分布,最后用优化聚类中心选择的K-means++方法对测试数据集合聚类,并把设计的LDA-Gibbs模型与传统的TF-IDF模型进行聚类评价对比。实验结果表明,该模型能够提高数据的检索效果,具有良好的推广价值。 三维线性倒立摆模型在双足机器人系统中的应用 机器人稳定的步行行走模式在双足机器人的控制中占有很重要的地位,提出了一种基于三维线性倒立摆的机器人行走模型。通过机器人的三维倒立摆模型得到机器人质心的位置和速度,再结合机器人的逆运动学,求得机器人各关节的关节角度,驱动机器人关节运动。从而得到机器人完整的运动轨迹。 变尺度混沌光强吸收系数的萤火虫优化算法 针对基本萤火虫算法存在早熟现象,提出了一种变尺度混沌光强吸收系数调整策略的混沌萤火虫优化算法。首先,应用Sinusoidal映射产生混沌变量来描述光强吸收系数;其次,在算法迭代过程中引入变尺度混沌扰动,使光强吸收系数与迭代次数呈线性变化;最后,将萤火虫群分成三个子种群协作合作,可有利于增强算法搜索前期的全局探索能力和搜索后期的局部细化搜索能力。通过标准测试函数测试,实验结果表明算法是有效的,比基本萤火虫算法有了较好的寻优精度和收敛速度。 融合全局和局部特征的人脸识别算法 针对人脸识别算法缺乏对光照变化的自动调节能力的弱点,提出了一种综合利用全局和局部特征进行人脸识别的新方法。对整幅人脸图像进行主成分分析;针对人脸局部特征,提出一种根据各局部子块包含的信息量即利用图像熵值进行自动加权的算法;基于贝叶斯原理对全局和局部特征进行数据融合,给出最终结果。实验结果表明,该数据融合算法综合全局和局部特征提取方式的优势,有效提高了人脸识别率。 基于ROMP算法的压缩感知飞行数据重构技术 在飞行数据压缩的研究中,由于传统飞行数据压缩编码受到带宽限制,导致重构时飞行数据包含的有用信息量有限。提出一种采用压缩感知(CS)方法的飞行数据压缩重构过程,通过正则正交匹配追踪算法(ROMP)对压缩后数据重构处理。选用飞行数据中的瞬时垂直速率数据,对其稀疏表示压缩并测量。通过仿真比对不同压缩比、不同压缩分解层数下重构信号与原始信号的相对误差,获得包含高有用信息量的理想重构信号,为更广泛的应用飞行数据提供理论基础。 多元线性模型和数据降维用于评价复杂体系中各因素的影响程度 评价复杂体系中各因素对特定对象的影响程度是一类常见问题。通过影响程度,可以发现主要影响因素,从而实现相应的科研和生产目标。本文提出了一种影响程度因子,用于评价复杂体系中各种因素的影响程度。该影响程度因子基于多元线性模型,通过偏导数得出,并且消除了量纲,以对比不同类型因素的影响程度。在建模样本数量有限的情况下,通过主成分分析对原始建模数据进行降维,然后在主成分和特定对象之间建立多元线性模型,最终获得各因素的影响程度因子。将影响程度因子用于研究卷烟产品9项物理指标对于4种烟气成分含量的影响,得到了各烟气成分含量的主要影响因素,为产品研发提供数据支持。 基于国产基础软硬件的数字校园建设研究 为了推动国产基础软硬件环境下数字校园的建设和发展,形成示范效应,探索服务保障模式,分析和研究国产基础软硬件和数字校园建设现状。针对国产基础软硬件环境下数字校园的总体架构体系、技术实现路线和服务保障模式等相关内容匮乏,提出国产基础软硬件环境下数字校园建设的总体架构体系、技术路线和服务保障模式。将其运用于航天工程大学数字校园基础软件平台的建设,为其他院校提供了一定的指导借鉴作用。同时,也可为其他非院校单位的相关系统建设提供一定的参考。 引导小生境回溯优化算法 回溯搜索优化算法(BSA)是近年提出的一种新型优化算法,针对其收敛速度较慢、易陷于局部最优的缺点,提出了一种基于最优个体引导和小生境技术相结合的改进BSA算法。本方法首先在BSA的变异操作中引入向最优个体学习的策略,以提高算法的收敛速度;其次,设计一种新的小生境排挤技术,根据每个个体到其他个体距离的平均最小值确定小生境半径,排除部分相似性较高的个体;结合群体当前的最差信息,设计一种新的变异方法产生一定数量的新个体补充到新的种群中,维持群体数量的恒定并增强群体多样性。改进的BSA算法充分考虑了算法的收敛速度和群体的多样性,较大地提高了传统BSA算法的性能。对10个典型函数进行仿真测试,并与其他算法结果进行对比,实验结果表明,改进算法在收敛速度与精度方面具有较好的效果。 MDUAM:一个企业信息集成系统中元数据更新分析与管理系统 当前的企业信息集成系统是由大量的自治系统组成,这些自治的系统的数据之间进行互操作.由于自治的系统之间可以进行互操作,因而带来了一个问题,就是对一个系统中进行的数据操作可能会给其它系统带来意想不到的影响.介绍一种方法,用于监测每一个参与互操作的系统中的元数据更新情况,对每一个数据更新可能带来的影响进行分析并加以管理.这个分析过程是通过显式地定义规则,规则分为防范性的和反应性的规则,这些规则是通用的、可扩展的,可以表达相关的数据更新的元数据.本文的方法可以在异构的环境下工作,并且能够根据特殊的需要进行定制. 面向低功耗无线传感器网络的动态重传算法 由于信道通信质量具有时变性,为了保障数据传送具备高可靠性,需要在低功耗传输网络中加入能量利用率更高的重传机制。低功耗无线传感器网络对数据实时性的要求不高,但整体能量有限,因此重传的时机及重传的有效性显得额外重要。在静态发送队列的基础上,提出一种可靠且稳定的动态重传算法。随机出现丢包的节点利用自身产生的随机数作为序列,将其添加到重传队列中。该算法利用随机生成发送时机的方式避免随机出现的通信不佳的情况。实践表明,动态重传算法在降低能耗的同时,能够提高数据传送的成功率。 LCD灰阶响应时间自动测量系统开发 灰阶响应时间需要测试不同灰阶之间切换需要的时间,这样测试的数据量非常大,仅仅靠手动测试已经很难完成该测试;需要自动化的测量方法才能完成;对LCD的灰阶响应时间自动测量进行了研究,用综合测试仪(DMS)与FPGA系统结合的方案完成灰阶响应时间的自动测量,可以快速准确的测试灰阶响应时间;采用这个方案可以在无需额外购买测试设备的情况下几分钟内测试一组灰阶响应时间,测试基准采用DMS设备,所以测试的准确率高;该自动测试方案对于LCD灰阶响应时间的测量和研究具有很强的实用价值。 基于BSC的高校战略管理驾驶舱系统研究 "为了提升高校战略管理能力,增强高校核心竞争力,提出了基于平衡计分卡理论和管理驾驶舱实现平台的高校战略管理的信息化方法。讨论了将平衡计分卡理论引入到管理驾驶舱系统的可能性,根据高校与企业的不同特点,将企业用的平衡计分卡修正为适合高校的平衡计分卡,并将其应用到S大学,设计了S大学战略管理指标体系。通过把该管理模型引入到管理驾驶舱系统,设计了高校战略管理驾驶舱系统,实现了高校战略管理的信息化。以""卓越的教学与科研服务""战略主题为例论证了该系统的可行性。" ARP协议的描述与TLA验证 随着计算机网络的发展,网络的安全性日益受到人们的关注。ARP攻击是一种非常专业化的网络攻击方式,它会给网络管理员增加很大的负担,破坏主机数据,窃取主机信息。Lesilie Lamport提出了一种新的逻辑,即行为时序逻辑(TLA)理论体系,运用这种逻辑对软件或协议系统进行建模,在一定程度上减少了由于状态空间爆炸带来的压力,它能在一种语言中同时表达程序与属性。文中介绍了ARP协议,用基于行为时序逻辑TLA的建模语言TLA+对ARP协议进行建模分析。构造了一个ARP欺骗的攻击者模型,用基于TLA的模型检测工具TLC对其进行验证并找出一条攻击者路径。 广义三支决策与狭义三支决策 "从三支决策发展历史出发,在总结三支决策近年来研究的基础上,基于三支决策现有模型、算法及应用,提出了一种广义三支决策和狭义三支决策理论。广义三支决策注重对三支决策概念内涵和外延进行诠释;狭义三支决策主要注重三支决策在实际决策问题中的语义解释。提出了一种""四层次""三支粒结构决策模型,从静态和动态、广义和狭义两种视角对三支决策进行剖析,厘清了三支决策发展过程和研究脉络。最后,给出了三支决策的研究现状和未来发展方向。" 几何定理机器证明复系数质点法的改进及其应用 复系数质点法是以几何点的运算为基础而建立起来的一种新的几何定理机器证明方法.它能高效地证明大部分构造型几何命题,但现有的复系数质点法仍不能有效地处理一些非线性构造型几何命题.为此,该文在原有工作的基础上,对原复系数质点法机器证明算法进行了较大的改进,新添加了一些重要的构图方式,并选用Mathematica重新实现了改进的算法,创建了新的证明器CMPP(Complex Mass Point method Prover).对上百个几何定理的运行结果显示,证明器CMPP能有效地处理非线性构造型几何命题以及许多非构造型几何命题,在解题能力及运行效率上均有所提高.特别地,CMPP能在短时间内实现五圆定理、莫莱定理等一些难度较大的几何定理的可读机器证明. 高维数据离群点检测的局部线性嵌入方法 由于高维空间中数据点比较稀疏,用传统方法来检测高维空间中的离群点不能达到预期效果。提出了一种基于局部线性嵌入的离群点检测方法(OLLE)。在OLLE降维方法中,建立了一种有效的粗糙集模型,使数据集的下近似中的点保持局部线性结构。同时构造两个权重,使所有样本点保持局部近邻结构,且保证在降维的过程中使离群点远离正常点。最后,在低维空间中,采用基于最小生成树的k-最近邻启发式方法来检测离群点。通过一系列的模拟实验,证明OLLE方法能达到很好的降维效果,并且在低维空间中可以有效地检测出离群点。 Chirp-Z变换在振动信号处理中的应用 由于频率分辨率较低,基带FFT难以有效地分析卧螺离心机拍振信号的频谱特征,为解决上述问题将选带细化频谱分析技术应用于拍振信号的分析。分析比较了两种常用细化方法:选带细化Zoom-FFT(ZFFT)和线性调频Z变换(CZT),指出了CZT算法的优越性。其中CZT法能在不增加采样点数的前提下,对感兴趣的频带进行细化,得到比较精确的频率值。对仿真信号和实际信号的分析表明,CZT在卧螺离心机拍振信号处理中有着极大的实际应用前景。 一种高效的分辨函数范式转换算法 基于分辨矩阵获取一个决策表所有约简的过程实质上是一个将分辨函数从合取范式转换为析取范式的过程,其效率对于属性约简算法性能至关重要。依据人工范式转换的运行机制,充分利用合取运算和析取运算的吸收率,并借助队列结构,提出了一种面向分辨函数的范式转换算法。该算法易于理解,实现简便。仿真实验表明算法能够高效地完成范式转换。 一种可确保完整性策略有效性的可信恢复模型 多策略融合是访问控制技术研究的重要内容.可信恢复是高等级安全操作系统的必需功能.为解决过于严格的安全策略难以推广应用的局限性,提出了一种可确保完整性策略恢复后有效性的可信恢复模型.首先给出了模型的框架结构,利用多模型融合方法,通过对类型实施模型(type enforcement,TE)和基于角色的访问控制(role-based access control,RBAC)模型的重新构造和配置,实现了形式化的Clark-Wilson完整性策略模型及其扩展模型PCW(Poveys Clark-Wilson).然后,结合文件系统的具体特点,提出静态和运行时两类恢复算法,通过分析系统日志,撤销恶意操作,使文件系统恢复到原先的一致状态.该恢复方法增强了系统的可用性,对设计和实现我国自主高等级安全操作系统的可信恢复机制进行了重要探索. 块矢量的三维模型数字水印 针对三维网格模型数据的版权保护提出一种基于块矢量分布的三维网格模型数字水印算法。对三维网格模型进行分块,用块质心集代替原模型质心,构造模型块曲面的基本几何单元,视觉系统产生最小代价的基础上,调整块质心来嵌入单位水印信息,从而改变顶点位置使水印信息遍布整个模型。实验结果表明该算法对剪切,仿射变换、随机噪声和网格简化等攻击有较好的鲁棒性,具有较高的水印信息嵌入量和信息的冗余度。 无线传感器网络中结合信任管理的基于属性基加密方案 针对无线传感器网络(WSN)中基于属性基加密(ABE)的属性授权与撤销问题,提出了一种结合信任管理的密文-策略ABE方案(TM-CP-ABE)。该方案基于密文-策略ABE,融合了信任管理机制,将信任评估和信任更新与属性授权和属性撤销结合起来。对方案的安全性、复杂性和有效性进行了对比分析,并与目前WSN中比较流行的加密方案进行了仿真实验对比,结果表明TM-CP-ABE方案较好地解决了无线传感器网络CP-ABE的属性撤销问题,并通过属性撤销在一定程度上抑制了恶意节点的破坏行为。 SLP和遗传算法结合在车间设备布局中的应用 用经典的系统布置设计结合遗传算法求解车间设备布局,以高效率获得满意的设计结果,弥补传统SLP设计过程中手工操作的繁琐迭代、易受主观影响、结果不稳定等缺点。并且通过对遗传算法的改进,增强了算法的全局和局部搜索能力。最后,通过实例验证了其有效性。 基于LLE的优化RBF神经网络降水预报模型 利用局部线性嵌入降维方法(LLE)提取有效因子,并将这些有效因子组成的特征空间矩阵作为优化的RBF神经网络的输入矩阵,从而建立网络模型。以此对广西5月区域平均日降水量进行预报,结果表明,该模型具有较好的收敛效果和泛化能力,在预报性能上明显优于同期的T213降水预报,具有一定的普遍适用性。 采用同心圆图像的摄像机标定方法 为研究计算机视觉问题,介绍了一种新的采用同心圆图像进行摄像机内参数标定的算法,在标定中,采用了针孔摄像机模型。与已有的方法不同,算法以3D圆的投影方程为基础,包含了摄像机的内部参数矩阵。根据其在代数上的特殊形式,可以得到关于摄像机内部参数的一个线性方程组,通过创建足够的方程组,可以很容易求得所有的摄像机内部参数。方法是完全线性的算法,无需迭代优化,无需求取虚圆点,仅需要三幅两同心圆的图像即可实现全部摄像机内部参数的标定。最后通过仿真验证了内参数标定算法的准确性和鲁棒性。 采用近似max*运算的Log-MAP译码算法 为有效降低Turbo码译码的硬件存储消耗,提出一种基于近似max*运算的改进的Log-MAP算法。并通过设计合适的数字电路来找出一组数据中最大的两个值嵌入到其相关函数项中,有效实现了低复杂度的Turbo译码器的硬件结构。实验结果表明,所提出的结构比Constant Log-MAP算法结构平均简化了30%,达到了与Log-MAP几乎相同的误码率(BER)性能,降低译码的复杂度,便于实际工程应用。 面向移动自组网的分布式认知MAC协议改进设计 针对移动自组网MAC协议未考虑节点移动性、缺乏功率控制机制、算法开销较大等问题,改进设计基于信道可维持时间的分布式认知MAC协议。新协议建立了节点存储信息结构,根据节点功率与移动速度的关系,构建信道可维持时间模型,并改进信道感知和数据传输算法,增加移动性和信道增益考虑,降低速度和功率对信道感知的影响,提高协议对移动性的适应能力。结果表明,对于中低速网络而言,该协议在不增加控制开销的基础上,丢包率、时延和频谱利用率等性能得到较大改善。 基于时域振动速度有效值的铣削稳定性研究 为了提高铣削的稳定性进而提高高速铣削的加工质量,以时域响应中振动速度的有效值作为振动强度的衡量指标,提出一种稳定性判定及不稳定区间颤振强度衡量方法。分别基于强迫振动模型和自激振动模型计算振动速度有效值随转速变化的规律曲线,对比分析了两种模型下的曲线差异,判定不受颤振影响的转速区间,即稳定性区间。对于不稳定切削区间,给出衡量其颤振强度的振动速度有效值差值-转速关系曲线。通过算例与传统方法计算的对比结果验证了该方法的可行性。 基于云存储的重复数据删除架构的研究与设计 随着云计算的发展,云存储技术通过集群应用、虚拟化技术、分布式文件系统等功能将网络中大量各种不同类型的存储设备集合起来协同工作,缓解了老式数据中心的存储压力.另外,重复数据删除技术是一种缩减存储空间减少网络传输量的技术,随着云的广泛应用也势必会发展应用于云存储中.这两种技术结合将会给IT存储业带来实际效益.本文通过研究重复数据删除技术、云存储技术,设计了基于云存储的重复数据删除架构,提出了一种用In-line方式在客户端进行数据块级与字节级相结合的重复数据删除操作后再将数据存入云中的方案.在本架构下,海量数据存储在HDFS中;而文件数据块的哈希值存储在HBase中. 基于用户行为的个性化推荐系统的设计与应用 目前电子商务网站提供的推荐服务很难满足用户的个性化需求,协同过滤算法作为应用最成功的推荐算法,依然存在数据稀疏性、用户评分真实性等问题,制约着推荐系统的质量。设计和实现了一个基于用户行为的个性化商品推荐系统,主要采用前融合组合推荐策略,避免了单纯使用协同过滤算法的弱点。阐述了基于用户行为的个性化推荐系统的设计思想和实现过程,最终通过实验验证了本推荐系统具有良好的推荐效果。 基于蛋白质相互作用网络预测癌症致病基因 基于现有的蛋白质相互作用数据,提出利用邻居曲线方法来分析癌症基因产物在蛋白质相互作用网络中的中心度和聚集度,据此获取与癌症高度相关的候选致病基因。癌症基因大规模测试显示,有26%的目标基因在候选基因中排名前5%,90%的目标基因在候选基因中排名前50%,该方法能有效地识别癌症致病基因。 一种基于力导向布局的层次结构可视化方法 在数据结构优化管理的研究中,传统的力导向方法应用于层次结构数据的展示时,会存在树形布局展示不清楚的问题。为解决上述问题,通过层次数据特征分析,提出了一种面向层次数据的力导向布局算法,将力导向布局中不同层次的边赋予不同初始弹簧长度,以解决层次数据中结构信息展示不清楚的问题,然后结合层次上下行、Overview+Detail等交互技术,通过与气泡图的协同,清晰展示层次数据的内容信息,从结构和内容角度对层次数据进行可视化和可视分析。实验表明,能够有效提高层次结构数据的展示能力,最后应用于农产品中农残检测结果数据的分析和观察,取得良好效果。 基于云模型和信任链的信任评价模型研究 以复杂网络环境为研究背景,引入云模型理论,提出了一种基于信任链的信任评价模型,实现了信任的定性概念与定量数值之间的转换,通过评价模型识别恶意节点,减少不必要的损失。该模型将信任信息按属性分离,并转换成云数字特征参数进行传递和融合,解决了信任链过长带来的信任信息传递和融合的不合理性问题。通过仿真实验证明了该模型能有效控制最终信任评价结果的真实性,通过防恶意竞争实验,进一步证明了基于云模型和信任链的信任评价模型的可行性和合理性,为复杂网络环境下信任评价的研究提供了一个新的思路。 基于标准样片的集成电路测试系统校准装置研究 标准样片是实现微电子量值溯源和传递的良好途径,而基于标准样片的集成电路测试系统校准装置使得标准样片的量值可以准确可靠地传递到测试系统每个通道上,满足测试系统全通道覆盖的校准需求。论文提出了一种基于标准样片的集成电路测试系统校准装置架构设计方法,该设计方案具备一定的创新性,可以实现校准装置的通用性、便携性以及校准过程的自动化。 基于STK的靶标布设分析建模与仿真 由于靶标布设是航天测控的重要问题之一,覆盖分析为靶标布设提供重要的参考依据。为研究靶标布设的规律特点,提高测控质量,提出了利用STK进行仿真分析。针对某个工程中的靶标布设问题,采用卫星携带相机的侧摆情况和相机类型的情况,对卫星过境的覆盖品质进行了分析建模。并运用MATLAB与STK软件进行联合仿真,得出6种模式下的覆盖结果。仿真结果表明,无论是否侧摆还是相机类型不同的情况下,卫星过境时长均只与所处纬度有关,与经度无关,并且纬度越高,覆盖品质越好。 特技飞行表演中飞机拉烟效果实时推演核心算法研究 为编排更多特技飞行表演中飞机拉烟新奇效果,烟雾多路径运动控制核心算法是关键.首先,为实现千变万化的拉烟效果,引入速度增长因子控制烟源速度,使得烟雾可沿任意轨迹运动;其次,在拉烟扩散过程中,受到复杂气流的作用会产生漩涡现象,为避免小尺度漩涡细节丢失,将漩涡限制作为N-S(Navier-Stokes)方程的外力项,借助可变空间螺旋性强度取代作用在整个网格上的漩涡系数,表现随空间位置变化的漩涡细节;然后,为减少在高分辨率时求解N-S方程的计算量,在GPU上采用并行计算,改善实时性;最后,搭建拉烟效果核心算法推演平台,完成多种拉烟效果图的推演. 飞行试验航路规划方法研究与实现 光电系统挂飞试验对飞行航路有较高要求,一条能够满足试验各项约束的航路是试验按计划完成的前提。针对该问题,提出了一种基于可见性图的航路搜索空间构造方法;使用Dijkstra算法计算顺序两目标点间的折线路径;使用遗传算法计算代价最小的目标观测顺序;在得到的折线路径上计算得到满足最小转弯半径约束的航路。计算结果表明,这种航路算法能够有效规划出满足挂飞试验多约束条件的航路。 规划领域定义语言的演进综述 规划领域定义语言是智能规划研究中问题描述的标准语言,它同时起到了建模和通信语言的作用。随着智能规划得到了很大的发展,规划定义语言的作用越来越重要。但至今尚无对其版本、特性和语义的演进过程的综述。鉴于此,对规划领域定义语言的演进进行综述。最后概括了规划领域定义语言的发展趋势。 一类完全模糊非线性系统同伦法求解 在现有三角模糊数表示及模糊运算规则基础上,采用参数变换法对一类模糊非线性系统做变换,得到同解的确定非线性系统。采用同伦法求解该确定非线性系统,进而给出原模糊非线性系统的近似解,并给出了具体算例。 基于蛙跳思想的改进聚类模式识别算法 目前武器装备保障维护的经验性强而科学性、智能化弱,开展智能模式识别研究有助于提高部队的维护排故能力。分析了K-均值聚类算法和混合蛙跳算法的特点,找出了二者的相似之处,针对前者对初始聚类中心的选取敏感和聚类过程中聚类中心数目不能动态调整的缺点作了相应的改进,为使二者能有机融合,对后者进行了一定的修改后用于优化改进的K-均值聚类算法。通过对某型空气压缩机车柴油机燃油系统状态技术参数样本进行仿真实验,证明了该方法能够正确聚类,且算法较优。 生物地理学优化算法研究 对生物地理学优化算法(biogeography-based optimization,BBO)的研究现状进行了总结,并介绍了一些最新的研究进展。从BBO算法提出的背景出发,讨论了算法的主要思想、算法原理以及算法步骤。论述了该算法的研究进展,包括BBO算法的改进、算法的收敛性分析、BBO算法与其他算法的融合以及BBO算法在优化领域的典型应用,对BBO算法有待研究的问题做了总结。 基于显著性判别的缺陷检测方法 X射线成像存在噪声大、半影现象及散射等问题,使所得缺陷图像边缘模糊,背景灰度变化不均匀,严重影响了缺陷的识别准确率。提出了一种由LoG边缘检测和局部对比度筛选进行显著性判别的缺陷检测方法,在基于LoG边缘检测的双阈值进行显著性边缘检测的基础上,通过各向同性扩散方法求取待定缺陷的局部背景,利用待定缺陷和局部背景之间对比度的显著性设置第三个阈值进行进一步判断,从而去除假缺陷,使缺陷能够被准确地提取出来,并可以同时确定缺陷的轮廓和面积。实验结果表明,该方法对缺陷识别的准确度较高,并可以用于在线的实时检测系统中。 两种前向神经网络在变压器故障诊断中的应用 针对依靠变压器油中溶解气体分析的传统故障诊断方法存在的不足以及未来智能诊断算法进一步发展的需要,在研究人工神经网络的基础上,介绍了两种前向神经网络在变压器故障诊断中的应用,并用大量的DGA样本数据做了仿真训练;首先讨论了几种常用变压器故障诊断方法的一些缺陷,通过分析现代智能诊断算法的局限性,得出改进人工神经网络的优势;然后结合两种前向神经网络的原理和结构,对变压器内部故障进行了分类和编码;分别设计了相应的故障诊断模型,在MATLAB中做了仿真测试,并给出了仿真程序;仿真结果表明,两种神经网络用于变压器故障识别较为理想,其中PNN网络在样本数量较多时效果更好,有效提高了变压器故障诊断的正确率。 步态识别关键技术研究 高新技术的高速发展,使得越来越多的人对生物识别技术予以关注。步态识别技术是一种新颖的生物特征识别技术,它通过人体行走姿态进行身份识别与认证,在安全监控和国防军事等领域的应用潜力也获得越来越多的关注。文中首先分析了步态识别的研究意义和背景,以及步态识别系统的原理,再从主要评价指标、运动目标提取、步态特征提取、分类判决等几个主要方面介绍步态识别的技术现状,并分析了步态识别现存的困难和未来的发展方向。 多支持度下用户行为序列模式挖掘方法研究 针对现有用户行为序列模式挖掘方法的单一支持度局限性问题,提出一种基于前缀树结构的多支持度序列模式挖掘方法。设计一种多支持度条件下的前缀树结构MSLP-tree,并基于此结构提出一种序列模式增长算法MSLP-growth。通过考虑各数据项不同最小支持度,获取更精确的频繁序列模式,在确保挖掘结果的准确性和完整性的前提下,大大压缩搜索空间,缩短挖掘时间。实验结果表明,相较于MS-GSP算法,MSLP-growth算法具有更高的挖掘效率和可扩展性。 某线缆测试仪转换软件的设计及应用 为了解决国外某型线缆测试仪在动车组线缆测试工程化应用中存在的测试程序编写繁琐、测试结果无报表等问题,设计一款自动转换软件,该软件采用虚拟映射技术、多任务分发机制实现测试程序快速生成和测试报表管理功能;通过与动车组实车测试,测试结果表明,该转换软件能够大大节约流程编辑时间,减少编辑测试流程出错率;同时,转换软件生成的测试结果报表格式清晰,可指导测试人员排查错误连接线缆,大大提高现场检错效率。 OFDM系统中频数字接收机的工程实现 对带通采样理论进行了简单介绍,并对带通欠采样数字正交解调进行了详细的数学推理,并介绍了一种数字中频接收机的工程实现办法。该数字接收机具有较大的动态范围,较高的I,Q输出精度,采用带通欠采样进行中频采样,数字滤波法进行数字正交相干解调。介绍了传统模拟中频接收机的不足和数字中频接收机的优点,根据本课题的技术指标要求进行了中频数字接收机的设计,包括中频放大电路和数字控制VGA、数字中频A/D采样和数字相干解调。结果表明,两路信号幅度的误差<0.4%,相位的正交误差<0.4%,满足了设计指标要求,技术指标明显优于传统的模拟接收机。 SRK-WS-COSMOSAC模型关联二元体系汽液平衡 基于量子力学计算的真实溶剂似导体屏蔽模型(COSMO-RS)在预测纯组分饱和蒸汽压、混合物汽液平衡、液液平衡等方面已取得重要进展,但在模型参数的优化方面还不尽人意。COSMO-SAC(segment activity coefficient)活度系数模型是COSMO-RS模型的1种改进形式,在汽液平衡预测方面能够符合热力学一致性。本文通过WS混合规则将COSMO-SAC与SRK状态方程结合应用于二元体系汽液平衡数据的关联,首次利用牛顿-拉夫逊迭代算法获得多个二元体系最优化的二元交互作用系数k12,填补文献空白。在较宽温度和压力范围内对不同类型二元体系(包括烃与烃,烃与醇,烃与酮,烃与酯,以及醇与水等)汽液平衡数据进行关联的结果表明,采用最优化的二元交互作用系数k12后,模型的计算精度大大提高,与实验数据吻合良好。尤其对醇,水等体系,优化后的模型关联精度明显优于文献数据。 直升机加装设备电磁干扰研究 直升机通过加装电子设备,其用途愈加广泛,而带来的电缆辐射及设备超限问题也越来越引起重视。对于直升机的大型复杂系统而言,在有限空间内集成的电子设备越来越多,电磁能量的耦合途径越来越复杂,要理论分析加装电子设备及电缆带来的电磁干扰也越来越困难。为解决上述问题,利用电磁仿真软件CST搭建直升机及加装设备模型,从加装电子设备内外部电缆辐射两个方面进行了仿真。仿真结果表明,在多种信号激励下,当电子设备外部电缆未加装屏蔽层时,上述设备在低频段超限,加装屏蔽层可解决超限问题。 基于自适应观测器的故障检测方法研究 研究航空发动机控制系统执行机构和传感器的故障诊断问题。由于航空发动机长期工作热力系统温度升高,应及时控制。传统航空发动机控制系统的故障诊断方法均基于定常模型,且只能实现执行机构或传感器的单一故障诊断,因而导致故障的误诊、漏诊率较高。针对上述问题,建立了航空发动机控制系统的时变故障模型,设计自适应观测器对发动机状态和执行机构、传感器故障同时进行估计,并验证所建立自适应观测器方法的收敛性,最后通过解耦算法实现了问题的求解。仿真结果表明,当发动机燃油计量活门和涡轮后总温温度传感器同时发生故障时,改进方法能及时准确地检测出故障,具有工程应用价值。 基于熵权法和模糊评价的信息化厂商评价研究 针对信息化厂商综合评价中各指标的模糊性和不确定性,尝试综合运用层次分析法、熵权法和模糊综合评价法,构建主观权重和客观权重相结合的模糊综合评价法以评价信息化厂商质量。采用层次分析和熵权法分别确定主观权重和客观权重,挖掘指标样本值的客观信息差异,力图使结果更为客观。应用供电企业信息化厂商实际数据测算结果验证了熵权组合权重的信息化厂商的模糊综合评价模型的有效性和实用性。 基于子图分割和自适应噪音方差的2D移动机器人定位方法 基于子图分割和自适应噪音方差的2D移动机器人定位方法,不仅能有效地检测闭环,而且能更精准地估计移动机器人的位姿。首先,子图分割能够有效提高移动机器人的定位效率,通过匹配局部子图也能提高闭环检测的准确性,减少测量噪音的影响。与之前工作不同的是,根据2D几何特征点的个数来分割子图,使得子图中有足够的特征点,进而提高闭环检测的准确性。其次,在利用unscented卡尔曼滤波(UKF)模型时,使用自适应的噪音方差来估计移动机器人运动路径,使得每次UKF的预测方差与移动机器人当前环境有关,当检测到闭环时,通过UKF融合闭环定位信息,可以更准确地估计机器人位姿。在实验中,首先使用两组经典的移动机器人地图数据来比较基于特征点分割子图的方法与基于帧数分割子图的方法在闭环检测时的准确性;然后使用真实的移动智能车在室内环境进行实验,证明了自适应方差比常量方差有更高的定位精度。 流感病毒A型核酸内切酶与羟基嘧啶酮衍生物识别的分子动力学模拟 流感病毒A型核酸内切酶(Influenza A Endonuclease,IAE)是目前抗流感新药物研发的重要靶标。本文对IAE单体及其与羟基嘧啶酮衍生物(Hydroxy pyrimidine-ketone derivatives,HPD)抑制剂的复合物分别进行了2.1 ns的分子动力学模拟。从能量角度详细分析了IAE与HPD识别的关键残基,并对IAE-HPD复合物和IAE单体进行了成簇和自由能曲面计算,得到了HPD结合导致IAE的构象变化,主要是H41~Y48段α螺旋的去螺旋化以及H41等氨基酸残基的空间结构变化。优化后的结合模式表明,HPD与IAE识别主要是依靠一个双金属螯合的作用力以及周围残基的范德华相互作用力。模拟结果对于更深入理解IAE的结构特点以及与HPD的分子识别机制具有指导意义,为后续基于结构的抗流感病毒药物设计具有参考作用。 基于多轮分簇的无线传感器网络路由协议 提出了一种基于多轮分簇的无线传感器网络路由协议MCBMC(multi-round cluster based Multi-hop clustingrouting protocol),它对RBMC(ring based multi-hop clustering routing algorithm)进行了改进,在簇头自举中引入剩余能量参数,同时改单轮成簇为多轮成簇,减少了频繁分簇和重复建立多跳路由的次数。仿真结果表明,与RBMC相比,MCBMC能够降低节点平均能耗,提高网络的寿命。 应用佳点集的混合反向学习人工鱼群算法 为了改善人工鱼群算法求解精度较低、容易过早收敛的弱点,提出了一种应用佳点集和反向学习的人工鱼群算法。改进算法在迭代中对当前种群中部分优质个体执行一般动态反向学习,生成它们的反向种群,引导种群向包含全局最优的解空间逼近,以提高算法的平衡和探索能力。当种群的拥挤程度超过阈值λ时,利用佳点集机制对大部分个体重新初始化,以帮助算法脱离局部最优的约束。在六个Benchmark函数上的实验表明,该算法收敛速度快、求解精度高,适合求解函数优化问题。 面向方面的时间特性建模 基于面向方面软件设计方法,分离出分布式式系统的时间特性作为一个方面单独建模,将时间子方面思想应用到统一建模语言(UML)建模中,利用随机实时时序逻辑和模糊时间Petri网扩展UML约束。实例证明,该建模方法可解决UML语义问题,使建模更准确,且为日后代码织入、自动生成和测试提供方便。 云计算应用及其安全问题研究 随着全球信息化进程的不断演进,云计算成为继分布式计算、并行计算、网格计算之后的一种新的互联网服务模式,受到业界和政府的广泛关注.我们从云计算的概念定义和发展现状出发,从服务模式的角度分析提出了云计算服务的典型特征,深入分析了云计算服务可能存在的安全问题,进而提出了公有云管理服务规范体系.该规范体系可以作为企业加强安全防护手段、用户按需选择云计算服务,以及建立第三方云计算安全评估、监测和管理平台的参考和依据,从而起到促进云计算产业安全、有序发展的作用. 基于小波变换和Wiener滤波的SAR图像相干斑噪声去除 先介绍了小波变换和Wiener滤波,为提高去噪性能,提出一种基于小波变换和Wiener滤波的SAR图像speckle噪声去除算法,利用Wiener滤波对小波分解系数去噪.结果表明,与传统方法相比,所提出的算法能较好地提高SAR图像的去噪效果. 一种防止手术电钻磨穿颞骨的智能监控装置 手术电钻是一种重要的耳科手术设备,可能会磨穿颅骨,对患者造成损伤;设计了一种用于手术电钻的智能监控装置,使用了电流传感器、电压传感器、转速传感器和压力传感器,通过信息融合技术识别手术电钻的工作状态、防止钻头磨穿骨质;智能监控装置的软件识别系统由卡尔曼滤波、差分运算和BP神经网络构成,能够实现高精度的识别。经测试,软件系统可以识别出80%的异常状态。 战场PHM及其通信技术应用 首先介绍了战场PHM的体系结构和特点;然后,针对战场环境下PHM对数据通信的需求,以网络中心化的任务保障以及大规模健康状态信息传输两个难点问题为出发点,分析了如何将通信技术应用于PHM中并支持系统的高效运行;最后阐述了未来战场的以先进通信技术为支撑的PHM特点;可预见的是,随着通信技术的不断发展和进步,战场环境的PHM性能必将获得进一步的提升。 基于检测工具的软件脆弱性分析模型研究 针对使用单一检测工具进行软件脆弱性分析,存在较高误报率、漏报率和重报率的问题,研究和设计一个基于多检测工具的软件脆弱性分析模型。该模型通过对不同检测工具的集成,对不同检测结果的优化处理,有效地降低了检测工具的误报率、漏报率和重报率。基于该模型设计一个实例,对其实用性和有效性进行验证,结果表明,经过多层次等级处理,有效降低了误报率、漏报率和重报率。 基于优先级的服务区分和速率控制策略 针对中高速无线传感器网络中不同种类的网络流量对服务质量的需求,提出一种基于优先级的服务区分和速率控制策略。该策略对实时性和可靠性要求强的流量赋予高优先级以进行服务区分;并利用ε因子计算节点的速率差,逐跳地调整其上游节点的发送速率。仿真结果表明,所提出的策略能够保证高优先级实时流量具有高吞吐量和低延迟,并保持网络吞吐量的稳定。 机器人控制系统关键模块的形式化验证 随着机器人应用在越来越多的领域,人们对其安全性的要求越来越高,作为机器人的核心,控制系统设计的可靠性对整个系统的安全至关重要;针对一种模块化设计的机器人控制系统架构,利用xMAS(eXecutable MicroArchitecture Specification,可执行微架构描述)模型在定理证明器ACL2中对其功能正确性进行验证,首先对Xmas在ACL2中的形式化理论做了阐述,然后对该机器人控制系统中的加速度传感器数据采集模块建立xMAS模型,提取关键属性并进行验证;将xMAS模型和定理证明器ACL2相结合,可以很好地解决机器人控制系统的验证问题,为机器人控制系统的形式化验证提供一个有效的方法参考。 高速动车组动力轮对车轮疲劳强度研究 在高速动车组动力轮对车轮疲劳强度的研究中,机车车辆非轴对称车轮辐板孔疲劳强度校核常用多轴准则较多,针对各疲劳准则得到的辐板孔疲劳强度评定结果存在差异,通过对比分析各常用多轴准则下辐板孔安全系数的方式,确定安全度最大的多轴疲劳评定准则,同时使用单轴疲劳准则和Haigh-Goodman曲线对辐板非孔区域进行研究,指出非孔区域安全系数较小的位置。为此,基于某高速动车组非轴对称车轮,在确定计算载荷工况的情况下进行有限元分析和疲劳强度评定。计算结果表明,在Sines、Crossland、Kakuno-Kawada和Dang Van四种常用多轴疲劳破坏准则中,使用Kakuno-Kawada准则评定辐板孔疲劳强度最安全;对于辐板非孔区域,直辐板与轮毂的过渡区域和直辐板与轮辋的过渡区域的安全系数较小;车轮辐板区域的疲劳强度满足要求。 高效Prewitt边缘检测算法的NEON实现 在多媒体应用中,对图像数据的处理占据了极其重要的位置.随着嵌入式系统的高速发展,如何在嵌入式系统中完成对图像的实时处理已经成为最大的挑战.Prewitt边缘检测算法是一种典型的图像边缘处理算法,被广泛应用于图像处理领域.从提高嵌入式系统计算Prewitt算子运算速度的角度出发,提出了一种基于NEON协处理器的高效Prewitt边缘检测算法.该算法能够有效减少冗余的计算量和图像数据的重复读取,从而提高了整体的运算效率.为验证实验结果,用Verilog语言在Xilinx公司的Zedboard开发板上搭建了系统运行平台.在该平台上的实验结果表明,通过该算法可以使得嵌入式平台在计算Prewitt算子方面的运算速度与拥有CPU+GPU的平台相当. 用于遥感图像建筑物目标分类的层次匹配核 "提出了一种利用图像特征空间信息的核函数——层次对数极坐标匹配核,用于遥感图像建筑物目标的分类。对图像进行特征提取,并将特征映射到已聚类好的""码本""中,量化为有限个类别。将图像由粗到细划分为多个层次的对数极坐标系下的""子区域(单元格)""。比对落入同一层次、同一""子区域(单元格)""的每类特征的直方图交集,建立加权的多尺度直方图,将多个特征多尺度直方图合并,得到最终的核函数,并利用""一对多""的支持向量机(supportvector machine,SVM)完成建筑物的分类。对标准数据库Caltech-256和自建遥感图像数据集进行实验,结果证明了该核函数的有效性。" 一种基于改进SURF的图像配准方法 针对经典SURF算法存在特征点冗余、运算量大的问题,提出一种基于SURF的改进算法,首先使用SURF算法提取特征点,然后建立两级特征点筛选机制从初步检测出的特征点中筛选出信息含量高、分布均匀的特征点,减少特征点数量来减轻算法的计算量,提高算法整体性能.最后采用鲁棒性较好的PROSAC算法剔除误匹配点,计算变换矩阵.实验验证了本算法性. 基于SURE无偏估计的图像自适应稀疏收缩去噪 研究了基于过完备字典下稀疏表示框架的图像去噪问题,基于Stein无偏估计提出一种自适应阈值选择算法。在一阶可导收缩函数的基础上,推导了阈值选择的优化目标函数;证明该函数是关于阈值的凸函数,用黄金分割法搜索其全局最小值。该算法选择的阈值接近峰值信噪比-阈值曲线的极大值点。通过对Lena和Barbara图进行去噪实验,验证了算法的优越性。 遗传程序设计在旋转机械故障分类中的应用 特征提取是旋转机械故障分类中一个很重要的问题。为解决该问题,提出一种利用时域中已有的无量纲指标和遗传程序设计对旋转机械多类故障进行新无量纲指标提取的方法。实验结果表明,新无量纲指标比传统的无量纲指标具有更好的故障分类能力,能够对旋转机械故障进行准确分类。 胎盘绒毛膜血管瘤MRI及临床表现 目的:探讨胎盘绒毛膜血管瘤的临床特征及MRI表现。方法:回顾性分析2013年1月~2018年1月产后胎盘病理证实的绒毛膜血管瘤6例,总结其母胎临床表现、MRI影像学特征及新生儿预后。结果:6例绒毛膜血管瘤病例中MRI均表现为胎盘胎儿面单发肿物(6/6),多边界清(4/6)。与胎盘信号相比,5例呈T1WI等信号、弥散轻度受限,1例呈T1WI稍高信号、弥散明显受限。T2WI信号多样,呈不均匀等高混杂信号(3/6)或均匀稍低信号(2/6)或稍高信号(1/6)。肿瘤内部及周围可见粗大血管,2例巨大肿块伴脐静脉扩张。其他伴随异常有4例羊水增多,1例胎儿发育迟缓、2例心脏异常和1例胎儿胸腹腔积液。结论:胎盘绒毛膜血管瘤MRI具有一定特征性改变,且能同时显示母胎异常,为产前诊断提供额外信息。 小型无人直升机地面控制站设计与实现 通过分析小型无人直升机对地面控制站的需求,介绍地面控制站的结构和功能,分析开发地面控制站涉及的关键技术和解决方案,控制站采用基于面向对象的VC++开发环境和嵌入式Matlab混合编程技术。通过在某小型无人直升机飞行实验中的应用,证明该系统具有实时性强、稳定性好、人机界面友好、可扩展能力强等优点。 基于选择性集成SVM的数据类型识别 提出基于选择性集成支持向量机的语音、话带数据信号分类方法,根据集成算法的差异性定义,采用两层级联结构的动态叠加算法完成决策输出。该方法能够在训练阶段准确地选择具有较高识别精度和差异性的成员分类器,在测试阶段对各成员分类器进行动态集成,保证最终的分类结果最优。构建时域、频域相结合的特征向量,并具有较好的抗噪声能力。实验结果表明,该方法无论在分类还是在运算复杂度上都取得较好的效果。 粗糙模糊集的近似表示 粗糙模糊集是利用粗糙集的Pawlak知识空间来近似刻画一个模糊集(不确定概念)的理论模型.粗糙模糊集用上、下近似模糊集作为目标概念的边界模糊集,它没有给出在当前知识基下如何得到目标模糊概念的近似模糊集或近似精确集的方法.文中首先给出模糊集的相似度(近似度)的概念,定义了Pawlak知识空间U/R下的阶梯模糊集、均值模糊集、0.5-精确集等概念;然后分析得出U/R知识空间下的均值模糊集是所有阶梯模糊集中与目标模糊集最接近的模糊集,U/R知识空间下0.5-精确集是目标模糊集最接近的近似精确集;分析了均值模糊集、0.5-精确集分别与目标模糊集之间的相似度随知识粒度变化的变化规律.从新的视角提出了不确定性目标概念的近似表示和处理的方法,促进了不确定人工智能的发展. 基于Petri网的敏捷化生产调度建模方法 针对企业生产调度敏捷化程度不高、影响整个生产系统产能和效益最大化的问题,结合Petri网技术、面向对象方法和敏捷制造思想,提出了基于Petri网的敏捷化生产调度建模方法,开发了敏捷化生产调度建模的调度流程,研究得出了扩展的产能计算函数,建立了以产能瓶颈站点为调度核心,并向其他次产能瓶颈站点发散的敏捷化生产调度模型,直观、形象地反映了企业生产实时调度情况。通过实证研究,构建了产能函数,实现了对整个生产系统的全面调度,提升了瓶颈站点的产能,缩短了产品生产周期,提高了整个制造系统的效益。 北极航线通航环境评价 随着全球变暖,北极航线商业通航的可能性越来越大,北极航线受到越来越大的关注。通过北极航线的通航环境因素的分析,对北极航线通航环境进行评价,能够给未来北极航线的开发提供重要的借鉴作用。在总结北极航线现状及通航事故统计的基础上,构建了北极航线通航的评价指标体系,运用灰色模糊综合评价方法,对北极航线通航环境进行了初步评价。因为没有确切数据,指标值采用专家调查法来确定。经过分析,发现水文环境和助航设施及信息情报环境是影响北极通航的主要因素。其中,水文环境中最重要的是海冰密集度,助航设施及信息情报环境最主要的因素是破冰船和航行经验等。随着北极变暖,水文环境将逐渐转化,北极地区的助航设施及信息情报环境将逐渐成为北极通航环境的主要限制因素。研究结果能够为北极航线的利用和监控提供参考。 二维时空域连续小波变换在目标跟踪中的应用 连续小波变换在图像处理尤其是目标跟踪领域中的应用很广,目前在跟踪上运用较多的小波有Gabor小波、时空域Morlet小波等。本文重点说明二维连续小波变换目标跟踪的原理及实现过程,并将二维连续小波变换运用到微小目标以及红外目标跟踪中,取得很好的跟踪效果。 基于遗传算法的无线传感器网络巡航覆盖最小移动节点数研究 被监测环境的状态可以使用无线传感器网络的有效感知.本文使用无线传感器网络巡航覆盖模型实现了建筑能效监测过程.为降低基于无线传感器网络巡航覆盖模型的数据采集系统构造和维护成本并降低系统复杂度,采用MTSP问题对无线感器网络巡航覆盖最小移动节点数问题建模,提出了无线感器网络巡航覆盖最小移动节点数快速求解算法并使用遗传算法实现了快速求解算法.实验结果表明,本文提出的方法快速有效,而基于遗传算法的快速求解算法的实现可以快速确定无线感器网络巡航覆盖最小移动节点数上界. ReliefF-SVM RFE组合式特征选择人脸识别 针对人脸识别中因特征个数较多对识别的实时性和准确性影响较大的问题,提出了ReliefF-SVM RFE组合式特征选择的人脸识别方法。利用离散余弦变换提取特征和ReliefF对人脸图像特征集做特征初选,降低特征维数空间,再用改进的SVM RFE(Support Vector Machine Recursive Feature Elimination)选择最优特征,解决了利用SVM RFE特征选择时因特征数多而算法需多次训练耗时长的问题。对训练得到的特征排序表采用交叉留一验证方法选取最优子集,再由SVM分类识别。在UMIST人脸库上实验证明,可以在特征数为52时,达到98.84%的识别率,识别时间仅需0.037s。 基于YARN集群的计算加速部件扩展支持 以GPU和Intel MIC为代表的计算加速部件已在科学计算、图形图像处理等领域得到了广泛的应用,其在基于云平台的高性能计算及大数据处理等方向也具有广泛的应用前景.YARN是新一代Hadoop分布式计算框架,其对计算资源的分配调度主要针对CPU,缺少对计算加速部件的支持.在YARN中添加计算加速部件需要解决多个难点,分别是计算加速部件资源如何调度以及异构节点间如何共享问题、多个任务同时调用计算加速部件而引起的资源争用问题和集群中对计算加速部件的状态监控与管理问题.为了解决这些问题,提出了动态节点捆绑策略、流水线式的计算加速部件任务调度等,实现了YARN对计算加速部件的支持,并通过实验验证了其有效性. 基于主题的个性化查询扩展模型 "针对""多义词""和""词典问题"",结合文本分析和用户行为分析,提出了一种基于主题的个性化查询扩展模型。分析文本时,结合关联规则和图排序算法构建TextRank模型,脱离了对人工词典的依赖,并用此模型提取多文本主题;在用户行为分析上,使用移动时间窗口法建立用户模型,有效地捕获了当前的查询主题。查询扩展时,匹配用户主题与文本主题,选择相应的关联规则进行扩展。对结合关联规则与图排序的主题提取进行了实验,并将基于主题的查询扩展模型与其它查询扩展模型进行了比较。" 航天器发动机故障信号的有效检测仿真 研究航天器发动机故障信号有效检测。在航天器运行过程中,利用传统方法对航天器发动机故障信号检测时,航天器角度偏转会造成发动机故障信号受到干扰,容易出现检测精度低、耗时长等问题。针对上述问题,提出基于EEMD和Hilbert融合变换算法的航天器发动机故障信号检测方法。首先对采集到的航天器发动机振动加速度信号进行分解,抽取能量较大的前4个内禀模态函数(IFM)进行Hilbert变换,然后对变换后的解析信号进行谱分析,为能够准确的检测航天器发动机故障提供了有利依据。仿真结果证明,EEMD和Hilbert融合变换算法能够有效的检测航天器发动机故障信号,提高了工作的效率,保证飞行器安全飞行。 欢迎订阅2019年《计算机科学与探索》《计算机工程与应用》 [正文]《计算机科学与探索》为月刊,大16开,单价50元,全年12期总订价600元,邮发代号:82-560。邮局汇款地址:北京619信箱26分箱《计算机科学与探索》编辑部(收)邮编:100083《计算机工程与应用》为半月刊,大16开,每月1日、15日出版,单价45元,全年24期总订价1080元,邮发代号:82-605。 基于细粒度学习情感本体的学习效果评估方法——以算法设计与分析课程为例 教育目标包括认知领域目标、动作技能领域目标和情感领域目标。情感领域目标教育已受到越来越多教育者和众多领域学者的关注和研究。学习者的情感在传统教育和网络教育中都起着十分重要的作用,影响着学习者的学习主动性、积极性、创造性以及学习效果。基于多年承担本科生和硕士生的算法相关课程的教学实践,构建了细粒度学习情感本体,提出了基于细粒度学习情感本体的学习效果评估方法。细粒度学习情感本体的特点是引入了课程知识点之间的多种语义关系,构建了基于知识点的教师情感反馈行为分类。学习效果评估方法的特点是构建了基于细粒度学习情感本体中知识点关系路径的学习情感演化模型,并应用该模型来评估学习效果。 基于几何建模的旗帜飘动模拟研究与实现 为了增强虚拟现实系统的沉浸感,常常需要在虚拟场景中模拟各种自然现象或者一些特定的物理模型,旗帜模拟属于虚拟现实技术模拟中的一个分支。因此,研究虚拟现实系统中旗帜模拟对于虚拟现实技术的发展具有一定的意义。为了真实地模拟旗帜在风力作用下飘动的动态效果,提出了一种基于几何建模方式快速构建旗帜表面的方法。该方法利用正弦/指数函数模型快速构造旗帜表面网格,将旗面网格进行分区,然后采用不同的刷新频率控制旗面运动速度,呈现出风力作用下旗帜表面运动模拟效果,最后通过纹理映射、色彩融合以及光照技术渲染出最终效果。实验结果表明,基于几何建模方式实现旗帜模拟具有实现简单,内存消耗小等优点,可以实现富有动感且视觉逼真的旗帜连续抖动效果。 负载均衡感知的无线传感器网络容错分簇算法 好的分簇算法能够有效减少网络能耗和提高网络可靠性,但是簇间负载的不均衡性和通信的不可靠性会严重影响分簇算法的性能.针对这个问题,该文首先提出了一个负载均衡感知的无线传感器网络容错分簇算法.该方法引入了遗传算法的随机两点交叉算子和随机单点变异算子,从而设计了一种以种群粒子优劣为依据的自适应惯性权重调整策略,并提出了一种自适应的离散粒子群优化算法.算法设计同时考虑负载均衡和能量消耗两个优化目标,给出一种基于自适应离散粒子群优化的簇首选举机制;其次,为了保证网络上数据传输的可靠性,以最小生成树为基础,提出了一种用于保证簇首二连通性的簇间连通算法,通过消除网络中的割点,以保证网络的二连通性.仿真实验结果表明,该文提出算法在负载均衡和二连通性上有较好的性能,能有效减少了网络能耗,延长网络生命周期,并提高网络可靠性. 云平台上海洋服务流定制的可视化建模研究 利用已有的海洋信息处理模型及相关资源,开展基于云平台的海洋服务流架构设计,实现个性化的海洋服务流定制。通过可视化建模技术实现服务流定义,模型分析,服务流的交互式执行。用户只需要通过网络即可访问云平台中提供的各种模型服务。其中服务流页面作为定制服务流的唯一访问点,可视化地提供了云平台上的海洋数据模型资源和图形化的定制方法,将服务流描述提交给云平台,完成用户的个性化的服务定制执行。 一种基于残缺语言判断矩阵的群决策模型 研究了偏好信息为残缺语言判断矩阵的群决策问题。通过创建一个转换公式,将加性语言判断矩阵转化为互补判断矩阵,探讨了判断矩阵转换的一致性;应用转换公式将专家个体的加性残缺语言判断矩阵转化为残缺互补判断矩阵,并利用残缺互补判断矩阵排序向量的和行归一法,求出专家个体的排序向量;根据专家个体的排序向量,通过建立并求解一个非线性规划模型,得到专家群组的排序向量,从而实现备选方案的排序和择优。通过算例说明了方法的可行性与有效性. 一种基于k-核的社会网络影响最大化算法 社会网络中影响最大化问题是指在特定传播模型下,获取一个指定大小的节点集合,使得该集合在网络中的聚合影响力最大.针对贪心算法运用于大规模社会网络时存在效率低下且不可扩展的问题,文中提出基于核数层次特征和影响半径的启发式算法——核覆盖算法(Core Covering Algorithm,CCA).该算法首先引入k-核概念,基于k-核分解求出每个节点的核数,然后根据核数分布的层次性,引入节点的影响半径参数,最后综合核数和度数两个属性,找出影响力节点集合.文中在两个数据集和两种传播模型上进行了实验,结果表明:(1)在传播概率较大的独立级联模型(Independent Cascade Model,IC)下,CCA能取得比现有启发式算法更优的影响效果;(2)在三价(TRIVALENCY Model,TR)模型下,CCA的表现也同样优于其他启发式算法;(3)与其他启发式算法相比,CCA的运行时间更少. 频域宽带波束形成器设计 研究无线网络传感器,采用宽带信号波束技术,为了使宽带信号在整个频段内保持稳健的波束,以及克服快拍数有限对空间谱估计带来的不利影响,采用频域波束形成算法形成宽带波束,利用对角加载技术补偿所估计的空间谱,并通过矩阵求逆定理推导出对角加载值的取值范围。最后的仿真结果表明通过选择合适的对角加载值可以克服快拍数目不足带来的影响,从而实现具有较高阵列增益的稳健宽带波束,有效地完成对信号的空域处理,提高了传输性能。 天线辐射性能并行测试场研究 常规的解决多天线辐射性能批量测量问题采用的是一个天线测量暗室进行分时段测量或者使用多个暗室进行测量的方法。上述方法存在着测量效率低以及测量试验自动化程度低等问题,同时由于天线电磁解算难以通过解析表达,使得天线量化分析比较困难。为解决上述问题,提出对多发射源以及配套的天线测量机构进行空域组合的试验方案,难点在于如何建立精准的多天线辐射性能测试场电磁模型并且分析多发射源结构之间的互耦影响以及对被测天线在同时工作情况下指向发生变化时它们之间可能会出现的耦合影响等关键问题进行研究进而论证方案可行性。对所建立的天线测试场模型进行仿真计算。仿真结果表明,新建立的天线测试场模型中各发射源位置选择恰当,结构间耦合影响满足环境指标要求,解决了多天线批量远场测试问题,能够为多天线辐射性能并行测量提供参考。 基于节点能量和网络稳定性的节能路由协议 在Ad hoc网络中,AODV等路由协议在选择路由路径时没有考虑节点的能量,可能造成部分节点的能量过度使用从而导致网络分割等问题。以AODV为基础,提出了一种基于节点剩余能量和网络稳定性的路由算法ECAODV。该算法在路由发现过程中,不仅要综合考虑路径上的节点的剩余能量和路由跳数,而且考虑路径中间节点对网络稳定性的影响。通过仿真验证该算法有较好的性能。 X线平片的骨折识别 给出了一种识别人体X线平片中骨折现象的方法。根据X线平片的特点,提出了一种结合高阶统计矩校验分割结果的局部阈值化方法分割骨骼影像。对分割后的图像采用数学形态学分别提取目标的边界与掩盖骨折区域的骨架。通过叠加目标边界图与掩盖图中提取的骨架图,得出骨折区域的精确位置,从而识别出X线平片中的骨折现象。 一种通用的网格模型动态数据组织结构 数据结构的组织形式在算法的程序实现中占有重要地位。探讨了网格数据处理中的数据结构组织问题,提出了一种动态的、有较强适应性的通用流形网格数据组织结构,并以不同实例验证了所提出的数据结构在时间上的即时有效性、存储空间上的自适应性以及实现上的简单性和层次性。该网格数据组织结构可用于各类网格模型数据的处理和计算。 基于相对位置多次转发的车载自组织网路由模型 车载自组网路由技术是近年来无线网络以及智能交通领域的研究热点之一。针对目前相关路由协议存在链路存活时间短、端到端时延大、路由开销大等问题,提出了一种基于相对位置多次转发的路由模型。该模型将车辆间相对位置进行分类,采用十字路口车辆优先和位置区分多次转发的方式实现控制包的寻路,进而推导不同类位置条件下链路存活时间的分布。采用AODV、DSR协议进行性能仿真实验,结果表明,该模型在链路存活时间、路由开销和吞吐量方面优于传统模型,而端到端时延性能有所下降,可满足车载自组织网路由性能需求。 基于面源法防沙堤流场结构优化设计仿真 由于防沙堤的结构特性进而使得防沙堤迎风坡流场特征的的不同,导致防沙堤的防沙效果不同,为了优化设计防沙堤的结构特征即取得最好的防沙效果,首先应对不同结构类型的防沙堤迎风坡流场分布特征进行分析。采用机理建模方法,以经典流体力学势流叠加理论和面源法相结合建立防沙堤绕流数学模型,分析了不同结构类型防沙堤特殊参考点处水平风加速比、速垂直相对风速和风压值的变化特征。结果表明:梯形截面形成的低风速区面积最大并且迎风坡的水平风速值最小,余弦形截面迎风坡垂直风速值较大,三角形截面迎风坡风压分布较大;横截面为余弦形随着防沙堤高宽比的增加低风速区域面积减小,水平风速值增加,垂直风速减小,风压值减小。数值仿真结果为防沙堤结构特征的优化设计设计提供了一定的参考和依据。 基于GPRS的核辐射环境监测系统设计 为了长时间监测特殊区域内的核辐射情况,利用GPRS传输技术设计了核辐射环境监测系统,系统采用C/S架构设计由监测管理中心和多个监测节点组成;监测节点采用单片机MSP430F149作为控制核心,利用G-M计数管J403γ作为探测器,通过Time-To-Count方法实时监测周围环境中的辐射情况,并通过GPRS通信模块EM310建立与监测中心的TCP/IP网络连接将数据发送至监测管理中心;监测管理中心通过对接收来的数据进行处理、显示、分析,最终存入Access2003数据库备份;该系统适用于放射性科研院所、医院放射科和核电站周边等场所,以便尽早发现危险的存在,采取有效的措施,确保辐射场所的辐射安全,避免事故的发生。 一类模糊限定线性方程及其结构元求解方法 提出了一种模糊限定线性方程,在区间分析的基础上研究了其解的形式,并基于模糊结构元方法给出了方程的解及其隶属函数的解析表示。同时给出了一个数值实例。 基于四元数傅里叶梅林变换的RST不变彩色图像水印算法 提出一种基于四元数傅里叶梅林变换(QFMT)的RST(旋转、缩放和平移)不变彩色图像水印算法,利用图像的不变质心生成具有平移稳定性的圆形特征区域,对这个圆形区域进行QFMT。利用QFMT幅度谱具有旋转和缩放不变性,在QFMT幅度谱中嵌入水印信息。该算法不仅可以抗RST攻击,大大提升水印的鲁棒性,而且可以把水印嵌入所带来的误差扩散到载体图像的各个颜色分量之中,扩大水印嵌入的容量。实验结果表明,该方法具有较好的抗压缩性,对RST等攻击有良好的鲁棒性。 改进听觉组织方法的单声道浊语音分离 为了提高高频区域时频单元标记和听觉分割的准确性,提出一种改进听觉组织的单声道浊语音分离算法.在组织阶段中,首先该算法利用不同的特征对高频和低频中的时频单元进行标记.增强包络自相关函数被用来标记高频区域的时频单元.然后,利用起始和截止分析得到听觉片段,起始和截止分析方法可以有效地将语音和噪声分割到不同的片段.根据已经分离的浊语音二值模将这些片段选择性地重组到目标流中.系统评估表明,该算法优于原来的系统. 多云环境下基于博弈论的用户行为分析模型 针对多云环境下用户的分布式拒绝服务攻击缺乏有效处理机制的现状,从云服务提供商收益角度出发,提出多云环境下基于博弈论的用户行为分析模型。模型首先基于博弈论构造收益矩阵,之后利用模糊隶属度函数判定用户的行为,并进一步评估非协作和协作场景下云服务提供商的资源消耗和收益。经仿真验证,协作模型能够在减少资源消耗的基础上,有效地降低云服务提供商遭受分布式拒绝服务攻击的风险,相对于非协作场景,可以将单位资源的收益提高3倍以上,具有很强的现实意义。 典型大数据仓库-飞行试验数据仓库设计 在现代数据仓库技术思想的指导下,围绕试飞数据的非结构化大数据的特点,面向型号工程对试飞数据的统一管理和高效处理需求,分别针对试飞大数据的粒度描述和综合管理,设计了飞行试验元数据标准和特有的基于二进制文件的数据库管理服务,解决了飞行试验数据仓库设计中结构化与非结构化大数据的统一维度建模和数据关系维护的关键技术问题,为基于数据仓库的试飞大数据在线管理与分析系统的建立提供了基础,满足了型号工程对试飞数据的高效分析需求。 基于定向天线信号强差的定位方法 针对基于定向天线定位方法的定位实时性和定位精度问题,提出一种基于定向天线信号强差(LRD)的室内无线定位方法。利用带有两个相互垂直定向天线的节点作为参考节点,定向天线在所覆盖区域距离不变时角度变量与信号强度近似满足抛物函数关系。而目标节点所获得的两参考定向信号强度差值,距离不变时角度变量与信号强差近似满足线性关系。目标节点通过两种函数关系实现自身定位,并且提出最大密度(LD)的方法对定位结果进行改进。实验结果表明,该方法不仅能快速对目标进行定位而且具有较高的定位精度。 电力智能单元传输规约安全性分析模型研究 电力智能单元传输规约的安全性是保障智能电网中智能通信实现高速、可靠、安全的基础。为了构建适用于电力智能单元传输规约的安全性分析模型,概述了主流的协议安全性分析理论与方法。基于符号模型的形式化方法包括逻辑推理、模型检验、定理证明;基于计算模型的计算方法包括RO模型、BCP模型、CK模型以及UC模型;基于计算可靠性理论的方法包括映射方法、模型方法、形式化方法的计算可靠性以及计算方法的直接形式化。提出了面向智能电网领域的电力智能单元传输规约安全性分析模型,为进一步的电力智能化单元传输规约的安全性分析奠定了基础。 3D数字颅骨生物特征的球面调和分析 三维物体的识别关键问题是找到能准确描述三维形状特征的描述子。利用球面调和级数的空间正交分解特性,计算三维颅骨的空间分解特征向量,继而构造三维特征描述子。提出了判断特征描述子形似性的准则,试验验证对于重建和扫描的3D颅骨进行了有效的识别。 基于PSO改进算法的气象数据网格任务调度 为提高在有限带宽下气象观测中心海量数据的任务调度和数据传输效率,提出一种基于粒子群优化(PSO)改进算法的气象数据网格任务调度算法。给出副本域的概念,将PSO算法与副本域相结合,设计任务调度模型和符合气象数据网格环境的目标函数。仿真结果表明,该算法完成调度的时间小于遗传算法和穷尽搜索算法,收敛速度快于离散型PSO算法,且更加稳定。 基于组织符号学与AGENT的临床路径建模研究 为了提高医疗质量并降低医疗成本,使临床路径作为一种能贯彻关键质量管理又能节约资源的标准化治疗模式已被世界许多医院所采用。然而,目前大多数临床路径的实施过程中存在着路径僵化与非自适应的缺点。研究中运用组织符号学的方法抽取并表示临床路径知识并结合规范的Agent模型实现自适应临床路径流程的生成,最后提出了实现方法的系统框架对项目的研究是个性化,并采用自适应临床路径的设计,能够按照病人身体情况动态给出相应治疗方案,从而达到提高医疗质量并降低医疗成本的目的。 基于子块残差的快速帧间模式选择算法 针对H.264/AVC在提高编码效率和图像质量的同时增加计算复杂度的问题,通过分析H.264的编码区块样式及相邻模式间的相关性,提出一种帧间模式选择算法。其中包括对编码区块样式中的信息进行分析,结合相邻模式的相关性,确定最优模式所处的模式类别,减少需要计算的模式个数。实验证明,该算法不需要额外的计算就可以获得CBP,在图像性能和码率基本保持不变的情况下,可以降低约58%的编码时间。 一种基于fMRI数据的脑功能网络构建方法 人脑可以用复杂网络方法进行定量分析。为了研究基于功能磁共振成像数据来构建脑功能网络,首先,用标准脑模板将全脑分割成90个功能区域,每个区域定义为一个网络节点;然后,用脑区的平均时间序列来计算相关系数,网络节点间是否有边相连取决于其相关水平;最后,生成一系列不同网络密度的无向无权图,用来分析网络统计特性。结果表明,所构建的网络具有小世界拓扑结构。该脑功能网络的构建方法可以应用在某些认知障碍的临床诊断上。 基于时序逻辑的面向方面体系结构描述语言 运用传统体系结构描述语言描述的软件体系结构(SA)方案始终存在着一些横切行为和特征,它们混杂和散列在不同的SA设计单元中,使得SA难以理解、难以演化和难以重用。针对这一问题,基于时序逻辑语言XYZ/E,在统一的时序逻辑框架下设计出一种面向方面体系结构描述语言AC2-ADL。系统地阐述了AC2-ADL的概念框架并用XYZ/E进行语义解释,最后结合案例介绍了如何用AC2-ADL对SA进行描述。 模型转换过程中的映射发现方法 基于本体的计算无关模型到平台无关模型的转换过程,分为元本体映射规则的发现以及基于元本体映射规则的转换执行这2个部分,其中,映射发现是转换实现的基础。为此,提出一种映射发现方法以进行模型转换。该方法在已知计算无关模型和平台无关模型的元模型基础上,抽取各自的元本体,结合基于相似度的本体映射技术建立2种模型的元本体映射关系,并作为模型转换语义匹配推理的基础。通过实例验证该方法的可行性和实用性。 基于量子粒子群优化算法的新型正交基神经网络分数阶混沌时间序列单步预测 针对分数阶混沌时间序列预测精度低、速度慢的问题,提出了基于量子粒子群优化(QPSO)算法的新型正交基神经网络预测模型。首先,在Laguerre正交基函数的基础上提出一种新型正交基函数,并结合神经网络拓扑构成新型正交基神经网络;其次,利用QPSO算法优化新型正交基神经网络参数,将参数优化问题转化为多维空间上的函数优化问题;最后,根据已优化参数建立预测模型并进行预测分析。分别以分数阶Birkhoff-shaw和Jerk混沌系统为模型,利用Adams-Bashforth-Moulton预估-校正法产生混沌时间序列作为仿真对象,进行单步预测对比实验。仿真表明,与反向传播(BP)神经网络、径向基函数(RBF)神经网络及普通的新型正交基神经网络相比,基于QPSO算法的新型正交基神经网络的平均绝对值误差(MAE)、均方根误差(RMSE)明显减小,决定度系数(CD)更接近于1,平均建模时间(MMT)明显缩短。实验结果表明,基于QPSO算法的新型正交基神经网络提高了分数阶混沌时间序列预测的精度和速度,便于该预测模型的应用和推广。 芯片测试探针X射线图像模式识别技术研究 研究IC半导体芯片测试探针图像模式识别的关键技术和方法。通过对大量测试探针图片的分析,发现不同系列探针图像的长、宽、各部分比例、面积有明显差别,而同一系列探针的相差不大,主要差别在头部和尾部的形状上。根据各种型号探针头部和尾部的形状特点,提出识别每种型号探针的形状特征参数,建立探针特征数据库。以其中3个系列16种探针为例,详细介绍探针形状特征的提取方法,并基于MATLAB开发了一个探针图像模式识别系统。实验表明该系统能够实现图像抓取、预处理、特征提取、识别及报警功能。 时分复用片上网络的设计与优化 "针对""流水线""调度的时分复用片上网络受限于复杂的全局时钟网络以及低的网络利用效率等问题,提出一种时分复用片上网络设计方案.首先建立专属的调度控制网络,有效地避免了对全局时钟网络的依赖;然后提出最小空余带宽分配算法,合理地选择调度周期和分配带宽;最后利用双重交换仲裁设计,使用网络中空闲时间片来提升性能.实验结果表明,与之前的工作Surf NoC相比,文中方案能够在严格保证""应用域""之间无干扰的同时取得更好的网络性能." 基于因子分析和结构方程建模的应急装备保障能力指标优化 应急装备是非战争军事行动装备的重要组成部分,其保障能力评价是指导保障资源分配的重要因素;针对战争行动装备保障能力评价指标在非战争军事行动应急装备保障中出现结果不稳定的问题,提出了运用因子分析和结构方程建模对传统指标进行改进的方法;在传统评价指标的基础上,通过因子载荷分析提取评价指标的本质因子,结合应急装备的特点,对传统评价指标进行重构,降低了指标间的冗余,提高了评价指标间的独立性,确定了指标的权重,实现了评价指标的量化;通过结构方程建模,利用解释方差分析,验证了本质因子提取对系统的支撵度,解决了传统指标应用于非战争军事行动领域导致结果不稳定的问题。 基于车流量的交通信号灯实时控制的研究 道路交通信号灯控制与道路交通标志线的设置共同组成了对道路交通控制和管理的一个完整部分。交通信号灯控制在整个道路交通工程中起到了重要的作用,它主要应用于交通量较大、交通流冲突较多和复杂的交叉路口,以及某些道路路段上需要对交通流方向控制的地方。针对现有的交通信号灯控制中的一些缺陷,本文提出一种利用交通路口中车辆数量为引导因素,利用VC++编写信号灯实时控制程序,以达到对交通信号灯的实时控制。 复杂背景下的人体热图像分割 复杂背景下,特别是在环境与人体温度相差不大的情况下,红外运动人体目标与背景的灰度值会非常相似,准确的红外人体分割是一个难题。对基于混合高斯模型的背景减除法进行改进,在二值化阶段采用改进型的脉冲耦合神经网络(PCNN)进行精细分割,利用多模态免疫进化算法(MIEA)自动确定PCNN分割参数。仿真实验结果表明,该算法图像分割精度高,实现了快速自动分割,取得了较为理想的图像分割效果。 基于最小噪声分离变换的高光谱异常检测方法研究 RX算法和核RX算法能很好地分离目标和背景,是较为广泛使用的异常检测算法,但是高光谱图像数据量大且存在冗余信息和噪声,直接进行RX及核RX异常探测运算量大且容易受噪声影响。针对此问题,提出一种基于最小噪声分离变换的高光谱图像异常检测方法,首先采用残差分析法估计噪声协方差矩阵以改进最小噪声分离变换,然后利用改进后的最小噪声分离变换来有效地降低高光谱图像数据的维数并分离出噪声,最后对低维降噪后的数据进行RX及核RX异常检测,避免了随机噪声对RX及核RX异常检测结果的影响并提高了异常检测率。对真实的AVIRIS数据测试表明,该算法优于传统的相应的RX、核RX异常检测算法。 基于最近邻过滤的数据流Skyline算法 在Skyline查询算法中,Lazy算法的数据处理能力较弱。针对该问题,利用最近邻过滤的思想,提出改进的NNSC算法。在预处理阶段,利用欧氏距离定义一个阈值,如果新插入数据对象的欧氏距离大于阈值,提前处理该对象,以提高算法利用率,减少资源的消耗。实验结果证明,NNSC可降低时空复杂度,对于正相关数据,性能提升效果明显。 基于层次化调度策略和动态数据复制的网格调度方法 针对在网格中如何有效地进行任务调度和数据复制,以便减少任务执行时间等问题,提出了任务调度算法(ISS)和优化动态数据复制算法(ODHRA),并构建一个方案将两种算法进行了有效结合。该方案采用ISS算法综合考虑任务等待队列的数量、任务需求数据的位置和站点的计算容量,采用网络结构分级调度的方式,配以适当的权重系数计算综合任务成本,搜索出最佳计算节点区域;采用ODHRA算法分析数据传输时间、存储访问延迟、等待在存储队列中的副本请求和节点间的距离,在众多的副本中选取出最佳副本位置,再结合副本放置和副本管理,从而降低了文件访问时间。仿真结果表明,提出的方案在平均任务执行时间方面,与其他算法相比表现出了更好的性能。 IEEE802.15.4无线传感器网络仿真与性能评估 IEEE802.15.4标准是为满足低速率、低能耗、低成本需求而制定的无线个人区域网(LR_WPAN)规范。通过用网络仿真软件NS2对基于IEEE802.15.4的无线传感器网络的主要性能指标进行分析,较为详实地论述了IEEE802.15.4MAC层基于时槽的CSMA/CA机制,最后给出仿真环境及其结果,并进行度量分析和阐述各指标间的依赖关系。 基于微分器与神经网络的PID控制算法研究 在工控系统微分器设计优化问题的研究中,由于在工业生产实践中,传统PID参数整定方法难以得到合理优化的PID参数,传统增量式PID控制存在抗干扰性较差、控制精度不高的问题。针对上述问题,提出了基于跟踪微分器与神经网络的PID控制算法。对跟踪微分器的原理进行了阐述,通过跟踪微分器实现位置信号滤波与速度信号求解;对增量式自适应PID控制算法进行了改进。在上述基础上,构建了基于微分跟踪器与神经网络的PID控制器。对控制算法进行了仿真,仿真结果表明,提出的控制算法具有抗扰动能力强、控制精度高等优点。 一种适用于嵌入式图形处理器的多端口纹理Cache的设计 为了提高嵌入式图形处理器的纹理单元效率,提出了一种多端口纹理高速缓存(Texture Cache)结构.该结构采用了基于块的光栅化和块交错的纹理内存组织,能够充分发掘数据间相关性,提高了Cache命中率;此外该结构采用Cache预取技术,有效隐藏了访存延迟;为了进一步提高数据吞吐率,设计了4个读端口,可支持并行读取4个纹素.仿真结果表明,设计的Cache可达到92%左右命中率,访存性能可达到零延迟内存系统的90%,数据吞吐率是单端口Cache的3~4倍. 移动心电监护系统的心电图实时分析算法 在移动心电监护系统中,心电图中QRS波群的实时检测以及心律失常分析是至关重要的问题。针对这一问题,首先采用Pan-Tompkins算法检测QRS波,区分正常心电信号和心律失常,然后采用基于粗粒化过程的Lempel-Ziv(LZ)复杂度算法对心律失常进行分析。通过对MIT-BIH数据库中的100条正常心电信号、120条心动过速信号和60条心室颤动信号进行仿真测试,结果表明该算法能够克服各种噪声对心电信号的影响,实现QRS波的精准检测,而且基于K-Mean粗粒化过程的LZ复杂度算法可以有效分离心动过速和心室颤动,是一种分析心律失常比较实用的方法。 基于自抗扰控制的全数字电动舵机设计 关于电动舵机性能优化问题,针对电动舵机非线性强、使用环境复杂,引起系统响应性能差。为提高控制性能,提出采用DSP结合FPGA的全数字电动舵机设计方案,并充分利用全数字通信的优点,利用一种同步RS485总线和CAN总线的总线式电动舵机控制系统;其次引入自抗扰控制算法,并针对自抗扰算法对测量噪声较敏感的特点,提出基于反正切函数的自抗扰控制算法;最后针对自抗扰参数较多的特点,使用改进PSO对参数进行优化。经试验验证,电动舵机系统集成度高,抗干扰能力强,并且带载精度高,频带带宽高,对内部参数摄动以及外部负载变化具有较强的控制鲁棒性。 基于深度学习的故障诊断方法研究 针对传统故障诊断方法在处理大数据量、样本结构复杂的工业过程中诊断效果不理想问题,提出一种深度学习与softmax分类器相结合的故障诊断方法。该方法首先采用深度学习方法最大限度地挖掘数据中的隐含特征,充分体现样本的表现力,实现有效的特征提取。然后应用预训练和微调相结合的策略对故障诊断模型训练。最后应用softmax分类器输出故障结果。为了可以提高故障诊断模型的稳定性,简化训练过程,深度学习网络选择栈式编码器深度网络。仿真中将该故障诊断模型与简单softmax分类器诊断模型进行比较分析,结果显示该方法的诊断精度得到了显著提高,能够满足复杂工业过程故障诊断的需求。 高超声速飞行器再入姿态改进自抗扰控制 针对高超声速飞行器在无动力再入过程中具有复杂非线性、控制通道间强耦合及气动参数不确定性,增加了控制器设计的困难。通过构造连续光滑扩张状态观测器及自抗扰解耦控制技术,设计了高超声速飞行器自抗扰姿态控制器。采用构造qin函数实现了连续光滑扩展状态观测器的设计,可避免自抗扰控制器应用过程中的高频颤振现象。通过自抗扰解耦控制技术设计了姿态角及姿态角速度联合控制器,无需基于奇异摄动理论分为内外环控制,解决了设计飞行器内外环控制器时需忽略内环对外环的耦合影响问题,并且解决了难于获取精确的飞行器被控模型及精确的气动参数、摄动界限等问题。仿真结果表明了改进方法的有效性。 CAD平台非内建几何元素信息分析和拾取 在CAD平台中利用平台提供的基本功能就可以方便实现内建几何信息的分析和拾取工作,但对于非内建几何来说,几何数据分析和元素拾取工作就不易实现.本文阐述在HOOPS\ACIS平台下实现非内建几何元素信息的分析和拾取的方法,以非内建几何方槽为例,简述了具体实现思路. 贵州航天计量测试技术研究所 [正文]贵州航天计量测试技术研究所(中国航天科工集团〇六一基地计量站、中国航天科工集团元器件可靠性中心〇六一基地分中心、国防科技工业5211计量站)成立于1970年5月,位于贵阳市航天高新技术产业园内,是专业从事计量检测、电子元器件 基于多线程的超混沌加解密技术 针对现阶段虚拟机防病毒技术存在的缺陷,本文将基于超混沌Hénon映射的加解密技术与多线程技术相结合,提出了基于多线程超混沌密码的恶意代码隐藏算法;在对恶意代码涉及的隐藏性因素进行分析的基础上,基于层次分析法,提出了恶意代码的隐藏性分析模型。利用灰鸽子这一典型恶意代码对提出的恶意代码隐藏算法进行了实验与测试,并利用隐藏性分析模型对测试结果进行了分析,验证了提出的基于多线程超混沌密码的恶意代码隐藏算法的有效性。本文的研究成果可以增强恶意代码的隐藏性,增加恶意代码的威胁程度,为防病毒技术的发展提供了新思路。 非结构化离散型对等网络的枢纽副本复制机制 P2P网络使得网络中的数据传输更加方便和高效。当前大多数P2P相关研究集中在路由算法及结构化网络拓扑方面,忽略了非结构化离散型副本复制的研究。提出了一种基于非结构化离散型对等网络的枢纽节点副本复制机制(JRM)。通过该机制,可以降低非结构化离散型对等网络中的数据流量并实现更好的负载平衡。给出了相关算法伪代码,并通过分析证明了该算法的优势。 基于小波阈值改进算法的动调陀螺信号去噪 针对传统阈值函数对动调陀螺输出信号去除噪声存在阈值函数不连续或恒定偏差问题,在D.L.Donoho和I.M.John-stone提出的多分辨分析小波阈值去噪方法的基础上,提出了一种新的阈值函数;新阈值函数表达式简单易于计算,在对动调陀螺漂移信号去除噪声时,它既克服了硬阈值函数不连续的缺点,同时又克服了软阈值函数中估计小波系数与分解小波系数之间存在着恒定偏差的缺陷,具有硬、软阈值函数不可比拟的灵活性;通过动调陀螺实测信号的实验表明,新阈值函数可以有效地去除白噪声干扰,无论在视觉效果上还是在信噪比和均方误差定量指标上,均优于传统的去噪方法,具有较高的实用价值。 基于COS的Hash接口设计与实现 基于片上操作系统(COS)的Hash函数可扩展性较差。针对该问题,提出一种可重构的Hash接口方法。该方法引入面向对象的概念,由Hash算法接口与Hash算法设置接口2个部分组成,利用存储在EEPROM中的Hash算法设置接口对Hash算法接口进行实例化,使之具备密码服务功能。验证结果表明,该方法具有较强拓展性,能够达到预期设计目标。 视频分析中利用可变阈值的运动估计算法研究 研究的是基于阈值的运动矢量估计技术,根据视频序列中运动矢量的特性,构建阈值函数。对于匹配搜索的不同位置自动生成对应的阈值,建立从中心向外逐渐松弛的约束条件,及时终止对不必要候选块的匹配搜索。不仅能够提高匹配速度,而且能够避免遍历搜索中容易陷入局部最小化的问题。同钻石搜索法相结合,能进一步提供运算速度。还提出了通过均值累加阈值法消除由于全局运动补偿引入的噪声,该方法可以确保捕获运动对象的真实性。通过实验验证,对大多数的视频序列,能在不损失精度的情况下,运动估计速度提高几乎一个数量级,运动矢量捕获的真实率在70%以上。 基于遗传算法的高考志愿填报排序问题的研究 针对高考志愿填报录取最优化、最佳匹配问题,提出了基于遗传算法搜索最优解的解决方案。该方案模拟物种自然选择和遗传进化过程,将不同考生的高考志愿按录取结果利益最大化进行排序。在可选择院校数量相同的情况下,对不同考生考试成绩的数据通过程序不断进行智能优化和迭代,志愿排序结果趋于稳定,且达到最佳匹配。其不但满足考生的实际需求,而且达到志愿填报利益最大化的目的。该方法采用涵盖985、211、普通院校的10所高校的实际数据进行测试,结果表明,遗传算法可以用来求解最优高考志愿填报排序问题,且具有很高的准确率和适应度。 通信光缆监测管理一体化平台设计与实现 光缆在线监测系统是提高光缆管理维护效率和质量,降低线路阻断率的有效手段之一,但传统的光缆监测系统建设成本高,系统配置使用灵活性差;因而,文中提出了一种通过接入智能OTDR的光缆监测管理平台,使得监测系统配置使用更加灵活,可更有效发挥GIS系统的优势,使得光缆的管理维护更加高效。 基于极限学习机的武器装备作战效能全局敏感性分析 作战效能是衡量武器有效性的关键指标。通过寻找影响作战效能的敏感性指标来提高武器装备的作战效能是一种简单有效的方法。为解决复杂评估模型计算成本高、计算时间缓慢的问题,本文引入极限学习机作为代理模型,替代复杂的效能评估模型。运用基于方差的全局敏感性分析,找到影响武器作战效能的敏感指标,进而找到与其关联的武器设备,对其功能进行完善和提高,从而提高武器的作战效能。本文以潜艇典型作战任务为作战效能敏感性分析的案例,分别与基于前馈神经网络模型、支持向量回归模型为代理模型的全局敏感性分析进行对比,验证该模型的有效性和高效性。 粒子群空间优化的端元提取算法 粒子群优化算法(Particle Swarm Optimization,PSO)应用于高光谱影像端元提取时,由于影像中存在端元的像元数所占比例极小且分布零散,导致粒子群的搜索空间破碎,存在收敛性能低、容易陷入局部最优解等缺陷。对粒子群的搜索空间进行优化,选择影像中纯净像元指数(Pixel Purity Index,PPI)较大的像元作为预选像元,然后对预选像元进行光谱聚类排序,将排序后的集合作为粒子群的搜索空间,优化了粒子的搜索空间。并在迭代过程中,充分利用粒子群的信息自适应地调整其系数,在缩小原始图像与反演图像的误差同时,增加体积约束,在提取端元时更好地保持其原有的形状。通过模拟数据和AVIRIS影像的实验表明该算法具有较好端元提取效果。 基于检索条件转换算法的多构件库检索 不同构件库之间实现互通可以有效提高复用者检索构件的效率,分类是检索的基础。通过建立多个以关键字和本体分类方式的构件库的检索条件转换模式帮助用户从基于这两种分类模式的多个构件库中检索构件,避免用户多次为同一需求构造不同的检索条件,减少复用者的理解成本,提高关键字检索本体构件库的查全率。实验结果证明了该方法的有效性和可行性。 求解Job shop的一种快速混合算法 结合车间调度问题本身的特点,采用关键路径块邻域结构,混合禁忌搜索算法和粒子群优化算法,设计了一种快速混合调度算法.该算法对预选择的块邻域解的性能进行快速估计,对不可行解尽早舍去,大大减小了邻域解的搜索空间.仿真结果表明,该算法在求解平均时间和性能方面均具备明显优势. 基于ARM-Linux的Ad-hoc组网 针对传统通信系统不能满足在无任何网络情况下的通信需求现状,设计并实现了一种由ARM-Linux系统及其外围部件组成的无线自组网(Ad-hoc)的通信方案.在ARM11平台上完成了Linux2.6.36操作系统和RT3070无线通信模块的驱动程序移植.利用基于TCP/IP协议的socket编程,编写测试程序,进行节点间无线通信传输实验.实验结果表明:本文搭建的Ad-hoc网络,可以用最少的资源和成本,实现节点间可靠的无线高速率通信,具有现实应用意义. 面向服务的自适应云资源信息集成软件架构 面向服务体系架构是实现云资源信息集成的软件开发关键技术。目前,常见的SOA平台云服务效率比较低,尤其是不能支持自适应云资源信息集成软件的动态变化。为改善和提高软件的云资源信息集成效果和扩展度,首先对软件资源集成的云模型表示方法、云资源信息集成软件架构的行为规约及服务组合调度算法等方面展开研究;然后基于此改进相应算法,提出一种面向服务的自适应云资源信息集成软件架构;最后进行了应用实验。实验结果表明,所提模型比传统架构更具有良好的云资源信息集成效果和实用价值。 基于混合样本质控的代谢组学数据校正和整合策略 代谢组学研究中,高通量数据批次内和批次间存在的非生物误差对分析结果有不可忽视的影响。针对该问题,我们提出了一个七步策略,基于调整后的质控(简称PQCs)信息对样本中的所有代谢物(或变量)进行逐一校正,可同时调整数据集的批内和批间差异。校正后的多个数据集可以直接拼合用于后续分析,有利于样本数量和物质数量的扩充。实现此功能的专用软件具有小巧简洁的图形用户界面,提供足够多的参数和选项以满足各层次用户的多种需求,已成功应用于多项代谢组学研究中,为资源整合提供技术支持。 基于滑动窗口和动态规划的连续动作分割与识别 针对现有动作识别中对连续动作识别研究较少且单一算法对连续动作识别效果较差的问题,提出在单个动作建模的基础上,采用滑动窗口法和动态规划法结合,实现连续动作的分割与识别。首先,采用深度置信网络和隐马尔可夫结合的模型DBN-HMM对单个动作建模;其次,运用所训练动作模型的对数似然值和滑动窗口法对连续动作进行评分估计,实现初始分割点的检测;然后,采用动态规划对分割点位置进行优化并对单个动作进行识别。在公开动作数据库MSR Action3D上进行连续动作分割与识别测试,结果表明基于滑动窗口的动态规划能够优化分割点的选取,进而提高识别精度,能够用于连续动作识别。 无线传感器网络单跳扩展增强树型路由协议研究 增强树型路由协议是最近提出的无线传感器网络路由协议,除了使用父子链路,当前路由决策节点通过和其一跳邻居节点之间建立增强链路形成比树型路由更短的路由捷径。为了尽可能多地发掘出潜在的路由捷径,提出了单跳扩展增强树型路由协议,该协议在增强树型路由协议的基础上把当前路由决策节点的单跳父亲节点和儿子节点及它们的邻居节点也考虑进路由决策过程中,可选路由捷径范围不再局限于当前路由决策节点及其一跳邻居之间的增强链路,而是向上扩展到其父亲节点及其一跳邻居以及向下扩展到其儿子节点及其一跳邻居之间所形成的增强链路。该协议选择最短的路由捷径发送数据包。给出了单跳扩展增强树型路由协议的判定过程,并将该协议应用到ZigBee网络中。仿真结果显示,该协议在路由跳数和能量消耗这两项网络性能上比树型路由和增强树型路由均有提高。 扩散加权成像在小儿脑肿瘤诊断中的价值 目的:探讨磁共振扩散加权成像在小儿脑肿瘤诊断中的应用价值。方法:回顾性分析60例经病理证实不同类型的小儿脑肿瘤扩散加权成像及ADC图像,其中包括神经胶质瘤36例,室管膜瘤11例,髓母细胞瘤13例。结果:正常脑白质ADC值在三组间无统计学差别,均值为(0.76±0.06)×10-3mm2/s。三组肿瘤实质的ADC值及rADC(肿瘤实质/正常白质)值如下:胶质瘤为(1.18±0.33)×10-3mm2/s、1.54±0.44;室管膜瘤为(0.97±0.19)×10-3mm2/s、1.26±0.26;髓母细胞瘤为(0.62±0.09)×10-3mm2/s、0.84±0.16。三组肿瘤的ADC及rADC值存在显著性差异,胶质瘤高于室管膜瘤,髓母细胞瘤最低。I-II级胶质瘤为(1.32±0.32)×10-3mm2/s、1.71±0.43;III-IV级胶质瘤为(1.02±0.28)×10-3mm2/s、1.35±0.37,两者之间也有显著性差异,前者高于后者。结论:DWI对于小儿上述三类脑肿瘤的鉴别诊断有一定的帮助。ADC及rADC值能较为可靠地鉴别小儿常见颅内肿瘤以及区分I-II与III-IV级胶质瘤。 基于空间分解和包围盒层次的混合碰撞检测算法 在研究机器人路径规划问题中,针对碰撞检测中,为解决实时性和精确性有关问题,提出基于空间分解和层次包围盒技术的混合碰撞检测算法。算法中与物体模型相关的部分是实时计算的,对物体的可形变性不敏感且对物体模型的拓扑信息无特殊要求。通过空间分解深度调节算法中不同阶段的计算负荷,结合算法本身的特点,通过选取适当的空间分解策略、包围盒层次树构建策略,对不同模型之间做了碰撞检测实验,并就对空间分解深度对算法性能的影响进行了实验分析,结果表明,算法能够满足实时性和精确性的要求。 基于随机森林模型的城市空气质量评价 为使城市环境空气质量评价方法具有更高的准确性和鲁棒性,提出将随机森林模型引入城市环境空气质量评价中的方法。通过对随机森林模型进行训练,建立起空气质量评价因子与空气质量等级之间的内在映射关系。基于随机森林模型的评价方法,对上海市空气质量数据进行评价实验,实验结果表明,评价预测平均准确性稳定在99.06%,当树数目为16个时,效果最好,准确性可达99.69%。实例验证了该方法的可行性。 在线零售商价格折扣下的供应链合作广告策略 为研究在线渠道提供价格折扣对合作广告策略的影响,考虑由一个制造商和一个在线零售商组成的两级供应链系统,通过构建价格折扣和合作广告需求模型,分别求得集中决策和Stackelberg主从博弈下均衡的合作广告策略。研究结果表明,随着价格折扣的增大,制造商品牌广告投入及其对在线零售商促销广告投入的分担比例相应提高,在线零售商促销广告的变化与价格折扣程度相关。进一步对比了集中决策和Stackelberg主从博弈下均衡的系统利润水平,给出了一个双向参与合作广告协调策略,实现了供应链协调。 基于稀疏贝叶斯模型的特征选择 通过采用稀疏贝叶斯推理方法,设计出可同时进行学习最优分类器与选取最优特征子集的特征选择概率分类向量机算法。该算法是对概率分类向量机特征选择的扩展,可提高其在高维数据集上的性能。通过选取零均值的高斯分布作为先验,在模型中起到正则项的作用,同时在核函数和特征中引入稀疏,得到泛化性更好的分类模型。在高维度和低维度数据集中的实验结果表明,该算法同时具有较好的分类和特征选择能力。 并行多块结构重叠网格装配算法及应用 针对多块结构重叠网格并行装配的问题,设计了支持初始网格系统细分的多块结构重叠网格框架,并在此框架基础上提出了基于局部洞映射的并行挖洞算法、格心网格下可跨块寻点的并行搜索算法,使之可适应大规模并行数值模拟时的分布式计算环境。此算法被模块化地集成到了自主研发的大规模多块结构网格数值求解器(CCFD-MGMB)中,可支持大规模并行非定常多体分离数值模拟。并行测试结果表明,算法具有良好的局部数据结构组织,数据可扩展性强。数值应用模拟结果表明了该算法的有效性及正确性,千核并行非定常数值计算效率(相对于64核)可达58%。 太阳能光伏-热伏发电板的自适应追光系统设计与实现 在小型太阳能光伏-热伏发电系统中,为了提高其发电效率,通常会辅以自动追光系统;针对现有的基于多光电二极管的自动追光系统结构复杂等问题,提出了一种基于光敏电阻的自适应追光系统;为了实现发电板对光源的追踪,采用了基于负反馈的自适应追光原理,通过软件设计控制追光精度,同时增加了系统位置消抖控制;在硬件方面,采用光敏电阻感应光强,借助AD转换电路和舵机,以驱动太阳能光伏-热伏发电板转动直至发电板平面垂直于太阳光;优化设计了光敏电阻与板面的夹角大小以调整追光的区域及误差;最后完成了整体装置的机械结构设计和电路图设计及仿真;结果表明,与现有的多光电二极管追光系统相比,所设计的追光系统机械装置部分的设计更加简单,便于实现,同时通过优化设计改善了追光的稳定性。 融合运动幅度与方向特性的快速运动估计算法 针对目前运动估计算法搜索点过多与精确度低等现象,根据视频图像中运动块的运动幅度大小与其特有的方向特性,对运动估计算法进行改进。通过引入阈值的形式,快速而有效地对视频图像进行分类,并根据搜索点的分布情况,采用方向搜索模式对其进行分类搜索来减少所需搜索的点数。JM测试平台检验结果表明,该算法能够在保证视频质量的情况下实现以上目标,具有精度高、耗时少的市场优势。 基于XACML的可验证云访问控制方案 针对当前传感器节点的计算能力有限以及云访问控制服务的信任问题,在传感器网络双云场景中,借鉴仲裁游戏模型的思想,提出可验证的云访问控制模型。利用可扩展访问控制标示语言中决策值及策略组合算法的特点,设计针对单条策略及策略集的可验证云访问控制方案,使传感器节点能够以较小的计算代价和传输代价实现复杂的访问控制决策。在Amazon EC2云平台上的实验结果证明,与传统的本地访问控制方案相比,该方案能够以较高的效率保证云访问控制结果的可靠性。 极大熵和声搜索算法求解多目标优化 给出了求解多目标优化问题的一个新算法。首先利用极大熵函数,将多目标优化问题转换为一个单目标优化问题;然后利用和声搜索算法对其进行求解,进而得到多目标优化问题的有效解。该算法对目标函数的解析性质没有要求且容易实现,数值结果表明了该方法是有效的。 基于代码片段复用的安卓应用组装技术研究 "安卓系统的开放性和安卓应用开发的较低的技术门槛,吸引了大量开发者加入到安卓应用开发阵营中来。在编码过程中,开发者需要兼顾界面设计和业务逻辑,并手工维护他们的一致性;相似的界面、类似的逻辑也需要开发者手工进行""复制-粘贴""式的开发。提出安卓应用界面和业务逻辑的结构模型,以统一的方式描述安卓应用的界面元素、业务逻辑以及两者的关联,支持将安卓应用的界面代码和业务逻辑代码对应起来。提出可配置的安卓应用结构化描述和组装方法,使得开发人员可以以书写配置文件的方式从业务层面描述应用,并支持界面和业务逻辑代码片段的组装,从而快速构建符合需求的安卓应用。设计了安卓应用开发实验来验证所提方法及技术的有效性。" 大规模稀疏矩阵的主特征向量计算优化方法 矩阵主特征向量(principal eigenvectors computing,PEC)的求解是科学与工程计算中的一个重要问题。随着图形处理单元通用计算(general-purpose computing on graphics pro cessing unit,GPGPU)的兴起,利用GPU来优化大规模稀疏矩阵的图形处理单元求解得到了广泛关注。分别从应用特征和GPU体系结构特征两方面分析了PEC运算的性能瓶颈,提出了一种面向GPU的稀疏矩阵存储格式——GPU-ELL和一个针对GPU的线程优化映射策略,并设计了相应的PEC优化执行算法。在ATI HD Radeon5850上的实验结果表明,相对于传统CPU,该方案获得了最多200倍左右的加速,相对于已有GPU上的实现,也获得了2倍的加速。 基于Topomer CoMFA方法的2-哌嗪基噻唑羟肟酸类化合物的三维定量构效关系研究 组蛋白去乙酰化酶是分子靶向抗肿瘤药物的一个重要靶点,目前大多数组蛋白去乙酰化酶抑制剂都属于羟肟酸类化合物。本实验利用Tripo公司的Sybyl-X软件包,采用Topomer CoMFA方法对2-哌嗪基噻唑类羟肟酸类化合物进行了三维定量构故关系分析(3D-QSAR):首先选择在该系列化合物都含有的哌嗪环与噻唑环之间做切割,生成含有公共骨架的R1基团和R2基团,再利用计算机分别自动叠合R1基团和R2基团,并计算立体场和静电场大小,最后得到了该类化合物作为组蛋白去乙酰化酶抑制剂的3D-QSAR模型,q~2=0.561,r~2=0.870。该模型预测结果良好,可以用于设计新型组蛋白去乙酰化酶抑制剂。 一种自适应邻域选择半监督判别分析算法 为克服边界Fisher判别分析(MFA)只利用少量有标记样本和构建邻域不能充分反映流形学习对邻域要求的缺点,提出一种基于局部线性结构的自适应邻域选择半监督判别分析的算法。采用自适应算法扩大或者缩小近邻系数k来构建邻域以保持局部线性结构。MFA通过少量有类别标签样本进行降维的同时UDP对大量无标签样本进行学习,以半监督的方法对高维人脸数据进行维数约减。最后,在ORL和YALE人脸数据库通过实验结果验证了该算法的有效性。 可重构嵌入式软件缺陷优化预测仿真研究 可重构嵌入式软件优化预测是提高软件测试效率、保证软件运行可靠性的重要手段。针对当前模型没有充分考虑软件缺陷特征历史数据的类不平衡特性,导致预测结果存在收敛速度较慢、训练误差较大的问题。提出一种基于PSO-BP算法的可重构嵌入式软件缺陷优化预测模型,充分考虑可重构嵌入式软件缺陷历史数据的类不平衡性特点,通过确定抽样度,执行欠抽样操作,缓解数据的不平衡性;同时对软件项目开发人员的能力、软件缺陷特征的数量、软件缺陷密度进行计算,并对得到的结果进行k-均值聚类;基于软件缺陷特征数据的处理结果建立具有三层网络结构的BP神经网络预测模型;运用粒子群优化算法优化BP神经网络预测模型的权值和阈值,建立可重构嵌入式软件缺陷优化预测的PSO-BP预测模型。仿真对比测试结果证明,PSO-BP预测模型与BP神经网络预测模型相比,具有更快的收敛速度,且预测结果与真实值更加接近。 基于稀疏表示的单幅图像超分辨率重建 针对单幅低分辨率灰度图像,提出一种基于稀疏表示和字典学习的超分辨率重建算法,通过选择合适的过完备字典,图像块可表示为字典元素的稀疏线性组合。对于输入的低分辨率图像,寻求每一图像块的稀疏表示,利用此表示系数产生高分辨率图像输出。为消除Elad方法重建图像中产生的黑色边缘并提高重建图像的质量,文中在稀疏表示方法的基础上利用反向投影法对其进行改进。仿真实验结果表明,改进算法不仅实现了上述目的,而且在图像信噪比和算法运行效率上都有所提高,从而达到了算法改进的目的。 PSO-based K-means算法及其在网络入侵检测中的应用 PSO算法是一种基于群体智能的群优化和群搜索算法,效率高、收敛快。提出将其与K-means算法结合,用于网络入侵检测。实验表明,PSO-based K-means算法克服了K-means算法对初始聚类中心、孤立点和噪声敏感且易陷入局部最优解的缺点,收敛速度快,检测准确率较高。 网络社区动态角色挖掘算法研究 传统的社区挖掘以社区为单位,忽略了社区内部成员的性质和地位。为了提高社区挖掘的精度,为个性化推荐提供一个优化的基础平台,基于优先情节和增长定律,提出了一种新颖的动态角色挖掘算法。首先根据节点的度数分布逆向推导社会网络的形成演化机制,构造网络时间轴;然后根据时间轴逐步向网络中添加新节点,同时进行社区挖掘和角色划分。在人工网络和真实世界网络上进行了多次测试,并与G-N算法进行了比较,取得了较好的结果。实验证明,应用动态角色挖掘算法得到的社区都是强连通社区,具有较高的准确性和实用价值。 利用可编程GPU实现大规模地形场景的高性能漫游 对已有算法进行了综述,并针对数据动态调度、自适应网格模型的生成以及数据的组织与数据裁剪等方面进行了研究并提出改进方法,设计了一种基于GPU编程实现的大规模地形场景的实时绘制与漫游算法。利用GPU端完成地形网格更新、地形块的自动选取、高度图和纹理图采样等大部分计算工作,大大减轻了CPU端的计算负载。实验表明,该算法实现简单,内存开销较少,有效提高了地形绘制的效率,适于大规模地形场景的实时高效漫游。 PU场景下基于迁移学习的软件缺陷预测 为降低软件缺陷预测对标注样本的需求,将软件缺陷预测问题模型化为PU场景下的迁移学习问题。不对要进行软件缺陷预测的目标领域样本标注类别,只对跨工程的源领域数据集标注部分正例样本,结合数据引力方法基于样本进行迁移学习,利用贝叶斯理论在源领域缺陷数据集和目标数据集上估算概率参数,构建软件缺陷预测算法TPAODE。实验结果表明,TPAODE算法比PNB和PTAN算法具有更好的缺陷预测性能,仅需标注少量正例样本的跨项目缺陷数据,即可具有较好的软件缺陷预测性能。 WSN中基于端到端的贪婪故障定位算法 为了维持无线传感器网络的正常运行,所有的故障链路需要被精确定位。将该问题转换为基于端到端的数据引导,以减少主动监测次数为目的的最优监测序列的问题。提出了通过拓扑拆分得到故障子图,并通过子图的概率集进一步计算节省主动探测次数的基于节点监测多条链路的启发式贪婪算法NTHG(node testing using heuristic greedy)。仿真结果表明仅需要监测小部分的节点,就可以定位网络中所有的故障链路。与该问题最新的解决算法LTHG(link testing using heristic greedy)相比,新算法需要更少的监测次数和平均CPU耗时,从而很好地降低了网络能耗,缩短了故障定位耗时。 基于速率自适应的VANET预警信息广播 为满足车辆自组织网络(VANET)中预警信息广播快速、可靠的要求,结合多接口多信道技术,提出一种基于速率自适应的VANET预警信息广播机制BRAVE。仿真实验结果表明,该机制能够缩短预警信息传播时间,减少重播冗余,确保信息传播的可靠性。与单用传输速率和传播范围为依据选择转播节点进行传播的机制相比,其传输延迟分别降低了3.9%和66.0%。 云计算环境中基于朴素贝叶斯算法的负载均衡技术 针对云计算环境中任务调度算法复杂度高、任务分配不够合理等问题,提出一种基于朴素贝叶斯分类的负载均衡技术。该技术利用云计算环境的心跳机制全面地收集各节点负载信息,并采用朴素贝叶斯算法对各节点负载状态进行分类;然后,根据节点状态分类结果,实现任务和资源分配的合理调度。实验结果表明,基于朴素贝叶斯算法的负载均衡技术能提高任务的分配效率,避免任务在各节点间频繁迁移,快速有效地实现云计算环境中各节点间的负载均衡。 层次分析法在大学生选购手机中的研究 智能手机为人们生活提供了巨大的方便,是非常普通的必需品,它既是一种时尚的象征,也是一种身份的标志.因此,合理的选购一款智能手机对大学生来说是十分必要的.本文运用层次分析法对大学生购买智能手机进行了深入的研究,建立了层次模型,从手机的性能、价格、外观入手,按照九级尺度法详细地对手机因素进行分析,利用求根法对各种因素计算,并给予排序,选择出最优的方案,为准备购买手机的大学生提供一些参考意见. 一种人手检测系统的设计与实现 详细介绍一种人手检测系统的设计与实现方法。该系统能够实时准确地检测图像中五指并拢朝上,手掌面对镜头这一种姿势的人手。采集和建立了用于人手检测分类器训练的人手样本图库,阐述了肤色模型的应用原理和提取肤色区域的实现方法,给出了基于AdaBoost算法的分类器的原理和实现算法。最后通过实验分析了该系统的检测速度与实现效果。 大规模网络中攻击图的节点概率计算方法 针对基于攻击图的概率计算中节点之间的相关性导致的概率错误计算问题,通过将攻击图与通用安全脆弱点评估系统结合,提出攻击图各节点概率的精确计算方法和近似计算方法,在保证各节点概率精度的同时,较快地计算攻击图中各节点的概率值,有效地解决了节点之间的相关性所导致的概率错误计算问题。通过真实实验和模拟实验验证了所提方法的合理性和有效性,与相关的研究成果相比,可以适应于更复杂的攻击图,具有很好的扩展性。 一种基于协同矩阵分解的用户冷启动推荐算法 位置服务作为一种信息共享平台,在方便人们交流和共享信息的同时,也因为用户数量的不断增加,而面临着严重的信息过载问题.如何利用推荐技术对信息进行过滤和筛选,帮助用户在位置服务中发现有价值的信息成为近年来研究的热点.但目前已有的推荐算法,在只有消费记录这种隐性数据情况下,针对用户较少活动区域或新用户的推荐效率较低,无法最大化挖掘隐性数据所带的信息.针对以上问题,结合位置服务平台的特点,针对用户冷启动问题,提出了一种结合协同概率矩阵分解与迭代决策树(gradient boosting decision tree,GBDT)的推荐算法.该方法首先使用多层协同概率矩阵分解在多个维度上得到用户潜在特征,然后使用GBDT学习算法对特征和标签进行训练得到用户对项目的偏好,最后使用考虑约束问题的top-N推荐产生推荐列表.在真实数据集上的实验结果表明,与目前较为流行的方法相比,提出的方法能在准确率、F1值上取得较好的结果,能更好地缓解位置服务中的冷启动问题. 一种抵御逆向工程的安卓应用混淆技术研究 针对安卓应用容易被恶意逆向工程,引起代码注入、隐私数据泄露和侵害知识产权等安全问题.提出一种结合Java方法抽离和映射的代码混淆技术.其中,Java方法抽离利用了安卓Native化特性,将Java方法从DEX中抽离后封装到SO中.此外,Java方法映射对其指令操作码进行映射混淆构造不透明指令.随后通过Java方法注册和映射解释执行环境,对抽离映射混淆后的Java方法进行Native层的映射解释执行,确保混淆后的安卓应用运行逻辑的正确性.最后,从抗逆向工程有效性和运行性能两方面对安卓应用混淆效果进行实验.实验结果表明本文技术在不影响正常运行性能的情况下,具有良好的抵御逆向工程分析效果. 基于神经网络的自适应模糊控制系统 针对啤酒发酵过程中罐内温度控制问题,研究神经网络对模糊控制规则的优化方法,利用径向基函数神经网络对模糊控制规则进行优化,提高其自适应能力。以啤酒生产过程中主发酵阶段的数据作为输入样本,通过径向基函数神经网络进行学习训练,校正模糊控制规则,优化模糊控制器。优化前与优化后响应特性曲线的比较结果表明,RBF神经网络学习能力强,收敛速度快;模糊控制规则的完备性和一致性明显改善,控制器的响应速度快、超调量小、稳定性强、控制效果好。 产品结构相似度量方法 针对产品结构相似匹配的语义问题,分析树相似度量算法,提出一种考虑语义并基于结构约束的产品结构相似度量算法。基于产品结构树的XML模型研究如何用权值表达产品结构的语义信息,设计产品结构相似度的算法模型,并给出算法伪代码。分析结果表明,该算法能在考虑语义约束的前提下有效度量产品结构相似度。 基于IAFSA优化权值的医学图像检索 为提高医学图像的检索结果,提出一种基于改进人工鱼群算法的医学图像相关反馈检索方法 (ISAFA)。提取医学图像的颜色、纹理、形状特征,采用相似度量模型得到图像初步检索结果,根据用户的反馈信息,采用改进人工鱼群算法对特征权值进行调整,以获得更加理想的检索结果。检索结果表明,ISAFA提高了医学图像的检索准确率和检索效率,可以找到满足用户需要的医学图像。 人工神经网络在并行计算机集群上的设计研究 人工神经网络在集群上的并行化设计和实现能够充分发挥ANN并行处理的特点,缩短训练时间,降低算法复杂度。随着并行技术的日益成熟,在并行集群上以软硬件相结合的方式设计神经网络的重要性也不断提高。从软硬件平台的多方面讨论了并行集群技术对人工神经网络设计的支持,提出了一种SOM神经网络在并行集群上的设计方法和基础框架,并就并行集群上神经网络训练效率的问题进行了深入讨论。该方案可广泛应用于多种神经网络模型的并行计算机实现。 四边形与六面体自动重网格化技术研究综述 四边形、六面体网格具有良好的局部单元特性和整体拓扑性质,其在几何处理、有限元计算等大量的应用中有着不可替代的优势.然而,这些应用对所生成的网格有很高的要求,如要求较低的形状误差、较高的单元质量、较少的单元格数、较优的拓扑结构等.这些要求对生成符合特定应用需求的网格提出了巨大的挑战.这些要求之间存在冲突和制约,因而很难获得满足所有要求的网格.生成符合特定应用需求的这种网格有着巨大的挑战.文中从流形网格参数化的视角,系统地介绍近些年来典型的自动优化方法,分析相关技术的优缺点,讨论并给出了当前面临的主要技术挑战和今后发展方向. 脑膜瘤瘤周水肿的64层螺旋CT灌注成像定量研究 目的:应用64层螺旋CT灌注成像定量估计脑膜瘤瘤周水肿的灌注状况。方法:对15例脑肿膜瘤伴瘤周水肿患者进行MSCT灌注成像,经灌注软件处理分别计算近瘤周水肿区及远瘤周水肿区局部脑血流量(rCBF)、局部脑血容量(rCBV)、表面通透性(PS),并与对侧脑白质灌注参数进行比较;测量并计算水肿指数EI[(V水肿+V肿瘤)/V肿瘤],并与rrCBV(rCBV水肿平均/rCBV对侧脑白质)的进行相关性分析。结果:脑膜瘤近瘤周水肿区、远瘤周水肿区的rCBF和rCBV明显低于对侧脑白质(rCBF:t=5.78和4.34,P=0.001,0.005;rCBV:t=6.46和8.46,P=0.001,0.003),近瘤周水肿区的rCBF和rCBV低于远瘤周水肿区(rCBF:t=3.49,P=0.013;rCBV:t=4.10,P=0.006),三组间PS值的差异均没有统计学意义(P值均>0.05);水肿指数跟瘤周水肿区的rrCBV值呈负相关(r=-0.72,P<0.01);2例恶性脑膜瘤近瘤周水肿区的rCBV、rCBF、PS值的均数明显高于良性脑膜瘤近瘤周水肿区。结论:脑膜瘤瘤周水肿区的灌注具有一定特征,有助于鉴别肿瘤良恶性、优化手术方案及相关辅助治疗、评价手术疗效、鉴别肿瘤复发和坏死。 JFreeChart在铁路机车运行监视系统中的应用 铁路机车运行监视系统是一套远程监视机车车载设备实时运行状态信息,为机车设备提供故障预警、维护参考和检修支持的信息系统;该系统的一个重要环节就是将从机车上采集并通过传输、解析进入数据库的机车设备实时信息在客户端通过动态图形报表的形式直观地描述出来,以便专业人员进行设备状态分析。在铁道部铁路信息化中指定的J2EE三层架构环境中,如果采用自己设计的Java绘图类绘制图形,往往效果不佳;我们则通过引入目前最好的Java图形报表解决方案——JFreeChart来解决这个问题。本文主要描述的就是基于JFreeChart的Java动态图形报表技术在铁路机车运行状态中的应用,为大家在相似领域的应用提供参考。 基于链路故障的MANET本地修复技术 针对已有移动自组网本地修复技术的不足,以AODV路由协议的本地修复技术为基础,考虑网络节点状态的变化,提出一种基于链路故障的本地修复技术,对链路故障进行分类,对不同类型的故障采取不同的修复方法。仿真结果表明,改进的本地修复技术可以有效减少路由建立时间并控制分组开销,提高了网络性能。 一种基于竞争型群体优化的数据聚类方法 数据聚类在智能信息处理中具有非常重要的作用。传统的数据聚类方法,如K-means算法,存在对初始聚类中心敏感等问题。随着智能优化算法的发展,人们用智能优化算法进行数据聚类取得了一定的效果,但存在容易陷入局部最优等问题。为此,本文将在高维优化问题中取得良好效果的竞争型群体优化算法中引入数据聚类,利用竞争型群体优化算法强大的全局探索能力搜索聚类中心进行数据聚类,在UCI的5个数据集上的实验结果表明竞争型群体优化算法比遗传算法、粒子群算法不仅能得到更好的聚类效果,而且收敛性能更好。 基于降采样方式的快速帧间模式选择算法 针对H.264中帧间模式选择算法复杂度过高的问题,论文提出一种快速帧间模式选择算法。算法采用降采样方式对SKIP宏块进行检测与预判,有效降低宏块全零检测的计算量;改进UMHexagonS算法,对宏块与宏块分割使用降采样的方式进行运动搜索,大大减少原算法中块匹配的计算量;根据子宏块运动同质性来缩小最优模式的选择区间。与采用UMHexagonS的x264帧间模式选择算法比较,所提的算法在维持原有码率与峰值信噪比基本不变的情况下,使编码时间平均减少40%,显著提高了编码效率。 全站仪在立式金属罐容量检定中的应用 立式金属罐容量的准确计量非常重要。使用全站仪法对立式金属罐容量进行检定,通过近四年的理论和试验研究,对立式罐检定中的技术问题进行了解决。试验数据表明,使用全站仪法检定立式金属罐容量能完全符合规程要求,且效率高,数据准,并避免了高空作业的危险,值得在立式金属罐容量检定/校准领域推广。 变电站网络通信信号传输路径实时优化仿真 为了有效提升变电站通信网络信号传输性能,需要进行信号传输路径实时性优化。但是采用当前工业领域测试方法存在效率低下,操作难度大的问题。变电站通信网络传输路径存在时延不确定性的难点问题。因此,提出一种基于动态建模技术构造满足IEC61850-5标准的D2-1型变电站通信网络模型的方法进行路径实时优化仿真。方法在研究变电站传输系统原理的基础上,应用精确的数学模型对变电站内智能电子设备间传输的综合数据流,业务传输路径进行全面时域建模。最后,应用优先级标签技术与控制变量法,对变电站通信网络传输路径实时性进行优化仿真。仿真结果表明,基于动态建模技术方法的变电站通信网络拓扑,网络负载,高层协议映射机制能有效优化变电站通信信号传输路径实时性。 基于反馈报道的话题模型动态修正方法 在话题追踪过程中,由于给定的初始话题相关报道少,而且话题具有动态演变的特点造成话题模型不准确。针对这一问题,提出了利用动态阈值收集反馈报道构造话题修正模型,实现了话题模型的动态修正;同时结合命名实体能够更加有效地区分不同话题的特性,提出了在修正话题模型时增大相关命名实体权重的方法,从而获得更准确的话题表示模型。实验结果表明,该方法能有效避免话题漂移现象,降低话题追踪过程中的漏报率和错报率。 自动测试系统消息中间件的设计与实现 针对当前的自动测试系统只侧重于单个或同种类型武器性能的测试的现状,提出基于消息中间件的自动测试系统。阐述该消息中间件的内部体系结构以及该消息中间件中消息发布和订阅的流程,依托该消息中间件,自动测试系统能够屏蔽底层测试系统的复杂性与多样性,实现各种武器测试数据的跨平台通信。试验证明,基于该消息中间件的自动测试系统是一个综合测试平台,能够对各种武器协同作战性能进行综合测试。 一种多强度攻击下的对抗逃避攻击集成学习算法 在对抗性学习中,攻击者在非法目的的驱使下,通过探索分类器的漏洞并利用漏洞,使得恶意样本逃过分类器的检测。目前,对抗性学习已被广泛应用于计算机网络中的入侵检测、垃圾邮件过滤和生物识别等领域。现有研究者仅把现有的集成方法应用在对抗性分类中,并证明了多分类器比单分类器更鲁棒。然而,在对抗性学习中,攻击者的先验信息对分类器的鲁棒性有较大的影响。基于此,通过在学习过程中模拟不同强度的攻击,并增大错分样本的权重,提出的多强度攻击下的对抗逃避攻击集成学习算法可以在保持多分类器准确性的同时提高鲁棒性。将其与Bagging集成的多分类器进行比较,结果表明所提算法具有更强的鲁棒性。最后,分析了算法的收敛性以及参数对算法的影响。 于卫星地域遥感图像分割方法研究仿真 对卫星地域遥感图像进行准确分割,可提高图像清晰度,保证准确率。由于在采集遥感图像过程中容易受到光照、遮挡、距离等周围环境的影响,使得目标遥感图像特征模糊。传统的图像分割算法,主要通过图像特征进行分割,不能准确地对遮挡物及光照干扰进行去除,导致图像分割不准确的问题。提出改进粒子群优化PSO算法的PCNN遥感图像分割方法。将改进的PSO算法与简化后PCNN模型融合,利用最大类间方差定义适应度函数,为避免出现局部最优解,在每次迭代中,选取适应度较好的粒子同时加入新的粒子,提高粒子多样性,完成模型最优参数的设置和对遥感图像的分割。仿真结果表明,与人工设定PCNN参数方法和未改进的PSO算法相比,结合改进的粒子群优化算法不仅加快了收敛速度和运算速度,而且提高了图像分割准确率。 基于事务ID集合的带约束的关联规则挖掘算法 为解决在挖掘关联规则时存在大量冗余规则以及效率不高的问题,提出了一种基于事务ID集合的带约束的关联规则挖掘算法ACARMT。该算法结合了Separate算法以及基于数据垂直分布算法的优势,先根据约束条件产生基础频繁项目集,再利用事务ID集合存储项目集信息,从而避免重复扫描数据库,提高了挖掘效率。应用该算法挖掘实际的生殖健康数据的实验表明,在数据量大到超出基于数据垂直分布算法的使用范围时,该算法仍然有效,并且其效率优于Sepa-rate算法。 一种Hadoop小文件存储和读取的方法 HDFS(Hadoop Distributed File System)凭借其高容错、可伸缩和廉价存储的优点,在当前面向云计算的应用场景中得到了广泛应用。然而,HDFS设计的初衷是存储超大文件,对于海量小文件,由于NameNode内存开销等问题,其存储和读取性能并不理想。提出一种基于小文件合并的方法 HIFM(Hierarchy Index File Merging),综合考虑小文件之间的相关性和数据的目录结构,来辅助将小文件合并成大文件,并生成分层索引。采用集中存储和分布式存储相结合的方式管理索引文件,并实现索引文件预加载。此外,HIFM采用数据预取的机制,提高顺序访问小文件的效率。实验结果表明,HIFM方法能够有效提高小文件存储和读取效率,显著降低NameNode和DataNode的内存开销,适合应用在有一定目录结构的海量小文件存储的应用场合。 基于HOG的酿酒葡萄叶检测 在酿酒葡萄生长状态与病虫害自动监测中,需要在图像中检测出葡萄叶片,通过提取葡萄叶片图像的方向梯度直方图(HOG)特征投入到支持向量机(SVM)分类器中以实现对葡萄叶片的识别;结合多尺度目标定位和均值漂移算法还可以自动确定图像中葡萄叶片的位置。实验结果表明,使用线性核函数训练后的分类器对葡萄叶片和四种常见杂草的识别率达95.5%。该方法对光照和环境变化有较好的鲁棒性,自然条件下成像的叶片图像的葡萄叶片检出率达到了80%以上。 时空混沌双扰动单向hash函数的构造 提出一种采用耦合方式和m序列进行双扰动的时空混沌系统构造单向hash函数的新方案.方案中,Chebyshev映射的迭代值作为双向耦合帐篷时空混沌系统的初值进行扰动,而在时空混沌迭代末端,加入m序列产生器对选定的hash初值施加扰动,以抵抗存在性伪造攻击等攻击.该模型实现了任意长度明文序列转换成为160位hash值,仿真实验结果表明,该方案很好地达到了hash函数的各项性能要求,结合现有的各类攻击模式进行分析,证实该算法可以抵抗针对带密钥hash函数算法的各种攻击. 农转资金项目综合数据仓库的设计与实现 农业科技成果转化资金系统是事物处理型系统,并不能对系统的数据进行快速有效的分析。为了有效地利用农业科技成果转化资金项目管理系统中所积累的大量数据,为农业科技成果转换资金的使用与效果进行分析,对今后资金合理分配做出决策,采用数据仓库技术实现了农转资金分析系统。系统设计并实现了从E-R模型向星型模型的转换,在此基础上,实现了农业科技成果转化资金项目信息的数据仓库。数据仓库以B/S模式进行数据展示,可有效实现农业科技成果转化资金项目信息的统一管理、统一展现,对随需而变的项目信息与项目绩效进行综合查询统计分析,以满足农业科技成果转化资金项目管理与绩效决策需求的不断发展变化。 序信息系统中基于“逻辑且”和“逻辑或”的双量化粗糙模糊集 "基于""逻辑且""和""逻辑或""两个逻辑算子在序信息系统中建立了一种双量化粗糙模糊集模型,克服了传统""逻辑且""和""逻辑或""粗糙模集模型不能解决模糊对象的问题,使得变精度与程度粗糙集具有更广的应用价值。最后通过超市评价进行案例分析,进一步阐述了研究双量化粗糙模糊集的意义。" 酸性水氯离子含量的测定 介绍了通过提出各种方案并最终确定最佳方案后,成功开发了酸性水氯离子含量测定的方法——分光光度法,该方法采用大倍数稀释水样的方法来排除干扰,操作简单快捷。实践证明:该方法非常适合分析酸性水氯离子含量,使得氯离子含量可测定率达到100%,解决了困扰多年公司酸性水氯离子无法测定的难题,同时也为同行解决类似问题开拓了思路。 结合语义知识的汉语词义消歧 词义消歧一直是自然语言处理领域中的关键性问题。为了提高词义消歧的准确率,从目标歧义词汇出发,挖掘左右词单元的语义知识。以贝叶斯模型为基础,结合左右词单元的语义信息,提出了一种新的词义消歧方法。以Sem Eval-2007:Task#5作为训练语料和测试语料,对词义消歧分类器进行优化,并对优化后的分类器进行测试。实验结果表明:词义消歧的准确率有所提高。 基于灰度分布的图像三角网格化算法 借助于小波图像分解,提出一种基于图像内容的三角网格表示方法——基于双向模板的图像三角网格化算法.算法考虑图像的灰度分布,利用小波的图像分解能够将图像的各个方向的细节表现出来这一特性,给出符合原始图像灰度分布的三角划分,再对图像的三角划分进行三角网格化,最后获取整幅图像的网格划分.为了得到更好的重建图像质量,对该初始网格进行了细分,并针对三角网格规模的减小做出优化算法.同时提出一种记录模板号和细分点的数据存储结构,用二进制数据流来存储三角网格.通过实验数据对比,该算法能够很好的表示图像,在三角网格规模以及重建图像质量上较其它算法都有一定的优势,是一种极其有效的图像表示方法. 基于图像特征点的公钥水印算法 对于现在公钥水印算法一些抗几何攻击能力弱的问题,提出一种基于图像特征点的公钥水印算法。通过Harris-Laplace算法提取图像的特征点,构造局部特征区域,并在这些区域内做DCT变换和水印信息的嵌入,使得嵌入水印后的图像可以更好地抗RST攻击。 点对点模拟指挥演练平台的设计与实现 为了提高指挥官在警情发生时所做的决策部署的及时性和准确性,设计并研发点对点模拟指挥演练平台。从操作性、稳定性、真实性3个方面对该平台进行详细的设计,并在研发过程当中,对技术难点相应的解决方案进行分析对比,从中选择出最优方案来满足该平台的真实性。通过在警务技能训练系统中的集成应用,显示了模拟演练平台所发挥的作用,提高了参训人员的基本技能、战术素养和行动能力。 基于CMMI星载嵌入式软件测试技术研究 软件测试作为软件开发过程中保证软件质量非常重要的一个工程阶段,正逐渐被软件组织所重视,大家都在探询一套能够指导其进行测试过程的理论和方法;为了使测试人员能够更加规范、高效的开展测试工作,这里介绍了一种以CMMI(Capability Maturity Model Integration)能力成熟度模型为指导,利用软件自动化测试技术与软件测试管理工具三维一体的方式来实现星载嵌入式软件的测试及管理工作的方法;此方法已在多个航天器上得到了成功的应用,达到了良好的效果。 基于SIFT算子的图像拼接融合算法 为满足各类可视化仿真系统对于全景图的需求,对图像拼接融合技术进行了深入研究,提出了一种基于SIFT算子的图像拼接融合算法,可以将多幅图像组成图像序列拼接获得广视角全景图,并通过实例验证了方法有效性。 无向关系图视觉清晰化显示算法 本文提出了一种无向图视觉清晰化显示算法,使一般的无向关系图经过该算法重新确定顶点位置后,能得到清晰美观的输出结果。该算法首先将无向关系图去除孤立点,分离连通分支,并通过识别割边将每个连通分支分解成一系列的团,每个团内无割边,这些团以树型结构连接;然后通过识别割点和虚连线将每个团分解成子团,每个子团内无割点;最后将子团内顶点均匀分布在一个圆环上。该算法的优点在于实现方便,方法简单,运行高效,输出结果美观,并易于并行化。 施工隧道监控WSN跨层应急通信协议研究 具有实时应急功能的无线传感器网络是解决施工隧道安全运营的有效手段之一。针对施工隧道的环境恶劣、事故多发等特殊应用背景,提出一种紧急状况下多层次跨层联动的应急通信协议,保障网络对突发状况能快速重构。实验结果表明,提出的应急通信方案用于故障恢复和拓扑结构动态变化的网络具有实时性好、可靠性高等特点,适合于施工隧道的监测网络,也可推广到其他有应急需求的网络。 策略隐藏的CP-ABE访问控制方案 针对云计算领域亟待突破的安全问题,提出一种采用合数阶双线性群隐藏访问策略的CP-ABE方案。将与门、布尔式和通配符用于访问策略中,利用密码访问控制方法保证数据机密性,保护数据拥有者和接收者的隐私信息,克服传统CP-ABE中将访问策略连同密文发送给用户,由此可能让数据拥有者的隐私被泄露的不足。分析比较结果表明,该方案较之前一些隐藏访问策略的方案简单高效,减少了计算量,具有良好的可扩展性。 Ad Hoc网络机会路由协议转发候选集算法的研究 应用于无线Ad Hoc网络中的机会路由,结点转发候选集的选取通常是基于最短路径期望传输次数,没有充分考虑无线网络结点进行数据转发的广播特性。以多路径期望传输次数为路由量度,提出一种最优转发候选集算法MCET。实现对无线网络中除了目的结点以外的所有结点选取考虑多路径转发期望值的转发候选集,并在按照结点选取的顺序依次优先排列优先级。仿真结果表明,比较于传统的基于最短路径期望传输次数的机会路由,应用了最优转发候选集算法的机会路由明显减少了数据的平均传输次数,增加了数据报文的成功传输率。 基于二进小波与融合方法的医学图像增强研究 医学图像清晰度的增加,能提高医生的诊断准确率。因此,提出一种基于二进小波与融合方法的医学图像增强算法。首先,将一幅图像经过分解后,先使用一种增强函数对高频系数进行相应处理;再使用另一种增强函数也对高频系数进行相应处理。此后,将对应的高频图像进行融合。最终,利用分解得到的低频信息和增强融合后的高频信息进行反变换。实验及结论表明:采用该增强算法能有效地提升医学图像的清晰度,达到增强医学图像的效果。 基于内模扩展LQ方法的WMR轨迹跟踪控制 针对现有非线性控制方案的一些瓶颈问题,从线性控制的角度出发,开展了一种用于WMR的线性二次型最优控制方法设计的研究。基于WMR的运动学模型采用动态反馈线性化技术将非线性运动学模型转换为线性模型;然后选取跟踪误差及误差收敛速度作为设计指标;同时考虑实现渐进跟踪,针对不同形式的参考轨迹,根据内模原理对控制器模态进行扩展,利用线性模型设计基于内模扩展LQ最优轨迹跟踪控制器;最后通过动态反馈反变换得到实际控制器。此外,通过将此方法的控制效果与几种经典方法进行仿真比对,说明了此方法对于跟踪的精确性和快速性上有较大优势。 某型导弹视景仿真系统设计与实现 为了克服导弹武器实装训练次数的限制,提高操作人员素质和部队战斗力,要求实时交互,具有真实战场环境,研究可重复操作的导弹武器视景仿真系统具有重要意义。根据虚拟现实技术和仿真技术对系统进行设计和实现,提出LOD的场景地形渲染方法,对导弹飞行模型进行优化,使用经验统计数据对粒子特效进行改进,进行了系统设计实现,仿真结果表明,系统画面运行快速,效果逼真,能够较好地满足部队训练要求。 基于主动学习不平衡多分类AdaBoost算法的心脏病分类 "针对不平衡分类中小类样本识别率低问题,提出一种基于主动学习不平衡多分类Ada Boost改进算法。首先,利用主动学习方法通过多次迭代抽样,选取少量的、对分类器最有价值的样本作为训练集;然后,基于不确定性动态间隔的样本选择策略,降低训练集的不平衡性;最后,利用代价敏感方法对多分类Ada Boost算法进行改进,对不同的类别给予不同的错分代价,调整样本权重更新速度,强迫弱分类器""关注""小类样本。在临床经胸超声心动图(TTE)测量数据集上的实验分析表明:与多分类支持向量机(SVM)相比,心脏病总体识别率提升了5.9%,G-mean指标提升了18.2%,瓣膜病(VHD)识别率提升了0.8%,感染性心内膜炎(IE)(小类)识别率提升了12.7%,冠心病(CAD)(小类)识别率提升了79.73%;与SMOTE-Boost相比,总体识别率提升了6.11%,G-mean指标提升了0.64%,VHD识别率提升了11.07%,先心病(CHD)识别率提升了3.69%。在TTE数据集和4个UCI数据集上的实验结果表明,该算法在不平稳多分类时能有效提高小类样本识别率,并且保证其他类别识别率不会大幅度降低,综合提升分类器性能。" 完全支配集的规约算法 完全支配集是一个著名的NP难解问题,在无线传感器网络中具有重要应用。主要研究了能降低问题规模的规约化算法设计。通过对问题结构进行深入分析并对图中顶点进行着色,得到图中顶点之间的新的组合特性,在此基础上提出一系列高效的多项式时间的局部规约规则。证明了规约规则的正确性,并通过仿真实验验证了规约规则的有效性。 《信息安全研究》期刊简介 "[正文]习近平总书记指出""没有网络安全就没有国家安全,没有信息化就没有现代化"".数字时代信息安全工具的大众化是不可阻挡的历史潮流.大众化的信息安全已经直接影响到我们每个人的利益,信息安全已成为国家、地方区域经济结构优化提升和转型发展的新机遇.在信息安全上升为国家战略、行业迎来崭新发展机遇形势下,《信息安全研究》期刊应时代而生." λ-格的微分 在λ-格中定义了微分,讨论了相关性质,并通过λ-格微分的弱正则性和正则性,得到了λ-格微分的一些重要结果。 基于异步数据云的云备份平台 随着信息化技术的发展,信息系统安全在企业管理中显得越来越重要。在分析了云存储技术架构的基础上,提出了一种新的基于异步数据云的系统备份平台,将逻辑数据云与物理数据云接入网络,提供稳定、高效的数据备份功能,并针对不同备份对象制定备份策略,从而达到备份资源优化的目的。在浙江中烟备份系统的应用实施,充分说明该平台是一种适用于跨地域、大数据量的数据备份方案。 嵌入式流媒体服务器的设计和实现 为了解决嵌入式环境下的视频传输,提出了一个嵌入式流媒体服务器的应用设计方案。采用了流媒体传送技术、控制协议技术、进程间通信技术,使用开放源码流媒体服务器live555项目设计和实现了一个嵌入式流媒体服务器,解决了四个技术问题:管道读写视频操作问题,服务器传送视频控制字问题,服务器与客户端视频播放控制协议问题,流媒体服务器异常退出后恢复问题。该流媒体服务器能够正确传输视频数据,客户端能够播放实时视频,播放画面图像质量高、时延小、稳定可靠。 机场多跑道助航灯光监控系统网络结构设计 针对机场多跑道助航灯光监控系统,设计了一种树形与环形相结合的混合式网络拓扑结构,并论证了其可靠性。根据机场多跑道灯光站的分布特征,采用图论的方法,建立监控系统网络可靠性的数学模型。采用因子定理对全端可靠度进行计算,分析其随机性可靠性指标。通过网络可靠性、施工复杂度和扩展性等方面对比分析可得,该混合形网络拓扑结构具有可靠性高、易扩展的特点。 基于虚拟仪器的起竖实验系统设计与实现 为了验证起竖系统控制方案和完成起竖过程自动检测与测试,设计了基于虚拟仪器的起竖实验系统;系统由数据采集卡同时完成对测试数据与反馈信号的采集和对起竖液压系统的控制,软件设计采用模块化和基于ActiveX技术的LabWindows/CVI和Matlab混合编程技术,同时对起竖液压系统和起竖机构进行了修改和优化;通过对起竖过程角位移和角速度的检测证明系统操作简便,测试和控制精度高,通用性好,能够满足起竖系统实验要求。 基于PHM的电力中间件故障预警技术研究 在电力信息系统中,中间件的运维工作需要从传统的事后排查提升为故障预判和智能预警,面向电力中间件的故障预测与健康管理(PHM)技术成为当前迫切需要研究的课题;分析和利用PHM技术的数据处理流程,突破性将该技术应用于智能化运维管理平台的中间件集群管理;以灰色状态下的马尔科夫预测模型为核心预测算法,结合时间切片管理和动态置信阈值技术,设计并实现了面向中间件集群管理的故障预警模型;以湖北省电力公司构建的智能化运维管理平台上的实施应用为实例,该方法实现对中间件故障准确预警,并大大降低了虚警率;实验结果证明了该方法的可行性和有效性。 示温漆图像阴影去除算法的研究与实现 为了消除阴影对温度识别的精度及对等温线划分的准确度造成影响,提出了一种快速去除阴影的算法。把3种颜色特征不变性在示温漆图像上进行阴影检测的效果进行对比,选取应用效果最好的颜色特征不变性进行阴影检测,用数学形态学及阈值的处理方法准确地定位出阴影边界;然后在RGB颜色空间内,利用邻近的非阴影区域按照映射策略对各个独立的阴影区域进行阴影补偿,达到阴影去除的目的。实验结果表明,该方法不仅能快速的检测出阴影,而且在去除阴影的同时最大程度地保持了图像的原始信息。 道路细微裂痕图像识别技术研究 研究道路裂痕的识别问题,交通安全系统中准确检测道路质量是保证安全性的关键。针对当道路的裂痕细微或者裂痕特征不明显时,造成提取的裂痕图像特征值不完整,出现错误识别的问题。为解决上述问题,提出了一种改进的神经网络算法的道路细微裂痕图像识别方法。通过一定图像处理,建立裂痕网络和细节网络,并增加了细微裂痕相似网络模型,避免了仅对裂痕特征提取不能准确识别细微裂痕的问题。实验证明,改进的裂痕识别算法实现简单,识别道路上的细微裂痕准确率高,达到了实时识别技术的要求。 基于T-S逆模型的航空发动机解耦控制 为了解决发动机控制系统中存在的耦合现象,以自适应逆控制原理为基础,提出了一种基于T-S逆模型的解耦控制器;该方法利用模糊T-S模型来辨识发动机的逆模型,从而得到实现解耦效果的伪线性化模型,再运用神经网络PID控制器的在线整定功能提高系统的动态性能和鲁棒性,使系统综合性能最优;仿真结果表明,该控制器具有理想的解耦效果,在发动机工作包线范围内具有良好的自适应能力。 基于蒙特卡洛仿真的设备维修周期建模与优化 针对具有三级维修机构保障的复杂设备,通过对设备使用维修流程分析,给出了设备整个使用寿命期内的使用与维修状态转移图,建立了设备整个使用寿命期内的维修周期与平均可用度关系模型。并应用蒙特卡洛仿真方法,结合算例分析得到了使平均可用度达到最大的最佳维修周期,说明了模型的适用性与灵敏性,可为设备维修决策提供依据。 基于限制等距性质阈值机制的匹配追踪算法 为提高贪婪算法重构精度,提出带有回溯机制的基于限制等距性质阈值匹配追踪算法(restricted isometry propertity-based threshold mechanism MP,RIPTMP)。每次迭代包含原子添加和原子删减两个步骤,在原子添加步骤中,根据RIP和残差能量条件添加原子;在原子删减步骤中,分析RIP和残差条件,找出可能错误原子,原子选择过程是自适应的。实验结果表明,在一定条件下,该算法重构精度高于正交匹配追踪算法(orthogonal matching pursuit,OMP)、子空间匹配算法(subspace pursuit,SP)、基追踪算法(basis pursuit,BP)和前向后向追踪算法(forward-backward pursuit,FBP)等算法。 基于人工生命种群的人工生态系统演变研究 运用人工生命技术探索生态系统演变的规律,提出了人工生命种群的当量模型;建立了一个基于人工生命种群的人工生态系统,从底层到最顶层依次为模拟气候、人工生态环境、人工植物种群、食草人工动物种群、食肉人工动物种群。开展了人工生态系统演变实验。实验发现,平衡态人工生态系统存在收窄现象,但从不越界,只要模拟气候不低于其临界值,人工生态系统就将继续处于平衡态;但任一生态层在某时刻起低于其临界值将使人工生态系统从该时刻起处于失衡态,失衡态是不可逆的,除非外界足量补缺。基于人工生命种群的人工生态系统演变研究弥补了现有生态系统演变研究存在的缺陷,研究结果揭示了生态系统演变的规律,因此它是研究生态系统演变的科学方法。 基于多目标演化算法的测试程序生成 针对现有的演化测试程序方法只以覆盖率为优化目标的问题,提出一种使用多目标演化算法的测试程序生成方法.该方法把测试程序生成归结为多目标优化问题,以提高覆盖率和减少模拟执行周期作为优化目标;根据模拟器反馈回的信息,使用多目标演化算法来自动引导生成新的测试程序.使用该方法对北大众志UniCore32-2微处理器进行实验的结果表明,在保证覆盖率指标的前提下,采用文中方法生成的测试程序模拟执行时间是现有方法的12.92%,是手写测试程序集的3.62%. 物联网环境下的信任机制研究 "物联网环境下的信任机制是一个亟待研究的重要课题,文中提出物联网环境下层次化的信任架构,满足了不同主体的信任需求,隔离了机构信誉和阅读器信任.使用基于证据理论的方法推导动态运动阅读器的信任,因较短的标签通信距离使恶意事件检测效率较差,文中提出可验证缓存前次交互摘要的方法,有效检测出恶意的终端阅读器.在稳定的机构层,使用信誉机制维护机构信任.层间信任交互构成了""现象可信-行为可信-节点可信-机构可信-授权可信""的环流,使得信任得到快速收敛和反馈.实验表明,可验证缓存前次交互信息的方法有效解决了证据理论方法中因物体RFID通信距离短无法被邻居节点检测到的缺陷,层次化的信任机制具有较强的汇聚信任的能力,并有较快的收敛速度." 航空兵后勤保障组织指挥效能评估 针对航空兵后勤保障组织指挥效能评估中既包含定量指标,又包含定性指标,而对这些指标进行科学合理的统一评价目前存在很多难题,运用云理论对其进行研究。建立了航空兵后勤保障组织指挥效能评估的指标体系,提出用加权偏离度来衡量其与理想状态的偏离程度,给出了运用云模型进行效能评估的具体算法。通过一个评估实例及其结果分析,验证了该方法的有效性与可行性,较好地解决了航空兵后勤保障组织指挥效能评估中定性语言到定量值之间的转化问题。 大规模无人系统集群智能控制方法综述 围绕大规模无人系统的集群智能控制问题,阐述了集群智能对于大规模无人系统控制的意义,综述了各类集群智能控制方法的基本思想及相关研究工作。基于局部规则的控制方法是集群智能涌现控制的基础方法,其重点在于集群内部局部规则的设计与描述;软控制方法在不破坏系统局部规则的基础上,在群中加入可控个体实现外部控制干预以达到控制集群智能涌现的目的;领航控制方法是利用群中富有信息个体或者智能发达个体的引导作用实现集群智能涌现控制的;人工势场控制法用势函数来描述集群内部相互作用及个体与环境的相互作用,通过设计合理的势函数实现对集群系统的控制。最后指出各种控制方法的应用趋势及需进一步研究的问题。 基于混沌时间序列的瓦斯浓度预测研究 近年来各种矿难频发,特别是瓦斯事故时见于报端。瓦斯事故通常伴随着较高的瓦斯浓度,因此,预测未来时刻的瓦斯浓度是预测瓦斯事故的有效手段,对煤矿的安全生产具有十分重要的意义。对混沌理论中的C-C方法进行简化,并用这种方法对5大煤矿的瓦斯浓度监测数据构成的时间序列进行相空间重构,依据数据的实际情况确定其最佳时延和嵌入维,然后用加权一阶局域法对下一时刻的瓦斯浓度进行预测。实验结果表明瓦斯浓度时间序列具有明显的混沌特性,且当时间序列长度为500时,计算量适中且预测结果较优,对500个异常瓦斯浓度预测的均方误差达到0.122024,从而可用于瓦斯事故的预测,为煤矿及时采取通风等措施提供决策依据。 基于FPGA与Matlab的数字正交解调器的设计 为有效提取测控系统输入信号的幅度和相位信息,设计了基于FPGA与Matlab的信号数字正交解调器;在Matlab/Simulink环境中产生一路调幅信号,并在此环境下利用5个直接I型的4阶FIR滤波器节搭建了20阶FIR滤波器;利用FPGA查表法实现数控振荡器(NCO),并控制1路调幅信号与正交的正、余弦信号分别进行数字混频处理;对经FPGA数字混频处理后的两路倍频分量和基频分量信号进行滤波处理,经处理后的信号在FPGA的控制下进行相加处理;最后在硬件平台上进行了仿真测试实验,验证了该方案的正确性和可行性。 SPECK分组密码算法的立方测试与分析 SPECK类算法是一类轻量级分组密码算法,由于其出色的平台适用性和软件实现性能而备受关注。针对当前SPECK类算法在抵御立方攻击以及算法内部结构对密钥比特的混淆和扩散方面存在安全隐患的问题,分别利用立方攻击结合二次测试和立方测试对SPECK32/64算法进行分析,在选择明文攻击条件下,若算法简化到3轮,可直接恢复17 bit密钥,攻击时间复杂度约为247,若算法简化到5轮~7轮,通过立方测试均能捕获到密钥中比特。结果表明,SPECK32/64算法需经过8轮以上的迭代操作才能有效抵抗立方分析。 基于图像类推的遥感图像超分辨率技术 由于卫星上相机距离拍摄景物较远,所以遥感图像分辨率一般较低。为了获得较高分辨率的图像。提出将图像类推技术(IA)与立方卷积插值法相结合的方法;并在学习样本集合建立过程中引入一种新的思路,直接对高分辨率图像的高频细节信息进行学习。实验结果表明,该方法不仅提高了放大图像的清晰程度,而且较一般的图像类推算法,能产生更为合理的细节以增强图像,使放大后的遥感图像更为逼真。 基于MX27的嵌入式视频采集系统设计 根据无人机载视频图像实时采集要求,设计基于i.MX27的视频采集系统;针对TVP5150A视频采集解码芯片和i.MX27视频处理接口要求,分别设计视频解码硬件和软件驱动,编写了视频采集DMA处理程序;实验结果表明,该系统可满足无人机实时视频采集处理要求。 特定事件意见领袖挖掘 为挖掘适用于特定事件的意见领袖,提出一种采用特定事件相关的新闻文本构建人物关系网络,结合社会网络分析方法挖掘意见领袖的方法。从特定事件相关的新闻文本中识别人名序列,采用滑动窗口和段落划分的方式确定人物之间的影响关系,计算影响关系的强弱,构建特定事件的人物关系网络。采用改进的LeaderRank算法计算人物关系网络中人物的影响力得分。实验结果表明,该方法能够有效识别特定事件的意见领袖,改进后的LeaderRank算法相比LeaderRank等算法能够更有效地识别意见领袖。 基于灰度共生矩的图像区域复制篡改检测 针对图像区域复制—粘贴篡改,提出了一种基于灰度共生矩阵的检测算法。首先将待检测图像分成大小相同的多个重叠块,用灰度共生矩阵的统计量表示每块图像的纹理特征,得到图像的特征矢量。然后将特征矢量进行字典排序,并结合图像块的位移矢量,检测且定位出篡改区域。实验结果表明,该算法在抗旋转处理和效率方面均优于经典的基于主成分分析法(PCA)的检测算法。 面向微聚集技术的k-匿名数据质量评估模型 针对基于微聚集技术的匿名数据,提出了一个质量评估模型,该模型从匿名数据的可用性、安全性以及两者的权衡三个角度来评估匿名数据的综合质量。实验结果表明,所提出的模型可以有效地评估基于微聚集的匿名数据的质量。 基于DNN处理的鲁棒性I-Vector说话人识别算法 提出了一种将基于深度神经网络(Deep Neural Network,DNN)特征映射的回归分析模型应用到身份认证矢量(identity vector,i-vector)/概率线性判别分析(Probabilistic Linear Discriminant Analysis,PLDA)说话人系统模型中的方法。DNN通过拟合含噪语音和纯净语音i-vector之间的非线性函数关系,得到纯净语音i-vector的近似表征,达到降低噪声对系统性能影响的目的。在TIMIT数据集上的实验验证了该方法的可行性和有效性。 基于NSCT和改进模糊的遥感图像增强方法 为了解决遥感图像处理过程中噪声放大和图像失真现象,提出了一种结合NSCT和改进模糊对比度的图像增强方法。通过NSCT变换把图像分解成低频子带和若干个高频子带;对低频子带进行线性增强,并利用改进的阈值函数对高频子带进行去噪;随后采用改进的模糊对比度来调整NSCT反变换的系数,以提高图像的整体对比度。实验结果表明,提出的算法的客观指标明显优于其他对比算法,并且视觉效果也得到很大改善。 海浪绘制中波浪谱的选择和参数计算 分析了Phillips波浪谱结构,指出该谱是由符合Neumann形式的频率谱和国际拖曳水池会议推荐的方向分布函数构成的方向谱,Phillips谱的频率谱与P-M谱接近。参考P-M谱提供的方法给出了Phillips谱风速的确切含义并计算出该谱的常数,解决了其在应用中未尽的问题。比较PM-ITTC方向谱和Phillips谱的绘制结果,发现用Phil-lips谱绘制的海浪低频长波更加突出。对Phillips谱和PM-ITTC方向谱进行比对,发现Phillips谱的谱峰频率与PM-ITTC方向谱接近,但谱宽更窄,能量更集中于低频部分。为Phillips谱增加了风距参数,使绘制结果能反映风速、风距对海浪的影响。绘制的海浪传播方向、波高随风速变化而变化,与航海实际情况相符,已应用于航海模拟器。 HMCTC:一种新型的高效时序隐信道 本文提出一种高效的新型时序型隐信道HMCTC.HMCTC隐信道相对于已有的隐信道拥有较高的信道容量和较强的隐蔽性,能够安全高效的完成隐秘信息的传输.HMCTC隐信道的主要框架分为三个部分:编码、调制、传输.首先在编码阶段采用K元Huffman编码对要传输的隐秘信息进行压缩编码,转换成对应的码元序列;然后在调制阶段对码元序列进行变换调制,生成符合指定合法信道分布的时序间隔序列;最后在传输阶段控制选定信道的数据包,使其按照生成的时序间隔序列发送数据包.为了验证其有效性,针对HMCTC隐信道的容量和隐蔽性做了一系列的实验.实验表明,HMCTC隐信道在实际应用中能够在拥有较强隐蔽性的同时具有较高的信道容量. 一种三维CAD模型自动语义标注算法 针对三维CAD模型检索中的语义鸿沟问题,提出一种基于局部形状分布及语义概率统计的三维CAD模型自动语义标注算法。采用基于局部形状分布的多尺度特征提取方法获取CAD模型的形状信息,并计算不同模型之间的形状相似度;根据模型样本库中已知的语义分类信息,构建一个基于概率的标注框架对CAD模型进行语义标注,以建立模型形状信息和语义信息之间的联系。实验结果表明,该算法能够有效提高三维CAD模型检索的准确率,检索性能优于仅使用形状信息时的检索结果。 SSL在构建安全智能电网中的研究和应用 随着社会工业化和信息技术的不断发展,电力行业进入了智能电网时代。智能电网的信息安全主要包括物理安全、网络安全、数据安全及备份恢复等方面。重点研究其中的网络传输和数据安全,并设计出一套基于SSL通信协议和数字签名机制的通信模式,从而提高智能电网的安全防护能力。 基于RTLinux的飞控系统进程间通信方案 利用RTLinux系统RTFIFO的实时性和共享内存,设计并实现基于RTLinux的飞控系统的进程间通信方案。采用多种通信机制相结合的方法,解决飞控系统内部复杂的进程间通信问题。实验结果表明,该方案能够满足系统对实时性能的要求,保证通信效率的稳定可靠。 基于克隆扩增策略的免疫算法 借鉴动态克隆选择算法的运行机制并结合克隆选择机理,提出一种基于克隆扩增策略的免疫算法。该算法提出调整未成熟检测器的补入条件,对成熟检测器群体实施克隆扩增策略,并消除冗余的成熟检测器。算法设计了对成熟检测器群体进行有效性评估的方法,由检测器的有效性确定克隆规模。理论证明了该算法的收敛性。实验表明,与传统的动态克隆选择算法相比,该算法提高了检测率,有效抑制了误报率,改善了算法的适应性。 车辆运输路径规划问题研究 为了更好地解决武警车辆运输路径规划问题,提高部队行动的迅速性,在考虑武警车辆运输实际需求的基础上,文中建立了开放式车辆路径模型,增加了诸多约束条件,例如车辆容量限制、时间窗限制等等。同时,论述了节约算法的基本原理,并采用设计改进的节约算法对开放式车辆路径问题进行了求解。通过实际案例的验证,采用改进的节约算法能够得到较满意的解,既能够满足时间限制,又能够节约运输里程和费用。结果表明,此种算法简明、易于理解,且可操作性强。 服务组合BPEL测试序列生成研究 为更好地对Web服务组合的控制流进行分析和验证,提出一种映射转换模型和测试序列生成算法。首先解析基于BPEL的Web服务组合流程描述文件,然后对流程描述文件进行图形化转换,形成业务流程编排控制流程图,再采用路径推导算法对控制流程图进行解析,获取基于BPEL的服务组合的所有测试执行路径,最后通过一个服务组合实例证明模型及算法的有效性。该方法解决了测试路径的自动生成和全覆盖问题,保证了测试的充分性,提高了路径生成效率。 基于Xen虚拟化技术的弹性云架构 云计算通过虚拟化技术为用户提供基础架构即服务(IaaS),IaaS平台上应用和服务的负载是动态变化的,这就导致其对虚拟资源的需求也是动态变化的。因此收集和分析云平台内部虚拟资源的占用量,根据需求对其进行弹性调度就成为提高整个云计算平台服务性能和资源利用率的关键。从负载均衡和降低云平台使用者成本的角度出发,根据云平台内部虚拟机的负载提出了一种弹性云架构。仿真实验结果表明,该方法能够提高虚拟资源的使用率以及降低用户的使用成本。 基于HFSS-MATLB-API的天线布局优化仿真 随着用于车载平台的天线数量日益增多,汽车的电磁兼容问题日益严重.针对天线布局缺少统一合理模型和理论方法指导的现状,利用HFSS-Matlab-Api脚本库在Matlab中调用HFSS建模进行天线布局的电磁兼容性仿真,采用遗传算法对布局进行优化.此方法省去人为数学模型推导过程,减少用户反复绘制模型、修改参数的重复工作.不仅充分利用HFSS仿真的高精度、可靠性和便捷性,而且采用遗传算法减少盲目的试探带来的时间和成本的浪费.最后,得到与理论相符合的实验结果,从而验证了布局与优化方法的可行性. 多租户Web应用的CPU资源动态评估方法 中间件共享是云计算模式中一种重要的资源共享方式.但是,这种方式容易导致宿主在同一中间件服务器上的多个租户间产生性能干扰.因此,需要为租户提供性能隔离的服务实例.在线度量租户对系统资源的使用情况是实现性能隔离的前提条件,但是,在共享中间件服务器中直接度量CPU资源需要注入探针,将引起性能开销,并依赖于操作系统的支持.最近,一些工作利用回归分析进行资源使用情况的间接评估,但仍难以对动态Web系统的时变资源状态进行有效评估.文中针对普遍使用的Java中间件服务器,提出一种基于Kalman滤波的多租户Web应用CPU资源动态评估方法,并通过两个实验案例分析方法的评估效果、影响因素和面临的挑战.实验结果表明,通过适当的参数设置,该方法可动态适应持续变化的负载环境,并且与直接度量方法相比,具有可接受的评估误差.实验还表明该方法可用于检测侵占型租户,并避免共享中间件服务器CPU过载. 一种蛋白质复合体模块度函数及其识别算法 蛋白质复合体对于研究细胞活动具有重要意义.随着新的生物实验技术的不断出现,产生了大量的蛋白质相互作用网络.通过对蛋白质相互作用网络进行聚类识别蛋白质复合体是当前研究热点.然而,目前大多数蛋白质复合体识别算法的性能不够理想.为此,提出了蛋白质复合体模块度函数(PQ),并在此基础上提出了基于蛋白质复合体模块度函数的模块合并(based on protein complexes modularity function for merging modules,BMM)算法.BMM算法首先识别网络中一些稠密子图作为初始模块,然后依据PQ函数对这些初始模块进行合并,最终得到了质量较高的蛋白质复合体.将识别出的复合体分别与2种已知的蛋白质复合体数据集进行比对,结果表明BMM算法具有很好的识别性能.此外,与其他最新的识别算法相比,BMM算法的识别准确率较高. AspectualACME语言切点指示器的形式化描述方法 面向方面软件体系结构描述语言AspectualACME虽引入了切点指示器PCD(Pointcut Designator)的语法成分,但仍未给出其语义的形式化描述。针对这一问题,基于AspectualACME语言抽象语法树,设计了一阶逻辑语言LIAPCD(Logic Language forPCD)。在此基础上提出了AspectualACME语言PCD的形式化描述方法。该方法能精确定义软件体系结构层PCD的语义,可为形式化分析软件体系结构层方面编织提供支持。 基于卡尔曼滤波的多目标宽带扩展函数估计 针对在非平稳的声环境中,区分和定位多个运动目标的宽带反向散射问题,采用小波域宽带扩展函数方法对目标状态参量进行卡尔曼回归估计;基于小波变换的相关估计原理和宽带扩展函数,推导了使用Kailath的算法的回归扩展函数估计和校正的卡尔曼滤波器,讨论了适用于WTD-EC自适应模型的卡尔曼滤波器算法,使用宽带匹配滤波器产生的距离尺度映射,计算目标在时间延迟-时间尺度上的状态参量;最后通过数据仿真,研究了函数带宽和参数估计效果的关系,验证了算法在自适应检测及目标特征化方面的有效性。 多集群计算环境故障监控管理系统 随着高性能计算集群系统的数量及其节点规模的不断扩大,系统运行维护的难度和工作量也随之加大。介绍的软件系统工作在多套不同软硬件环境的Linux集群系统中,采用命令行脚本程序对各集群中重要的运行状态和指标进行自动监测,并利用socket通信的方式及时将发现的故障信息集中发送到系统管理员Windows终端,切实提高了系统运行维护工作的效率,加快了故障处理响应时间。该系统还利用数据库对故障事件数据进行记录管理,规范了故障处理的流程。 WSN数据融合中的隐私保护技术研究 无线传感器网络(WSN)因节点资源受限、结构自组织性等特点而对隐私保护方面有特殊需求。为此,根据隐私保护策略的不同,将现有的隐私保护算法分为基于簇结构、基于数据切片和基于加密技术3类,对CPDA、iCPDA、SMART、ESPART、CDA、RCDA、KIPDA等典型算法在计算复杂度、通信开销、时延、隐私保护性、数据完整性、入侵检测能力和融合精确性方面进行比较,总结各种算法的优缺点,并探讨WSN数据融合中隐私保护技术的下一步研究方向。 基于长度过滤和动态容错的SNM改进算法 数据仓库中相似重复记录的清洗对于数据质量影响很大,传统的基本邻近排序算法(sorted-neighborhood method,SNM)时间效率和准确率均不高。针对SNM算法的缺陷,提出了一种基于长度过滤和动态容错的SNM改进算法。根据两条记录的长度比例和属性缺失情况,首先排除一部分不可能构成相似重复记录的数据,减少比较次数,提高检测效率;进一步提出了动态容错法,校准字段相似度评判结果,解决了因属性缺失而误判的问题,提高了准确率。针对实际数据集的实验分析表明,在相同的运算环境下,优化算法在准确率和时间效率上有明显优势。 基于聚类的复杂网络社团发现算法 对基于聚类技术的复杂网络社团发现算法进行研究,分析网络中结点间的相似性度量方法,提出把复杂网络中的结点转化为向量的顶点到向量映射(MVV)算法,把网络中的结点转化成适合聚类算法的数据结构形式。对不同聚类算法及相似性度量方法的性能进行比较分析,结果表明,MVV算法可以提高发现复杂网络中社团的能力。 软件项目方案选择灰色综合评价 方案选择与评价是可行性研究阶段和招标投标阶段的重要环节,决定着软件项目的成败。针对软件项目方案难以评价的特点,文中运用灰色综合评价方法进行研究。首先,建立评价指标体系,包括评价指标体系模型、评价指标描述以及其他相关工作;接着,建立数学模型,包括确定评价指标、定性指标定量化、确定最优指标集、确定原始评价矩阵、规范化处理、确定评价矩阵、灰色综合评价等步骤;最后,给出了具体评价实例。结果表明,运用灰色系统理论对软件项目方案进行评价,可以扩大信息源,提高评价结果的可信度。 基于成本函数的对比度优化去雾霾算法 针对雾霾条件下的模糊图像,提出一种对比度优化去雾霾算法。基于一般情况下雾霾图像对比度较低的特点,通过增强其对比度恢复模糊图像。然而,过度补偿退化的对比度可能会截断像素值,导致信息损失,为此制定一个包括对比度和信息丢失的成本函数,通过最小化该成本函数,该算法更优化地提高了对比度并保存了信息。实验结果表明,该算法有效去除了雾霾。 基于双源联合非线性滤波的无线网络定位算法 为解决当前无线移动网络定位算法难以适应低信噪比环境,抗高稳定性噪声干扰困难,无法精确获取其坐标估计的不足,提出基于双源联合非线性滤波机制的无线移动网络定位算法。通过建立电控拟旋结构,消除接收信号存在的方位角误差,提高低信噪比环境中信号接收的精度;利用双源联合定位机制,改善因接收天线处于非相干状态而导致的信号相位角判定误差问题,实现相位角的精确判断;采取非线性滤波机制,通过Γ-Ψ分布特征函数降低噪声因素对相位角估计过程的影响,改善高稳定分布噪声对定位过程的干扰。仿真结果表明,与相干定位算法、差分累积定位算法相比,面对低信噪比、高稳定分布噪声环境,该算法的定位精确度更高;在高信噪比、低稳定分布噪声环境下,其定位精度保持较高水平,算法复杂度低。 在线多笔画重复绘制草图的判定与聚类 多笔画重复绘制是设计师传递设计意图的常用方式,为在手绘图识别系统中支持多笔画重复绘制,提出一种将在线多笔画重复绘制草图解释为二维线图的判定与聚类算法.首先通过笔画逼近折线段的折点序列,构造一个带圆弧过渡的等距边线围成的多边形区域作为笔画容差带;然后利用2条笔画之中最小包络矩形面积较小的笔画的采样点落入另一条笔画的容差带的个数,进行多笔画重复绘制的快速判定;最后提出将草图分成若干个子草图的聚类算法,根据子草图中笔画的单笔画识别结果将子草图分为同类型子草图和混合类型子草图,并给出相应的子草图拟合方法.通过自主开发的FSR_DJ原型系统对文中算法加以验证的结果表明,该算法能有效地解决多笔画重复绘制草图的识别问题,为后期手绘图的识别研究奠定了基础. 基于在线手写签名特征的非盲水印 结合电子公文的应用背景,提出了一种在图像中嵌入在线手写签名特征,来验证发送方身份的算法。该算法利用人眼视觉特点,将签名信息自适应地嵌入到载体图像中。鉴于签名特征水印较传统的图像水印对鲁棒性的要求更高,该算法将签名特征信息重复嵌入,以减少水印提取时可能出现的偏差。实验证明,该算法既具有良好的不可见性,又具有很强的抗图像攻击能力,达到了设计的根本目的。 一种基于PPM调制的水下光通信系统设计与仿真 论文提出一种基于脉冲位置调制(Pulse Position Modulation,PPM)的水下光通信系统设计方法,采用现场可编程门阵列(Field-Programmable Gate Array,FPGA)并基于Quartus软件仿真实现了6Mbps的通信速率。论文实现了调制和解调部分的软件设计和仿真验证,以及部分硬件电路的设计,完成传输通信误码率的统计分析。实验结果表明,该系统可实现通信传输速率和通信误码率要求,符合设计标准。 一种基于不规则区域的高斯滤波去噪算法 针对传统高斯滤波算法在滤除噪声的同时会丢失图像部分细节信息的弊端,提出了一种基于不规则区域的高斯滤波算法。在高斯滤波的基础上,通过分析纹理自相关特性,自适应构造局部不规则的高斯掩模窗口,突破以往采用固定大小窗口的思想,提高高斯系数权值分配的合理性,剔除相关性较低的像素,实现在滤波的同时有效保留图像纹理细节。实验结果表明,提出的算法优于传统高斯滤波及其他滤波算法,在图像细节保留和抗噪方面实现了较好的平衡。 基于加权虚拟力的空中传感器网络分段部署算法 为提高空中传感器网络部署算法的适用性,实现对复杂环境的部署,提出一种加权虚拟力空中传感器网络分段部署算法,并建立空中扩散模型与地表扩散模型。空中扩散模型可减少节点在部署曲面上移动的能耗,使节点大部分的扩散过程在空中进行。地表扩散模型可减少节点暴露于空中的时间,从而避免受环境以及突发事件的影响。仿真实验结果表明,与三维虚拟力部署算法相比,该算法可以实时调整部署过程,部署时间短,适用性强,达到较好的部署效果。 随意图像纹理映射的补偿方法 基于局部摄影测量学的随意图像纹理映射是一种新的三维模型纹理映射方法,但其存在由局部纹理缺失引起的纹理失真问题。提出一种纹理映射的补偿方法,即在局部摄影测量学和约束参数纹理映射方法的基础上,根据随意图像与模型的关系确定纹理缺失区域,采用刚体转动惯量极小值测定方法和镜像方法寻找模型待映射范围内的对称面,用对称点的纹理信息对纹理缺失区域进行纹理映射补偿。实验结果表明,对于有局部对称面的三维模型,补足了缺失的纹理,消除了纹理拉伸失真现象。 基于流量统计指纹的恶意代码检测模型 采用加密和隧道技术的恶意代码难以检测。为此,提出基于流量统计指纹的恶意代码检测模型。提取恶意代码流量中的包层特征和流层特征,对高维流层特征采用主成分分析进行降维,利用两类特征的概率密度函数建立恶意代码流量统计指纹,使用该指纹检测网络中恶意代码通信流量。实验结果表明,该模型能有效检测采用加密和隧道技术的恶意代码。 AODV协议在嵌入式平台中的应用研究 文中介绍了一个在嵌入式Linux系统上实现无线Ad-Hoc网络的应用,目的旨在建立一个基于嵌入式Linux和DevKit8000的嵌入式无线网络平台,并在嵌入式开发板中部署运行AODV路由协议,通过对AODV路由协议在嵌入式开发平台中的运行情况进行实验并分析,验证基于嵌入式开发平台的无线自组织网络部署运行AODV路由协议并组网的可行性。实验表明所移植的AODV路由协议运行稳定可靠,通过分析实验数据,体现了AODV路由协议在一定程度上具有稳定性,同时Ad-Hoc网络运行正常,各节点可通过运行的AODV路由协议进行稳定通信。 SpikeNet的研究及其在快速人脸识别中的应用 对SpikeNet网络的研究,其主要目的在于根据电生理学的研究成果,通过计算机构造与人脑相似的神经元及其网络拓扑结构来模拟人脑的思维过程,进而学习人脑对外界事物的反应过程,实现对人脸图像中的兴趣区域识别和智能处理。对SpikeNet的研究将有助于提高网络的识别速度和识别效率,对人工智能的研究和发展具有深远的意义。SpikeNet的理论基础是基于激发(spike)原理的排序编码(rank code ordering)研究思想。在数以万计的视觉神经元中,大脑可以将神经元按照敏感性排序,对于特定情况敏感的物体,特定的神经元会及早突破阈值而激发产生Spike,并通过多层网络不断传递信息。对人脸识别的实验表明,SpikeNet在速度上达到实时的神经计算,并且能很好地克服对比度和噪声的影响,获得比较理想的实验效果。 基于Biterm主题模型的无监督微博情感倾向性分析 基于传统主题模型的无监督情感倾向性分析方法不能较好地解决微博语料特征稀疏的问题。为此,提出一种新的无监督微博情感倾向性分析方法。对语料进行预处理并统计语料中的共现词对,利用BTM模型挖掘文档中的隐含主题,通过已有情感词典分析隐含主题的情感分布,并实现整条微博的情感倾向性分析。在NLP&CC2012语料上进行测试,结果表明,该方法能够有效识别微博的情感倾向,平均F1值比传统主题模型方法提高15%。 基于顶点权重的网格简化在虚拟人脸中的应用 研究了虚拟现实中三维人脸网格简化的问题。针对如何在保持三维人脸模型细节特征的情况下,用尽可能少的顶点和三角网格来刻画原始模型这个问题,提出了一种顶点权重的边折叠网格简化算法,在二次误差测度算法的基础上加以改进,提出用Loop细分法计算新顶点和表示网格质量的三角网格形态度,建立了保持模型细节特征和控制三角网格质量的双约束机制,定义了双约束误差测度函数来控制边的折叠顺序,达到了推迟细节特征边折叠、减少狭长三角形的结果。实验结果表明,改进算法能够有效地保持人脸模型的细节特征且生成高质量的网格简化模型。 平稳小波域深度残差CNN用于低剂量CT图像估计 针对低剂量计算机断层扫描(LDCT)重建图像中存在大量噪声的问题,提出了一种平稳小波的深度残差卷积神经网络(SWT-CNN)模型,可以从LDCT图像估计标准剂量计算机断层扫描(NDCT)图像。该模型在训练阶段,将LDCT图像经平稳小波(SWT)三级分解后的高频系数作为输入,将LDCT图像高频系数与NDCT图像高频系数相减得到残差系数作为标签,通过深度卷积神经网络(CNN)学习输入和标签之间的映射关系;在测试阶段,利用此映射关系即可从LDCT图像的高频系数中预测NDCT高频系数,最后通过平稳小波反变换(ISWT)重构预测的NDCT图像。实验采用50对大小为512×512的同一体模的常规剂量胸腔及腹腔扫描切片和投影域添加噪声后的重建图像作为数据集,其中45对作为训练集,其余5对作为测试集。将所提模型与效果较好的非局部降噪算法、K-奇异值分解(K-SVD)算法、匹配三维滤波(BM3D)算法及图像域CNN(Image-CNN)模型对比,实验结果表明,SWT-CNN模型预测的NDCT图像信噪比(PSNR)和结构相似性(SSIM)高,且均方根误差(RMSE)小于其他算法处理结果。该模型对于提高低剂量CT图像质量是可行且有效的。 一种基于被动式网格资源发现机制的研究与设计 在基于P2P、OGSA网格结构等相关技术研究的基础上,结合部分资源组织结构优化分组的优秀成果,提出一种基于被动式资源注册、邻接表资源检索等综合性能较好的网格资源发现模型。并对其体系结构、资源组织结构和资源注册、发现机制作详尽的描述。该机制综合了集中式和分布式资源组织方式,利用各种资源在信息中心节点的邻接表存储方式,不仅优化了整个系统的性能,而且会保证最优资源被使用,从而负载更为均衡,明显优于传统的泛洪机制。 数据驱动的多变量报警事件预测 对生产过程中的报警事件进行预测能够预测危险工况,指导操作人员实施相应的措施,从而避免危险事故的发生。论文提出了一种基于贝叶斯网络模型(Bayesian network)的报警事件预测方法,首先通过历史数据提取报警事件序列,分别建立单变量和多变量报警事件的贝叶斯网络,采用期望最大化(EM)算法和贪婪搜索算法相结合来确定贝叶斯网络的参数与结构,通过概率推理对报警事件进行预测。实例仿真表明,该方法可以有效地挖掘历史数据信息,实现准确的报警事件预测。 基于凸区域容错性的拓扑控制算法 目前多数研究以连通度来衡量无线网络的容错性。连通度没有考虑失效节点的位置关系,任意节点组合会同时失效,而无线网络失效的节点间通常具有空间相关性,即处于一个局域的节点更可能会同时失效,尤其在军事应用中,比如炸弹的袭击,会导致一个局域内的节点失效,因此连通度度量容错性并不适合无线网络场景。考虑无线网络失效节点具有空间相关性的特点,提出凸区域容错性概念,并基于此,提出拓扑控制算法C-RFT。理论证明C-RFT算法生成的网络能保持原始图的凸区域容错性,仿真结果表明经过C-RFT算法拓扑控制的网络的平均逻辑度值和平均传输半径有明显下降。 《计算机系统应用》稿约 [正文]《计算机系统应用》(CN 11-2854/TP,ISSN 1003-3254)创刊于1992年,是中国科学院主管、中国科学院软件研究所主办的、面向国内外公开发行的技术性、应用性科技核心期刊.本刊的办刊宗旨是宣传推广信息技术在各行各业的应用.重点是宣传介绍计算机应用系统的建设(包括系统的规划、设计与开发等方面)、信息技术的应用研究与开发成果以及相关技术的分析,探讨与应用. 无线传感器网络安全MAX/MIN查询技术综述 随着无线传感器网络(wireless sensor network,WSN)的广泛应用,对于具备安全保护能力的数据查询技术的需求日益迫切,安全MAX/MIN查询就是其中一种重要的数据查询方式。现有的安全MAX/MIN查询技术多数采用半诚实威胁模型,以保护感知节点采集数据和查询结果的私密性为研究重点,较少关注由于数据篡改、伪造等攻击手段导致的查询结果完整性验证问题。从数据隐私保护和查询结果完整性验证这两个角度出发,分别基于传统WSN和两层WSN对现有的安全MAX/MIN查询处理技术进行了总结,介绍了网络模型和查询模型,并给出了在两种网络结构中关于私密性和完整性的问题描述;全面分析了现有方法采用的关键技术和协议流程,讨论了各自的优点和不足,同时指出未来的研究方向。 多无人机协同攻击路径规划研究 如何实现多架无人机规避复杂威胁区域对敌重要目标实施协同打击成为近来研究的难点,研究实现协同打击的关键是规划出多无人机从各自起始点到目标的最优协同攻击路径,以解决路径规划的关键技术为目的。对复杂威胁区域中,多无人机最优协同攻击路径规划进行了研究。首先,构建了多无人机最优协同攻击路径规划系统框架;其次,以人工智能A*算法为基础,结合无人机运动学方程对A*算法进行了改进,得到一种基于步长搜索的无人机路径快速生成算法;再次,基于改进的路径快速生成算法,以多无人机同时攻击目标为约束条件,进行变步长的协同攻击仿真计算。仿真验证了路径规划算法和协同攻击算法的有效性。 基于贝叶斯理论快速ERT图像重建算法 针对电阻层析成像系统中图像重建不适定问题,与现有ERT图像代数重建算法不同,提出一种基于贝叶斯理论快速一步动态图像重建算法。在阐述电阻层析成像的理论基础上,利用有限元方法建立敏感场数学模型,得到灵敏度矩阵和投影数据。在分析贝叶斯理论基础上,推导出快速一步动态图像重建模型,并将介质分布的先验信息和噪声随机信息等统计信息引入到图像重建中,实现对电导率的重建。分析了不同分布先验概率参数和不同噪声信噪比等影响因子对算法重建结果的影响,并与高斯牛顿迭代、贝叶斯迭代重建算法相比较。结果表明,所提算法重建速度有很大提高,并能较好反映被测介质的相对位置。 PEAK:一种面向弱节点集群的并行可演化管理框架 弱节点集群Ant II是一种面向低功耗数据密集型计算的体系结构,由若干低功耗嵌入式处理器和固态存储紧耦合而成。面向弱节点集群特殊的应用需求和硬件架构,提出了一种具备自愈、热升级的分布式存储和计算框架PEAK。用原生并行编程语言Erlang开发,利用监控树和代码热替换技术等,保证系统的自愈、可演化;采用了去中心化可伸缩容错的Dynamo架构,保证分布式环境下系统的可用性和最终一致性;提出分布式元服务管理框架,提供高效灵活的基础服务部署与管理,可利用若干元服务快速构建PEAK;提供了key-value的存储方式和基于MapReduce的查询功能。测评结果显示PEAK可以很好地平衡计算和I/O能力,满足大规模并行数据访问需求。 众核处理器的流水线紧耦合指令循环缓存设计 能效比是未来高性能计算机需要解决的重要问题.众核处理器作为高性能计算机的重要实现手段,其微结构的优化设计对能效比提升尤为关键.提出了1种面向众核处理器的流水线紧耦合的指令循环缓存设计,以较小的L0指令缓存提供更加高能效的指令取指.作为体系结构研究同硬件可实现性紧密结合的1次尝试,设计始终考虑了硬件实现代价这一关键约束.为了控制L0指令缓存对流水线性能的影响,指令缓存采用了循环出口预取技术,以此保证指令缓存提供的低功耗的指令取指能够最终转化为流水线能效比的提升.在gem5模拟器上实现了对指令循环缓存的模拟.对SPEC2006的测试结果表明,在不影响流水线性能的前提下,设计的典型配置可以减少27%的指令取指功耗以及31.5%的流水线前段部件动态功耗. 武器设备虚拟维修拆卸系统设计仿真研究 虚拟维修作为新兴的维修技术手段,在维修拆卸规划方面存在不足,传统的虚拟维修拆卸系统不重视人体工效因素,规划结果的可行性不高,针对复杂武器装备,其可行的拆卸序列随零部件规模扩大呈指数级增长,普通的图论方法易出现混乱、死锁等现象。在Petri网的基础上引入解释结构模型,对装备零部件进行系统划分和层级划分,简化对维修拆卸过程的描述,将人体工效因素纳入拆卸过程的约束条件,利用jack平台对人体工效指标进行定义、计算和二次开发,并结合遗传算法,定义了虚拟维修拆卸过程的规划目标、约束条件、编解码方式,针对某型变速箱进行了实例分析,验证了系统良好的应用效果。 基于物联网构建国家食品工业企业诚信管理信息平台 主要阐述了国家食品工业企业诚信管理信息平台建设的项目背景,分析了基于物联网构建国家信息平台的可行性和前瞻性,是一项全新的科技应用与全新的业务领域的结合与碰撞。同时,对国家信息平台的主要业务内容和物联网的主体设计结构进行了剖析,充分论证了将食品工业企业诚信管理的主体业务逻辑固化在物联网架构之上所带来的效益。在国家诚信管理体系建设和物联网发展的关键时期,两者的结合对相关领域的快速发展具有积极的促进作用。 基于HALCON的接线端子端面检测方法的研究 在现代工业自动化现场,接线端子作为电气连接的一个配件产品,随着工业自动化程度的提高以及工业控制越来越严格的要求,端子位置是否正确、检测效率的高低将大大影响企业的效率及效益。随着市场经济的发展,用肉眼进行检测不仅会增加企业劳动力成本,也受检测人员经验责任心等方面影响,无法保证100%检测率。设计一个即时视觉检测系统,以HALCON作为软件平台,对接线端子端面进行检测,该方法速度快、准确率高,经试验证明,可以广泛应用于工业现场。 基于云计算平台的CO2空间数据融合算法 为了对移动传感器网络采集到的时间、空间不确定的海量CO2浓度数据进行融合,首先对采集的CO2数据进行分析,把测试区域分成m×n个网格,分析从每个网格取一个有效值来表示CO2浓度分布。然后根据云计算强大的计算能力,提出组合云模型,设计普通云、繁殖云、视觉云和邻接云,以云内相对独立运行和云间相互作用形成分布式并行计算机制。接着改造蚁群家族,设计普通蚂蚁、繁殖蚂蚁、视觉蚂蚁和邻接蚂蚁。各类蚂蚁分配到不同的云朵中,并按自身的规则运行,各类蚂蚁彼此配合工作,实现信息素和最优解在云内部局部交换和通过云服务器在云朵之间全局交换相结合。最后模拟生成有关临安的11080个数据,利用Clounding V2模拟平台进行大量实验,实验表明算法在105次寻优后基本趋于稳定,寻优能力是单机算法的60倍左右,并且普通云、繁殖云、视觉云和邻接云中的蚂蚁数量比设为2∶2∶1∶1性能表现出最佳。 具有非线性扰动的变时滞中立型系统鲁棒稳定性新判据 研究一类具有非线性扰动的时变时滞中立型系统鲁棒稳定性问题。基于直接LyapunovKrasovskii泛函并结合自由权矩阵方法的分析方法,建立了线性矩阵不等式(LMI)形式的离散时滞和中立时滞均相关稳定性判据。与以往方法不同,在处理泛函导数时,该方法不包含任何模型变换和涉及交叉项的处理,只是通过引入相关项自由权矩阵,充分考虑各项之间的相互关系,降低了结论的保守性。最后,利用Matlab的LMI工具箱进行了的数值仿真,算例仿真表明所提出的判据的有效性。 一种基于改进Petri网的工作流案件分布式处理系统模型 针对现有的案件处理系统功能单一、效率低、缺乏并行处理和协调优化能力,无法满足现代案件处理需求的问题,基于改进Petri网的工作流技术,解析案件处理特征,对案件处理系统动态过程进行规划、调度和调整,在建模过程中引入Petri网分布式处理框架和工作流的路由结构、触发机制,建立了一种改进Petri的网工作流案件分布式处理系统模型,并对模型进行定义化描述和合理性验证,为司法部门案件处理提供高效、安全、可靠的平台。 基于多维特征分析的移动社会网络消息传输 "基于延迟容忍特征,移动社会网络采用""存储—运载—转发""模式在节点之间进行消息传输.如何选定合适的中继节点进行消息的高效传输是当前研究中备受关注的热点问题.从不同的角度对网络中的多维社会特征展开分析.首先,根据节点间的交互关系,确定节点间社会关系模型;其次,依据网络拓扑给出了邻居集合和本地社区的定义,提出了一种移动社会网络的本地社区划分方法,进而建立了节点间的社区关系;然后,基于节点间的行为特征给出了节点活跃度定义,通过PageRank算法获得节点的多维属性特征PR值,并利用PR值给出节点间传输值,从而获得节点的不同传输效用值.在此基础之上,综合考虑节点社区关系和节点的不同传输效用值,设计并实现了移动社会网络的消息传输算法.实验表明,算法在传输成功率、传输冗余率、平均延时等多个方面具有优势." VLAN在嵌入式安全网关上的设计与应用 在部署企业内网安全系统时,保证与已有网络架构的兼容性,尤其是虚拟局域网(VLAN)设置的可用性很重要。通过对VLAN应用于内网安全系统中的安全、VLAN间路由等关键技术进行研究,提出了系统中嵌入式安全网关的虚拟局域网(VLAN)的解决方案,实现了基于公有协议802.1Q的VLAN间路由。 Partition挖掘技术在配电系统故障诊断中的应用 针对在实际的配电系统中存在故障信息不确定,甚至不完整时会导致无法有效及时的寻找故障原因的问题;将Partition算法引入到配电系统的故障诊断过程中;将保护、继路器的状态作为条件属性,故障发生的器件或者区域作为决策结果属性;使用改进的关联挖掘算法Partition进行属性的规约;利用最简属性规约形成决策表与交互式的挖掘方式对供电系统中的各种故障信息进行决策的推理与诊断;实验结果表明该故障诊断系统在一定的配电规模和保护动作不完整的情形下诊断的准确率比较高。 基于五轴运动优化的数控成形磨齿精密齿向修形 为解决数控成形磨齿过程中齿向修形存在的双齿面磨削精度差和单齿面磨削效率低的问题,通过优化机床的五轴运动多项式系数,实现双齿面磨削的精密齿向修形。依据空间曲面包络原理建立实际齿面的数学模型,并计算实际齿面相对于标准齿面的拓扑偏差。以机床的五轴运动多项式系数为优化参数、以齿面实际拓扑偏差与目标拓扑偏差差值最小为优化目标,建立了优化模型并对其进行求解,得到机床的五轴运动。通过数值分析和磨削试验验证了该方法可以有效地减小传统方法中加工齿向鼓形修形产生的齿面扭曲。 二维织物图像优化的仿真分析 由于二维织物组织结构繁琐、层次繁多、在进行计算机二维织物图像模拟时,在每个层次织物纹理交织时,各层次的织物组织经纬线纹路参数融合存有误差,造成二维织物图像的模拟效果不清,产生了模拟精确度低的问题。提出基于离散小波变换的二维织物图像模拟算法,将采集到的二维织物平面图像,利用中值滤波进行去噪处理,确定二维织物图像的基准位置,再运用离散小波变换方法,分层提取二维织物特征参数,通过聚类方式进行纹理参数融合模拟,优化二维织物的模拟过程。实验证明,利用离散小波变换算法进行二维织物图像模拟,提高了模拟的准确率,模拟的真实度更高。 锁骨下动脉解剖变异的CT血管成像 目的:采用CT血管成像分析锁骨下动脉解剖变异类型,并探讨其临床意义。方法:回顾性分析2000例患者的主动脉弓分支的CT血管成像资料,观察锁骨下动脉解剖变异并进行分型。部分患者行食管钡餐造影、彩色多普勒超声检查或对比增强MR血管造影。结果:锁骨下动脉解剖变异的发生率为1.05%(21/2000),包括迷走锁骨下动脉16例(其中迷走右锁骨下动脉15例,迷走左锁骨下动脉1例)和锁骨下动脉及其分支起源变异5例,女性占81%(17/21),4例合并先天性心脏病。结论:CT血管成像可用于观察锁骨下动脉解剖变异,锁骨下动脉解剖变异常合并先天性心脏病。 不平衡网络入侵特征下的博弈检测仿真 传统的网络入侵检测主要是针对单维数据特征的入侵进行的,一旦入侵数据特征具有高维不平衡特性时,入侵特征无法形成统一的关联检测规则,造成检测的效率与准确率降低。为此,提出基于博弈思想的不平衡网络入侵特征下的检测方法。依据博弈理论,建立用户的期望效用函数,通过计算获取检测系统及用户的混合策略,判断用户行为是否为入侵,依据优化组合支持向量机原理,提取入侵节点的特征,实现不平衡入侵特征下的博弈检测。实验结果表明,采用改进算法进行不平衡入侵特征下的博弈检测,能够提升训练和检测的速度,提高检测率、降低误报率,具有优越性。 一种高可靠双机温备星载计算机的设计与实现 星载计算机对可靠性、实时性和性能有很高的要求,备份技术是提高星载计算机可靠性的有效方法.传统备份技术如冷备份和热备份在提高系统可靠性的同时限制了实时性和性能的提高.提出一种新型的双机温备设计,该设计对主机和从机进行功能上的划分,使主机专注于高效的运行星务程序,而从机则专注于提高系统可靠性.这样可以在保证系统高可靠性的前提下提高实时性,降低备份机制对性能的消耗.首先介绍单机系统的可靠性设计,在此基础上详细阐述了双机系统的设计,包括双机的高速通信、系统的故障检测、双机的切换.最后在工程实践中对方案进行了检验,运行良好. 基于RED的差异型丢包队列管理算法 网络流量中UDP成分的逐渐增加可能导致网络存在拥塞缓解失效的隐患.通过引入TCP流量与非TCP流量的区分丢包互斥机制,使用Lotka-Volterra竞争模型证明在该机制下TCP与非TCP流量在网络中必然存在平衡点,作者提出基于TCP与非TCP差异型丢包的队列管理机制.该机制依据TCP模型推导出的TCP协议流量的丢包概率,利用当前缓存队列中的TCP和非TCP数据包的状态,对不同的传输层协议产生差异型的动态丢包概率以确保AQM的稳定性和传输层协议间的公平性. 基于COM/DCOM构建类似MVC程序框架的实现 当采用基于网络的客户/服务器编程模式时,采用传统开发模式往往使得程序缺乏灵活性和可扩充性,若采用COM/DCOM组件来构建程序,并且设计成具有类似MVC结构,那么将会使程序具有优良的结构,增强灵活性和可扩充性,提高开发效率。本文在Delphi下结合COM/DCOM组件实现具有类似MVC结构的三层程序框架。 开放网络环境完整性按需度量模型 完整性度量是可信计算的关键问题之一.首先分析了目前研究成果在开放网络环境下存在的问题及其原因.提出了一种开放网络环境下完整性按需度量模型.该模型由度量请求者根据具体要求定制完整性度量策略,完整性度量策略由程序指令度量策略和数据流度量策略组成,度量响应者根据度量策略来度量自身组件的完整性,并为每个度量请求构造相应的可信链实例.该模型动态地度量完整性,改善了度量结果的新鲜性,兼顾软件代码和用户数据的完整性度量,克服了度量目标的片面性.在该模型的基础上实现了远程证明及其原型系统,并在流媒体服务网络中进行实验测试,实验结果表明该模型以较低的资源开销解决了存在的问题,能够适应开放网络环境下完整性度量的要求. 不使用双线性对的无证书签密方案 2003年,Paterson和Al-Riyami率先提出了无证书公钥密码体制。长时间以来因该体制无需进行身份密钥托管并且也不存在传统公钥证书管理困难的问题而备受关注。无证书签密方案中,采用双线性对的方案大多计算繁复,难以实用。为此,近年来提出了多种不采用双线性对的无证书签密方案,以提高方案的性能,但这些方案大多不具有保密性和抗伪造性。针对上述缺陷,文中提出了一种新的不使用双线性对的无证书签密方案。该方案能够抵抗前类方案中广泛存在的内部攻击和密钥线性化攻击。仿真验证结果表明,在随机预言模型下,所提方案具有不可伪造性、正确性和保密性。与已有方案相比,该方案同样有着较高的计算效率,签密过程仅仅进行4次点乘运算,解密校验阶段只需要2次点乘运算。 战术通信中异构Ad-hoc网络路由策略 针对战术通信中的网络异构性和节点群组移动性,在建立群组移动模型的基础上,采用簇头稳定分簇算法动态划分管理异构网络分层结构,提出基于链路状态的分层路由策略整合异构无线资源。该策略分为区内路由策略和区间路由策略,面向不同网络层次采用不同路由机制。OPNET仿真结果表明,该策略的分组成功接收率和平均端到端延时性能均优于分层AODV协议。 生产厂商逆向供应链演化稳定策略分析 生产型企业通过实施逆向供应链策略取代传统供应链的单向运作模式,可以减少对环境的负面影响和对资源的过度依赖,对本企业生产的废旧产品回收再利用成为当前发展新趋势。将逆向供应链作为一类复杂适应系统,基于复制动态方程和演化稳定策略,构建了逆向供应链演化博弈模型,分析和讨论了逆向供应链中每一个供应商决策如何影响供应链绩效。在市场机制下,供应商的额外收益超出实施逆向供应链的成本,供应商才会采取实施逆向供应链策略,而在政府管制下,相应规制可以有效促进逆向供应链策略的实施。 一种RBAC的描述逻辑表示方法 基于角色的访问控制(RBAC)通过角色来控制用户对资源的访问,极大地简化了安全管理。虽然对RBAC的研究比较成熟,但由于RBAC目前缺乏形式化的表示,使得RBAC中的一些概念和性质存在不同的理解。描述逻辑(DL)是一种基于对象的知识表示的形式化系统,它是一阶逻辑的一个可判定的子集,具有合适定义的语义,并且具有很强的表示能力。为了给出RBAC的形式化方法,以描述逻辑为工具,RBAC96模型为基础,提出了RBAC的描述逻辑DLRBAC。用描述逻辑的符号给出了RBAC中主要的元素和关系的形式化定义,并证明了这种描述逻辑表示对于RBAC模型的忠实性。所提出的RBAC形式化模型可以作为进一步研究RBAC的理论基础。 基于紧框架的二阶总广义变分图像修复模型 针对传统总广义变分(TGV)小波修复模型采用单一小波基变换,仅对纹理细节信息较少且结构简单的图像有很好修复能力的缺点,提出一种紧框架域下的总广义变分正则化修复模型。不同于经典小波变换,紧框架系统具有冗余、时移不变和线性相位等图像处理过程中较为重要的特性。新模型通过引入多层紧框架分解系数的低阶与高阶导数项建立正则化项,获取图像不同尺度多方向上的特征信息来对图像进行约束。模型的数值实现采用分裂技术与原始-对偶方法相结合的优化算法(PDSBA),交替迭代求解两个易于处理的子问题,提高了图像修复过程的处理效率。相比于传统模型,所提模型不仅具有保边性能,而且对含有较多细节或纹理信息的图像也有较好的修复效果。实验结果显示,三个修复性能指标:峰值信噪比(PSNR)、平均绝对误差(MAE)和结构相似测度(SSIM)均获得显著提升。 基于Hadoop平台的评价系统设计与实现 在Hadoop分布式系统上,设计并实现了一个基于SSM框架的评价系统,对评价系统构建的技术路线及实现方案以及基于中介真值程度度量的评价规则进行了详细阐述。系统采用接口编程技术,对每个模块的基本功能进行抽象,可以接入并修改任意的功能模块,增强了系统的可扩展性和维护性。系统中定义了基于中介真值程度的评价规则,分别采用距离比率函数和距离比率和函数建立了单维度测度模型和多维度综合测度模型。除此之外,系统也可以自定义评价规则集,增强了系统的可用性。在学生成绩评价中的应用结果表明,基于中介真值程度的评价规则,使评价结果更为科学和合理。 关于风力发电系统效率优化控制研究 在风力发电系统中,风力发电系统效率优化控制能实现风能向电能最大效率地转换,提高风能利用率。但是在实际风场中最大功率点会随风速的变化而转移,从而给最大功率的跟踪带来困难,利用变步长转速扰动爬山法实现最大功率的跟踪,针对爬山法追踪最大功率点过程中引起的搜索时间长、主动扰动易引起机械振荡等不足,提出残差修正的灰色理论预测下一个控制时刻的风速,来确定下一时刻最优功率点搜索的起始转速,再利用变步长转速扰动实现最大功率追踪,在最短的时间内搜索到最优功率点。仿真分析与研究表明,残差修正灰色理论对风速预测具有很好的效果,能够有效缩短最优功率点的搜索区间和搜索时间,可以为提高整机发电效率提供依据。 PSO优选参数的SVR水质评价方法 为进一步提高多光谱图像水质反演的评价精度,提出了一种基于PSO优选参数的SVR水质评价方法。该模型利用高分辨率多光谱遥感SPOT-5数据和水质实地监测数据,用粒子群优化算法对支持向量回归的参数进行了优化。首先,分析和筛选渭河陕西段水质实地监测数据,得到符合条件且具有代表性的四类水质变量。接着,使用五种大气校正方法对遥感影像进行大气辐射校正。然后,对各水质变量与遥感数据波段进行相关性分析和水质反演。最后,运用该模型以渭河水质监测数据为例进行了水质评价。实验结果表明,该方法可以较好地实现水质综合评价,能从整体上准确、客观地反映河流水质情况,为内陆河流环境评价提供了一种新方法。 在线富文本公式编辑器的设计与实现 在研究ASCIIMathML转换文档的基础上,提出基于ASCIIMathML的富文本公式编辑器设计方案。运用JavaScript和DOM技术,结合XHTML实现火狐浏览器下公式的所见即所得编辑,并将公式编辑页面应用到文本编辑器中,实现公式的显示、复编辑、保存、载入等功能,有利于公式的在线编辑和传输。 小波变换在纹理提取技术中的研究现状 "遥感技术的发展带动了图像处理技术的不断进步和发展.遥感图像因其特有的图像特点,使得地物在空间上的排列更加的复杂多变.目前,小波技术因其本身""多分辨率""的特性,能在时频两域都具有表征信号局部特征的能力和多分辨率分析的特点,因而被广泛地应用到图像处理的各个领域中去.本文将近几年小波在图像处理领域中应用比较多的三种处理方式进行了总结和比较,最终认为基于复小波的处理方式更加的精确,合理,在此基础上提出要更多的应用复小波方式处理图像,以及不再采用单一的处理方式,而是融合各种小波方式,结合各种方式方法的优点,使图像处理的更加清晰,准确." 四旋翼飞行器航姿参考系统的误差补偿方法研究 航姿参考系统是四旋翼飞行器姿态控制和惯性测量的关键,基于MEMS的惯性导航系统长期稳定性较差,陀螺仪传感器和加速度计存在明显的零漂现象,因此在使用前必须对陀螺仪传感器和加速度计的误差系数进行标定;论文首先以航姿参考系统的陀螺仪和加速度计实时数据的采集与处理,并通过对陀螺仪和加速度计的误差产生原理的分析,提出了陀螺仪和加速度计的新型误差补偿模型,并推导了基于高斯牛顿法的误差补偿与标定方法,由此简化了标定过程,得到了标定结果;实验结果表明了在不提高成本和降低精度的情况下该基于高斯牛顿法的误差补偿与标定方法的有效性。 网络状态感知的标识映射系统研究 可扩展性是当今路由系统面临的最主要的问题之一;学术界一致认为位置与标识分离的思想是解决路由可扩展性问题的最有效的方法;然而,在位置与标识分离的网络中,如何设计一个高效的映射服务系统仍是一个难题;文中提出了影响映射服务系统性能的三个主要因素,并基于对这三个主要因素的分析,提出了一个网络状态感知的标识映射系统NAMS;NAMS包含两个关键元素:网络感知Agent和服务节点;我们认为,NAMS是解决高效映射服务系统设计实现问题的有效途径。 不确定性智能规划算法研究 在众多研究领域都存在着客观或者人为的不确定优化问题,传统方法很难解决此类问题。论文在简述了传统量子遗传算法的原理和结构的基础上,分析了传统量子遗传算法主要存在的问题,即解空间转换和如何确定量子门的旋转相位,以此进行算法的改进,给出了改进量子遗传算法的流程,并以Shaffer’s F1多峰不确定优化问题为例,分析了IQGA的运行效率、收敛速度等性能。通过仿真研究表明IQGA运行效率较高,收敛速度较快,能较好地支持不确定规划问题。 软件防篡改技术综述 随着计算机软件的广泛使用,软件安全性问题日益突出.如何设计切实可行的软件保护方案已成为必须直面的挑战,具有重要的现实意义.近年来,软件防篡改技术作为软件保护的重要手段之一受到国内外研究者的重视.软件防篡改的目标在于阻止程序中的关键信息被非法修改或使用;检测篡改并作出适当的响应.针对这两个目标,重点介绍了基于代码混淆的静态防篡改技术和基于检测-响应的动态防篡改技术,对现有主流的软件防篡改技术进行分类,并分析和讨论了各类方法的优劣和局限性.最后,总结软件防篡改领域存在的问题,并对其未来可能的发展与研究方向提出建议. 一种基于整体区域特征的地面飞机识别方法 在遥感图像处理过程中,目前地面目标识别绝大多数采用基于图像分割的方法。这些方法在图像可以较好分割和二值化时可以得到比较理想的效果。但是在处理过程中,有时难以得到理想的分割结果,这必定会影响最终的处理结果。因此,提出一种不需要进行图像分割,而是基于图像整体特征的大型地面飞机识别方法。对飞机先验数据进行分析学习,利用高频信息增强的Gabor滤波器的滤波结果,与经过学习得到的先验数据进行相似性对比进行识别。通过实验对方法进行了验证。 同步磁阻电机自适应混沌同步控制仿真研究 针对同步磁阻电机中的混沌现象,将自适应控制与混沌同步结合,使驱动系统和响应系统快速达到渐进同步;首先,对同步磁阻电机驱动系统进行矢量控制,并建立该系统的混沌状态模型,在某类参数下,分析了系统的混沌态,并利用Lyapunov指数的谱线图得到系统出现混沌的条件;然后,运用状态反馈的方式进行控制,根据系统的混沌自适应方法设计出可行性误差系统,并且利用lyapunov函数稳定性定理,验证所设计的控制率和规则的可行性;最后,通过MATLAB对该控制方法进行仿真;仿真结果验证了理论分析的正确性,为同步磁阻电机的混沌研究提供了有价值参考。 ETL任务集群调度方法 随着数据仓库规模越来越大,ETL任务也不断增多,单机调度ETL任务导致多数ETL任务不能按时运行或者不能运行情况时常发生。对基于Kettle的ETL任务调度方法进行了研究,根据这种ETL任务特性,ETL任务调度方法作用的对象是一批相互没有制约的任务。把ETL任务调度分为两个阶段:任务分配与任务执行。为了避免集群负载的不均衡,根据ETL任务的关键特性数据源的数据量,使用贪婪调度算法进行ETL任务分配。为了避免一些ETL任务获取不到机会执行,采用动态调整任务优先级的方法,使用高响应比优先调度算法执行ETL任务。通过ETL任务测试该集群调度方法的效率,主要比较ETL任务执行时所消耗的CPU、内存,以及一次全部的ETL任务执行完成后使用的总时间,并与轮转调度算法进行对比,结果表明效率高于轮转算法。 基于超越机制的异质员工行走生产线建模与仿真分析 以细胞生产方式中追逐式生产线为研究对象,针对生产线中多位行走员工加工速率不等从而严重影响产能的问题,提出设立超越站来运行超越机制的解决方法并验证了该方法的有效性。定义了超越机制下多种运行规则并建立了含超越机制的面向对象Petri网的运行逻辑模型;通过数学分析计算了建立超越机制后生产周期节省值;建立了仿真平台,采用实测数据对无超越机制与有超越机制情况下系统在产能、设备利用率、工时利用率的变化规律进行了对比研究,发现了一系列超越机制下系统的运行规律。结果为企业提高追逐式生产线产能提供了重要理论指导。 LBS中基于移动终端的连续查询用户轨迹隐匿方法 为减少现有LBS(基于位置的服务)机制给用户位置信息和个人隐私泄露带来的威胁,提出并实现了一个基于移动智能终端的连续查询用户运动轨迹保护方案。该方法利用移动终端来规划虚拟路径,以减少用户在连续查询中的隐私泄露,且不需要第三方服务器提供位置匿名服务,由用户自主决定何时启动位置隐匿机制。实验证明,提出的方法有效地隐匿了连续查询用户的位置及轨迹信息。 数字电视发射机控制系统的可靠性设计 数字电视发射机控制系统一般工作在大功率、大电流、高频及强电磁干扰的环境下,可靠性是控制系统存在和正常工作的前提;为保证发射机的正常、稳定工作,对控制系统从硬件、软件和工艺等方面分别采取了有效的措施,硬件方面利用滤波、隔离及看门狗等技术;软件方面运用自诊断、数字滤波、软件冗余及数据保护与恢复等技术;工艺方面采用元器件选择与预处理、合理布线及电磁屏蔽等技术。该系统已交付用户使用,目前运行良好,满足了对发射机监控的要求。 基于WMMP-T协议的建筑能耗数据采集网关的设计 为了解决目前建筑能耗数据采集系统中无线数据传递不够可靠的问题,设计了一种基于WMMP-T协议的建筑能耗数据采集网关。该数据采集网关通过485总线接收数据并存储,将数据按照WMMP-T协议打包经GPRS模组上报,利用中国移动M2M平台对数据进行统一管理和转发,实现能耗数据的采集、存储和上报及建筑能耗监管平台对终端的远程管理和维护。以GPRS模组SIM800V和嵌入式Intel Atom处理器N2600为核心,完成了网关的硬件设计,并详细介绍了网关的数据采集与存储过程及与M2M平台的通信过程。 基于码重分布的系统循环码识别方法 介绍系统循环码的定义及矩阵描述,分析其码重分布特性,根据向量间距离的概率定义码重分布距离,推导随机序列的理论码重分布概率,提出实际序列码重分布概率的估计方法和利用实际序列的码重分布概率和随机序列的理论码重分布概率之间的距离估计码组长度和起始点的方法,在此基础上利用高斯消元法估计生成矩阵和校验矩阵,并提出了在误码情况下的识别方法。最后并对不同长度的码进行仿真实验,结果表明文中方法能够在误码为10-3的情况下有效地识别中短码。 基于HMM和ANN混合模型的语音情感识别研究 随着情感计算成为人工智能的一个重要方向,语音情感识别作为情感计算的一个重要部分,已经逐渐成为模式识别领域研究的热点之一。随着研究的不断深入,单独使用某一种模式识别时效果并不理想。为了提高识别率,提出了一种将隐马尔可夫模型(HMM)和径向基函数神经网络(RBF)相结合的方法。这种方法对不同情感状态分别设计HMM模型,经过维特比(Viterbi)算法得到最优状态序列,然后对得到的状态序列进行时间规整,以便生成等维的特征矢量,将其作为RBF模型的输入进行语音情感识别,最后的识别结果由RBF模型给出。实验结果表明,与孤立HMM相比,该方法在识别率上有较大的提高。 基于本体的教育资源推理查询原型系统设计与实现 针对当前教育资源库存在的通用性差和缺乏语义查询等缺陷,将语义Web的重要基础本体及其推理和查询技术应用到教育资源领域,实现一个基于本体的教育资源推理查询原型系统。利用本体构建方法及建模工具protégé,以数据结构课程为例,构建一个基于元数据标准的教育资源领域本体;制定教育资源领域本体知识点推理规则,提出改进的语义相似度算法;设计并实现基于本体的教育资源推理查询原型系统。通过实验验证,该系统的查全率与查准率均高于基于关键字的查询。 基于模糊切换的配浆过程智能控制研究 基于配浆浓度控制过程是一个突发干扰、时变以及超调量大的过程,设计了一种基于模糊切换的配浆浓度智能控制方法。该方法将模糊控制和免疫PID控制相结合,采用模糊切换方法实现了两种控制器的协调输出,兼顾了快速性、准确性和稳定性,抑制配浆过程中出现的突发干扰和超调量。实际运行结果表明,该算法提高了配浆过程中浓度控制的快速性,避免了系统超调量的影响,保证了系统的稳定性和鲁棒性,完全能满足配浆过程浓度的工艺要求。 车联网协助下载传输规划方法 协助下载进行的大量数据交换导致了频繁的传输冲突,已有信道争用方式不适用于拓扑变化频繁的车联网。为解决该问题,提出一种车联网协助下载传输规划方法。根据协助下载的路由数量建立一个k级约束路由树,根据树中每个节点传输的数据量为其规划传输时间片。该方法的目标是在避免冲突的前提下尽可能多的转发数据。仿真结果表明,使用该方法可以使用户获取的数据包增加30%,有效提高了系统的吞吐量。 WSN中基于移动Sink的高效数据收集算法 针对无线传感器网络中的数据收集问题,提出一种改进的MWSF算法。该算法结合A*算法求解出移动Sink在传感器节点之间移动的最短路径,利用MWSF算法找到移动Sink所需访问的下一个传感器节点,并与单跳通信范围内的其他传感器节点进行通信,从而收集数据。仿真结果表明,该算法能降低数据溢出发生率,提高网络的数据传输效率。 基于小波与分形理论的图像压缩编码算法 在分形图像编码中,影响分形图像编解码速度的主要因素是从大量码本中搜索R码本块的最佳匹配码本块。如果能够使用一种方式尽可能缩短匹配块的搜索范围,那么编码的时间就可以大大减少。然而,在提高编解码速度的同时,重构图像的质量却有所降低。针对上述这些问题,在定义一种图像子块的新特征—欧氏比基础上,将小波变换与分形编码有机结合,提出了一种基于小波与分形理论的图像压缩编码算法。该算法将全局搜索码本块转化为局部搜索码本块,缩短了编解码的时间,同时利用连续小波变换的平滑特性,进一步提高了重构图像质量。仿真实验结果表明,与特征算法中的梯度算法相比,所提出的算法不仅缩短了图像编解码的时间,还提高了重构图像的质量。 基于混沌系统的DNA图像加密算法 为了解决数字图像加密算法复杂度高、安全性较差的问题,提出一种基于混沌系统的DNA融合图像加密算法。首先利用Baker变换对图像进行置乱以读取DNA序列;再由Logistic混沌映射产生混沌序列,从而对DNA序列进行混沌加密。该算法对初值具有很好的敏感性,抗统计、抗差分攻击能力强。仿真结果表明:所提算法不仅实现简单,而且加密效果好,安全性高。 无线传感网络数据缺失下的通信优化仿真 研究无线传感网络在数据缺失情况下的准确通信问题。由于传感器节点电源能量有限且不可再生,当节点能量较低时,工作状态不稳定,造成发送数据失败,从而导致数据缺失,传统的通信算法对数据缺失的情况很难有效预测,无法形成有效的补偿性判断,造成通信效果差。提出了一种传感数据融合算法的无线传感网络通信优化方法。运用一种节点自适应方法,获取无线传感网络通信的目标函数,引入节点数据适应度计算方法,为通信服务提供准确的依据。运用蚁群融合算法,在数据缺失的情况下,完成节点差异数据融合过程,弥补缺失造成的误差。实验结果表明,运用改进后的算法能够提高无线传感网络数据缺失情况下通信的准确性,极大的降低了通信的误码率。 多交互式人工蜂群算法及其收敛性分析 针对人工蜂群(ABC)算法不易跳出局部最优解的缺点,提出了多交互式人工蜂群(MIABC)算法。该算法在基本人工蜂群算法的基础上引入随机邻域搜索策略,结合跨维搜索策略,且改进蜜蜂越限处理方式,使得算法搜索方式多样化,从而使得算法搜索更具跳跃性,不易陷入局部最优解,同时,对其进行收敛性分析和性能测试。在五种经典基准测试函数和时间复杂度实验上的仿真结果表明,相对于标准人工蜂群算法和基本粒子群优化(PSO)算法,该算法在1E-2精度下收敛速度提高了约30%和65%,搜索精度更优,且在高维求解问题方面有明显优势。 基于分布式计算的BP遥感影像水体识别 提出一种不需要设定阈值的BP-ANN的分布式水体识别方法。利用水体样本的基本光谱信息,结合NDVI(归一化植被指数)、MNDWI(归一化差异水体指数)等特征对反向传播(back propagation,BP)神经网络进行训练;设计基于GNDWI和谱间关系的样本自动选择算法,通过实验选取合适的特征组;构建基于MapReduce的分布式BP神经网络水体识别模型。实验结果表明,该模型具有稳定的可扩展性,在保证识别精度的同时,提高水体遥感提取的速度和自动化程度。 智慧轨道交通——实现更深入的智能化 当前轨道交通已成为居民出行的重要交通工具之一。智慧轨道交通的核心是要通过现代信息技术以一种更加智慧的方法来改变现有轨道交通方式,为人类社会活动带来更加快捷、安全、舒适的智慧交通系统。讨论了智慧轨道交通中更深入的智能化的四个关键步骤:数据智慧采集、数据智慧融合、数据智慧挖掘和智慧决策。这四个步骤形成一个螺旋上升的智能信息处理过程,最终可以达到智慧轨道交通更深入的智能化目的。 一种单载波宽带信号非线性均衡技术 针对单载波宽带信号均衡难以收敛的问题,研究了一种基于子带分解与重构的宽带非线性均衡技术。综合利用复数子带滤波器组与判决反馈均衡器理论,给出了两种具有模式切换功能的宽带非线性均衡结构,基于最小均方算法推导了它们的均衡权值迭代更新公式,分析比较了两种结构在不同均衡模式下的收敛特点。仿真证明基于子带技术的宽带非线性均衡能适用于群时延较严重的信道,且比传统全频带均衡具有更好的收敛效果和更低的计算复杂度。 聚合物连注连轧成型温度场仿真分析 为研究成型工艺参数对聚合物连注连轧成型温度场的影响,应用Fluent软件对连注连轧温度场进行仿真分析。仿真分析结果表明,随着注射压力升高、轧辊转速的增加、注射温度的升高、轧辊冷却温度的升高,高弹态转变点(线)、玻璃态转变点(线)均向出口附近移动,而注轧区中心温度及其表面温度沿出口方向梯度增大;得到了最佳成型工艺参数组合,可以为连注连轧成型实验工艺参数的设置提供参考。 半挂汽车列车主动防侧翻控制与仿真分析 研究主动防侧倾杆控制半挂汽车列车侧翻问题,建立了包含非线性轮胎模型的柔性车身整车数学模型,以车辆的横向载荷转移为依据,判断车辆的稳定性,采用最优控制算法,制定防侧翻控制策略,并在Matlab/Simulink中搭建了仿真模型,分别在角阶跃转向和鱼钩转向工况下,对被动悬架车辆和含主动防侧翻控制系统的车辆分别进行仿真。结果看出半挂汽车列车的侧倾得到了很好的控制,能够有效地防止侧翻的发生,证明了所建立的控制系统的有效性。 一种新型智能交通违章信息采集器的研究与设计 该采集器采用物联网技术来实现视频设备、微处理器、WIFI网络模块和信号灯获取模块的连接,利用Adaboost算法和Mean Shift算法实现视频图像中机动车目标的识别与跟踪,记录车辆的行驶轨迹,利用轨迹来判断车辆的多种违章类型,利用WIFI网络实现违章信息上传。该采集器无需额外辅助设备,可靠性高,安装方便。 基于声能衰减模型的WSNs目标定位算法 声音目标定位是无线传感器网络研究领域的一个热点;传统定位算法假定声音信号在无障碍的自由空间中传播,声能衰减因子取理想值2,由于未考虑场景对衰减因子的影响,导致定位精度普遍较差。提出一种新颖的基于声能衰减模型的无线传感器网络目标定位算法,视衰减因子为未知参数,应用极大似然原理将目标定位转换为函数极值优化问题;实验结果表明,算法定位精度有明显改善,且能辨识出场景的衰减因子,因而也可作为认知未知场景的参考依据。 基于Spark Streaming的视频/图像流处理与新的性能评估方法 智能视频监控技术在公共安全、交通管理、智慧城市等方面有着广泛的运用前景,需求日益增长。随着摄像头安装的数量越来越多,采集的图像数据量越来越大,靠单台计算机处理已经远远不能满足需求了。分布式计算的兴起与发展为解决大规模的数据处理问题提供了很好的途径。使用一种基于Spark Streaming的视频/图像流处理的测试平台,阐述了平台的构成和工作流程,深入研究各个参数对集群性能的影响,创新性地提出了CPU时间占用率作为性能评估指标,与总的处理时间结合,更为全面反映集群性能和资源利用率。 新品上市的CBR动态预测 针对新品上市数据匮乏、不确定性高所带来的预测难点,提出了关于案例推理和改进粒子群神经网络的动态预测方法。首先考虑产品属性及销售序列特征,提出两阶段综合聚类算法划分案例集合;其次采用核心案例的相似性搜索机制确定RBF神经网络模型的训练集,并通过动态聚类和改进的粒子群算法进行网络训练及参数优化;最后采用相异距离的聚类方法保留预测结果,实现模型的动态扩展。企业实例及公共数据集的仿真结果表明,CBR-IPRBF动态预测方法能够适用于任何类型新品上市的销量预测,且对于数据量不足等非理想状况具有较优的性能。模型预测精度高,算法扩展性强,具有广泛适用性,能够为企业提供实际、有效的决策支持。 自适应融合目标和背景的图像特征提取方法 针对现有基于结构元描述的图像特征提取算法缺少连续像素或结构元的相关性描述,对图像特征的区分能力不足的问题.通过定义新的结构元和自适应向量融合模型,并引入连通粒概念,提出一种加权量化方法对图像目标和背景进行自适应融合.首先根据视觉选择特性定义9种新的结构元,并且构建了连通粒属性及分层统计模型;然后通过颜色转换和结构元匹配生成相应的映射子图,从中提取统计结构元和连通性特征向量;最后利用自适应向量融合模型把各分量合并为一组特征向量用于图像检索.在3个Corel数据集上的实验结果表明,与其他算法相比,文中方法性能更稳定,能达到更高的检索精度;该方法既能描述图像的全局特征,又能反映图像的局部细节信息. 雾霾影响下的光伏发电系统的可视化分析平台研究 由于全球能源危机,光伏发电发展迅猛,规模不断扩大。然而,我国的雾霾天气日益增多,雾霾对光伏发电系统的整体发电能力有着非常明显的不利影响。基于光伏实证基地实测的光伏发电数据与上海地区公开空气质量数据,利用Java Script库Highcharts等图表工具包为用户提供图形化呈现方式,Math.Net开源类库计算关联系数,实现了针对研究雾霾影响光伏发电系统的可视化分析平台。平台可直观高效地进行数据的可视化展示和关联性分析,使用户能定性和定量得分析空气污染物对光伏发电量的影响。 属性约简算法在海产品安全评估中的应用 针对海产品安全评估体系中大量的、冗余的评估指标问题,提出了一种新的混合信息粒度的属性不重要性算法。该算法首先从理论上分析了属性不重要性的可行性,提出了属性不重要性公式,然后为了减少算法的时间复杂度,任意求出算法的一个核属性,最后,通过比较其他属性的属性不重要性值,选择属性不重要性值小的属性,确定最佳的约简属性集。通过具体实例分析和实验结果,表明该属性约简算法可以应用到海产品安全评估体系中。 RSSQL在某炼钢厂综合管理系统中的应用 文章介绍了RSSQL的技术特点及其在某炼钢厂综合管理系统中的应用,主要包括RSSQL的主要配置步骤、L1与L2系统间的数据传输等。实际应用表明,该软件运行稳定,能够满足系统通信需求。 智能优化LS-SVM在电力负荷预测中的应用 研究短期电力负荷的准确预测问题对城市供电系统运行的可靠性和经济性很重要。由于电力负荷变化过程受到各种因素的影响,系统非线性较强。传统方法对电力短期负荷预测精度、收敛速度和泛化能力方面不理想。为解决上述问题,提出了一种核函数KPCA和KICA的最小二乘支持向量机(LS-SVM)电力负荷预测方法。首先对采集的含噪信号进行小波包去噪预处理,同时对不同频段的负荷分量进行区别处理以提高预测精度;利用核函数KPCA和KICA分析方法提取数据特征,提高预测模型的泛化能力和预测精度;采用混沌粒子群算法优化LS-SVM参数提高预测模型的收敛速度。实验表明,改进算法在预测精度、泛化能力及收敛速度方面较突出,具有良好的预测效果。 ZigBee技术在城市照明监控系统中的应用 为了方便有效地对城市照明设施进行监测和控制,采用CC2530芯片构成网络节点,将ZigBee技术应用到照明监控系统中。主要阐述了所设计的照明监控系统的ZigBee网络的拓扑结构设计,路由设计,网络实现等方面内容。实践表明采用了该ZigBee网络的照明监控系统具有覆盖范围广、成本低、体积小、效率高等诸多优点。 中国电科实现“高功率光纤激光器”核心器件国产化 "[正文]日前,中国电科实现了""高功率光纤激光器""核心器件全国产化目标,标志着我国已具备了""万瓦级""固体激光武器的光源系统自主研发和生产能力,为高能固体激光装备的自主可控之路打下了坚实基础。高功率泵浦耦合器是光纤激光器中的核心元器件之一,也是中国电科实现""高功率光纤激光器""及关键器件国内自主可控的关键元器件之一。顾名思义,泵浦耦合器的主要功能是将多根细光纤中的泵浦光耦合汇聚至一根粗光纤后输出更高功率的泵浦光,其工作原理犹如树木的根系一样:众多" 一致分支点漂移算法 分支点是多传感器图像之间一种重要的关联特征,因此分支点匹配对多传感器图像配准有着十分重要的意义。基于CPD的基本思想提出了一致分支点漂移算法。针对分支点自身特点,提出了局部结构相容度的概念,用于度量和检验两个分支点的一致性程度;并将其作为匹配约束项嵌入到高斯混合模型分量的后验概率计算中,有效利用了分支点包含的分支边缘等结构信息,同时增强了算法对噪声和外点等干扰因素的抵抗能力,提高了分支点匹配的收敛速度。实验结果表明,提出的一致分支点漂移算法比CPD算法能够更快收敛到最优参数集上,同时得到的分支点配准精度更高。 位置约束的访问控制模型及验证方法 随着物联网和信息物理融合系统等新一代信息技术的发展,位置约束的访问控制系统的安全性需求不仅体现在虚拟的信息空间,还体现在现实的物理空间.如何在这种新需求下制定位置约束的访问控制模型与验证方法成为保证访问控制系统安全的关键所在.首先提出位置约束访问控制模型,包括LCRBAC模型和EM模型,实现对信息空间和物理空间的静态结构以及两空间中实体动态行为的刻画;其次利用偶图和偶图反应系统建模位置约束访问控制模型,生成访问控制策略标注转移边的标号变迁系统;然后根据标号变迁系统验证结果,提出针对死锁状态、违反状态和不可达状态的策略修改方案;最后通过银行访问控制系统实例分析说明所提方法能够对信息空间和物理空间以及两空间交互行为的访问控制策略进行建模和验证. FAHP在软件项目风险优先级排序中应用 风险优先级排序是软件项目风险管理的重要环节。针对当前风险排序方法的不足,提出一种基于模糊层次分析法的风险排序方法。该方法引入层次分析法对风险事件进行分层,通过三角模糊数来表征专家判断信息,同时借助模糊风险判断矩阵实现了风险事件按风险发生概率、风险损失、风险不可控制性以及综合考虑三方面准则的优先级排序。最后,通过案例分析验证了该方法的可操作性和合理性。 基于证书强指定验证者签名方案 借鉴无证书指定验证者签名的思想,提出了基于证书密码体制的强指定验证者签名的概念和安全模型.构造了基于证书的强指定验证者签名方案并分析了方案的通信代价和计算代价.基于GBDH困难问题假定,在随机预言模型下证明了方案对适应性选择消息攻击是存在不可伪造的.提出的方案较好地解决了基于身份指定验证者签名中的密钥托管问题.此外,提出的方案具有通信代价低、能够抵抗密钥替换攻击等优点. 一种基于重复数据删除的网络文件备份系统设计与实现 针对广域网中网络备份系统存在备份速度低、网络带宽需求高和数据冗余等问题,提出并实现一种具有重复数据删除功能的网络文件备份系统。通过在客户端实现重复数据删除技术,对文件进行分块和在备份过程中去除重复数据块,减少客户端与服务期间需要传输的数据量,使得文件备份的速度获得较大提高,也较大地降低网络带宽要求,显著提高了网络备份系统的性能。此外,备份过程中客户端去除了重复的数据块使得服务器中存储的数据块都是唯一的,极大地降低了系统所需要的存储空间,同时,服务器端的数据块引用管理的设计很好地解决了空闲数据块耗费存储空间的问题。 基于混合主题模型的文本蕴涵识别 分析识别文本蕴涵的主流方法,并基于文本T和假设H可以从潜在混合主题中生成的猜想,提出一个混合主题模型来识别文本蕴涵,描述一个在混合主题模型上生成文本的概率模型。该模型把文本T和假设H看成是同一语义的不同表达,表示为多模式的数据,若文本T和假设H有蕴涵关系,则它们有相似的主题分布,共享混合词汇表和主题。设计mix LDA和LDA模型的对比实验,并对RTE-8任务进行测试,通过支持向量机对得到的句子相似度和其他词法句法特征进行分类。实验结果表明,基于混合主题模型的文本蕴涵识别具有较高的准确率。 基于用户体验的标签云可视化布局研究 标签云作为一种可视化导航接口在网络上愈加流行,云中标签可视化属性可以对用户浏览产生一定的导向作用,从而把用户的关注点吸引到特定字段或区域。但是目前,研究人员对标签云属性的导向作用知之甚少。文章围绕不同的标签云可视化布局对用户浏览的影响程度进行研究,通过标签有无背景颜色、标签密集程度、用户对标签的熟悉程度、标签背景颜色亮暗等实验,分析不同的可视化属性对用户的影响程度。在今后的标签云设计中可以根据不同人群有针对性地改变权重高的特性,使用户在标签云交互中具有导向性和高效、完美的体验效果。 基于侧影轮廓的图像三维重建方法 针对自标定单幅图像的三维重建问题,提出一种基于侧影轮廓的图像三维重建方法。使用成角度平面镜装置拍摄目标物体,对所得图像进行边缘跟踪,得到闭合的轮廓曲线,利用满足平面镜成像原理的2对侧影轮廓计算灭点,根据灭点间的约束关系计算相机参数,在此基础上重建得到物体的三维模型。实验结果表明,该方法能快速重建逼真的三维模型。 改进型加权KNN算法的不平衡数据集分类 K最邻近(KNN)算法对不平衡数据集进行分类时分类判决总会倾向于多数类。为此,提出一种加权KNN算法GAK-KNN。定义新的权重分配模型,综合考虑类间分布不平衡及类内分布不均匀的不良影响,采用基于遗传算法的K-means算法对训练样本集进行聚类,按照权重分配模型计算各训练样本的权重,通过改进的KNN算法对测试样本进行分类。基于UCI数据集的大量实验结果表明,GAK-KNN算法的识别率和整体性能都优于传统KNN算法及其他改进算法。 单船装卸作业的岸桥调度 岸桥作为港口的一种重要资源,其利用率直接影响整个码头的效率。对单船装卸作业的岸桥调度问题进行了研究分析,给出了一种改进的启发式算法NEWGRASP。最后通过实验与原始算法进行了比较。 减少候选项集的数据流高效用项集挖掘算法 大数据环境下高效用项集挖掘算法中过多的候选项集极大地降低了算法的时空效率,为此提出了一种减少候选项集的数据流高效用项集挖掘算法。通过数据流中当前窗口的一次扫描建立一个全局树,并降低全局树中头表入口与节点的冗余效用值。基于全局树生成候选模式,基于增长算法降低局部树的候选项集效用,从候选模式中选出高效用模式。基于真实数据流的实验结果表明,算法的时空效率与内存占用比均优于其他数据流的高效用模式挖掘算法。 高速自应答异步双轨推通道设计 针对全局异步、局部同步片上网络中不同传输速率下的数据传输问题,提出一种高速异步双轨推通道.该通道中的单元采用自应答控制,在减小前向延时的同时提高了吞吐率;双轨数据的传输采用对称结构的2条独立传输链路,避免了复杂的时序设计,降低了传输链路间的干扰,保证了数据的可靠传输.最后基于0.18μm标准CMOS工艺,在不同温度、不同工艺角下对4级通道的性能进行测试.结果表明,采用文中的异步通道前向延时为70ps,吞吐量为4.46GHz,功耗为2.71mW,可满足高速、低功耗、高鲁棒性的片上通信需求. 一种电动车专家自诊断方法及系统 跨界与融合,用互联网思维提升传统行业,将会为传统行业开辟新的局面,而未来的汽车将是电子信息、软件主导的新能源智慧车辆。传统的OBD(On-Board Diagnostics)方案仍需要工程师来判断和分析问题,无法满足用户对智能化的需求。本方案借助车联网技术、数据库平台、数据挖掘与分析技术,最终实现了汽车CAN(Controller Area Network)总线故障智能化自诊断,可替代工程师快速定位出问题的根源,极大地减少了人力成本与时间成本。其还可对故障进行统计与归类,为工程师评估节点设备的可靠性、稳定性、抗干扰能力以及设备工作最适宜的环境需求等提供指导依据。基于本方案的产品已被投入到实际的工程应用中。 基于粒子群的三维可视化视点优化方法 通常三维可视化的最佳视点选择是通过人工试探,这样会导致反复迭代尝试的次数增加且效率低下,针对上述问题提出了一种基于粒子群的视点优化方法。该方法把视点利用多分辨率层级来表示,引入图像信息熵评价不同视点下绘制的三维图像的质量,熵值作为视点优化的依据和粒子群的适应度函数值。在三维可视化中利用粒子群算法进行视点的智能、自动的优化,从而实现最佳视点的选择。实验结果表明,该方法具有较快的收敛速度,有效地减少了评估次数,可提高三维可视化的绘制图像的质量和绘制效率。 机械结构参数化三级优化设计方法研究与实现 针对传统设计方法的设计结果安全系数过高、结构材料浪费严重的问题,在深入研究优化设计方法的基础上,根据现有优化设计方法的不足,提出机械结构的参数化三级优化设计方法。通过建立统一数据管理机制,将优化设计与参数化设计技术相结合,在基于变密度法的拓扑优化设计与单元生死技术的基础上,以结构最大柔度为优化目标,体积和平衡条件为约束条件,小密度单元为杀死单元,实现了参数化的连续体结构拓扑优化;研究了基于拓扑优化结果数据的参数化CAD模型生成技术,通过建立关键点实现了拓扑优化结果CAD模型的自动生成;在此基础上,研究了形状优化设计和尺寸优化设计与参数化设计技术相结合的优化方法;通过优化结果数据提取,快速进行优化结果模型的生成,实现了机械结构的快速参数化三级优化,避免了现有结构优化设计过程中手动进行模型修改更新操作复杂、浪费时间的弊端;最后,以某型号汽车转向器支架为例,验证了该方法的有效性。 基于改进的G-P算法的相空间嵌入维数选择 对混沌时间序列相空间重构中嵌入维数的选择进行了研究,针对饱和关联维数算法(G-P算法)存在的四点不足,提出了一种计算最佳嵌入维数的改进算法。通过对邻域半径区间的自适应选择,采用均匀变化步长的方式;对无标度区间利用基于BDS统计限定范围的快速自动判定法进行识别,实现了系统维数的自动计算;针对原算法存在的重复运算、繁杂计算问题,从算法原理和程序结构上进行了改良,大大加快求解速率。在理论分析的基础上,用新算法进行试验,仿真结果表明设计的算法对嵌入维数的选择更准确更高效。 结合区域生长和水平集的遥感影像道路提取 提出了一种基于主成分分析(PCA)的彩色区域生长算法,并将该方法与水平集方法相结合用于高分辨率遥感影像中城市道路的提取。首先利用区域生长方法分割出大致的道路区域;然后利用预分割的结果构造初始水平集函数,进一步利用一种消除重新初始化操作的水平集方法进行道路边缘演化;最后,提出了一种不用反复初始化的水平集局部边缘修正算法,并利用该方法对因障碍物影响而错分的局部道路边界进行修正。实验结果表明,该方法能完整、有效地提取高分辨率遥感影像中的道路目标,且人工干预较少,具有较强的实用性和抗噪能力。 一种具有高攻击类型判别能力的图像空域半脆弱水印算法 在考虑图像对比度特性的基础上,提出了一种图像空域半脆弱水印算法。算法由图像对比度敏感性确定各像素水印嵌入比特位,通过LSB(Least Significant Bit)替换方法自适应嵌入水印,从理论上推导出用于图像认证的篡改检测阈值。对图像对比度特性的充分考虑,可确保算法具有较好的透明性。实验表明,算法对可接受的偶然攻击操作具有一定的鲁棒性,同时对恶意攻击较为脆弱,并且能准确定位图像篡改区域。此外,算法能正确区分偶然攻击与恶意攻击,显示出比同类算法更好的攻击类型判别能力。 基于多标签CRF的疾病名称抽取 生物医疗文本中的命名实体识别对于构建和挖掘大型临床数据库以服务于临床决策具有重要意义,而其中一个基础工作是疾病名称的识别。医疗文本中存在大量的复合疾病名称,难以分离抽取出其中的实体。针对这一问题,提出一种基于多标签的条件随机场算法,首先对数据标注多层标签,每层标签针对复合疾病名称中的不同疾病,然后用整合后的最终标签去训练模型,最后再对模型预测的标签进行分离。此方法能够识别传统条件随机场算法无法识别的复合疾病名称,实验结果验证了所提算法的有效性。 基于MapReduce的平均多项朴素贝叶斯文本分类 针对海量文本分类问题进行了研究,基于分布式计算框架MapReduce平台,实现了一种简单、有效的文本分类算法——平均多项朴素贝叶斯分类方法。实验中该方法分类准确率高于一般朴素贝叶斯方法,且具有较好的加速比。实验结果表明,由于减小了文本冗余特征信息的影响与并行计算良好的扩展性,该方法更适用于海量文本数据分类。 RSA数字签名解决短信欺骗 手机作为当今信息和文化传播不可缺少的媒介,尤其是智能手机对我们的教育、文化、科技、生活等有很大影响。手机提供的各种软件功能也纷纷出世,其中短信息的功能在通信中的利用率占有主导地位。由于手机短信的成本低、限制少、缺少管理和监督等特点,从而造成现在由短信产生的各种诈骗事件越来越多,文中以运用广泛的RSA数字签名来对手机短息在网络传播过程中造成的欺骗进行保护,并介绍了RSA数字签名在移动终端上的实现,同时对运用RSA数字签名的过程中的安全问题进行讨论和分析。 离散比特多播OFDM系统自适应资源分配算法 考虑具有多个多播组的OFDM系统中的资源分配问题,在保证各多播业务误比特率要求下,最大化系统频谱效率.针对实际系统中调制阶数为离散值的情况,首先将连续比特资源分配算法扩展到离散比特的情况,系统性能损失较大.为提高系统性能,提出一种基于多描述编码的离散比特资源分配算法,利用多描述编码灵活的解码特性,更有效地进行资源分配.仿真结果表明,所提基于多描述编码的离散比特资源分配算法,在不同多播组数目和每组用户数情况下,均可改善系统性能. 基于六边形的无线传感器网络密钥管理方案 针对无线传感器网络随机密钥预分配方案和基于部署信息的密钥管理方案的不足,提出了一种新的基于六边形网格部署理论的无线传感器网络随机密钥预分配方案。将网络分成两种类型的六边形网格,不同网格内的节点采用不同的方式建立密钥,通过结合节点部署理论和组合设计理论,有效地提高了网络抵御敌手攻击的能力。理论分析和模拟结果表明,该方案相较于其它方案具有更高的连通率和网络安全性。 基于粒子群优化算法的系统可靠性优化 系统可靠性优化问题是典型的NP难题,建立了可靠性冗余优化模型,采用粒子群优化算法对其进行求解。通过对其它文献中仿真实例的计算和结果对比,表明了算法对求解可靠性优化问题的可行性和有效性。 基于多核处理器的网络入侵检测系统的设计与实现 在深入研究和分析OCTEON多核处理器技术和入侵检测系统的基础上,设计并实现了一种基于更强处理能力CPU的网络入侵检测系统(NIDS)。系统主要分为控制平台和检测系统两大模块。控制平台方便、强大,通过命令将检测引擎和指定的核绑定,即便检测系统在运行也能完成两者的交互,实现检测引擎在多个核上的运行。通过设定每个数据包流经所有的核,每个核上进行不同的规则匹配检测,实现网络入侵检测的并行处理,满足了NIDS高性能高效率的要求。 十二胺捕收剂溶剂化效应及其水相氢键超分子作用的密度泛函研究 采用密度泛函方法研究了十二胺在气相、苯、乙酸、乙醇及水中的分子构型、电荷分布以及前线轨道。首先采用B3LYP/6-31G(d,p)及B3 LYP/6-311++G(d,p)进行优化,采用自洽反应理论(SCRF)的极化连续介质模型(PCM)进行不同溶剂条件下的优化并进行自然键轨道(NBO)分析。结果表明,对比气相条件下,十二胺分子的几何构型发生了微弱变化,这种变化随着溶剂介电常数的增加长程稳定性增强,溶剂化效应使前线轨道中HOMO趋于比LUMO更稳定,NBO分析表明溶剂化能主要来源于LP(1)N38→π*C32-C33。随着溶剂介电常数的增加,十二胺分子采用B3 LYP/6-31+G(d,p)的△EHOMO-ELUMO由气相-0.23773 a.u至水相中-0.25120 a.u,溶剂化能-13.36 kcal/mol,采用B3 LYP/6-311++G(d,p)的△EHOMO-ELUMO由气相-0.22755 a.u至水相中-0.24004 a.u,溶剂化能-13.02 kcal/mol,溶剂化能趋于稳定,表明十二胺分子的溶剂化效应采用B3 LYP/6-31+G(d,p)构型趋于稳定。采用B3LYP/6-31+G(d,p)对十二胺与水分子氢键超分子结构进行了研究,利用分子静电势(MEP)进行氢键及反应活性位分析,结果表明氢键作用是引起十二胺分子结构和性质变化的主要原因。研究结果对十二胺捕收剂在溶剂条件下对矿物的浮选机理认识具有一定的理论意义。 快速非刚体人体运动三维重建 为了实现基于单目深度传感器的快速非刚体人体运动三维重建,提出一种基于骨架的非刚体人体运动点云融合算法.首先利用分割的人体局部点云配准,对深度传感器提取的人体骨架进行修正,提高了骨架提取的准确性;当相邻2帧之间存在较大人体运动时,利用骨架驱动人体表面点云进行点云粗配准;在粗配准的基础上,利用非刚体点云融合算法进行点云的精细配准,得到精确的表面重建.实验结果表明,该算法能够鲁棒地重建快速非刚体三维人体运动. 基于云进化算法的3D NoC测试规划 针对三维片上网络(Three Dimensional Network-on-Chip,3DNoC)IP核的测试问题,采用云进化算法优化测试规划,完成3DNoC测试;该方法首先通过平分搜索范围的方式形成第一代种群,依据3DNoC结构特点建立功耗模型,在满足功耗约束的情况下进行测试,采用种群精英个体保留策略选择优秀个体,并利用云模型的随机性和稳定性特点进行迭代寻优,旨在降低总的测试时间,获得最佳测试规划;以ITC′02测试标准电路作为实验对象,实验结果表明,在获得相同测试时间下,云进化算法比遗传算法具有更好的寻优能力,收敛代数提高了约50%,有效提高了测试效率。 传感器组自诊断与自修复方法研究 提出了一种具有传感器组自诊断与自修复功能的监测框架。针对同一类型传感器组的构成特点,先根据传感器之间的冗余率,判断传感器是否发生故障;对故障传感器用RBF神经网络对其输出进行修正。运用三向加速度传感器进行了理论和实验验证。 基于CPU/GPU集群的编程的研究 随着微处理器技术的发展,GPU/CPU的混合计算已经成为是科学计算的主流趋势.本文从编程的层面,介绍了如何利用已有的并行编程语言来,调度GPU的计算功能,主要以MPI(一种消息传递编程模型)与基于GPU的CUDA(统一计算设备架构)编程模型相结合的方式进行GPU集群程序的测试,并分析了CPU/GPU集群并行环境下的运行特点.从分析的特点中总结出GPU集群较优策略,从而为提高CPU/GPU并行程序性能提供科学依据. 基于GA的颗粒复合材料细观胞元重构研究 对利用实验方法和数值方法得到的颗粒复合材料细观胞元模型进行力学分析时,由于计算量非常大,很难考虑其细观结构的全部信息。为了得到适用于仿真计算的细观结构模型,在随机颗粒堆积算法建立细观胞元模型的基础上,通过设计遗传算法(GA)重构出了具有各态历经性、统计均匀性和各向同性的最小胞元模型。通过体积分数为40%的二相随机颗粒复合材料的重构算例,验证了算法的有效性。结果表明,重构后的新胞元不仅在结构尺寸上大大减小,而且具有比原始胞元更好的统计特性,为进一步研究颗粒复合材料的宏观性能提供了条件。 车载冰箱变频控制器的开发 根据车载冰箱的功能需求,以德州仪器公司的TMS320F28035芯片为主控制芯片,设计一款能根据用户的指令及冰箱的温度控制无刷直流电机变频调速的控制器;此控制器由3个模块组成:一是开关电源模块,采用boost升压电路,将12/24V的汽车电源电压升至40V供给电机驱动模块;二是电机驱动模块,通过反电动势法检测无刷直流电机的位置,控制电机启停及变频调速;三是通信模块,采用抗干扰能力强的485通信方式实现控制器与上位机的通信;实验结果表明,控制器的可靠性高,制冷能力强,最低温度可低于-19°C,比普通车载冰箱更节能,满足了使用的要求。 基于线段树的高效内存管理算法及其空间优化 现有的内存管理的工作多集中在内存分配的效率上,实时性较好,但易产生内存碎片。为此,提出基于线段树的高效内存管理方法。该方法将内存地址空间划分为内存段,建立内存管理线段树,基于所建立的内存管理线段树,进行高效灵活的内存分配和回收管理,减少了内存碎片的产生。另外,针对线段树空间开销大的问题,提出了线段树空间优化的方法。实验结果表明,所提出的内存管理方法,具有效率高、产生的内存碎片少、内存管理空间开销小等优势。 基于公差变动域的几何公差转化技术 针对当前零件加工或装配过程中基准转换导致的几何公差转化问题,提出一种基于公差变动域的几何公差转化技术。根据几何公差关联的功能几何特征类型,解析功能几何处于各空间位置时被其他几何特征约束的自由度;利用功能几何的空间位置,获得其被设计基准约束的自由度;构建定位基准体系,并对其冗余性和完备性进行检查;根据设计基准、定位基准和功能几何之间的定位关系,采用公差累积方程实现功能几何相关的几何公差转化求解。基于上述研究开发了几何公差转化软件系统,通过实例分析验证了上述方法的可行性与有效性。 通用模糊综合评判工具的研究与应用 根据模糊综合评判法应用的广泛性及现状,提出开发通用的模糊综合评判工具。通过分析需求,建立了系统的功能结构,并通过实例展示了系统的功能。该工具基于Web,利用它,可以针对不同评价问题迅速开发相应的评判系统,且适合群决策,方便而易于使用。 面向安腾架构的分层内存故障注入方法 为研究内存故障对高可用服务器的影响,针对安腾架构的计算机提出一种多层次的内存故障注入方法,设计并实现一种新的故障注入器(HMFI),通过在物理层、操作系统内核层和进程层注入内存故障,考察目标系统对内存故障的容错能力。实验结果表明,HMFI注入的内存故障能够有效验证与分析复杂计算机系统的容错性能。 基于模糊集贴近度的模糊推理系统摄动研究 目前对模糊推理系统规则摄动度量都是基于蕴涵关系进行研究的,而蕴涵算子选取不当必然会导致规则摄动出现误差。多数模糊推理算法也是基于蕴涵关系,这些算法因涉及模糊关系矩阵运算而使算法的计算过程比较复杂,有时推理结果和实际相差甚远。采用一种度量模糊推理系统规则摄动的新方法分析模糊推理系统的摄动,该方法不再使用蕴涵算子,而是基于规则摄动前后模糊集合的贴近度来度量,与以往的方法有着本质的不同,推理结果更贴合实际情况。 利用差分图像奇异值分解的置乱程度评价研究 提出了基于差分图像和奇异值分解相结合的图像置乱效果评价新方法。针对基于奇异值分解法的置乱程度评价法不能客观地反映图像置乱效果好坏的不足,首先对置乱前后图像进行差分运算并得到其相应的差分图像,其次对两差分图像进行奇异值分解,最后计算其奇异值差异程度的大小作为图像置乱效果评价的新准则。实验结果表明,提出的评价方法能够较好地刻画图像的置乱程度,反映了加密次数与置乱程度之间的关系,与人的视觉基本相符。对于不同的图像,该评价方法在一定程度上反映了所用的置乱变换在各置乱阶段的效果。 基于改进粒子群聚类的无线传感器网络能量均衡分簇策略 针对无线传感器网络能量约束特点,为实现节点能耗均衡、最大化网络寿命,提出了一种基于改进粒子群聚类的无线传感器网络能量均衡分簇算法。首先根据距离汇聚节点远近将网络进行区域划分和等级标定,以不同概率确定不同等级区域的分簇数量和规模。在活动等级区域内引入相同数量的粒子,根据K-均值聚类法形成多个初始粒子群,修改带惯性权重的粒子群算法,修改粒子飞行规则,并行智能搜索聚类。多个粒子群体的总结学习等优点加快了聚类收敛速度,克服了对初始聚类中心点选择较敏感的问题,形成了传感器节点位置的最优分簇,避免了网络热点问题,促进了网络能耗均衡,最大化网络寿命。理论分析和仿真实验结果表明了本算法对网络节点能耗均衡分簇的有效性。 基于共线点的镜头畸变校正方法 为实现未知摄像机参数的镜头畸变校正,提出了一种先标定畸变中心、再标定畸变系数的方法。先在镜头的不同焦距处对靶标成两次像,利用相同靶标点在两幅图像中的相对位置关系求解畸变中心;再根据直线的透视投影不变性,通过变步长的最优化方法搜索畸变系数。模拟实验表明,在靶标点数为25,噪声水平为0.2像素时,畸变中心的平均误差为(0.2243,0.1636)像素,畸变系数误差为0.28%。真实图像实验表明,用该方法得到的畸变中心和畸变系数能够很好地校正图像。该方法不需要标定摄像机的内外部参数,也无需知道直线网格的世界坐标,简便易行。 基于状态方程模型的双容水箱实时仿真 针对双容水箱闭环控制系统,通过机理分析方法建立了被控对象和控制通道的状态方程数学模型,通过差分方法对模型进行数字化,不必进行非线性处理,直接按时序进行递推求解,从而有效简化了模型的数学处理过程,并利用组态软件脚本语言,分别编制了被控制对象和控制通道各单元仿真程序,实现了双容水箱液位控制系统的仿真。仿真系统具有实时性和在线性特点,操作过程与实际生产操控形式完全相同,可连续对干扰和设定值变化进行在线仿真,仿真系统对于实际控制系统PID参数整定以及生产操作人员的培训具有重要的参考价值。 小波分析时间序列算法在烧结终点的预测研究 对烧结过程中烧结终点的难以精确控制问题进行研究;针对烧结终点的单一控制,优化研究远不够深入,烧结终点的判断不够准确,文中结合烧结生产具体实际,综合运用烧结理论、现代控制理论等多学科知识,将小波分析、人工智能技术合理运用于工艺实际,结合小波分析提出新的算法来对风箱温度预测建模,仿真结果表明小波分析时间序列算法进一步优化烧结预测能力,有效地抑制了烧结终点的波动,提高烧结终点的计算精度,在生产实践中具有重要的理论意义和实用价值。 基于多边形包围的无线传感器网络边缘检测算法 针对无坐标信息的无线传感器网络(WSN)的传统边缘检测技术中错检和漏检的情况,提出一种新的分布式基于有向树扩展的多边形包围检测算法,算法对于网络节点的密度没有限制要求,仿真结果表明该算法有效避免了错检、漏检情况的发生,判别方法简单准确,大大提高了WSN边缘检测的效率和精度. 一种新的基于双向分数傅里叶变换和RGB映射图像保护算法 针对现有图像加密算法的缺点,提出一种新的图像加密算法。该算法采用混沌系统映射、分数傅里叶变换(FRFT)以及RGB映射。整个图像加密包括三个方面:第一是利用混沌系统产生的扰乱矩阵来打乱原始图像,第二是通过分数傅里叶变换对图像进行加密,第三是使用RGB映射规则转换成可视的RGB图像。由于相位掩码的随机性、FRFT阶和混沌系统对初始值的敏感性,算法的安全性得到了极大的保证。理论分析和实验结果表明,该算法安全性好,具有良好的研究价值。 通用片上网络多处理器系统研究 随着硬件系统和软件技术的发展,对片上网络多处理系统的研究进入了交叉研究状态,但是关于实际软件应用与硬件平台结合的研究尚有些不足.本文讲述了基于实际硬件平台的片上网络系统实现.通过FPGA平台实现了一个通用片上网络系统,并通过多任务映射方法将两个多媒体应用程序映射在片上网络系统中,实现了软件多任务与硬件片上网络多处理系统的合理结合. 六自由度机器人控制系统设计与研究 研究机器人运动的精确控制问题。为使实验教学机器人实现平稳与高精度的运动,提出了一种六自由度机器人控制系统总体方案,采用分布式结构,研发了高精度运动器LM629的位置伺服控制系统。阐述了控制系统的工作原理,设计了硬件电路与系统软件,下位机与上位PC机采用CAN总线的通讯方式。分析了机器人运动产生抖振现象的影响因素,并提出了重力补偿控制方法。对LM629的PID控制算法进行了改进,采用积分分离PID控制算法,具有更好的动态和静态特性。对系统的控制效果进行仿真与验证,证明了机器人点位控制与连续轨迹控制效果,结果表明机器人运动平稳,精度满足使用要求。 面向工程重用的三维零件库服务系统 针对企业内三维零件模型及其相关资源重用面临的问题,研究了面向工程重用的三维零件库服务系统框架及关键技术。提出了包含零件表示与存储、模型组织、用户接口、用户服务的系统结构。该系统利用元数据、特征向量、特征关系图、工程语义特征来表示模型内容。为提高零件模型匹配和检索的效率,利用特征向量,采用SOM和K-means组合算法进行模型聚类。在此基础上,提出了集成特征向量和特征关系图的两阶段相似度检索算法。最后,给出了一个冲压件库服务系统的应用实例。 融合免疫-蚁群的Ad Hoc网络QoS多播路由 针对Ad Hoc网络中带QoS约束的多播路由问题,提出了一种基于免疫蚁群算法的QoS多播路由发现算法。利用人工免疫算法的快速全局搜索能力寻找较优解,生成初始信息素的分布,加快收敛速度;通过蚁群算法的正反馈收敛机制求得精解,借鉴抗体排斥度的思想避免算法陷入局部最优。仿真结果表明,该算法具有较好的收敛性和寻优能力,适应于Ad Hoc网络环境的变化。 基于Inliers跟踪统计的RGB-D室内定位与地图构建 室内移动机器人同时定位与地图构建(SLAM)的前端位姿估计与后端优化容易受运动模糊的干扰。为此,提出一种基于Inliers跟踪统计的室内定位与地图构建算法。对RGB图像进行特征提取和匹配,运用RANSAC算法得到Inliers后,通过对Inliers数量的跟踪与统计剔除受相机运动影响的模糊图像,然后利用最近邻迭代的非线性优化方法求解相机位姿。在此基础上,通过闭环检测和优化后的全局位姿拼接出运动轨迹和三维稠密点云图。实验结果表明,相对RGB-D SLAM算法,该算法能够有效提高SLAM系统的建图鲁棒性与精度。 改进型动态自适应克隆选择算法 克隆选择算法是目前应用较广的一种智能优化算法,但它在选择时具有一定的盲目性。为了克服它的这个不足,论文提出了一种改进型动态自适应克隆选择算法。在该算法中,首先根据抗体的亲和度将抗体群动态分为记忆单元和一般抗体单元,然后再借助抗体的亲和度修正抗体的变异概率并根据修正后的变异概率进行变异操作,紧接着以球面杂交方式对种群进行调整以产生新的种群。上述策略使得该算法在选择时具有一定的针对性,从而加快了它的全局搜索速度,仿真结果验证了所提算法的有效性、可行性。 决策支持系统发展综述及展望 全面研究和分析决策支持系统(DSS)的发展轨迹,对研究DSS未来的新理论、新模型、新技术、新应用具有十分重要的意义。对DSS的发展历程尤其是它的结构与支撑技术进行了全面的探讨。在对其进行深入剖析的基础上,指出需求和技术是DSS发展的两个主要动力,在大数据时代更是如此。同时分析了DSS在大数据时代所面临的新需求与新问题,并结合大数据和云计算相关技术,对未来DSS如何满足这些新需求、解决这些新问题进行了分析与展望。 结合用户评分和项目标签的协同过滤算法 在信息过载时代,推荐系统能够帮助用户发现感兴趣的内容。协同过滤是推荐系统中最常用的技术,然而传统的协同过滤算法未能充分考虑项目标签对相似度的影响,因而推荐质量不高。文中提出了一种结合用户评分和项目标签的协同过滤算法,算法中关键的相似度计算是对评分相似度和标签相似度的加权,通过加权降低了相似度矩阵的稀疏性,并且保证项目之间只有在共同评分较多且标签相似时才具有较高的相似度,从而使相似度计算更加准确。通过对比实验得出加权系数在0.3~0.5时推荐质量较高,在公开数据集上与传统协同过滤算法的比较结果表明,文中的算法在平均绝对误差上降低了约3%。 一种面向故障诊断的模糊Petri网 运用Petri网进行故障诊断推理时,常存在一种故障对应着多种原因的可能,推理结论不能区分并列原因间的相对可能性,且不能体现人工经验。针对这一问题,定义了一种面向故障诊断的模糊Petri网,运用基于状态方程的推理方法,将人工经验用模糊值表示并参与推理运算,以相应库所对应的模糊信息值来衡量库所对应事件的可信度,从而可以实现区分并列事件间的相对可能性。通过某控制系统故障诊断实例,验证了所定义的模糊Petri网和状态方程及其诊断算法的正确性和有效性。 基于滑动窗口Hurst指数的心电分析研究 心率变异性(Heart Rate Variability,HRV)指心率节奏快慢随时间所发生的变化,可以作为一种无创的方式来诊断人们的生理和心理状态。目前的心率变异性分析主要集中在临床应用或者科学研究中,且往往采用的是离线分析的方式。基于Android平台提出了基于滑动窗口Hurst指数的心电分析方法。Android设备通过无线、蓝牙、IOIO板等多种方式连接到移动或可穿戴的医疗传感器。对于采集的心电信号数据,使用了基于滑动窗口的Hurst指数序列来进行分析。在Hurst指数序列的基础上,提出了CMHurst和CStdHurst指标来识别心脏的生理状态。为了验证方法的可行性,将PhysioBank心电数据库的数据文件仿真为一个模拟传感器设备,由Android客户端实时读取数据并对其加以分析。实验结果显示,基于滑动窗口的Hurst心电分析方法可以识别出健康和不健康的心脏生理状态。 模糊C均值与支持向量机相结合的增强聚类算法 针对传统重复聚类算法精度不高、消耗资源较大的缺点,提出了一种模糊C均值(FCM)与支持向量机(SVM)相结合的增强聚类算法。该算法思路是先将实例数据集利用FCM粗分为C类,然后使用SVM再对每一类进行细化分类,实现中提出了基于完全二叉树的决策级联式SVM模型,以便达到增强聚类的目的。针对使用FCM迭代聚类的过程中有可能会出现新的特征使原有的聚类失去平衡性的问题,提出了使用划分的思想对数据集进行预处理来消除这种不利影响。利用鸢尾属植物真实数据集对相关算法进行实验对比分析,结果表明该算法能够克服精度低的缺点,并节约了系统资源,可以提高聚类的质量。 GRAPES模式中Helmhothz方程两种求解方法的对比研究 GRAPES是中国气象局自主研发的一个全球/区域分析预报系统。其模式计算方程组经过离散化之后,积分求解过程最终归结为对一个椭圆方程或Helmholtz(赫姆霍兹)方程的求解,这个求解是整个动力框架计算的核心。在目前GRAPES全球模式的准业务计算中,对于分辨率为0.5o的系统,Helmholtz方程的求解时间占到了整个模式计算时间的三分之一强。而且随着未来高分辨率模式的进一步加细,以及模式计算精度的提高,方程求解计算总量更是呈指数式增长。为此,本文分析了GRAPES模式中求解Helmholtz方程所采用的广义共轭余差法(GCR),并对比给出了利用PETSC函数库中提供的GMRES方法求解Helmholtz方程的一些初步测试结果。结果表明,采用高精度的GMRES方法可以减少模式预报偏差,改善模式预报准确度,在大规模并行计算时具有更好的可扩展性能。 第三代短波通信HDL协议性能研究 我国第三代短波通信技术的研究仍处于起步阶段,并且国内的大多短波通信系统都是基于第二代的短波通信标准。相比于第二代短波通信的数据链路协议,第三代短波通信的自动链路建立系统以其简洁而高效的设计解决了第二代短波系统所面临的各种问题,在建立数据链路的高效性、稳定性、可靠性等方面都有了很大的改进。根据美军标MIL-STD-188-141B中给出的第三代短波通信技术标准,对第三代短波数据链路协议的高速数据链路传输协议(HDL)进行了研究,通过理论分析与Matlab仿真相结合的方法,对数据包的误码率、丢包率、平均传输次数以及采用不同数据分组时的吞吐量等方面进行了性能分析。 嵌入式系统上无操作系统Telnet服务器的实现 提出一种在无操作系统的嵌入式系统上实现Telnet服务器的方法.用户可以通过本地计算机的Telnet客户端程序登陆到目标嵌入式系统,完成对嵌入式设备的远程调试与控制.设计的Telnet服务器包含服务器模块和shell模块.服务器模块负责处理客户端连接请求以及选项协商,shell模块完成对客户端与服务器之间交互数据的处理. 基于脉象信号处理的肝硬化诊断方法研究 脉象信号中含有反映一个人生理、病理变化的重要信息,因此可以通过脉象信号诊断一个人的健康状况。近年来,脉诊这一无创方法,受到了广泛的关注。越来越多的学者致力于脉诊的客观化与现代化研究。尝试使用脉诊的客观化方法对肝硬化进行研究。首先对采集的脉象信号进行预处理,然后使用装箱方法进行特征提取,最后使用支持向量机进行分类。实验结果显示,使用以上提出的方法能较好地区分肝硬化患者与健康人。 三元概念分析的联盟应用研究 随着网络上越来越多的三维数据涌现出来,三元概念分析的相关理论研究备受关注.三元概念分析作为新的研究领域,具有较好的发展前景.为此,本文提出三元概念分析的联盟应用研究,是三元概念分析在应用上的扩展.本文主要研究思路是首先在经典合作博弈的基础上提出受限合作博弈,并基于三元概念分析的理论提出了联盟形式的生成算法LMXC.其次,为了合理分配效用值,在经典Shapley值的基础上结合三元概念分析的理论对它作了进一步研究,提出了受限合作博弈的G-shapley值法.最后引入算例对LM XC算法的结果进行分析与验证,利用三元概念表示企业组合、企业组合共有的技能、合作模式之间的三元关系,效用函数描述联盟形式获得的效用值;并引用文献中的数据对G-shapley值法的正确性验证,以及通过实验测试了该理论模型的效率. 基于直接/间接邻边概念的最短路径算法 以复杂网络图为研究对象,针对有确定轨迹的最短路径问题,提出直接/间接邻边的概念,将路径的概念引申为线路,改进简单图的邻接矩阵存储,采用空间存储结构存储基于直接/间接邻边概念的复杂网络图,并以公交查询问题为例设计了最短路径算法。算法分析及实验结果表明该算法的时空性能均优于Dijkstra算法。 一种可追溯的车载自组网隐私保护认证协议 利用标准模型下的单跳代理重签名技术,提出一个可追溯的车载自组网(VANET)隐私保护认证协议,其中,交通管理中心TRC授权路边单元RSU作为代理,将车载单元OBU签名的消息以TRC的名义进行代理重签名,从而防止根据签名追踪OBU,并能在TRC的协助和授权下,进一步通过RSU的配合准确快速地追溯消息签名的真实产生者。数字签名和时间戳技术的应用使协议能够抵御伪造消息和重放消息攻击。分析结果表明,该协议能够达到VANET条件隐私的目标,满足标准模型下代理重签名的安全性要求,与其他经典协议相比,存储开销更小,运行效率更高。 《计算机科学与探索》投稿须知 "[正文]《计算机科学与探索》是由中华人民共和国工业和信息化部主管、华北计算技术研究所主办的国内外公开发行的计算机学报级高级学术期刊,中国计算机学会会刊,工业和信息化部优秀科技期刊,中国科技论文统计源期刊(中国科技核心期刊),中国科学引文数据库来源期刊,并被""万方数据——数字化期刊群""、""中国学术期刊网络出版总库""、""美国《剑桥科学文摘(CSA)》""、""波兰《哥白尼索引》""收录。月刊,大16开。欢迎踊跃投稿(中文、英文稿件均可)。" 大型嵌入式煤矿液压机械控制优化模型仿真 针对提高大型嵌入式煤矿液压机械控制的精度,提高煤矿开采量和煤矿安全性,传统算法由于参数相对固定,不能适应非线性的机械控制系统,从而降低了控制的精度。提出一种采用自适应控制的大型嵌入式煤矿液压机械控制方法,建立机械的非线性控制模型。利用T-S模糊方法对机械控制系统进行模糊线性化处理,计算不同延时下的最优模糊控制器,将控制信号的延时作为控制转换信号,通过转换模糊控制规则,最终实现了机械的精确控制。仿真结果表明,改进算法能够提高大型嵌入式煤矿液压机械控制的稳定性。 高分辨成像雷达半实物仿真技术研究 研究高分辨成像雷达(SAR)成像精度问题,为有效提高雷达成像精度,提出采用实时SAR半实物仿真方法来验证雷达成像性能。上述方法用实时SAR半实物仿真系统来实现。实时SAR半实物仿真系统由实时SAR回波模拟器和实时仿真机等设备构成。SAR回波模拟技术和实时SAR仿真系统构建技术是其中的关键技术。在实现仿真系统的基础上开展半实物仿真,成像结果正确。仿真结果证明仿真系统建模合理,关键技术正确可行。 基于ISA100.11a的web监控系统的设计与实现 为方便基于ISA100.11a标准的无线传感网(WSN)的实时监测与控制,结合浏览器/服务器(B/S)模式适应性广、灵活性强等特点,在WSN的基础上设计并实现部署于以太网的web监控系统。根据ISA100.11a标准的相关规定和开发测试状况,重构符合ISA100.11a标准要求的沟通无线传感网网关与web监控系统网站的中间件,优化基于数据库的命令交互机制,完善具体的通信工作。在以太网实现web监控系统的网站软件,经实地使用与测试验证了整个web监控系统可以完成对ISA100.11a无线传感网的监测与控制,表明了其产业化的可行性和实用性。 基于局部-空间模型的视频摘要研究与设计 介绍视频摘要技术以及缩略视频的3类研究方法,提出一种基于局部-空间模型的视频摘要研究方法。通过对视频序列的帧内与帧间信息的分析得到关键帧,对提取出的关键帧进行双线性插值形成视频段,运用DirectShow开发软件的DES对视频段编辑融合成最终的动态视频摘要。实验结果表明,在不需要人工干预的情况下,自动生成的视频摘要不仅包含视频的主要信息,而且冗余信息少。 基于用户兴趣的服务资源分类算法 在自治网络中对其所拥有的服务资源,依靠改进朴素贝叶斯分类算法,并且结合中国图书馆分类法进行分类,从而有效地提高基于不同用户兴趣的分类准确率。实验结果表明,与传统的朴素贝叶斯算法相比,该方法具有更好的性能。 高效的两轮远程文件快速同步算法 远程文件快速同步在文件备份与恢复、Web与ftp网站镜像、分发网络、Web访问中具有广泛的应用。提出了一种高效的基于变长分块和定长滑动块相结合的两轮快速文件同步算法——tpsync。同步算法分两轮进行,第一轮利用基于可变分块技术在粗粒度上定位待同步文件的局部变化数据段,第二轮对局部变化数据段采用定长滑动切块技术在细粒度上查找出差异数据,最终通过两轮数据交互实现文件的同步。将tpsync与传统的单轮同步算法rsync进行了对比实验,通过对文本、二进制和数据库三种文件类型相似版本之间的同步实验,结果表明tpsync在平均同步时间和网络传输数据量两个方面均优于rsync。 边缘计算:万物互联时代新型计算模型 "随着物联网的快速发展和4G/5G无线网络的普及,万物互联的时代已经到来,网络边缘设备数量的迅速增加,使得该类设备所产生的数据已达到泽字节(ZB)级别.以云计算模型为核心的集中式大数据处理时代,其关键技术已经不能高效处理边缘设备所产生的数据,主要表现在:1)线性增长的集中式云计算能力无法匹配爆炸式增长的海量边缘数据;2)从网络边缘设备传输海量数据到云中心致使网络传输带宽的负载量急剧增加,造成较长的网络延迟;3)网络边缘数据涉及个人隐私,使得隐私安全问题变得尤为突出;4)有限电能的网络边缘设备传输数据到云中心消耗较大电能.为此,以边缘计算模型为核心的面向网络边缘设备所产生海量数据计算的边缘式大数据处理应运而生,其与现有以云计算模型为核心的集中式大数据处理相结合,即二者相辅相成,应用于云中心和网络边缘端的大数据处理,较好地解决了万物互联时代大数据处理中所存在的上述问题.边缘计算中的""边缘""是个相对的概念,指从数据源到云计算中心数据路径之间的任意计算资源和网络资源.边缘计算的基本理念是将计算任务在接近数据源的计算资源上运行.首先系统地介绍边缘计算的概念和原理;其次,通过现有研究工作为案例(即云计算任务迁移、视频分析、智能家居、智慧城市、智能交通以及协同边缘),实例化边缘计算的概念;最后,提出边缘计算领域所存在的挑战.该文希望能让学界和产业界了解和关注边缘计算,并能够启发更多的学者开展边缘式大数据处理时代边缘计算模型的研究." 基于Web的网络新闻应急系统工作流程管理(FoxNews_WFL)的研究与实现 FoxNews网络新闻应急管理系统利用工作流程管理FoxNews_WFL使窗体签核自动化(用于审稿、应急新闻签发等),以提高成效。论述了基于ASP.NET+C#的FoxNews_WFL工作流程管理系统的功能、特点,讨论了其签核逻辑等关键技术,并给出了实现的关键程序段。经试运行后表明,FoxNews_WFL系统可实现无纸化办公和审稿、请假等签核自动化。 自适应修改权重参数的果蝇优化算法 针对果蝇优化算法容易陷入局部极值、迭代后期收敛速度慢和收敛精度低的缺陷,借鉴粒子群优化算法中个体认知因子和群体引导因子的思想,提出了自适应修改权重参数的果蝇优化算法。该算法引入了果蝇个体认知因子和果蝇群体引导因子,让果蝇个体对自己的位置有充分的认知,也让果蝇群体对果蝇个体有很好的引导。在每次迭代时,算法根据当前果蝇群体的适应度值自适应修改个体认知因子和群体引导因子的大小,从而调整迭代步长的大小,使得改进后的算法能够避免早熟收敛,提高收敛精度和收敛速度。基于测试函数的实验结果表明,自适应修改权重参数的果蝇优化算法能跳出局部极值,具有更好的全局搜索能力,在收敛速度和收敛精度方面比基本果蝇优化算法有较大的提高。 应用软件维护中的补丁开发与管理 软件维护作为应用软件生命周期的一个重要环节,是软件生命周期中持续时间最长的阶段。软件维护中的一项核心内容是为客户提供高质量的软件补丁。软件补丁实质上是对软件源代码进行局部修改或改进,修补软件存在的缺陷和漏洞,或者改进软件的某些局部功能。文中叙述了软件维护过程中补丁问题的来源,介绍了应用软件补丁开发涉及到的角色,以及各个角色在补丁开发过程中的职责和任务;给出了应用软件补丁制作的详细过程,软件补丁制作的过程通常采用问题单流动的方式管理;最后介绍了一些实际工作中典型的补丁开发案例。长期的工作实践表明,做好软件补丁的开发工作,可以有效地完成大型应用软件的维护工作。从而更好地满足客户对软件的需求,延长处于维护阶段软件产品的使用寿命。同时也为开发新版本软件产品提供了良好的基础。 基于WiFi的室内迭代定位算法的研究 复杂多变的室内环境引起的电波信号多径传播、非视距传播等问题是影响室内定位精度的主要因素。现有的室内定位算法为了提高定位精度均需要大量的先验信息或额外的硬件投入。针对以上问题,提出一种基于WiFi信号接收强度的迭代定位算法,通过引入定位评价函数,采用迭代算法选取一组最优的路径损耗指数来改善定位精度。现场实验结果表明,该算法能够在无需定位环境的先验信息和额外的硬件投入情况下,获得较高的定位精度。 航空发动机设备拆装快速装配仿真研究 为解决采用光学式和电磁式动作捕捉设备模拟航空发动机虚拟拆卸的高成本问题,提出基于惯性动作捕获设备交互控制虚拟装配的方法,采用惯性动捕设备解决了高精度实时姿态信息收集的问题,降低了动捕设备对环境的需求,为在航空发动机虚拟装配中进行数据采集分析提供了可行性。利用Catia和Maya建模技术搭建发动机大修厂仿真平台,建立虚拟人骨骼层级模型,并将其与四元数相结合构造骨骼运动数学模型。利用惯性动作捕获设备配合虚拟现实眼镜,在Unity3D软件中动画系统驱动虚拟人物模型,通过重定向技术和动作捕捉系统,对人体骨骼进行加载,并编程实现对人物运动姿态实时控制,利用综合碰撞器方法实现实时交互拆装,以第一人称视角使操作者享受身临其境的发动机拆卸体验。 基于预测误差差值扩展和最低有效位替换的可逆数据隐藏 将差值扩展技术应用于彩色图像,提出一种基于预测误差差值扩展和最低有效位(LSB)替换的彩色图像可逆数据隐藏算法。针对传统差值扩展技术存在过分修改像素灰度值、定位图偏大等缺点,首先利用色彩分量间的相关性减小差值,并将差值扩展量分散到两个色彩分量中;其次,改变差值扩展公式以减少不可扩展差值的数量,提高定位图的压缩率,从而增加嵌入容量;最后,运用LSB替换法嵌入数据,将差值扩展与数据嵌入过程分离,嵌入端和提取端均只需进行一次差值扩展,嵌入和提取效率得以提高。提取端在提取信息时可根据需要无损地恢复原始图像。实验结果表明,该算法在提高嵌入容量和图像质量的同时降低了算法复杂度。 基于FPGA的甚低频宽带接收机设计 在甚低频(VLF)通信技术中,对甚低频接收机的研究具有重要意义。论文提出一种基于FPGA的甚低频接收机,给出该系统的设计、实现和验证过程。主要实现10k Hz~50k Hz信号的滤波、增益调整、采样和数字下变频(DDC)等处理功能。处理后数据通过以太网接口传输到计算机进行信号的解调和分析工作。通过指令可以设置甚低频接收采集处理模块的工作模式为DDC模式或者直接采样滤波输出模式。该接收机能以低廉的成本获取准确的标准授时信号,具有扩展性好、带宽大、可靠性强、便于携带等优点,为甚低频信号的检测提供了一种可行的技术方案。 基于模板分形的建筑陶瓷图案设计 建筑陶瓷产品的二方、四方连续平铺应用要求图案必须满足产品应用的无缝拼接处理。讨论了在建筑陶瓷图案设计过程中图案创作的步骤,分析了建筑陶瓷图案的应用特点。深入研究了模板与分形相结合的图案生成原理,在模板和分形技术基础上提出并实现了一种基于模板分形的建筑陶瓷图案生成算法。该算法生成的图案具有明显的二方、四方连续特征,可以满足建筑陶瓷产品应用需求。 基于粗糙集的决策树雷达辐射源识别方法 关于优化识别雷达辐射源问题,雷达辐射源信号受到外界环境影响和各种干扰,造成识别困难。为解决上述问题,提出了一种新的决策树雷达辐射源识别方法。首先,应用粗糙集理论对雷达辐射源信号进行分析。通过离散化、属性约简,得到分类结果对于各条件属性的重要度。根据各条件属性重要度来确定各属性信息量的相对大小,建立决策树。该方法简化了决策树的结构,并改进了传统的决策树的建立方法。选取雷达信号的主要参数特征进行了仿真。仿真结果验证了改进方法的有效性与可靠性。为消除噪声,正确识别结果提供了依据。 基于列式存储的闪存数据库查询优化策略 随着闪存的性能和容量的提高,闪存数据库的研究受到了广泛的关注。闪存具有随机读快、擦写代价大的特性,如何利用闪存的这个特点,减少查询时写闪存的频率,提高数据库的查询效率是闪存数据库查询研究的重要问题。已有的很多查询优化算法主要是按传统的行式存储方式来进行优化的,有一定的局限性。文中采用列式存储,提出了一种新的连接算法。该算法最小化了中间临时表,使投影数据量大大减少,达到了少写闪存、减小擦除代价的目的,从而提高了查询的效率。通过与传统行式算法的比较实验,证明了该算法的优越性。 基于属性区分能力和AP聚类的属性粒化方法 提出了一种基于属性区分能力和AP聚类的属性粒化方法(Attribute Granulation based on attribute discernibility and AP algorithm,AGAP)。该方法首先依据属性依赖度计算属性的区分能力;然后将所有属性作为潜在的聚类中心,使用AP算法聚类,得到若干个属性簇类;最后采取选用代表属性的方法得到较粗的属性粒子,从而达到属性粗粒化的要求。对高维数据的特征降维,这种算法比传统的属性约简算法大大提高了运算效率,在属性粒化精度要求不是很严格的情况下,所提算法优势明显。 基于匈牙利匹配算法的钓鱼网页检测方法 如何快速有效地计算网页的相似性是发现钓鱼网页的关键.现有的钓鱼网页检测方法在检测效果上依然存在较大的提升空间.文中提出基于匈牙利匹配的钓鱼网页检测模型,该模型首先提取渲染后网页的文本特征签名、图像特征签名以及网页整体特征签名,比较全面地刻画了网页访问后的特征;然后通过匈牙利算法计算二分图的最佳匹配来寻找不同网页签名之间匹配的特征对,在此基础上能够更加客观地度量网页之间的相似性,从而提高钓鱼网页的检测效果.一系列的仿真实验表明文中方法可行,并具有较高的准确率和召回率. 心音信号周期增量序列的多尺度化研究 心音信号是一种复杂的生理信号,对心音信号产生机理的研究能够为心音听诊提供理论依据。文中从心血管循环系统的生理结构出发,讨论了心音的产生机理;然后,提出心音周期增量序列的多尺度化基本尺度熵和相关的评价指标;最后,利用本方法对健康人群和充血性心力衰竭患者进行分类分析。仿真实验表明,对心音周期增量序列进行多尺度化基本尺度熵分析,可以准确地区分健康人和心力衰竭人群。基于多尺度化基本尺度熵提出的诊断参数可以作为心衰早期诊断的一种依据。通过肩带式心音传感器首次实现了不用手持、长时间的心音采集,同时通过对长时间心音信号变化规律的研究,对于充分利用心音,挖掘听诊的潜力有着重要的意义。 应急资源本体模型研究 针对应急资源在知识表示与理解中存在的语义冲突问题,将本体技术引入领域知识表示,提出一种通用的应急资源概念模型。以SUMO为上位本体,从应急资源的管理和应急资源的配置使用两个方面构建应急资源本体模型(emergency resource ontology model,EROM),为应急管理和应急资源共享提供语义层次的统一表达和理解。 集值信息系统中对象更新时近似集的性质研究 集值信息系统是信息系统的一般化模型。在实际应用中信息系统随着对象的变化而不断地动态变化。讨论了相容关系下对象增加时近似集的变化规律,提出了在集值信息系统和集值决策信息系统下近似集变化规律的性质,并通过实例给予验证。 一种基于WFPN的云服务选择方法 为了提高云计算环境下用户与云服务间交互的成功率和用户的满意度,提出了一种采用加权模糊Petri网(WFPN)的云服务选择方法,通过层次分析法获得用户对云服务的属性偏好,采用模糊Petri网的推理方法对云服务进行评估。通过Petri网的知识表示和运行方法,将模糊推理过程迭代并行的运行,细粒度评估一个云服务的信任等级,选择信任度得分最高的服务。仿真结果表明,WFPN方法能在较短的时间内选择出较优的服务,证明WFPN方法的有效性和可行性。 物理设计可制造性优化的研究 "为了研究物理设计可制造性的优化途径,总结可制造性的基本问题,分析了目前工业界使用的可制造性技术的原理及其各自的优缺点。不同于目前业界通行的""工艺技术改进法"",这里认为可制造性首先是一个设计问题。基于此,讨论传统可制造性设计的不足,引入了基于""模型""的可制造性设计概念,对各种可制造性设计模型进行了详细分析,并给出了基于模型的设计流程优化。" 基于FLASH-March算法的FLASH缺陷检测系统 介绍了FLASH缺陷机理并提出了面向8bit和16bit的FLASH-March算法,在此基础上设计并实现一种新的FLASH缺陷检测系统.该系统以FPGA为硬件基础,以Microblaze软核为CPU搭建系统主体架构,实现了对FLASH缺陷的检测.相比于传统方法,本系统有实现简单,成本低,便于相关算法研究的特点.本设计最终在实际中得到应用,验证了设计的有效性和可靠性. 基于超分重建技术的图像增强系统 为了提高图像分辨率,也为了给研究人员提供一个图像增强算法比较分析的工具,本文构建并实现一个集图像退化模拟、简单图像增强、图像超分辨率重建和图像质量评估为一体的图像增强系统SR-IES。系统采用多种图像处理方法对图像进行增强,其中超分重建技术是系统的核心技术。系统测试表明,SR-IES系统可以有效改善图像的分辨率和视觉效果,并通过图像质量评估为算法比较提供依据。 基于数据挖掘技术的飞机故障诊断专家系统设计 为适应现代民用飞机故障诊断的需求,应用飞机故障诊断历史经验,构建了飞机故障诊断维修知识库,在此基础上,研究了依据飞机维护历史经验知识和数据挖掘技术的故障诊断方法;应用关联分析方法实现了维修历史库的挖掘诊断,通过案例库的聚类分析,显著提高了案例库的检索效率;针对某型民机地面故障诊断的任务要求,设计了基于数据挖掘的机载设备故障诊断专家系统;通过输入真实故障信息,运行专家系统进行诊断分析,验证了所实现的基于数据挖掘的故障诊断专家系统具有较高的准确性。 多体卫星物理仿真动态调平衡干扰力矩分析 研究多体卫星的动力学,针对系统性能动态平衡问题,通常采用单轴气浮台进行仿真,但是单轴气浮台不能完全仿真卫星三自由度的平衡状态。为解决上述问题,三轴气浮台上实现带转动部件的多体卫星动力学仿真,研究了利用动态调平衡系统中质量块的移动,来实时补偿由于部件转动引起的质心变化而导致的重力不平衡力矩的方法。通过对气浮台系统动力学的研究,给出了动态调平衡执行机构质量块的移动规律。给出了由于引入动态调平衡装置而产生的额外干扰力矩的计算公式,通过数学仿真分析了干扰力矩对仿真系统的影响,为设计提供了科学依据。 进化数据分组处理算法研究进展 先对进化人工神经网络的理论研究和运用现状进行了分析,在此基础上,分别分析了各种进化数据分组处理算法研究的现状,最后结合进化数据分组处理算法研究现状提出了一些新的进化算法。 基于模拟退火算法的移动通信网络自规划 长期演进(LTE)网络性能对网络规划的依赖性较强。为实现LTE网络覆盖的自规划和自优化,使网络运行在最佳状态,提出一种新的均衡网络覆盖与干扰的数学模型。该模型根据LTE系统的特点重新构建了评价方式及评价函数,并利用模拟退火算法对NP问题求解,使评价函数最小化。仿真结果表明,该模型能够有效提高网络覆盖率,同时降低不必要的重叠覆盖以减少小区间干扰,提高网络性能。 基于MSP430F149的路口交通灯智能控制系统的研制 目前,一些城市的交通指示系统采用固定循环时序控制,这与交通流量的极大变化不相适应。针对这一问题,提出了路口交通指挥时序可随交通流量变化自适应调整的智能控制方案,并通过无线网络把本路口交通流量的变化信息传输给邻近路口以适应交通流量的变化。系统采用了模块化设计方案,包括中央处理模块、流量检测模块、无线传输模块、信号驱动模块及电源模块等。测试表明,路口通行间隔能随车流量变化而改变,系统对提高交通效率明显效果。 图形处理器在数据管理领域的应用研究综述 比较了中央处理器和图形处理器体系结构的异同,并简要介绍了最新的图形处理器通用计算平台及不同体系结构间并行算法的异同。详细叙述了图形处理器在空间数据库、关系数据库、数据流和数据挖掘及信息检索等方面应用的技术特点;探讨了基于图形处理器的各种内外存排序算法及性能;描述了基于图形处理器的各种数据结构和索引技术;阐述了图形处理器算法优化方面的工作。最后,展望了图形处理器应用于数据管理的发展前景,并分析了这一领域未来所面临的挑战。 舰船微电子器件进货检验方案及流程浅析 文章介绍了用于舰船设备的微电子器件现状,包括类型、质量等级、用途等,并简要分析所生产的船用设备中的微电子器件的质量状况,以此为前提并根据船用设备的特点,浅析微电子器件的进货检验方案,并论述进货检验中各个流程的目的,以及改进措施。 高性能MMO服务器架构与模式设计 MMO服务器设计过程中,需要关注整体结构、通讯模块和服务器的鲁棒性.结合经典设计模式,讨论MMO服务器构建的关键技术点.采用模块化的构建方法组织服务,通讯模块使用IOCP机制保证网络服务的并发性和高效性.融合油漆工、代理、观察者等多种模式,实现服务模块的低耦合结构.加入共享内存机制和进程通信机制,将服务数据与服务逻辑分离,保证服务器的鲁棒性. 煤矿灾害漂移特征的反走样模型及多级预警方法 煤矿微震信号在研究震动特征、衰减规律、灾害评估方面起着至关重要的作用.但由于受到地质构造、能量损耗等因素影响,同一种类灾害的微震波信号在时间域上传播会出现减缓或加剧等数据漂移现象,影响煤矿灾害预警的准确性.因此,本文提出的预警方法首先引入音频识别领域中的动态时间规整算法(DTW)构建反走样模型,将实时微震感知数据与历史灾害数据模板波形进行相似性拟合,识别是否为灾害信号;其次,若感知数据是灾害信号,在相似性拟合过程中,为确定感知数据与灾害模板波形匹配的起始点,实现实时匹配,本文提出一种可变滑动窗口策略实现波形的对齐,通过感知窗口渐进滑动的方式找出感知灾害波与模板波的起始对齐位置,保证信号对比的准确性;最后,基于窗口匹配策略及煤矿灾害波形的特点,提出多级预警机制,以1/N窗口大小作为预警阈值,逐步提高预警级别.实验表明,本文提出的预警方法具有更高的准确性和实时性. 一种基于改进的分段DCT域多格式音频水印算法 针对因为格式种类庞大而导致音频文件数字水印的嵌入较复杂且繁琐的问题,采取了利用FFMPEG将音频文件转换成一种统一格式而进行数字水印嵌入的方法,其效率和效果有较大提高。对于水印算法提出了一种改进的分段DCT算法,将二维的水印图像转换成一维数字序列并进行置乱加密和极化操作,然后对数字音频信号作分段DCT变换,根据每段的能量不同采取不同等级的嵌入强度,其中选取四个不同等次的系数进行反复嵌入。实验仿真表明,在经过格式转换后的音频文件与原始文件之间没有出现失真,该算法在经过加入高斯白噪声、低通滤波、有损压缩等操作后仍具有很强的稳健性。 一种基于并行计算的快速FFT IP核设计 介绍了使用二维RAM和128个蝶形运算模块并行处理实现高速FFT(快速傅立叶变换)算法的突破性技术。该处理器可以支持最大32K的点复数FFT变换(实部和虚部各16位),转换时间为70μs,技术指标居国际先进水平。 P2P技术分析与流量管理研究 随着P2P应用的日益流行,P2P流量消耗了网络的大量带宽,已经影响到了互联网传统业务的服务质量。为解决这一问题,网络运营者和研究人员在P2P流量的管理技术上进行了大量的探索研发工作,主要有P2P流量阻塞、缓存和本地化疏导等技术。首先对P2P技术做了简单概述,然后分析了影响P2P流量分布的重要技术因素,之后综述了最新的P2P流量管理技术,最后做了总结。 基于RSSI的改进加权质心定位修正算法 "针对现有无线传感器网络中RSSI定位算法易受外部环境影响、定位精度低的问题,提出一种基于RSSI的改进加权质心定位修正算法.该算法先根据RSSI测距值,利用极大似然法对未知节点位置进行初步估计,根据估计坐标值计算未知节点与RSSI信标节点间的近似距离,剔除误差较大的测量组,然后根据""能与未知节点通信的三个信标节点构成的三角形三边相等时定位误差最小""这一理论,得出权值公式,利用加权质心定位算法,结合TDOA信标节点计算未知节点的坐标并修正.仿真结果表明,该算法较传统的RSSI定位算法可有效减小定位误差,提高定位精度." 3G-WLAN中EAP-AKA协议信令使用的改进 EAP-AKA(Authentication and Key Agreement)协议是3G-WLAN的认证协议之一。通过分析发现,EAP-AKA协议每次执行都需用一个AV(Authentication Vector)来产生密钥文件,这样占用了大量的通信开销和认证时间。为了解决这个问题,提出新增一个AV数组的计数管理器AM(Account Manager),从而节省AV的使用,减少通信开销,提高网络速率和QoS。 基于簇的无线传感器网络能量平衡策略 "分簇被认为是延长无线传感器网络寿命的最有效的方法之一。本文首先说明根据节点数目以及分布区域特征,确定合理的成簇数目是分簇算法设计的核心;算法EBCO(Energy Balance Cluster Optimize)按照无线传感器网络运行过程中相邻簇簇头能量消耗速度信息来调整簇的大小,并且从能耗大的簇""驱逐""一些节点到能耗低的簇,从而平衡簇头之间的能量消耗。仿真结果表明,采用该能量平衡的传输策略时,能有效地平衡簇头间的能量消耗,较好地解决""热区""问题,延长网络生存时间。" 基于同分布无偏样本的伪缺失值检测方法 数据质量对于整个教育管理信息系统的正常运行具有十分重要的意义。本文将教育学相关原理与数据质量研究相结合,提出一种教育管理信息系统中的伪缺失值清理算法,在理论上论证了方法可行性,并通过实验验证其有效性。本文解决了系统中存在的伪缺失值问题,使得用于教育管理工作人员分析的数据可信度更高。 基于动态部位特征的步态识别方法 为了在衣着饰物变化条件下进行步态识别,提出了一种基于动态部位特征的步态识别方法。首先,采用泊松方程给步态轮廓内的每个点赋值,并构造合适的阈值函数来提取步态序列的动态部位特征;然后,统计其等角度间隔的扇形区域内的均值和方差,用其构造动态特征向量;最后,利用支持向量机算法在行走人衣着饰物发生变化的条件下进行步态分类。通过在CASIA大规模步态数据库上的实验,验证了该方法的有效性和鲁棒性。 半导体制造刻蚀设备调度算法 半导体生产线是目前世界上公认的最复杂的制造系统,刻蚀设备是半导体晶圆制造过程中最复杂的系统,刻蚀设备的调度算法是系统生产管理控制的核心功能。目前国内大多数的研究都是针对于fab级别的任务调度,而针对单独的刻蚀设备的优化算法的研究很少。提出一种基于规则的实时晶圆调度算法,力求实现生产周期缩短、提高设备产能的目标。 基于标识密码的密级标签控制模型 针对传统的安全电子文件标签管理系统中证书密码体制存在的证书管理复杂,资源浪费高的缺陷,基于身份标识密码体系提出一种密级标签控制模型,实现电子文件密级标签的安全管理控制。在该模型中分别使用国产SM9标识密码数字签名算法对标签和电子文件进行数字签名。使用公钥加密算法对涉密主体加密,实现标签和文件的绑定和电子文件的安全传输,并将密级属性置于标签头中,实现文件的分级保护。通过安全性分析和对比分析,结果表明,该模型能够有效保证密级标签电子文件全生命周期的机密性、完整性、有效性和抗抵赖性,并简化了系统的部署和实施。 序列图像的非局部均值超分辨率重建算法及GPU实现 针对序列图像超分辨率重建非局部均值(non-local means,NLM)算法重建结果图像边缘区域过平滑的问题,提出了一种局部参数自适应改进方法。将整幅图像划分为图像子块,然后根据图像子块平均像素信息计算出其对应的滤波参数,这样有助于减少因整幅图像使用统一滤波参数而导致的某些高频信息的丢失。实验结果表明,与经典NLM重构算法相比,改进算法重建出的结果图像的轮廓边缘更清晰,字符辨识度更高;在算法实现方面,图像重构程序在CPU/GPU平台上实现,使用GPU并行化加速的程序比单CPU运算的程序,加速比最高可达到30倍,显著缩短了重构程序计算时间,提高了该图像超分辨率重建算法应用于实际场所的可能性。 基于最小代价流算法的多机空战目标分配 目标分配是多机空战协同战术决策的核心内容之一,属于资源分配以及最优指派问题,符合最小代价流算法的求解范畴.在空战态势评估和综合威胁评估模型的基础上,建立了最小代价流空战目标分配模型.该模型根据威胁评估结果,用最小代价流算法进行处理,找出带代价的网络流图中从起点到终点的一条最短路,经反复迭代,直至找到所求的最小代价流,实现对多个空战目标进行合理分配.最后通过算例验证了模型的可行性. 动车组电-空制动力优化分配研究 针对现有动车组电-空制动力分配控制策略较少考虑各车粘着限制差异的问题,以总粘着利用率最大为目标函数,提出一种空气制动力优化分配算法。在动车电制动优先控制的基础上,将CRH2型动车组作为研究对象,列出空气制动力优化分配的目标函数及约束条件,并通过二次规划进行求解。在Matlab/Simulink上的仿真结果表明,与传统的载重比分配算法相比,该算法利用各拖车不同的粘着限制减小动车承担的总制动力,可有效减少制动过程中动车打滑现象,提高列车制动的平稳性。 一种基于情绪激励度的情绪词加权方法 在不同的上下文中,情绪词对情绪的激励程度会发生变化。现有情绪词典中大多数只标注了情绪词的情绪类别而未涉及情绪词的激励度。在极少数标注情绪强度的词典中,所标注的强度未考虑上下文的影响。提出一种根据上下文形成的情境评估情绪词对情绪的激励程度并据此对情绪词加权的方法。通过比较情绪词的共现模式与自身情绪类的分布模式计算情绪词的激励程度。然后根据激励程度计算情绪词的情绪权重并将其用于微博情绪识别。实验结果表明,与现有词典中的情绪强度相比,本文方法计算的情绪权重更准确地描述了情绪词在语料中表达的情绪,有效地提高了情绪分析的精度。并且本文方法还能够有效综合多个词典的优势,进一步提高微博情绪分析的准确率。 一种旋转变压器误差分析和校正方法 针对旋转变压器输出信号存在误差影响其测角精度的问题,文中从旋转变压器自身结构、励磁信号的谐波干扰、噪声干扰三个主要方面出发,分析建立出被测角度的误差模型,通过采用24面棱体和自准直仪标定的方法获得角度实际误差值,结合通用全局优化算法的曲线拟合方法计算出误差模型参数。通过与其他三个模型的拟合误差进行比较,该模型的拟合误差最小,平均拟合误差小于0.05″。实验结果证明该模型具有很好的拟合效果,可以提高误差补偿校正精度。 图像融合质量评价方法研究 图像融合的清晰度直接影响到图像融合系统的实用性.在分析现有主客观图像质量评价方法的基础上,提出了以亮度、对比度和信息量为基础的图像融合质量评价方法.利用多种图像融合算法,对红外与微光图像融合后的结果进行了质量评价.实验表明,该方法是一种实用的、有效的车载图像融合质量评价方法. 一种全自动三维点云配准及比例约束方法 为了能够对初始相对位置不确定,且尺寸互不一致的多块三维点云进行配准,并对配准后的点云进行尺寸调整,提出了一种新的全自动点云配准及比例约束方法。利用三维重建过程中的相机参数信息,先匹配出特征同名点对,再解算旋转变换矩阵,最后依据摄影测量理论中的共线方程,采用空间后方交会方法求解出点云的真实尺寸。实验结果表明,所提方法能较好地实现初始位置不确定的多块点云之间的配准及三维尺寸调整;另外,相对于最近迭代点算法,新方法实现简单,能获得较好的配准精度。 随机振动下先导式溢流阀的动态特性研究 针对硬岩掘进机在掘进过程中产生的随机振动对先导式溢流阀的影响,建立阀在振动下的动力学模型。仿真分析了随机振动参数与阀体结构参数对先导式溢流阀动态特性的影响规律。研究表明,当先导式溢流阀受到横向或纵向随机振动时,随着白噪声方差增大,压力超调量基本不变,稳态下压力波动幅值呈波动性增加。随着白噪声均值增大,压力超调量逐渐增加,但稳态下压力波动幅值基本不变,横向振动对阀动态特性的影响程度要大于纵向振动。通过增大主阀前腔容积,先导阀弹簧刚度与先导阀前腔容积可以改善阀在随机振动下的动态特性。 GPU加速的图像匹配技术 传统的模板图像匹配算法,匹配速度较慢。应用GPU通用高性能编程技术实现了一种加速图像匹配算法的新方法。应用CUDA编程技术对图像匹配算法进行并行化改造。采用了四种不同的存储方案,在第四种存储方案中获得了43.5倍的加速比,并对四种不同的存储方案的性能进行了深入研究。 一种并联机械手视觉引导算法 钣金冲压件为例,根据传送带输送的工件具有一致性的特点,介绍了用于从传送带上抓取并将其摆放整齐的并联机械手的视觉引导算法设计,采用一种非匹配的算法,快速将在传送带上杂散分布的工件的位置和角度计算出来;该算法较模板匹配法运算量大大减少,保证了系统的实时性;另外,对于传送带上工件正反面颠倒、工件重叠现象,算法也进行了相应处理;机械手可根据视觉算法得到的工件信息,逆解计算出机械手主动臂转角,从而实现拾放(pick-and-place)操作;对3万件工件实测,其中发生重叠的工件43个,没有正确识别工件28个;该算法对工件定位准确度达到99.7%,证明该算法具有很高的可靠性。 民机驾驶舱布局流程设计及应用 为减少民机驾驶舱设计迭代次数,提高设计质量和效率,提出了一种民机驾驶舱布局的设计流程;结合适航要求和人机工效分析方法,对飞行员跟位、人体尺寸、内部视界和外部视界、飞行员坐姿、座椅和脚蹬调节行程、中控台尺寸及其它关键部件位置进行了分析和研究;最后,应用提出的民机驾驶舱布局流程进行了公务机驾驶舱布局设计;结果表明,设计的民机驾驶舱布局流程能够快速实现预期设计目标,提高了设计效率,对民机驾驶舱设计具有指导意义。 基于多核感知的应用服务并行调度平台 在云计算环境下,Paa S和Iaa S协同工作是发展的趋势。针对大数据的处理及应用服务越来越复杂和多样化的需求,利用应用虚拟化技术在Paa S层与Iaa S层之间搭建一个应用服务层,研究在管理平台中基于多核感知的并行调度模型。通过该应用服务管理平台,实现按业务逻辑需求用不同粒度的应用服务组装形成系统新功能,然后以平台中基于多核感知的并行调度模型来处理/响应用户的作业请求。借助应用服务管理平台,一方面提高系统的灵活性和行业适应性,另一方面也能实现对计算资源进行细粒度的分配。从实验结果可知,应用服务管理平台能有效地按业务需求完成应用服务组装,通过并行调度提高了系统对基础架构资源的利用率,并有效地提高了管理平台的吞吐量。 多阶段建模过程中可重用数学模型表示方法 为解决多阶段建模过程中数学模型重用性问题,分析多阶段建模过程中数学模型表示现状及MDA(model driven architecture,模型驱动体系结构)对数学模型的重用性和平台无关性需求,提出作战训练仿真数学模型广义定义和面向重用的数学模型表示方法;通过建立可重用变量转换关系网络组织、管理和描述作战训练仿真数学模型中的变量转换关系(variable conversion relation,VCR),扩展MathML(math markup language,数学标记语言)语义并基于扩展的MathML语义对变量转换数学表达式进行表示。最后基于变量转换关系网络实现作战训练仿真数学模型动态逻辑行为模型生成。 一种用于图像分类的多视觉短语学习方法 针对词袋图像表示模型的语义区分性和描述能力有限的问题,以及由于传统的基于词袋模型的分类方法性能容易受到图像中背景、遮挡等因素影响的问题,本文提出了一种用于图像分类的多视觉短语学习方法.通过构建具有语义区分性和空间相关性的视觉短语取代视觉单词,以改善图像的词袋模型表示的准确性.在此基础上,结合多示例学习思想,提出一种多视觉短语学习方法,使最终的分类模型能反映图像类别的区域特性.在一些标准测试集合如Caltech-101[1]和Scene-15[2]上的实验结果验证了本文所提方法的有效性,分类性能分别相对提高了约9%和7%. 基于最近邻有向图的遥感图像快速分割算法 针对现有的区域生长算法没有考虑到区域之间最近邻关系的有向性这一问题,提出了基于最近邻有向图的遥感图像快速分割算法。首先使用分水岭算法对遥感图像进行初次分割,然后在分割得到的区域对象基础上建立最近邻有向图。在区域生长过程中,沿着有向边形成的路径合并相邻的区域对象。当所有合并完成后重构区域对象的最近邻有向图,进行下一轮合并,直至区域数目不再变化。该方法避免了每次合并一个区域对象就重新计算新的邻居关系,从而降低了计算复杂度。实验结果表明,该方法分割结果比较合理,与其他几种方法相比运行效率明显提高。 面向节点异构GPU集群的能量有效调度方案 GPU集群已经成为高性能计算(HPC)领域的主流组件。随着处理单元的发展和集群节点的拓展,GPU集群将在节点层面趋于异构化。提出一套针对异构任务在节点异构GPU集群上的能量有效调度方案。形式化地描述其任务和资源模型以及能耗评估模型。通过特定的节点选择策略,减少空闲状态的能耗损失。通过任务类型划分和组合分配以及DVFS,增加CPU资源利用率。该方案从系统层面着手,能够与现有的算法和指令层面的优化方法兼容。 一种高效的基音检测与评估算法 基音轨迹是语音评估中重要的参数,它的高低变化反映了语音的音高起伏和语调韵律特点。为了准确地评估一段语音,利用变长夹角链码(Alterable Included Angle Chain,AIAC)来准确提取说话者的基音轨迹,对比模仿者与标准发音的基音轨迹的相似度,研究基音轨迹相似度的匹配算法及曲线拟合算法,从而完成基音特征相似性的评估。结果表明:该方法不仅能够准确、快捷提取说话者的基音轨迹,而且计算过程简单,操作简便并能够取得良好的评估效果。 成对约束降维集成下的MicroRNA预测 MicroRNA(miRNA)是一类在生物体内发挥重要调控作用的非编码小RNA,对miRNA的预测有助于研究和理解其生物学功能。已经提出的基于成对约束的降维算法(local semi-supervised linear discriminant analysis,LSLDA)在对miRNA降维的同时,也能保持数据的局部结构信息和判别能力,可有效改进miRNA的预测性能。因此,在LSLDA算法基础上,提出了一种新的集成LSLDA算法(ensemble of local semi-supervised linear discriminant analysis,En-LSLDA)。该算法对不同约束个数下的分类结果进行集成,以集成结果作为最后的分类结果,以此进一步改进miRNA的预测性能。miRNA数据集上的实验结果表明,En-LSLDA算法是有效可行的。同时,UCI数据集上的实验结果也验证了新提出的集成方法同样适用于其他数据集。 一种基于构件重构的路由器能耗细粒度调整方法 可重构路由器采用构件化的体系结构,使以构件为对象的能耗细粒度调整成为可能.论文面向路由器低能耗问题,基于部件能耗随业务负载变化的思想,在对构件化路由器低能耗模型分析的基础上,提出了基于构件重构的路由器能耗细粒度调整方法.该方法使用布尔二次指数平滑法预测网络负载变化,能够有效避免重构结果滞后于负载变化;使用遗传算法并行搜索构件配置空间,能够快速搜索出最优构件配置方案.使用真实网络流量进行实验,结果表明,该方法能够根据负载的动态变化进行构件重构,能够使路由器能耗跟随负载变化,与传统算法相比可以节省60%的算法运行时间,降低构件组25%能耗. 基于蚁群算法的短波侦收资源调度方法研究 为解决短波侦收中任务执行时间长和侦收资源利用率低等问题,以最大侦收概率为目标,结合约束条件建立短波协同侦收资源调度模型,设计运用改进型蚁群优化算法对模型求解,采用粒子群参数优化技术改进蚁群优化算法;利用全局异步与精英策略相结合的信息素更新策略,使算法具有更强的寻优能力和运算速度,不仅提高了系统资源利用率,而且能够快速确定出最佳调度方案。实验结果验证了所提方法的可行性和有效性。 基于MapX的电子地图路径规划软件设计 文章设计了基于MapX的可视化电子地图路径规划软件,实现了地图操作中的放大、缩小、漫游、测距、图层控制等功能。该软件可作为交通道路电子导航使用,根据Dijkstra算法完成任意起始点和目的地之间的最短路径计算,提供求解最短路径功能,根据蚁群算法求解真实路网的路径规划问题,可以在指定的节点范围内寻找一条最优路径,实现路径规划功能。该软件操作简单方便,用户很容易就可以掌握该软件的使用,实现旅游信息的快速查询,给用户带来了方便快捷的信息服务。 局部保持多投影向量Fisher判别分析算法 "特征选择是在损失较少信息的情况下处理高维图像数据的关键技术,是高维数据预处理的重要步骤.通过引入Fisher判别分析(Fisher Discriminant Analysis,FDA)和典型相关分析(Canonical Correlation Analysis,CCA)的思想,采用以样本的类标号形式给出的先验信息,考虑样本数据的局部性,提出了一种监督的基于Fisher判别信息的局部保持多投影向量分析方法(Locality Preserving Multi-projection Vector Fisher Discriminant Analysis,LPMVF).通过定义新准则,LPMVF具有以下优点:(1)便于计算,可有效避免奇异性;(2)借助标准核映射,可快速将LPMVF推广到非线性的特征空间;(3)与CCA算法类似,LPMVF最终得到一对投影变换,可有效嵌入样本数据,可将原始数据投影成一系列""有用的""特征形式,并使数据的投影在嵌入空间中更具可分离性;(4)与局部化的Fisher判别分析(Local Fisher Discriminant Analysis,简称LFDA)相比,LPMVF也能够有效保持数据样本间的局部近邻关系;(5)在大多数情况下,该文算法的学习能力甚至优于经典的FDA、KFD和LFDA算法.在几个标准数据集上的实验结果表明,LPMVF及其非线性的推广算法能够提取出描述能力更强的特征信息,可有效利用类标号监督信息提高分类性能." 用电信息采集系统主站软件设计 用电信息采集系统可实现抄表及电费结算的智能化,提高电网营销科技水平,并能指导社会科学合理用电,为智能用电服务提供有力的技术支持。本文针对用电信息采集系统主站建设状况,描述用电信息采集系统主站软件的功能框架和总体架构,提出采用ASP.NET MVC、Ext JS、Spring.NET、NHibernate以及TAO中间件等技术构建多层次、高效率、高可靠的用电信息采集主站系统的基本思路和技术特点,详细分析主站软件的模块划分及其逻辑关系。 高精度密炼机混炼温度智能控制系统设计 密炼机混炼过程中胶料质量的难以控制,一直是橡胶塑料机械行业面临的问题。论文介绍了基于24位高精度ADS1248测温专用芯片和PT100铂热电阻的多路温度采集系统,经过PT100温度采集电路得到的模拟电压信号经过ADS1248进行模数转换后送入以STM32F103控制器进行处理,通过测量混炼胶温度th,冷却水温度ts,转子温度tr和上顶栓压力p,利用模糊自适应PID控制算法,实现对转子转速r、冷却水温度ts和上顶栓压力p的有效控制。该控制系统测温原理简单,精度高,响应度强,并对密炼机混炼温度实现了有效控制。 无线传感器网络启发式QoS路由协议 提出一种基于虚拟队列的区分服务无线传感器网络路由协议VSDR。协议采用聚合权重的方法,节点在进行路由选择时,考虑了队列长度、前进距离和剩余能量等多种因素,从而有助于缓解节点拥塞,均衡网络负载。另一方面,对不同QoS需求的数据包采取不同的权重策略,保障实时数据包的传输延迟需求,同时为非实时数据包提供概率的传输机会保障。仿真结果表明,VSDR可以有效地应对不同的服务要求,均衡了节点能耗,延长了网络生命周期,并能够适应实时性、可靠性要求的变化。 基于改进模糊自适应扩展卡尔曼滤波的机器人SLAM算法 机器人SLAM问题是目前机器人研究领域中的重点,如何减少定位误差,有效地改善算法的鲁棒性,提高机器人定位和地图创建的准确性是研究的关键。针对这个问题,本文提出一种基于改进模糊自适应扩展卡尔曼滤波的SLAM算法,通过模糊自适应控制模型控制系统噪声和观测噪声。仿真实验结果表明,本文算法有效地解决卡尔曼滤波器的发散问题,可以有效减少机器人定位误差。 共享适应度粒子群在双机ETV中的应用 针对机场货运区双机双货位升降式转运车(ETV)并行工作时任务链生成困难的问题,提出一种基于ETV载物台的任务链生成算法;该算法结构清晰,且易于计算总运行时间;针对粒子群算法在计算双机ETV最优任务序列时易出现早熟问题,提出改进的共享适应度粒子群算法。该算法在混沌粒子群算法的基础上融合共享适应度的思想,当混沌粒子群算法的全局最优解趋于稳定时,选取百分之二十的粒子留守共享半径内,其他粒子重新初始化并继续迭代;实验仿真结果表明和标准粒子群算法、混沌优化粒子群算法相比,共享适应度粒子群算法可以有效地避免早熟,全局寻优能力更强,得到的结果更优且更稳定。 图像处理技术在柠檬病害诊断中的应用 文章深入探讨了图像增强,基于病斑颜色与外轮廓相结合的病斑分割,有效特征提取,以及分类器构建等相关技术。并以五种容易混淆的病害为例,提取其病斑的色调、纹理、形态三种特征向量,分别采用支持向量机和BP神经网络进行训练、测试。实验结果表明该方法能很好的识别柠檬病害类别,为科学防治和病害危害程度评价提供科学依据。 基于物联网的高校固定资产管理研究 针对目前高校固定资产管理工作繁琐、任务重,且长期存在帐物不符等问题,本文在分析高校固定资产管理的需求和物联网体系结构及技术原理的基础上,提出基于物联网的高校固定资产管理方案。本方案利用物联网技术实现对高校固定资产的智能管理,保证对固定资产的地理位置以及状态信息的及时更新,有效解决长期存在的帐物不符等问题。 一种基于量子纠缠态的群签名协议 提出了一个基于打印机管理模型的量子群签名协议。利用量子纠缠特性,打印机群组成员Alice可以代表群组进行签名,打印机管理员Bob可以证实签名来自该群组,但是不能够确定是哪一位成员进行了签名。如果出现了争议,群管理员Trent可以追踪到非法打印者。不同于现存的经典群签名协议和量子签名协议,本协议在实现签名群属性的同时,具有无条件安全性,能够在电子选举和电子商务中得到广泛应用。 植入引导式层次聚类Mashup服务推荐算法 针对传统Mashup服务推荐算法在关键字聚合搜索和网络构建等方式中计算复杂度过高的问题,提出一种基于语义标签的植入引导式层次聚类Mashup服务推荐算法。首先,为提高聚类算法的收敛精度,提高算法运行效率来满足大型数据搜索对算法简化的需求,采用数据预处理和植入易于获取具有代表性的样本数据对聚类进行引导,防止层次聚类算法顶层集分类失败导致的算法聚类失败;其次,利用改进的聚类算法结合实际的Mashup服务数据库,设计了植入引导式层次聚类Mashup服务推荐算法。最后,通过仿真对比表明,基于语义的植入式半监督层次聚类Mashup服务推荐算法的精度要好于对比算法,验证了所提算法的有效性。 改进的HyperSplit报文分类算法 针对现有高速、大容量、多域报文分类算法普遍存在内存使用量大的问题,提出一种改进的HyperSplit多域报文分类算法。通过分析现有算法内存使用量大的原因,修正和设计选择分割维度与分割点、去除冗余结构的启发式算法,最大限度减少决策树中的复制规则数量,消除决策树中存在的冗余规则和冗余节点,优化决策树结构。仿真结果表明,该算法与现有多域报文分类算法相比,不依赖于规则集类型和特征,在保证内存访问次数不增加、报文得到线速处理的情况下,可降低算法的内存使用量,当规则集容量为105时,内存使用量降低到HyperSplit算法的80%。 螺旋分级过程数学模型研究及应用 磨矿分级过程具有多变量、非线性等特点,基于多元回归理论的数学模型难以满足精度要求,迅速发展的神经网络技术可以建立高精度的变量间的非线性映射模型。在已有螺旋分级机基本模型的基础上,利用RBF神经网络建立螺旋分级机的数学模型,并用遗传算法对神经网络进行优化。用某选矿厂两段磨矿分级回路的实际生产数据进行了仿真实验,仿真结果表明,模型精度满足工艺要求。 分体式太阳能热水器控制系统的优化设计 主要完成了分体式太阳能热水器控制系统的软硬件研发及其控制的优化;以ATmega16为控制系统核心,采用DS18B20对集热器进行精确温度控制,以温控模式取代前期光控和低温保护的组合模式,提高了循环效率,简化了控制系统;为保证光热系统的安全可靠,对集热系统进行了高低温保护,并且还对水箱进行智能上水和温度调节控制;同时使用水流传感器检测用户用水信号实现对用水循环泵的控制以提供合适的供水压力;该控制系统通过了调试,实现了预期的设想,具有可行性和实用性。 基于组群的P2P网络信誉机制 "针对P2P网络中基于个体的信誉机制的弊端,设计了双层结构信誉模型,即基于组群的P2P网络信誉机制。该机制在""物以类聚人以群分""的管理思想基础上,通过计算同一组群内节点间的信誉值不同组群中节点间的信誉值,以及组群间的信誉值的方法,从而实现P2P网络的良性自治。该机制设计了不同的节点间信誉计算方法,以满足各种网络的不同特性需求。随后通过模拟实验,验证了该机制的可行性和高效性,并分析了该机制适用的网络容量。" 基于多自主元柔性工作流的敏捷供应链研究 为了提高敏捷供应链的动态性和敏捷性,采用多自主元柔性工作流技术构建敏捷供应链管理系统。在对敏捷供应链和柔性工作流技术进行分析的基础之上,给出用虚拟工作流和柔性工作流对敏捷供应链进行整体描述的方法。为提高柔性工作流的智能决策能力,以自主计算技术为基础,提出了基于多自主元的柔性工作流,使用多自主元的柔性工作流构建了敏捷供应链的管理框架,并通过自主元的模糊推理实例对多自主元柔性工作流的智能性进行了验证,多自主元柔性工作流能够满足敏捷供应链管理系统快速重构和动态重组的要求。 新疆褐牛背线边缘检测自动分级算法 牛的背线在新疆褐牛体型鉴定及分级中是重要的指标之一,背线的水平程度反应了牛的生长状况,是选优育种的重要指标.本文依据新疆褐牛体型线性鉴定标准,以牛侧面图像(主要是从胸后到十字步的图像)为研究对象,采用数字图像处理的方法,先对图像进行二值化处理,再对二值化图像进行边缘检测,实现对牛背线边缘点的自动提取.最后通过分析背线边缘点数据,得到牛背线情况的自动分级,具体将背线分为45,35,25,15,5五个等级,得分越高,牛的生长情况越好.实验表明该算法有效可行,能准确快速的得到新疆褐牛背线自动分级结果. 水利垂直搜索引擎的研究 水利信息资源的种类、内容多,专业性强,而且分布散乱,难以检索。论文结合水利领域的特定需求,提出了一个基于云平台的水利垂直搜索引擎—Water-Searcher,以期为水利领域的工作者提供一个能及时、全面、系统地了解水利领域信息资源的平台。具体内容包括建立水利种子站点列表,构建水利领域词典和领域停用词典,筛选出水利核心网站,结合已有的云平台实现分布式搜索。根据实验分析结果和专家认定机制,Water-Searcher能为水利工作者提供更好的专业化检索服务。 基于改进遗传算法的社区挖掘研究 传统遗传算法应用于社区挖掘时初始种群划分精确度不高,且容易降低算法整体搜索性能。为此,提出一种改进的遗传算法,并将其引入到社区挖掘研究中。结合结构相似度与轮盘赌选择法,使染色体的每个基因趋向于选择结构相似度较大的邻居节点,提高初始种群的社区划分质量并加速算法收敛速度。实验结果表明,在人工基准网络中,该算法的初始种群划分精确度和模块度比传统遗传算法平均提高18%和12%,整体划分精确度比FEC和FN算法平均提高24. 02%和22. 01%,在真实世界网络中,社区划分精确度均优于FN、FEC和LPA算法,从而验证该算法具有较好的社团挖掘性能。 一种基于CPK的传输协议 为提高VxWorks中数据传输的效率,结合组合公钥算法的原理和加密通信方法,设计一种高效、安全的嵌入式安全传输(EST)协议。EST协议能够在VxWorks环境下快速建立端到端的通信,实现保密通信,满足实时操作系统的安全需求。给出该协议的设计与实现及相应结果分析,证明了该协议的可行性、有效性。 科技文献元数据自动抽取研究述评 首先从元数据的属性和元数据的粒度两个角度对科技文献元数据进行了分析,在此基础上,从科技文献元数据自动抽取的理论研究和应用实践研究两个方面对国内外科技文献元数据自动抽取研究成果进行分析和综合,最后指出了现有研究的特点和存在的不足. 基于国产平台的虚拟化操作系统架构研究及其实现 高性能计算操作系统面临独特的需求与挑战,这些需求与挑战涵盖并发与高效、系统弹性与容错、异构性、I/O和访存带宽、低噪等诸多方面。传统宏内核操作系统并不能完全满足HPC领域的独特需求,因而需要为HPC定制操作系统。提出虚拟化操作系统架构,该架构主要包含两部分:(1)虚拟机监视器与轻量级内核相结合的虚拟化操作系统架构;(2)支持分时复用虚拟机与空间分割虚拟机相结合的虚拟机监视器架构。在国产平台上设计并实现了一个简单的系统原型Hypervk,说明了上述架构的可行性。初步的实验结果表明,系统具有较好的效率、低噪特性与可行性,在一定程度上可以满足HPC操作系统独特的需求。 基于DSP的导航计算机硬件设计分析 论文系统设计采用DSP+单片机的双CPU体系结构方案,DSP主要是负责数据的处理,单片机主要是负责系统的输入输出控制,两者相结合实现了互补的优势,充分发挥了各自的特长。论文将研究数字信号处理芯片在导航系统导航计算机的应用,提出了单片机、DSP以及大规模可编制逻辑器件构建导航计算机系统硬件的全新方法。 基于能量有效WSN优化覆盖算法的研究 提出一种能量有效的优化覆盖算法。该算法利用贪婪算法和几何图形学相关理论知识,将目标覆盖区域节点能量构建成正态分布的网络模型,通过采集和检索数据选择最优子集以及对节点状态调度机制动态转换,可以有效地降低网络能耗,在提高节点覆盖性能的同时优化了节点的数量。仿真实验表明,该算法能够以较小的代价延长整个网络的生存周期,具有更好的适应性和稳定性。 基于用户主动过滤的P2P文件污染防御 文件污染是P2P文件共享系统面临的主要安全威胁之一,现有的文件污染防御机制包括基于反馈的声誉机制和基于用户行为的防御机制,前者面临用户合作度不高和反馈攻击等问题,后者不提供分级服务,无法激励用户过滤污染.本文提出一种激励用户主动过滤的文件污染防御机制,不需要依赖用户反馈.系统客户端自动跟踪下载节点过滤下载文件的行为,生成下载节点对服务节点的信任,该信任决定了下载节点将来获得服务的质量.仿真结果表明,本文机制能为好节点提供高效稳定的下载性能,同时惩罚自私节点和懒惰节点.这种分级服务能有效激励用户过滤污染文件. GPU实现的高速FIR数字滤波算法 针对目前基于GPU的FIR算法速度低、扩展性差的缺点,提出一种高速的多通道FIR数字滤波的并行算法,并利用平衡并行运算负载的技术以及降低内存访问密度的方法进行加速.该算法采用矩阵乘法的并行运算技术在GPU上建立并行滤波模型,通过每个线程在单个指令周期内执行2个信号运算,实现了多通道信号的高速滤波.实验结果表明,在GTX260+平台上,采用文中算法的平均加速比达到了203,效率超过40%,并且具有更好的扩展性. 基于《知网》2008的词语相似度计算 《知网》是一部比较详尽的语义知识词典,含有丰富的语义信息和世界知识,研究其特定的语言描述方法是科学合理利用《知网》的关键.《知网》的知识系统描述语言方式也在不断改进和改善,已经从过去的线性方式发展为现在的立体、可嵌套的方式.因此,本文对《知网》2008版本所使用的描述方式进行介绍,并基于此描述方式实现了两种词语相似度计算方法.其中,方法一的设计思想源于《知网》2000版本的计算方法;方法二是对现有《知网》2008版本的计算方法进行了适当改进.实验结果表明,与现有《知网》2008版本的词语相似度计算方法进行比较,运用本文所实现两种方法,一些词语的相似度计算结果更为合理,从而更加符合人们的主观判断. 面向隐私保护推荐系统的安全两方协议研究 在网络购物如火如荼的今天,为了更具竞争力,两家彼此竞争的公司也可能在某些领域进行合作。比如,两家电子商务网站为了给用户提供更好的推荐服务,传统的做法是双方共享对方的评分数据库,但是这种做法显然没能保护双方数据的隐私性。在UICF推荐算法的基础上设计一个安全两方协议,有效地解决了隐私保护协同过滤推荐中的两方协同计算问题。 回归测试中测试用例集优化方法的研究 回归测试是软件演化过程中频繁进行的且开销巨大的一项任务,测试用例集的优化程度直接影响着测试的成本和效率。针对回归测试过程的特点,提出一种对测试用例集优化的新方法,即通过对测试用例集进行必要的消除冗余和调整排序,完成了对初始测试用例集的精简以及执行顺序的确定过程,使得有限的测试资源得到科学合理的分配。实验结果表明,相对于以往的测试用例集优化方法,新方法的效率和资源分配的合理性均有了显著的提高。 一种基于Hypervolume指标的自适应邻域多目标进化算法 通过定义反映个体之间邻近程度的指标(个体的树邻域包含关系),在考虑个体间支配关系的基础上,利用个体与其周边个体的树邻域密度进行适应度赋值;提出了一种2,3维情况下个体独立支配区域的Hypervolume指标的计算方法,该方法用于评价个体对群体的贡献时只需要1次计算(同类方法需要2次计算);当外部种群中非支配个体数目超过规定规模时,根据个体独立支配区域的Hypervolume指标的大小对其进行修剪;在此基础上,提出了一种基于Hypervolume指标的自适应邻域多目标进化算法ANMOEA?HI.对比实验结果表明,ANMOEA?HI在保证了解集收敛性的同时亦拥有良好的分布性. 一种局部的重叠社区发现方法 研究重叠社区发现技术,可以将重叠社区转化为非重叠的社区发现。通过分裂结点后,使用已有的非重叠社区发现算法来进行社区划分,然后将分裂后的结点还原为源结点即可发现重叠社区。而结点是否分裂由分裂系数来衡量。使用局部的方法来计算结点的分裂系数,并分裂具有较大分裂系数的结点。实验表明此方法可以有效地发现重叠社区,并从时间复杂度上优于基于全局的方法。 关系概念的Web文本主题抽取模型研究 Web文本主题抽取是文本分类与知识发现的研究热点,既有的抽取方法一般存在主题粒度确定、主题语义解释、新网络词汇识别等难题,限制了其在开放应用领域的使用效果.论文借助百度百科词条背景,基于关系概念的概念分层以及主题连通的思想,面向中文文本构建了关系概念主题抽取模型(relational concept topic model,RCTM),RCTM模拟人的概念局部识别,上下文语境理解的并行阅读方式,由此实现中文文本的主题抽取.RCTM中主题的表达相对独立、语义连通灵活,主题的描述具有更好的通用性与可解释性,为Web文本主题抽取提供了新的研究思路.实验表明,RCTM具有良好的主题抽取准确率,文本抽取出的主题词,简洁直观、可解释性好.针对开放的WEB文本,具有更好的通用性、稳定性. 基于Markov对策的机械臂二维路径规划 针对机械臂应用环境状况较复杂、不确定条件较多,文中使用基于Markov对策的算法对二维机械臂进行路径规划。二维机械臂路径规划是三维多关节机器人规划的基础。首先根据实际的工作环境设定机械臂的运动范围并选择经常出现的动作组合作为机械臂运动的基本行为集,给出各种情况可能获得的报酬,依据多智能体Q值学习算法更新每个关节的报酬值,反解出对应最大报酬值的动作组合。文中仿真绘制最佳动作组合时的运动轨迹,分别仿真绘制机械臂运动环境中无障碍与放置圆形障碍物时的二维运动轨迹,并确定轨迹的误差。 基于混合双层模型的DHT网络路由表快照算法 DHT网络是目前应用最广泛的P2P协议,路由表是其进行自组织的关键组件。由于DHT网络的完全分布特点,对其全局路由表快照进行测量是一个研究难点和热点。提出了基于混合双层模型的DHT路由表快照算法:首先通过引入路由查询重复度这一重要概念来定义DHT网络快照和路由表快照采集的效率;然后提出了先宽度优先搜索后深度优先搜索的全局快照混合搜索策略;最后基于路由表的不均匀特性提出了路由表快照自适应搜索策略。在Kad网络上的真实实现表明,全局快照混合搜索策略的平均效率比Blizzard高91.2%,比宽度优先搜索高64.5%,比深度优先搜索高27.4%;路由表快照自适应搜索策略在g=5时具有最佳的路由表快照采集效率,比随机搜索策略高187.4%,比g=7时高38.9%。 基于并行计算的心脏冠状循环血流仿真研究 数学建模与仿真是研究心血管系统的重要手段。然而,单机往往无法处理大规模血管网络的建模与仿真,限制了仿真技术在医学研究中的应用。为此应用并行计算方法克服单机的局限性,并在大规模的心脏冠状循环血管系统上测试其可行性。首先,在小型微循环网络上验证了模型的正确性;其次,在人工生成的大规模血管网络上研究了计算集群参数与仿真能力的关系;最后,基于集群仿真了真实的猪心脏冠状循环血管网络中的血流动力学状态。仿真结果显示,并行计算技术能有效地扩展血流动力学的仿真规模,提高仿真效率,并能得到与实验结果一致的仿真结果。 压缩域中基于自动标记的图像分割 针对传统像素域中图像分割算法计算复杂的缺陷,提出了一种压缩域中快速图像分割算法。对图像分块,提取离散余弦变换(DCT)系数结合颜色矩作为块特征,利用支持向量机(SVM)实现对压缩域中图像块的自动标记,采用提出的阈值最小生成树(TMST)算法对已标记块进行区域生长,应用形态学相关算法对分割出的图像进行修补。通过Corel图像数据库对提出的方法进行验证,结果表明该方法能够更加快速有效地进行图像分割。 面向可信软件的风险评估方法 风险管理逐渐成为开发高质量软件过程中的重要的组成部分。风险评估作为风险管理的重要活动之一,是风险控制的前提。贝叶斯网络作为风险管理的有力工具之一,是处理不确定性的有效方法。结合贝叶斯网络与模糊理论,提出一种风险评估方法,首先使用贝叶斯网络对影响可信软件的风险因素进行风险概率评估,然后利用模糊综合评价法进行风险综合影响评估。该方法用于软件项目的风险评估,为开发高质量的可信软件提供新策略。 模拟神经网络传输的职业教育视频资源开发 面对职业教育视频资源在传输过程中网络结构和硬件资源占用关系这一问题,论文利用矩阵乘法改进了全连接深度神经网络(DNN)的矩阵计算形式,以此动态模拟职业教育视频资源传输状态。将矩阵乘法引入DNN前向传播过程使计算简化,以探究职业教育视频资源库作为硬件实现平台,基于乘累加器IP核与乘加器IP核设计了两种矩阵乘法计算架构,实现了模拟全连接DNN前向传播的职业教育视频资源传输计算过程,并对两种方案在实现不同结构的前向传播计算时的硬件资源占用情况进行对比,得出结论:在实现相同网络的视频资源传播计算情况下,乘累加器方案比乘加器方案消耗更少的硬件资源。 基于QoS的分布式认知无线电网络多信道MAC协议 在分布式认知无线电网络中,动态资源利用不足和中心控制单元的缺失使其MAC层协议的设计面临很多挑战。针对认知无线电网络的特点,提出了一种新的MAC协议,该协议通过在信道预约阶段优先考虑对时延要求较高的应用,来保证网络对这类应用的QoS;同时还解决了认知无线电网络中频谱的利用率低和隐藏终端两个热点问题。为分析研究该协议的性能,首先提出了一种新的分析模型。然后将这种新的MAC协议与两种典型的MAC协议进行了仿真对比,结果表明该协议提高了网络的吞吐量。最后通过数值分析和仿真证实了本协议设计简单高效,具有较高的频谱利用率,不但满足了时延敏感性应用的QoS需求,而且还能有效地解决多信道隐藏终端的问题。 基于联系数的区间粗糙数多属性决策方法 定义了正态分布的区间粗糙数,补充联系数的运算法则。利用集对分析的不确定性理论,建立了区间粗糙数的均值-方差联系数模型,针对属性值为区间粗糙数且权重已知的区间粗糙数多属性决策问题,提出了一种基于联系数的区间粗糙数多属性决策方法,并给出了决策的步骤。最后,通过实例分析,表明该方法的有效性和实用性,且计算简单易行。 无线网络环境中传输控制协议的改进机制 复杂的无线信道环境,使得传输控制协议(TCP)在无线网络中难以发挥较优性能,导致网络吞吐能力大幅下降。为此,在总结分析无线网络环境中影响TCP性能原因的基础上,针对信道高误码、链路中断引起的丢包,带宽资源竞争引起的时延抖动等所导致的TCP性能下降,综述相应的TCP改进机制,并对改进机制的性能进行分析比较。结果显示,相对于传统TCP,这些机制能够更好地适应无线网络环境,提升网络吞吐量。 改进的CORDIC模块实现的直接数字频率合成器 在传统的定点数流水线型CORDIC算法基础上,就减少迭代次数上提出一种改进算法,实现并行处理时的符号预测。改进算法大大减少了流水线迭代次数,节省了FPGA的Slice Flip Flops数量。最后利用改进算法在Xilinx公司的Virtex-II平台上实现了直接数字频率合成器(DDS)。 LYTRO相机光场图像深度估计算法及重建的研究 光场相机目前已广泛应用于消费领域和工业应用领域,利用光场相机对目标物进行深度重建成为了一项重要的研究课题。在实际研究过程中,Lytro相机空间信息与角度信息复用于同一传感器,导致图像分辨率较低,从而使得重建效果不甚理想。为解决这一问题,提出了一种亚像素精度的光场图像深度估计方法,在频率域对子孔径图像进行多标签下的亚像素偏移,以中心视角图像为参照,建立像素匹配代价行为;使用引导滤波抑制噪声的同时保持了图像边缘;对多标签下的匹配代价行为进行优化,得到精确的深度估计结果。对目标深度图进行表面渲染、纹理映射等重建处理,得到较为精细的重建结果。实验结果表明,该算法在对复杂度较高的物体进行重建时,解决了重建模糊等问题,有较好的表现。 无线传感器网络链路报文丢失率推测方法研究 针对传感器网络能源有限的特征,提出一种适用于传感器网络的链路报文丢失率测量方法。根据在汇聚节点(sink)获取的网络数据报文,将链路报文丢失率推测形式化为MLE问题并用EM算法解决推测问题。仿真试验证明,算法在不增加网络负担的前提下,能够有效地推测链路的报文丢失率;随着网络规模的扩大,算法仍能准确地反映网络内部的链路性能。 基于量子遗传算法的反舰导弹火力分配方法 反舰导弹火力分配问题是一个典型的多目标优化问题,一般通过固化各型导弹的数量将其转化为单目标优化问题来进行解算;基于量子遗传算法,研究了在兼顾反舰导弹作战效能最大化和作战成本最小化两个优化指标的情况下,反舰导弹群火力分配寻优解算方法,详尽描述了其寻优解算过程;实例证明,该方法不仅具有良好的种群多样性、较快的收敛速度、较强的全局搜索能力,而且解算结果是最优或次优的。 多粒化的模糊粗糙集代数 众所周知,一个粗糙集代数是由一个集合代数加上一对近似算子构成的。首先利用公理化的方法探讨经典的多粒化模糊粗糙集代数系统,可知经典的多粒化模糊粗糙集代数没有很好的性质;其次,引入具有最小(大)元的等价关系的定义,并给出了基于具有最小(大)元等价关系的多粒化模糊近似算子的概念,在此基础上讨论了模糊粗糙集代数的性质,并得到了诸多结果。 非对称信息在链接预测中的应用 针对基于节点相似性的链接关系预测中因未考虑非对称信息导致预测准确度降低的问题,提出一种新的增加非对称信息的节点相似性度量方法。首先,分析了基于共同邻居(CN)的相似性度量算法的缺陷在于仅考虑CN的数量而未考虑各自节点的所有邻居的数量;然后,将节点之间的相似性度量定义为共同节点与所有邻居节点的比值,融合节点间对称相似信息和非对称相似信息,对节点间的相似程度进行深入细致的刻画;最后,将该方法应用到复杂网络中进行链接关系的预测。在真实数据集上的实验结果表明,与目前多种基于共邻的相似性度量方法——CN、AA、资源分配(RA)相比,所提方法提升了节点相似性度量的准确性,并且可以提高复杂网络中链接关系预测的准确度。 一种基于滑动分块的重复数据检测算法 当被插入或删除的字节接近于匹配失败数据段两侧时,会导致SBBS算法回溯功能局部甚至完全失效。为此,提出一种改进的重复数据检测算法。采用滑动与滚动相结合的窗口移动模式减少窗口计算量,利用Rsync滚动校验和算法与MD5算法优化窗口计算模式,加快匹配速度。通过回溯匹配失败数据段,检测其中的重复数据段,以提升重复数据的检测精度。实验结果表明,与SBBS算法相比,该算法在重复数据段均匀分布与非均匀分布时的查全率分别提高约4.32%和5.28%。 用一种含启发式变异策略的遗传算法求解TSP 通过分析TSP问题的特征,结合以减少周游路线中交叉边为启发式信息,引入了一个遗传算法中新的变异策略用于TSP求解。对新策略的有效性进行了证明并且给出了具体的实现方案,同时通过TSP Lib上的测试样例将该启发式变异算子和另外两个传统的变异算子(插入式变异和交换式变异)进行了比较。比较结果表明了新变异策略具有更大的优势。 面向手机取证的细粒度数据完整性检验方法 手机取证过程中的多种动态性因素都会导致前后两次内存镜像不一致,从而影响获取证据的真实性和可采性。分析了手机内存中的数据变化规律,引入细粒度完整性检验方法对手机内存镜像中的数据对象按照细粒度分别进行完整性检验。结合各种案例选取不同数据对象作为证据的需求,将手机内存镜像数据划分为不同粒度的数据对象。该方法可以有效地隔离不同数据对象,使得在内存镜像变化难以避免的情况下,验证了取证镜像过程的可靠性,证明目标数据对象的完整性,从而保证作为证据的数据对象能够被法庭接受。 支持属性撤销且抗泄漏的密文策略属性基加密 针对边信道攻击引起密码系统秘密信息泄漏问题,提出抗密钥泄漏且支持属性撤销的密文策略的属性基加密方案。提出的方案具有完全安全性。基于双系统加密技术,给出的方案在标准模型下是可证安全的。该方案不仅可以抵抗私钥的泄漏而且也可以抵抗主密钥的泄漏。此外,给出的方案通过密钥的有效更新还可以抵抗密钥的持续泄漏。 基于云遗传算法的MRO服务调度 为了对产品全生命周期管理相关技术人员或服务商进行合理的组织和调度,在有限的成本条件下最快地完成维修、维护和大修任务,以维修、维护和大修中的维修服务为例,对维修服务调度问题进行数学建模,并应用基于云模型理论的遗传算法求解数学模型,得出最优调度方案。研究结果表明,建立的模型符合实际应用需求,采用云遗传算法可以产生最优解。通过比较可知,云遗传算法在求解精度和收敛速度上都优于自适应遗传算法。 MyBUD自适应分布式存储管理的设计与实现 "面对日益增长的非结构化数据管理需求,实现了基于""自由表""数据模型和BUD(bank of unstructured data)参考体系模型的非结构化数据管理平台MyBUD系统。提出了一种能够根据非结构化数据的类型和访问特点自适应地选择分布式存储子系统的方法,同时也对MyBUD进行了TPCC测试和非结构化数据存取实验。结果表明,这种自适应的数据存储方法为MyBUD系统提供了高效的可扩展存储层,为采用数据库方法实现对结构化和非结构化数据统一管理的进一步研究工作奠定了基础。" 样本自适应多特征加权的高分辨率遥感图像分类 高分辨率遥感影像能够提供丰富的地物细节,但各种地物空间分布复杂,同类目标呈现出较大的光谱异质性,给传统模式识别分类器带来极大的挑战。提出了一种样本自适应多特征加权的遥感图像分类方法。常见的多特征组合分类器未能充分利用各种特征之间的局部相关性,提出通过分析测试样本局部特征相关性,探究各个特征在不同样本的分类中所占权重的不同,据此对不同分类器进行自适应加权。在一个大型遥感图像数据库上的实验结果表明,不同特征在遥感图像中对不同样本的分类作用是不同的,样本自适应特征加权法将平均分类精度从78.3%提高到90%。 飞行器半实物仿真系统过程控制软件设计 分布式一体化仿真系统过程控制软件是半实物仿真应用软件的重要组成部分,它是仿真过程控制计算机与各分系统之间进程控制、信息反馈和数据交互的纽带。正确规划、设计了该控制软件体系结构、控制流程并在实现过程当中解决了实时绘图、故障定位等问题,从而确保了系统运行的实时性与高效性。目前,该软件已成功运用于某飞行器的半实物仿真试验中。 基于模拟退火算法的多孔介质三维重建 为了研究固相转换器内部流体的流动状态,将动态退火系数的模拟退火算法与基于改进的状态更新的随机重建方法相结合,提出一种对固相转换器这类多孔介质进行软件重建的三维随机重建策略.首先对显微CT采集得到的多孔介质图像进行处理,得到基于孔隙相与固体相的二值化图像,并将其作为参考模型;然后在保持多孔介质孔隙率不变的前提下对多孔介质进行重建,实现了对其采样、图像处理与重建的过程.实验结果表明,该策略可以提升重建速度与渗透率的精度,重建模型与参考模型的两点相关函数与孔隙分形维数有很好的一致性. 仿生物型人工神经网络的探索与实现 为探索仿生物型人工神经网络的网络结构和生长算法,对传统人工神经网络的算法设计和生物神经网络结构进行调研。提出实现自主生长、自主进化的仿生物型人工神经网络的网络结构和生长算法;搭建仿真平台,实现对基本神经元的建模,定义网络生长规则和验证条件,用图形处理器加速整个网络生长过程;通过三维图形界面显示整个网络的生长状态。测试验证了网络对不同输入信号有着很好的识别效果。 骨干通信网络流量告警信息关联分析 提出一种以骨干通信网络流量特征参数告警信息为基础的关联分析方法,首先提取通信网络中多个与异常事件相关的相对粗粒度的流量特征参数,将这些特征参数看做是随时间变化的信号(以下称为流量特征信号),通过流量特征信号分析获得异常事件的多个告警信息;然后采用Apriori算法进行告警信息关联分析,获得告警信息与异常事件的关联规则。实际网络流量数据的分析表明:使用上述规则能有效地发现骨干通信网异常事件。 基于CUDA的k-means算法并行化研究 k-means算法在面对大规模数据集时,计算时间将随着数据集的增大而成倍增长。为了提升算法的运算性能,设计了一种基于CUDA(Compute Unified Device Architecture)编程模型的并化行k-means算法,即GS_k-means算法。对k-means算法进行了并行化分析,在距离计算前,运用全局选择判断数据所属聚簇是否改变,减少冗余计算;在距离计算时,采用通用矩阵乘加速,加快计算速度;在簇中心点更新时,将所有数据按照簇标签排序分组,将组内数据简单相加,减少原子内存操作,从而提高整体性能。使用KDDCUP99数据集对改进算法进行实验,结果表明,在保证实验结果的准确性的情况下,改进算法加快了计算速度,与经典的GPUMiner算法相比加速比提升5倍。 基于位置社交网络的上下文感知的兴趣点推荐 随着基于位置社交网络(Location-Based Social Networks,LBSN)的快速发展,兴趣点(Point-of-Interest,POI)推荐为基于位置的服务提供了前所未有的机会.兴趣点推荐是一种基于上下文信息的位置感知的个性化推荐.然而用户-兴趣点矩阵的极端稀疏给兴趣点推荐的研究带来严峻挑战.为处理数据稀疏问题,文中利用兴趣点的地理、文本、社会、分类与流行度信息,并将这些因素进行有效地融合,提出一种上下文感知的概率矩阵分解兴趣点推荐算法,称为TGSC-PMF.首先利用潜在狄利克雷分配(Latent Dirichlet Allocation,LDA)模型挖掘兴趣点相关的文本信息学习用户的兴趣话题生成兴趣相关分数;其次提出一种自适应带宽核评估方法构建地理相关性生成地理相关分数;然后通过用户社会关系的幂律分布构建社会相关性生成社会相关分数;另外结合用户的分类偏好与兴趣点的流行度构建分类相关性生成分类相关分数,最后利用概率矩阵分解模型(Probabilistic Matrix Factorization,PMF),将兴趣、地理、社会、分类的相关分数进行有效地融合,从而生成推荐列表推荐给用户感兴趣的兴趣点.该文在一个真实LBSN签到数据集上进行实验,结果表明该算法相比其他先进的兴趣点推荐算法具有更好的推荐效果. 基于时间序列分析的水位短期预测模型仿真 为能有效预测水位,提出一种结合时间序列分析和卡尔曼滤波的优化方法。通过自回归分布滞后模型对站点的水位数据进行分析,得到各站点的滞后期长度,求得各变量的系数后,结合相关水位数据计算得到初始预测值,利用卡尔曼滤波对预测结果进行修正,获得最终预测值,建立优化的水位预测模型。利用该组合模型进行水位预测实例仿真,仿真结果表明,该模型能有效地预测水位短期内的趋势,预测能力稳定、预测精度高。 基于贪婪离散类电磁机制算法求解背包问题 针对基本类电磁机制算法不能够有效解决离散型的背包问题,提出了一种贪婪离散类电磁机制算法。首先,提出一种交叉操作;然后,利用提出的交叉操作对基本类电磁机制算法中的合力计算公式和粒子移动方法进行修改,使其能够适用于离散型问题;最后,引入贪婪算法的机制来处理经过类电磁机制算法迭代得到的解,使这些解满足背包问题的约束条件。通过对3个经典的背包测试问题进行的测试结果表明:该算法可以解决离散型的背包问题,并且具有较优的求解性能。 基于Petri网的化工过程本质安全评价研究 针对本质安全化工过程设计和优化问题,提出Petri网理论和模糊数学方法相结合的化工过程本质安全评价方法。采用定性、定量分析与模糊逻辑处理相结合的方法,建立集成化的本质安全指标表征过程方案的本质安全特性。将过程方案抽象并映射成Petri网络中的过程模型,以过程单元为节点,以物质和能量流动为关系,建立Petri网络拓扑结构,以本质安全评价指标为择优目标,评估并筛选过程方案。以丙烯酸生产过程为案例,进行了验证研究,得到了该过程本质安全性较好的方案。 基于改进变尺度法的超宽带定位新算法 针对传统定位算法收敛速度慢的问题,结合超宽带通信具有时间分辨率高的特点,在到达时间差(TDOA)定位模型的基础上,采用基于Armijo步长的变尺度法(DFP)对目标节点进行初始定位,进一步在初始位置处以泰勒级数展开算法得到目标节点的最终位置,实现超宽带(UWB)通信系统精确定位。实验结果表明,采用改进变尺度法的初始坐标修正算法,不仅能够降低定位优化算法对于初始坐标的要求,而且在测量时间准确的前提下,相比传统最速下降法平均定位精度有7倍的改进,整个算法具有好的定位精度和定位效率。 国防科学技术大学计算机科学与技术学科简介 [正文]本学科所在的国防科学技术大学计算机学院是由以学部委员慈云桂教授为首的老一辈计算机专家创建的。1958年设立计算机专业,1966年成立计算机系,1971年成立计算机系兼研究所,1999年成立计算机学院。 骨折金属固定术后能谱CT图像质量的初步研究 目的:探讨骨折金属固定术后能谱CT成像的图像质量。方法:对45例骨折金属固定术后复查的患者行能谱CT扫描(GSI)。用能谱分析软件(GSI Viewer)进行分析,所得数据进行120keV单能谱+MARS技术重建图像,后处理包括多平面重建技术(MPR)、容积显示(VR)和最大密度投影(MIP),对图像质量进行评价。结果:MPR、VR、MIP 3种重建技术所得图像质量均可满足影像学评价,图像质量为优良者平均达86.3%。基本无图像伪影占84.6%。结论:能谱CT扫描技术能够有效去除金属伪影和射线束硬化伪影,清晰显示骨折金属固定术后的细微结构,图像质量较高。 基于ZigBee和Android手机的分布式光伏电站监控系统 为实现分布式光伏电站中光伏组件的数据采集和远程控制,介绍一种基于Android智能手机和无线传感网的智能监控系统。该系统采用基于ZigBee协议的CC2430芯片作为无线通讯芯片,提供手机微信端查询服务。该系统结合无线传感网络技术、Web技术、数据库技术,使得分布式光伏电站监控更加智能、高效和低成本。 面向可重构计算系统的模块映射算法 为消除重构时间对可重构计算系统性能的影响,针对多重构模块,提出一种基于动态部分可重构技术的顺序型应用程序模块映射算法。利用动态可重构技术的高效性和灵活性,通过隐藏重构时间,达到减少程序执行时间和提高系统性能的目的。基于JPEG编码测试实例的实验结果表明,运用该算法实现的模块映射方案其程序执行速度是软件实现方式的3.31倍,是硬件方式的2.59倍。 基于加密技术的外包数据库服务集成安全 针对目前外包数据库服务中单方面考虑某种保护技术难以同时满足外包数据库安全需求的不足,提出一种集成数据机密性、数据隐私、用户隐私和访问控制保护的外包数据库服务模型,采用属性分解和部分属性加密技术,基于结合准标识集自动检测技术的近似算法实现外包数据的最小加密属性分解,同时把密码学应用于辅助随机服务器协议,以实现数据库访问时的用户隐私保护和访问控制。理论分析表明,该模型可以提供有效的数据隐私保护和查询处理,较好的用户隐私保护计算复杂度。 基于磁共振无线电能传输系统中三种结构线圈空间磁场分布研究 在磁耦合谐振无线电能传输研究中,采用何种线圈结构能使传输距离达到最远目前还没有一个定论。根据毕奥-萨伐尔定律理论推导了矩形、平面型和圆柱型等三种螺旋线圈的空间磁场分布表达式;提出一种求解磁场空间分布的新算法,并对结果进行了验证。绘出三种线圈在最大半径、匝间距及匝数一致时的空间分布三维图;比较三种不同结构线圈的空间磁场分布规律,为无线电能传输研究中采取何种结构线圈作为系统发送、接收线圈提供理论参考。 电子机构的信息流控制研究 "电子机构是解决自治主体间业务可信协同的一种开发框架,但其缺乏对信息流进行灵活控制的安全机制,可能会造成对机密信息的威胁。为此,在扩充电子机构语义的前提下,设计一种动态检测信息传递的安全模型。该模型根据强制访问控制的特点,依照级别标签来控制信息""不向下写"",对传输数据的安全级别进行单独赋值,确保电子机构中所有授权通信路径的安全。将该安全模型引入电子机构可有效防止信息的非授权泄露,提高机构的信息流安全性。" 面向姿态估计的组件感知自适应算法 针对姿态估计的结构化输出特点,提出一种领域自适应学习算法。建立一种组件感知的参数学习过程,根据目标的各组件调整自适应参数,提升模型的泛化能力。依据领域自适应算法特点引入基于主动学习样本选取策略,提升模型的学习效率。对特征分布差异的2种训练场景进行模拟实验,结果表明,该算法训练的模型在平均准确率上比传统学习算法提升6%~8%,比已有的自适应算法提升2%~4%,使用样本选取策略后,则进一步提升约2%。 下肢负重外骨骼机器人的初步设计 研究设计了一种能够增强人体负重的下肢外骨骼机器人,该负重外骨骼机器人具有8个自由度,可实现髋关节的外展与内收、屈/伸运动;膝关节的屈/伸运动以及踝关节的弯曲运动;根据人体步态分析研究出各个关节的运动角度范围,结合目标负重进行结构优化设计;对机器人的结构进行简化,建立了外骨骼机器人的连杆模型,根据其几何关系,采用D-H准则对外骨骼机器人进行了数学建模;以计算机、六轴运动控制卡和STM32为核心构建了控制系统,结合ZMP(zero moment point)零力矩点稳定性判据及三次样条插值进行了步态规划,并将此步态规划应用于样机上;样机实验结果表明,此结构能够满足不同体型的人进行穿戴,并能够根据规划的步态轻松行走,验证了其结构和控制系统的合理性。 基于直觉模糊遗传的武器—目标分配问题优化 "针对求解武器—目标分配(weapon-target assignment,WTA)问题的传统算法容易早熟和收敛较慢的缺点,提出一种直觉模糊遗传算法,采用模拟退火的Meta-Lamarckian学习策略和自适应变异,提高了求解WTA问题的效益和速度。首先考虑了WTA问题的各种约束条件,以剩余目标威胁最小和攻击伤害值最大为目标,建立了数学模型,定义了目标函数和约束函数的隶属度和非隶属度函数,通过""最小—最大""算子构建了直觉模糊WTA问题模型,并设计了模拟退火的Meta-Lamarckian学习策略和自适应变异,增强算法的局部寻优能力和后期收敛速度。通过算例仿真并与GA、PSO等算法比较分析,验证了该方法的有效性。" 维吾尔语情感词汇自动识别 针对维吾尔语情感词汇获取难度大、人工扩充情感词汇工作量大且效率低的缺陷,结合维吾尔语主观文本语料的具体特点,分析维吾尔语情感词汇在情感语料中表现的特征,建立维吾尔语情感词汇的特征模板,利用条件随机场模型实现维吾尔语情感词汇的自动识别方法。实验结果验证该方法能有效自动识别情感词汇,降低人工识别情感词汇的工作量。 考虑订单发货区域的物流配送调度问题研究 研究了一种考虑订单发货区域的物流配送问题,针对问题建立了两阶段多目标整数规划模型:订单车辆指派模型和车辆配送调度模型;基于对模型及问题特点的分析,构造了一种两阶段优化算法。第一阶段,基于聚类—约束满足优化算法为待发货订单指派最佳车辆;第二阶段,基于单亲遗传算法为车辆指定最佳的物流配送方案。数据仿真验证表明,通过模型和算法能够得到切实可行配送调度方案,该模型及算法是可行且有效的。 正则表达式匹配引擎性能分析 正则表达式具有强大的描述能力,在计算机领域,正则表达式匹配技术应用十分广泛。目前,已经有多个正则表达式匹配引擎,在实际应用中,对于不同的匹配规则集和正则语法,不同的匹配引擎会有不同的性能表现。本文通过对PCRE、Greta、Boost、RE2四种常用正则表达式匹配引擎的性能测试,给出在不用的正则语法情况下的匹配速度,并深入分析不同坏境下适用的正则表达式匹配引擎。对实际系统设计中正则表达式库的选择有指导意义。 基于SOPC技术的集成电路芯片自动测试系统 介绍一种基于SOPC(可编程片上系统)技术实现的集成电路芯片自动测试系统,采用支持NIOSⅡ软核的Cylone Ⅱ EP2C35器件为主要部件,并将测试结果通过LCD液晶显示器显示出来。将此系统用于测试74系列中、小规模集成电路芯片,达到了很高的精度,而且可以利用FPGA软、硬件的可编程性,灵活地实现对其它系列器件的测试。 校园网络故障用户自助排查技术的探讨 为了更好地实现高校校园网络的管理,针对校园网络中出现的常见故障及问题,提出用户共同参与到校园网络故障的管理中来,并将用户自助排查技术作为研究的重点,从硬件、软件、无线网络等方面对故障的排查与解决进行了探讨,这为进一步提高校园网络的应用水平、强化精致管理奠定了基础。 大型多层次数据库外层数据传输同步加密仿真 在外层数据加密通信中,接收方需要通过数据传输同步来实现精确的解密并恢复出外层数据,而当前方法对保密通信的同步外层数据传输加密采用分组密码算法,将随机数作为序列密码算法的密钥种子,对不同的传输路径没有进行密钥协商,接收方无法通过数据同步来实现精确的解密并恢复出外层数据,降低了外层数据传输量,加密性能不好。为了解决外层数据传输加密、解密过程中的同步问题,提出一种基于Diffie-Hellman算法的大型多层次数据库外层数据传输同步加密方法。综合考虑外层数据传输往返时延和丢包率对吞吐量的影响,根据最优策略动态地选取不同的路径进行外层数据传输。利用Diffie-Hellman算法进行外层数据传输路径密钥协商,并根据选取的外层数据传输路径发送给接收方,协商出一个安全的会话密钥。发送方采用该密钥加密外层数据并将获得的密文分片经多路传输。实验结果表明,所提方法有效提升了吞吐量,加解密时间较短,实现了数据传输同步加密。 基于多Agent免疫算法的智能配电网自愈技术研究 未来智能电网的显著特点是其良好的互动性以及完备的自愈能力,体现了整个电网的技术水平及智能化程度,侧面反映了国家电力系统的发展状况;而智能配电网却是未来智能电网的核心部分,它与用户进行直接的双向互动,这其中涉及到大量分布式电源的接入问题,完备的自愈能力则体现在配电网故障恢复的这一重要环节,是一个多目标、多时段、多维度的非线性的组态优化问题,关系到对用户的供电质量;多Agent技术在计算机网络自愈方面具有得天独厚的优势,国内外学者对此做了大量研究;将多Agent免疫算法引入到智能配电网自愈系统的研究中,作为配电网人工智能的故障恢复策略,搭建了基于多Agent免疫算法的配电网自愈架构,并通过仿真平台上的实验证明了将多Agent免疫算法应用到未来智能配电网自愈系统中的可行性。 基于直线先验知识的工件缝隙水平集分割方法 焊缝识别和分割是实现自动化焊接的重要环节。点焊过程产生的焊疤及药皮燃烧产生的喷射物,会在分割图像中产生严重噪声,从而影响焊缝分割的效果。考虑到实际应用中焊缝呈现直条型,本文提出一种基于直线先验形状信息的水平集分割方法,将缝隙的曲率变化作为先验信息正则化主动轮廓模型,过滤掉伪焊缝,提高了算法的鲁棒性。与传统的CV模型和改进的LBF模型相比,本文所提方法具有更好的分割效果和更高的准确率。 水下机器人三维可视化控制系统 研究水下机器人可视化系统问题,由于海洋作业情况复杂,存在弱观测、大时滞环境中机器人的稳定性和实时性差,控制显得尤为困难。针对目前可视化系统开发难度大、周期长的缺点,提出了一种WPF技术的三维可视化系统的设计方案,包括3DSMAX几何建模,Expression Blend 2三维可视化实现和Visual Studio 2008控制代码设计。结果表明,采用改进的泛化图形环境的方法为水下机器人可视化系统的实现提供了一种高效、简易的途径。经水池实验证明,系统能够对水下机器人进行实时可视化显示并完成操控任务。 基于ISSMFA与LMPNN算法的高光谱遥感影像分类 通过地理空间近邻关系改进半监督边际Fisher分析方法,并结合局部平均伪近邻(LMPNN)方法,提出一种高光谱遥感影像分类方法.首先在半监督边际Fisher分析的本征图构建中,融入地理空间位置的近邻信息,赋以更大的权重,从而增强空间邻域像元的紧密性,同时保持原高维空间中数据的类内结构和类间结构,将高光谱数据从高维空间映射到低维空间.然后充分考虑样本点周围多个近邻点的类别信息,利用LMPNN算法对低维空间中的数据进行分类识别.通过在Indian Pine数据集和Pavia U数据集上的实验,结果表明,本文提出的方法能够针对高光谱数据的空-谱特点,有效解决地物点的多分类问题,并且取得较高的分类结果. 基于改进人工蜂群算法的K均值聚类算法 针对K均值聚类(KMC)算法全局搜索能力差、初始聚类中心选择敏感,以及原始人工蜂群(ABC)算法的初始化随机性、易早熟、后期收敛速度慢等问题,提出了一种改进人工蜂群算法(IABC)。该算法利用最大最小距离积方法初始化蜂群,构造出适应KMC算法的适应度函数以及一种基于全局引导的位置更新公式以提高迭代寻优过程的效率。将改进的人工蜂群算法与KMC算法结合提出IABC-Kmeans算法以改善聚类性能。通过Sphere、Rastrigin、Rosenbrock和Griewank四个标准测试函数和UCI标准数据集上进行测试的仿真实验表明,IABC算法收敛速度快,克服了原始算法易陷入局部最优解的缺点;IABC-Kmeans算法则具有更好的聚类质量和综合性能。 结合信任的Web Services属性访问控制模型 针对目前Web Services访问控制模型中存在访问控制粒度较粗、授权不灵活及请求者的行为可信性不固定等缺点,设计了一种新的WebServices属性访问控制模型,并在该模型中引入一种改进的信任评估算法。该算法用来衡量WebServices请求者的信任值,提出了一种结合信任的Web Services属性访问控制模型——T-WSAACM(web services attribute access controlmodel combined with trust)。模型及算法分析结果表明,该模型不仅有效地阻止了不可信的Web资源请求者,使得Web Services的安全性得到进一步增强和保障,而且可以依据Web Services请求者不同的信任等级授予强弱级别的权限,这种灵活的权限授予机制更能满足实际的需求。 改进的人工免疫垃圾邮件过滤算法 针对AISEC模型中自体库和基因库生成效率不高的弊端,提出基于词频和MI互信息的自体库和基因库生成算法,同时对自体库和基因库的更新策略进行改进。实验结果表明,应用改进后的算法至少可以将邮件分类时间缩短10%,同时在虚报率方面得到了明显改善。 基于双曲正切的非线性跟踪微分器设计 针对目前跟踪微分器所存在的函数形式复杂、参数多、整定繁琐及输出抖振等问题,设计了基于双曲正切函数的非线性跟踪微分器(TANH-TD)。该微分器函数形式简单,需整定的参数少,整定容易,而且由于双曲正切为光滑连续函数,消除了输出抖振问题,并通过理论推导,证明了该跟踪微分器的收敛性。通过仿真实验在给出了TANH-TD参数整定原则与取值范围的同时,也验证了该方法在跟踪性能方面具有快速、准确的特点,同时在滤波和微分提取方面效果也较为满意。 一种面向下一代互联网的广域网智能存储系统 广域网智能存储系统针对下一代互联网数据急剧增长、网络资源难以管理和使用的问题,采用多层次、可扩展的分布式存储模式,从改进体系结构着手来提高网络存储系统的性能。其存储管理遵循存储管理计划规范(SMI-S),并针对复杂网络环境下的元数据管理和数据传输问题,提出了有效的负载均衡策略和高速安全的存储中间件解决方案,不仅降低了存储管理开销,加快了数据传输速度,同时也实现了命令与数据分流、扩容与增速同步的目标,从而大大提高了整个存储系统的性能。 封闭式地面火炬燃烧场及烟羽性质的建模方法研究 针对地面火炬安全应用缺乏理论依据的现状,为获取封闭式地面火炬燃烧场源项,以确定其扩散和热辐射危害,开展封闭式地面火炬燃烧场及烟羽性质的建模方法研究。以地面火炬整体为对象,将其有序分解为原料气/空气、燃烧、烟羽三部分,通过理论分析分别形成理论化模型。应用MATLAB编程求解模型,应用于典型封闭式地面火炬实例,结果表明该模型能够有效获取地面火炬出口参数,并在静风条件下确定任意高度时烟羽半径、温度、密度、组成等关键参数分布,为封闭式地面火炬烟羽扩散和热辐射危害提供可靠的源项和基础数据。 基于GPU数据库系统的并发查询性能优化 随着通用图形处理器(GPGPU)计算技术的流行,利用GPU的并行计算能力优化查询执行的性能成为数据库方向的研究热点。现有的研究成果能够利用GPU的高性能计算能力,通过查询任务间协同进行GPU资源管理的机制,支持并发的查询请求,有效提升GPU的资源利用率。但是这种系统架构中由于各查询任务单独管理GPU资源带来重复开销,并且过度使用PCIe总线的数据传输带宽,导致GPU的整体资源利用率仍然较低。Hyper Qx-GPU是一种GPU内存数据库系统新的软件架构设计与实现,该系统通过共享CUDAContext和数据库列存储数据等方式,来提升GPU资源利用率。实验结果表明,相比于当前的GPU数据库系统,Hyper Qx-GPU能够达到平均12.0倍的性能提升。 一种基于智能手机传感器的行人室内定位算法 智能手机及其内置惯性传感器的普及可实现室内行人航位推算,但是由于人行走的随意性以及智能手机内置传感器精度不高,使定位精度难以满足应用要求。为此,在分析行人行走模式的基础上,基于智能手机传感器提出一种新的行人航位推算算法。对采集到的原始加速度数据进行预处理,采用基于有限状态机的行走状态转换方法识别行走周期并进行计步,利用卡尔曼滤波,结合步长-加速度关系以及连续两步步长之间的关系对步长进行估计。实验结果表明,该算法能够准确计算步数和步长,从而获得精确的室内定位结果。 移动通信网络中继节点部署优化方法研究 对移动通信网络中继节点进行有效部署,可优化移动通信网络性能,降低组网开销。进行中继节点部署必须建立在对网络节点能量消耗准确分析的基础上,但是传统的贪婪部署方法在移动通信网络在节点的邻近节点中选择离sink最邻近的节点转发数据,依据返回具备最小跳数的路径,对网络中继节点进行部署,没有具体的对移动通信网络节点能量进行分析,无法获取中继节点在移动过程中所消耗的能量,存在网络寿命较短的问题。提出一种移动通信网络中继节点部署优化方法,该方法首先设定网络中无移动中继节点时,组建网络节点能量消耗模型。基于最优路由树算法对静态节点组建数据传输路径,并融合贪婪算法完善静态路由树的拓扑结构,使得路由树的拓扑结构收敛于最优位置。完成对移动通信网络中继节点的部署。仿真结果表明,所提算法可以精确地对移动通信网络中继节点进行有效的部署。 密度峰值优化初始中心的K-medoids聚类算法 针对快速K-medoids聚类算法和方差优化初始中心的K-medoids聚类算法存在需要人为给定类簇数,初始聚类中心可能位于同一类簇,或无法完全确定数据集初始类簇中心等缺陷,受密度峰值聚类算法启发,提出了两种自适应确定类簇数的K-medoids算法。算法采用样本x i的t最近邻距离之和倒数度量其局部密度ρi,并定义样本x i的新距离δi,构造样本距离相对于样本密度的决策图。局部密度较高且相距较远的样本位于决策图的右上角区域,且远离数据集的大部分样本。选择这些样本作为初始聚类中心,使得初始聚类中心位于不同类簇,并自动得到数据集类簇数。为进一步优化聚类结果,提出采用类内距离与类间距离之比作为聚类准则函数。在UCI数据集和人工模拟数据集上进行了实验测试,并对初始聚类中心、迭代次数、聚类时间、Rand指数、Jaccard系数、Adjusted Rand index和聚类准确率等经典聚类有效性评价指标进行了比较,结果表明提出的K-medoids算法能有效识别数据集的真实类簇数和合理初始类簇中心,减少聚类迭代次数,缩短聚类时间,提高聚类准确率,并对噪音数据具有很好的鲁棒性。 四旋翼无人机飞行姿态模型参考自适应控制 四旋翼无人机具有机械结构简单、可垂直起降等优势,在各个领域都有极广泛的应用.经典控制算法如PID对无人机在受扰动或环境变化时的控制效果不理想,难以调节至平衡状态.论文对动力学建模加以适当简化,设计了自适应控制律,数值仿真实验结果表明,模型参考自适应控制能在很大范围内有效屏蔽干扰,验证该算法是有效的. 基于自适应马氏距离的模糊c均值算法 经典的模糊c均值(FCM)算法是基于欧氏距离的,它只适用于球型结构的聚类,且在处理高维的数据集时,分错率增加。针对以上两个问题,提出了一种新的聚类算法(FCM-M),它将马氏距离与模糊c均值相结合,并在目标函数中引进一个协方差矩阵的调节因子,利用马氏距离的优点,有效地解决了FCM算法中的缺陷,并利用特征值、特征矢量及伪逆运算来解决马氏距离中遇到的奇异问题。通过数据聚类和图像分割两组实验,证实了该方法的可行性和有效性。 GPS盲区三维定位技术的研究 研究基于MEMS的加速度传感器的原理,对组合导航系统的工作原理和关键技术进行分析。采用惯性导航算法获得三维移动的位移,并且结合GPS系统定位,研制出GPS盲区内移动目标的三维定位终端;实现了GPS盲区的较高精度和可靠性的三维移动物体定位,有较好的容错性和环境适应性,具有实际使用价值。 主成分分析在小麦条锈病预测中的应用 "影响因子过多,不但会引起数据""维爆炸"",而且会降低预测精确度,为了解决该问题建立了基于主成分分析的BP神经网络模型。首先阐述了主成分分析法的原理与步骤,然后分析了陕西省汉中地区1974~1997年小麦条锈病病情及相关气象资料,得出影响其流行的主要成分,最后利用得到的主要成分作为BP神经网络的输入,对汉中地区1994~1997年小麦条锈病流行情况进行预测,并与未进行主分分析而建立的全要素BP网络模型进行比较。实验结果表明,该模型可以快速准确地预测小麦条锈病的流行程度,有效地减少小麦产量损失。" 自适应权重CV模型在超声相控阵图像分割中的应用 图像分割是超声相控阵无损检测图像三维重建的关键环节,分割精度和效率是图像三维重建准确性与实时性的重要保障。由于超声相控阵无损检测图像中含有大量噪声且灰度不均匀,在使用传统的CV模型分割检测图像时采用固定迭代步长,这导致分割效率较低且精度不高。本文利用分水岭算法过分割的特性,在对图像做分水岭变换后,统计各区域中的像素数目与灰度信息,从而得到一个权重矩阵并引入CV模型中,得到一种自适应权重CV模型。在水平集函数迭代过程中,权重矩阵可以根据图像信息自适应调整迭代步长。实验表明,与CV模型和LBF模型相比,本文提出的权重CV模型在分割超声相控阵无损检测图像时,具有更高的效率和分割精度。 基于广义特性演进的复杂产品设计过程模型 为指导产品设计过程的展开,提出了一种基于广义特性演进的复杂产品设计过程模型,从广义组件演进与广义特性演进两条并行主线对产品设计过程进行定义与描述。通过演进模型向演进活动分解、演进活动IDEF0建模,提出了统一的广义组件演进过程模型;通过分析归纳广义特性识别、分解、映射、排序、聚类、冲突协调和优化等广义特性演进活动,提出了广义特性演进过程模型。基于该模型开发了浏览器/服务器架构的复杂产品设计支持系统,并结合雷达设计开发过程,得到了广义组件和广义特性演进网络,对该模型进行了验证。 基于MSCR的快速行人重检方法 针对视频监控系统数据量大的现实情况,提出一种快速行人重检方法,旨在提高检索速度。依据对称性和非对称性原则分割图像中的行人,在此基础上检测人体各部分的最大稳定色彩区域;以人体分割为依据分组,对每组的所有MSCR特征描述子分别进行K-means聚类,构建基于聚类中心的视觉词汇词典和倒排索引,以加速行人重检的匹配过程。实验结果表明,和已有方法相比,该方案在保证检索准确性的前提下具有更高的检索速度。 智慧轨道交通全联网(SRT-IoT)——更广泛的互联互通 "以轨道交通行业为背景,以智慧轨道交通(SRT)为对象,研究智慧轨道交通""全联网""(IoT)的基本组成框架和关键技术。将IoT置于下一代Internet背景之中,提出了以""智慧轨道交通骨干通信网""(SRT-BCN)为核心、以""基础接入网-资源网络""为轨道交通信息源和受主网络的""智慧轨道交通全联网(IoT for SRT,SRT-IoT)""的系统组成框架。针对轨道交通行业的特点,进一步将智慧轨道交通基础接入—资源网中与轨道交通有关部分划分为""列车接入—资源网""(TARN)和""地面接入—资源网""(GARN),并分析智慧轨道交通骨干通信网(SRT-BCN)和外围接入/资源网的特点,讨论相关的关键技术。重点讨论了与列车相关的TARN技术,得出需要进一步发展TARN相关网络技术的结论,为实现SRT-IoT更广泛的互联互通奠定研究基础。" 数据挖掘取样方法研究 取样是一种通用有效的近似技术.在数据挖掘研究中,取样方法可显著减小所处理数据集的规模,使得众多数据挖掘算法得以应用到大规模数据集以及数据流数据上.通过对应用于数据挖掘领域的代表性取样方法的比较研究和分析总结,提出了一个取样算法分类框架.在指出了均匀取样局限性的基础上阐述了某些应用场景中选用偏倚取样方法的必要性,综述了取样技术在数据挖掘领域的应用研究与应用发展,最后对数据流挖掘取样方法面临的挑战和发展方向进行了展望. 基于特征选择技术的顾客需求重要度确定方法 针对专家决策和层次分析法等方法计算顾客需求重要度具有的主观性,根据不同配置的产品服务组合方案需求属性及整体满意度数据,采用基于条件概率的特征选择技术识别关键顾客需求,计算其基本重要度,并基于规则分析需求的Kano属性用于重要度调整。顾客需求竞争性重要度分析通常基于企业间的相对比较,忽视了本企业顾客需求的实际表现,其准确性较差。结合最大偏差方法和需求的实际表现计算顾客需求的竞争性重要度,依据顾客需求的Kano属性予以调整,采用加权算术平均方法整合顾客需求基本重要度和调整后的竞争性重要度来计算最终重要度。以挖掘机产品服务组合方案开发过程中顾客需求重要度的计算为例,验证了所提方法的有效性。 基于Fisher分和支持向量机的特征选择算法 网络入侵数据集中存在的大量冗余和噪声特征严重影响检测系统的性能。针对该问题,提出一种基于Fisher分和支持向量机的入侵特征选择算法。通过对各维特征的Fisher分值排序,结合支持向量机分类算法,建立特征分类模型,筛选出具有最高检测率与误码率比值的最优特征组合。仿真结果表明,该算法筛选出的特征组合具有较高的检测率和较低的误码率,有效降低了检测系统的建模时间和测试时间,提高了系统性能。 基于平衡权重和改进TOPSIS的航空发动机装配任务优先度评价方法 为解决装配任务优先度定性评价效果差、多因素协调困难等问题,提出一种基于平衡权重和改进逼近理想解排序的装配任务优先度评价方法。依据任务属性的关联支配关系建立了综合评价层次模型,给出了指标量化方法;分别采用梯形模糊标度粗糙层次分析法、变异系数法确定主客观权重,建立主客观权重贡献趋于均衡的权重平衡模型以组合赋权;用Kullback-Leibler散度替代欧氏距离的改进逼近理想解排序来对评价对象的加权指标值进行评价并排序。通过实例应用验证了该方法的正确性和可行性。 真空注型智能质量控制方法及系统实现 针对传统真空注型工艺参数的设定大多依靠人为经验而导致浇注件的质量难以控制的问题,考虑到真空注型工艺过程为典型的多变量非线性的间隙工业过程,结合实例推理、神经网络和模糊推理技术,构建真空注型智能质量控制系统。系统采用模块化结构,其中实例推理模块主要利用实例推理技术实现类似浇注实例工艺参数的自动检索;神经网络模块主要利用神经网络技术建立浇注件几何特征和工艺参数的关系模型,从而实现对新浇注实例工艺参数的智能推荐;模糊推理模块主要采用模糊推理技术实现工艺参数的智能修正。通过将该系统用于自制的真空注型物理样机验证了所研究的理论方法的可行性,以及所开发系统的高可靠性。 基于攻击规划图的实时报警关联方法 针对报警因果关联分析方法存在无法及时处理大规模报警且攻击场景图分裂的不足,提出一种基于攻击规划图(APG)的实时报警关联方法。该方法首先给出APG和攻击规划树(APT)的定义;其次,根据先验知识构建APG模型,并提出基于APG的实时报警关联方法,重建攻击场景;最后,结合报警推断完善攻击场景和预测攻击。实验结果表明,该方法能够有效地处理大规模报警和重建攻击场景,具有较好的实时性,可应用于分析入侵攻击意图和指导入侵响应。 混沌理论在应答器信号检测中的应用研究 针对在强噪声环境中传输的应答器上行链路信号难于检测的问题,基于混沌系统对噪声免疫的特性,将混沌Duffing振子用于应答器上行链路信号检测中。结合Duffing振子检测微弱信号的原理和上行链路信号的特点,给出了利用Duffing振子检测应答器上行链路信号的方法和步骤,进行了仿真验证。仿真结果表明,利用Duffing振子系统检测应答器上行链路信号是可行的,并且具有很好的抗噪性能。 基于染色Petri网的BGP连接过程模型 边界网关协议(BGP)缺少形式化分析,为此,根据RFC 1771,针对2个BGP路由器间连接建立过程,使用染色Petri网建立层级模型。通过交互式仿真观察所建模型行为和预期行为是否发生偏离。判定行为偏离发生的原因,修改模型直到偏离消失。求解模型的状态空间,并验证BGP连接过程的无死锁性和公平性。 MPI Alltoall通信在多核机群中的优化 MPI Alltoall是一种重要的集合通信.在多核机群中,一个节点内的多个进程同时参与Alltoall通信.一方面,这些进程可以利用共享内存优化通信性能.虽然当前基于首进程的方法利用共享内存提高了Alltoall小消息通信的性能,但由于采用固定数目的首进程,这些方法不能使所有不同长度的小消息都获得最优性能.另一方面,这些进程需要竞争节点内有限的网络资源.在Alltoall大消息的通信中存在许多个同步消息.然而竞争导致同步消息的延迟增大了数十倍,同步开销不可忽略.针对这些问题,提出了两种不同的优化方法.对于Alltoall小消息通信,PLP方法根据小消息的长度采用不同数目的首进程;对于Alltoall大消息通信,LSS方法将同步消息的总数从3N减少到2N(1/2).相关实验结果验证了这两种方法.对于小消息,PLP方法总是可以获得最优的性能.对于大消息,LSS方法获得的性能提升比例几乎为常数,并且与系统的规模无关;其中32KB和64KB消息的性能提高了25%. 嵌入MAC层的802.11自主安全接口模块 分析无线局域网近年来的发展特点及其在安全方面存在的不足。针对802.11中安全协议的不足,自主设计开发了嵌入MAC层的802.11自主安全接口模块。在详细分析802.11相关源代码的基础上,通过套接字缓冲区机制与内核模块机制实现可动态加载的内核模块。在模块中透明实现可自主扩展密钥的数据加密算法、基于HMAC-MD5的数据完整性校验算法以及密钥的专用设备存储与实时更新管理功能。最后,利用自主安全接口模块搭建了实际环境下的安全WLAN系统并对系统安全功能及性能进行了定性、定量两个方面的测试。测试结果表明加载自主安全接口模块后的WLAN系统实现了自主的安全增强功能,在性能上也取得了较好的结果(吞吐率/传输速率损失控制在15%以内)。 面向方面软件体系结构适应性度量方法 基于对软件体系结构适应性评估方法的研究与分析,提出了面向方面软件体系结构适应性通用度量过程;结合功能点方法以及AC2-ADL特征,扩展了软件体系结构适应性度量指标;在保险系统案例中,度量并分析该案例的面向方面软件体系结构适应性,验证了度量过程以及扩展后的度量指标的有效性. 基于LZSS的SIP压缩算法改进研究 3GPP在R5版本中首次提出了IP多媒体子系统(IMS)的概念,SIP协议被选择为呼叫会话控制协议。由于SIP是基于文本的协议,在会话过程中需要重传大量的数据,导致在会话建立过程中时延的增加。文中在分析现有的SIP信令压缩算法的基础上,提出了一种带有预处理的LZSS与算术编码相结合的改进算法。首先对消息进行预编码,然后进行LZSS压缩,压缩完后与算术编码相结合,这种改进后的算法减少了信令传输延迟。文中运用典型的SIP会话环境进行仿真实验,其结果验证了改进算法能够有效地提高性能,缩短消息长度和减小传输时延。 可信密码模块的密钥服务兼容性研究与实现 可信密码模块TCM芯片提供了非对称算法ECC以及对称算法SMS4来支持密钥机制,它与国际可信计算组织TCG推出的可信平台模块TPM功能相同,但密码算法和密钥管理不同,导致了密钥功能可信应用的兼容性问题。分析两种芯片的密钥特点和可信软件栈的密钥管理方式,提出了可信软件栈TCG服务提供者层TSP与TCG核心服务层TCS的重构方案,以及基于密钥生成流程的兼容方案,以解决密钥服务兼容问题。 基于单片机的光电编码器位置检测系统设计 为了实现对机床设备运行状态的实时监测,设计了一种基于单片机的光电编码器位置检测系统;系统采用高性能的AVR单片机对编码器的两相位置信号进行鉴相及四倍频处理,然后通过MCS-52单片机的T2计数器进行硬件计数,同时使用MCS-52单片机的串行端口将位置数据传送至上位PC机中;采用VB6.0软件设计上位机的监控界面,实时动态显示各种编码器的位置数据;试运行结果表明,该设计不仅电路简单,且计数精度高、响应速度快,达到150kHz,适用于各种位置及角度检测场合。 基于最大熵模型的观点句主观关系提取 提出一种提取中文观点句中评价对象和评价词主观匹配关系的方法。分析观点句中评价词和评价对象的词性、词语位置,通过句法分析获取语义特征,将2类特征应用于最大熵模型,提取观点句的主观关系。实验结果证明,与取距离评价词语最近的词作为评价对象的Baseline方法相比,该方法大幅度提高了准确率和F测试值。 乙炔法氯乙烯反应器一维二维模型对比 根据氯乙烯合成反应的动力学机理,运用化工过程系统模拟方法,对乙炔法合成氯乙烯的固定床反应器分别建立一维和二维数学模型。针对2种模型的形式和特点,采用适当的数值计算方法,对现有设备进行模拟,得出反应器的床层轴向温度和转换率分布图。在改变进料量的情况下,对比研究2种模型对反应器的特征表达有何异同,分析了各种模型优劣。 基于前景分割的目标实时检测方法 "针对基于单一颜色信息的目标分割算法易受光线因素影响的问题,提出一种颜色及深度信息融合进行前景分割的目标实时检测方法。采用Kinect传感器采集低成本深度(RGB-D)图像,利用改进的ViBe算法及多帧差分法分别对于RGB以及深度图像进行建模。前景分割后,利用选取基准(SC)融合策略优化目标结果,然后通过rg Chromaticity颜色模型计算前景区域直方图信息并与模板匹配完成目标标记。实验结果表明,该方法对于环境光线及噪声干扰具有一定的鲁棒性,对于ViBe算法中背景前景同色误检及""鬼影""现象,对于深度图像分割中前景背景距离过近而造成误检现象都有很好的识别效果。" 通用的软件产品线领域与应用特征模型演化同步框架 软件产品线领域特征模型和应用特征模型都会发生独立的演化,在产品线的整体演化过程中必须使其始终保持一致,然而,分别为基于不同描述方式的特征模型创建同步设施往往费时、容易出错。因此,提出一个通用的软件产品线领域与应用特征模型的演化同步框架,该框架提供一套统一的特征模型元模型描述方式,并且基于该元模型提出了演化同步规则。不同的软件产品线开发组织使用该框架时仅需定义特定的特征描述与通用描述方式之间的转换。最后,通过一个实例对框架的可用性进行了验证。 基于像素点的碎片图像拼接技术 针对一页规则的既纵切又横切的中文文件碎片和一页规则的双面打印的英文文件碎片,分别提出了一种基于行列图像的碎片匹配算法和二维图像成片连接匹配算法。首先将碎片边缘像素点转化成灰度值,然后对各碎片的灰度值进行匹配度研究,且将匹配度作为碎片之间拼接的依据,最后使用相应的算法计算机按碎片拼接顺序输出碎片号。通过实验分析表明,该算法能够更快地获得碎片拼接结果,大大提高拼接复原效率。 基于亮度质心不变矩的配准描述子方向 为提高图像配准方法中描述子方向的计算精度和效率,设计基于亮度质心不变矩的轴向密集插值法和面积积分法。配准前,将复杂计算融入系数矩阵中,实现配准实验系统。实验结果表明,两种方法的计算速度均比SURF快4倍;面积积分法的角度计算精度在全方位上比SURF算法和轴向密集插值法更加均衡,对实验图像配准的重复率也达到SURF水平;轴向密集插值法在45°方向附近有着远高于SURF算法的表现,但在其它角度上表现不稳定,提示了通过优化系数矩阵提高方向矢量精度的可能性。 一种装备维修辅助系统设计 提出了一种便携式装备辅助维修系统的设计方法,从硬件及软件两个方面详细阐述了辅助维修系统的设计;该系统具有开放性的特征,可任意完成多种装备维修信息的录入和导出,具有电子教材、故障诊断、维修指导、工况信息读取、保养检查、器材管理等功能,该系统硬件上具有抗冲击振动、耐高温低温、操作简单方面,符合部队的野外使用需求;该系统软件采用开发式的基于工作流的结构设计,可任意添加不同装备的故障诊断、维修指导流程,可以完成多种武器装备辅助维修等特点。 软件部件仿真测试平台的设计与实现 针对软件部件仿真测试执行模式单一、输入/输出不同步、定时调度时序不稳等问题,提出一种基于宿主机的软件部件仿真测试平台的设计方案。以计数循环调度简化软件部件的定时调度,将输入数据与执行结果同步记录在输出文件中,支持直观检验输入/输出关系的正确性。该平台支持4种部件测试自动化执行模式,保证了部件调度时序的正确性,提高了仿真效率。 基于神经网络的无人机传感器故障诊断技术研究 无人机传感器的故障诊断和容错控制是一项关键技术,为了能够实时监测传感器的运行状态、快速定位故障和控制重构,文章采用BP神经网络设计了一种由主、从神经网络构成的无人机传感器故障诊断算法,其中主网络用于传感器的故障检测,从网络完成对故障的识别;该算法减少了故障诊断运算量,提高了故障诊断的实时性;通过仿真研究表明了该算法可以有效地检测、识别出故障,并能给出故障传感器估计值用于容错控制。 中国电子推出两款国产重量级芯片产品 "[正文]2015年3月26日,中国电子信息产业集团有限公司对外发布了""智桥""SDN智能高密度万兆交换芯片CTC8096和FT-1500A系列CPU处理器。两款国产高性能芯片的问世,对于保障我国网络信息安全具有重要意义。CTC8096为我国自主研发的第四代交换芯片,其交换能力是三代芯片的10倍,具有性能优、功能强、功耗低和高可靠、高性价比等显著特点,整体达到国际先进水平,部分技术指标国际领先。该芯片的问世,可使我国网络产品摆脱对国外主流交换芯片的依赖。FT-1500A系列CPU处理器具有高性能、低功耗等特点,关" 基于SOA的企业应用集成技术研究与实现 "企业应用集成是指对企业中完成不同业务功能的应用系统进行集成,建立起可供数据交流和应用沟通的纽带,并使系统间的信息交互成为可能。结合上海宝钢""宝时达""企业集控管理系统的研发,介绍了基于面向服务体系结构(SOA)的企业应用集成技术及其应用。讨论了基于SOA的单点登录、工作流引擎及异构数据交换等技术,论述了其工作原理与关键技术,并给出了基于SOA的企业应用集成的具体实现方法。" 一种虚拟阵列扩展解相干的DOA算法 在移动通信阵列信号处理中,由于多径传播等因素的影响,存在大量相干信号源,现有解相干DOA算法大多会损失阵列的有效孔径,而阵列孔径大小直接限制了空间分辨率及所能估计的信号源个数。针对上述情况,提出一种虚拟阵列扩展的改进双向空间平滑算法(Virtual-array SS,VSS),利用入射信号的非圆对称性,阵列扩展后得到新的虚拟阵列,并做相应的预处理后再利用双向空间平滑算法对该虚拟阵列进行处理。大量仿真结果表明,VSS算法是一种具有高分辨率、高统计稳定性、计算量相对增加较小的解相干DOA算法。 计算机入侵取证中的入侵事件重构技术研究 针对计算机入侵取证中计算机证据具有易删改、易丢失、来源众多、内容繁杂等特点,论述入侵事件重构技术的最新发展状况,从系统应用层对象/事件和操作系统层对象/事件2个方面分析入侵重构的主要证据来源,介绍现有入侵事件重构中主流的重构工具,研究常用的入侵事件重构方法,包括基于时间戳的日志分析、语义完整性检查、基于操作系统层对象的依赖追踪技术、基于有限状态机模型的事件重构模型等,总结各种方法的优缺点。在重构效率、重构误报率、证据可信度、证据真实性和重构环境等方面对入侵事件重构方法进行比较,讨论入侵事件重构技术未来的研究前景。 基于软硬系统综合方法的软件失效问题分析 文中综合硬、软系统方法论,提出了软硬系统综合方法,并应用该方法寻找软件失效问题的解决方案。通过逐步分析发现,基于软件体系结构开发软件可靠性与维护性测试系统是确保软件质量的有效途径,最终确定了问题的研究方向——软件可靠性与维护性测试系统的软件体系结构设计。 四种群交错扩散模型解的一致有界性 应用能量估计方法和Gagliardo-Nireberg不等式证明一类四种群捕食者-食饵交错扩散模型在一维空间中非负整体解的存在性和一致有界性。 4GS/s-12bit ADC内置数字下变频器(DDC)的ASIC实现 本文提出了一种适合ASIC实现的可编程的数字下变频器(DDC)设计方法,该DDC嵌入于4GS/s-12bit ADC中,能够处理频率为4GHz的输入信号,并提供抽取因子分别为4、8、16、32的降采样功能.设计的DDC由一个基于CORDIC算法实现的数控振荡器(NCO)和一个全半带滤波器(HB-FIR)级联结构的抽取滤波器组组成.优化半带滤波器系数和各级数据精度,提出多种改进结构优化设计,有效减少硬件开销.基于40nm CMOS工艺,完成数字下变频器的前端设计和后端实现,并进行了流片.仿真结果显示,该设计可以在500 MHz的工作时钟频率下达到设计目标,抽取因子为4模式下,最大无衰减通带带宽可达420MHz,版图面积1550*650μm2,0.9V工作电压,功耗为180.69mW.验证了该设计方法适合于高速高精度数字信号的2n下变频. 基于语义的物联网电子商务网购商品溯源算法 电子商务网购产品的假货、水货现象严重,如何利用现有的物联网实现产品溯源是个重要的研究课题。文中将传统溯源算法、公共密钥加密算法、语义路由的思想相结合,提出一种基于语义的电子商务网购产品溯源算法。配合查询算法,可快速判断网购商品身份。该算法中,一个感知节点只需要关心自己通信范围内的邻居节点,不需要知道整个网络的状况。仿真结果表明,算法具有冗余数据少、能耗小、响应快速、实现简单等特点。 基于MatLab的海量数据处理方法 MatLab是MathWorks公司推出的一种科学计算软件,在使用MatLab进行数据处理过程中,常常会用到循环语句逐点处理数据,当数据量巨大时,经常会出现耗时长久的情况。将循环运算转换为矩阵运算,充分利用MatLab高效率的矩阵运算特点,可以缩短数据处理时间。通过一个算例分析了创建大容量矩阵的方法:如扩大内存空间、采用短字节数值数据类型等。算例运行结果表明,通过分配更多的内存空间进行大容量矩阵运算,可以显著缩短数据处理的时间,从而实现空间换时间的目的。 《计算机系统应用》稿约 [正文]《计算机系统应用》(CN-2854/TP,ISSN1003-3254)创刊于1992年,是中国科学院主管、中国科学院软件研究所主办的、面向国内外公开发行的技术性、应用性科技核心期刊。本刊的办刊宗旨是宣传推广信息技术在各行各业的应用。重点是宣传介绍计算机应用系统的建设(包括系统的规划、设计与开发等方面)、信息技术的应用研究与开发成果以及相关技术的分析,探讨与应用。 Nutch中网页排序效果的改进方法 Nutch是一个Java实现的开源搜索引擎。针对目前Nutch对中文进行单字切分且没有实现PageRank计算的缺点,改进PageRank算法,设计并实现基于MapReduce的PageRank计算方法,对Nutch中文分词进行改进,加入JE中文分词器。实验结果表明,改进后的Nutch具有更高的查询结果准确率和中文网页排序效果。 基于监控日志的航班查询系统可靠性评估方法 针对运行阶段的系统软件可靠性评估理论和评估方法的研究缺失,提出一种基于系统监控日志的软件可靠性评估方法。以中国航信的航班查询系统为可靠性评估研究对象,首先解析该系统的监控日志,提出基于监控日志的失效数据提取方法。其次,基于航班查询系统的特点和可靠性数据的分析结果,构建了航班查询系统可靠性模型。最后,实验验证了该可靠性模型是可行的,并利用此模型评估了航班查询系统的可靠性,形成了系统软件可靠性评估过程方法。 基于UT变换与卡尔曼滤波的目标跟踪研究 为了提高滤波速度和精度,将Unscented变换与卡尔曼滤波相结合,建立了Unscented卡尔曼滤波(UKF)数学模型。Un-scented变换基于高斯分布理论,通过Sigma点能够获取精确到三阶矩的均值和协方差,提高了滤波精度。计算仅涉及标准的向量和矩阵操作,不需要计算非线性函数的Jacobian或者Hessians矩阵,提高了滤波速度。通过设计的运动实验进行仿真对比,实验结果表明,对于非线性目标跟踪系统,UKF算法具有更高的滤波精度和稳定性。 基于LDA模型的主机异常检测方法 基于系统调用序列的入侵检测是分析主机系统调用数据进而发现入侵的一种安全检测技术,其关键技术是如何能够更准确地抽取系统调用序列的特征,并进行分类。为此,引进LDA(Latent Dirichlet Allocation)文本挖掘模型构建新的入侵检测分类算法。该方法将系统调用短序列视为word,利用LDA模型提取进程系统调用序列的主题特征,并结合系统调用频率特征,运用kNN(k-Nearest Neighbor)分类算法进行异常检测。针对DAPRA数据集的实验结果表明,该方法提高了入侵检测的准确度,降低了误报率。 基于正交试验的RLV再入轨迹参数灵敏度分析 为分析RLV再入过程中参数对再入动态性能的影响,基于正交试验设计理论,运用极差分析法对影响RLV再入过程的7项重点参数开展灵敏度研究,仿真试验结果表明峰值热流、峰值动压、峰值过载和总加热量对初始速度和质量最为敏感,质量、初始航迹偏角、常值升力系数和初始高度之间的耦合灵敏度较大;提高初始速度和质量的精度,其它灵敏度较低的参数可适当放宽精度,从而提高飞行器性能,降低飞行器研制成本,确保再入飞行的安全性和可靠性;该方法不受系统模型限制,能减少试验运算和数据处理工作量,可有效应用于非线性、不连续的RLV动态再入过程制导算法鲁棒性评估和参数灵敏度分析,为再入任务规划提供理论支撑。 基于改进粗糙集和层次分析法的进口生鲜食品配送中心选址 针对进口生鲜食品企业配送中心的选址问题,利用粗糙集对影响配送中心选址的属性指标进行约简,运用层次分析法建立多因素层次结构模型,并对Z企业的备选地址进行评价,将改进的粗糙集得到的客观权重和层次分析法得到的主观权重有效地结合起来,使评价更加客观和科学,结论表明该方法是可行的. Web服务组合策略研究及组合决策平台实现 由于关注点的不同和客观环境的多样性,Web服务组合方法的种类纷繁复杂。如何从零碎散乱的不同决策角度出发来构建可行而高效的组合方法,是现阶段Web服务组合研究中亟待解决的关键问题之一。因此,本研究将注意力放在一套可以帮助Web服务组合实践者选择服务组合方法的决策机制上。考虑到层次分析法可以用来帮助解决这个多阶段多标准问题,分析综合了前人的相关研究成果,从而根据层次分析法构建结构树,进而举例详细阐述了如何在多个备选方案中做出最佳选择的Web服务组合决策机制。最后,为帮助Web服务组合实践者理解和使用这套决策机制,也设计并实现了一个决策平台easyWSC,现已开放给相关研究人员和实践者使用、讨论和改进。 云计算虚拟化技术的发展与趋势 云计算是一种融合了多项计算机技术的以数据和处理能力为中心的密集型计算模式,其中以虚拟化、分布式数据存储、分布式并发编程模型、大规模数据管理和分布式资源管理技术最为关键。经过十多年的发展,云计算技术已经从发展培育期步入快速成长期,越来越多的企业已经开始使用云计算服务。与此同时,云计算的核心技术也在发生着巨大的变化,新一代的技术正在改进甚至取代前一代技术。容器虚拟化技术以其轻便、灵活和快速部署等特性对传统的基于虚拟机的虚拟化技术带来了颠覆性的挑战,正在改变着基础设施即服务(IaaS)平台和平台即服务(PaaS)平台的架构和实现。对容器虚拟化技术进行深入介绍,并通过分析和比较阐述容器虚拟化技术和虚拟机虚拟化技术各自的优势、适应场景和亟待解决的问题,然后对云计算虚拟化技术的下一步研究方向和发展趋势进行展望。 一种适用于移动自组网的多径多信道负载均衡路由协议 为了降低邻居节点之间的信道冲突,提高移动自组网网络性能和服务质量,提出一种跨层设计的多径多信道负载均衡路由协议CMM_LB.协议可以发现多条完全不相交路由,路径上的节点可在路由建立之后分布式的自主选择信道,减少与邻居节点的信道冲突.源节点通过探测机制,以信道可用带宽和节点接口队列长度作为负载轻重的依据,收集链路负载信息,在多条路径间动态调整负载分配比,实现多路径间最大程度上的负载均衡.仿真结果表明,与改进前的CMMRP和AODV相比,CMM_LB在网络吞吐量、平均端到端时延等方面有明显的性能提升. 一种基于多径相对时延的密钥生成方法 利用TDD系统上下行信道特征的一致性提取密钥,克服了现行密钥机制需要预分发的弊端,是保障物理层安全的一种新思路。针对宽带系统多径时延满足信道互易性的特点,设计了一种新的密钥生成方案。该方案在多径合并的同时提取各径间的相对时延,并计算相对时延与平均时延的差值生成密钥,具有较强的健壮性。超宽带信道的仿真结果表明,当信噪比等于15 dB时,密钥生成一致性可达95%。 基于构件的MCU软件仿真器的设计与实现 通过分析当前常用的微控制器体系结构,结合构件技术,提出了对微控制器的软件仿真器进行构件化设计方法,设计了相对独立的子构件,并以此为基础设计了具有良好通用性的微控制器仿真器。实践证明,该仿真器适合于嵌入式系统的前期调试工作,解决了软硬件的开发不能同步进行的问题,加快了嵌入式应用的开发效率。 最小二乘曲线拟合在溶液表面张力实验数据处理中的应用 为了优化正丁醇溶液表面张力实验的数据处理方法,本文使用线性和非线性最小二乘曲线拟合方法拟合σ-c关系曲线,并比较了2种拟合方法在溶液表面张力实验数据处理中的应用效果,发现后者能更好地反映正丁醇水溶液表面张力与浓度的函数关系,拟合效果较好,拟合后的计算较简便,计算正丁醇分子截面积结果较准确。继续用后者处理舍弃了2个高浓度数据后的实验数据,拟合效果更好,计算分子截面积结果更准确。在处理正丁醇溶液表面张力实验数据时,应避免使用线性最小二乘曲线拟合方法,应使用非线性最小二乘曲线拟合方法。在正丁醇溶液表面张力实验中,应避免使用高浓度溶液,应使用较低浓度的溶液。 相空间重构和SVR联合优化的短时交通流预测 短时交通流预测首先重构相空间,然后采用时间序列模型预测交通流量,而支持向量回归机(SVR)是比较好的时间序列预测模型。但短时交通流相空间重构的嵌入维数与延迟时间与支持向量回归机的参数确定往往是分别独立地求解,难以达到两组参数值的同时最优,影响预测的准确性。为了提高短时交通流的预测准确性,提出一种利用粒子群算法联合优化相空间重构和支持向量回归机的预测模型,并用于实际短时交通流数据的预测。该模型的相空间重构和支持向量回归机(SVR)的参数联合一起优化,利用粒子群算法同时优化其两组参数的组合值。采用短时交通流数据仿真,结果表明联合一起优化所得参数的预测器提高了简单模型预测的效果。 基于矩阵行列变换的测试用例约简算法 针对测试用例约简问题,定义了一种不会改变测试需求与测试用例覆盖关系的布尔运算。应用此运算,辅以不同的测试需求、用例集优先策略,经矩阵的列变换得到精简的测试需求集,然后使用行变换对测试用例集进行约简。该方法不受测试用例输入顺序的影响。实验表明,与一些常用的约简算法相比,提出的算法在有序树生成程序测试用例约简的几个实例上都能得到较优的用例集。 NSCT域内结合相位一致性激励PCNN的多聚焦图像融合 针对传统脉冲耦合神经网络(PCNN)无法准确提取多聚焦图像聚焦区域的问题,提出一种利用相位一致性(PC)来检测图像清晰区域,并结合PCNN的多聚焦图像融合算法。首先,利用非下采样轮廓波变换(NSCT)对源图像进行多尺度分解,分别得到图像的高频子带和低频子带;其次,通过计算高频系数的空间频率值(SF)与低频系数的相位一致性值来提取图像高低频子带中的聚焦区域;然后,将SF与PC作为PCNN外部激励来刺激PCNN神经元点火,分别对图像高低频系数进行融合;最后,利用逆NSCT得到最终融合图像。实验采取多聚焦图像Clock、Pepsi和Lab作为三组实验数据集,与传统融合算法及新近提出的几种算法进行对比,所提算法的客观评价参数:互信息、边缘信息度、信息熵、标准差和平均梯度的数值均大于或十分接近于对比算法的最大值;同时从实验结果图与源图像的差值图中可以发现所提算法的差值图包含源图像清晰区域的痕迹明显更少。实验结果表明所提算法能更加准确地提取出图像的清晰区域,更好地保留图像的边缘与纹理等细节信息,得到更好的融合效果。 基于RTL的函数调用图生成工具CG-RTL 为了分析操作系统内核等大型软件的模块间函数调用关系,针对传统的函数调用关系生成工具不适用于模块间调用关系分析以及其依赖编译器具体特定版本等不足,提出一种基于寄存器传送语言(Register Transfer Language,RTL)的函数调用图生成方法,并根据该方法实现了一个生成模块间函数调用图的工具CG-RTL(call graph based on RTL,简称为CG-RTL).CGRTL首先从编译过程所生成的中间结果中提取出函数定义和调用关系信息,然后利用开源图形可视化工具Graphviz将其绘制出来,并最终将该功能集成到在线源代码浏览工具LXR中去.实验结果表明:通过该方法获得的函数调用关系与目标代码较为一致,而且可以分析用户指定模块间的函数调用关系,而且该方法同时可以生成相对应的函数调用关系列表,更加细致的描述函数调用关系. 基于机器视觉的圆锥滚子外观缺陷检测系统研究 回顾了近年来国内外工业生产中圆锥滚子外观缺陷分割领域的研究进展,为了实现更加简单高效的滚子外观缺陷检测,设计了一套合适的光学成像系统用于图像采集,提出了最大类间方差法和局部阈值算法的缺陷分割,对分割后图像进行特征提取并进行SVM分类;实验结果表明,该算法有较高的准确率和较好的适应性,优于传统的滚子外观缺陷分割算法,可以满足工业生产中的实际需求。 基于Unicode编码的信息隐藏算法研究与设计 信息隐藏技术在知识产权保护和隐蔽通信等方面发挥着重要的作用。而目前存在的基于文本格式的信息隐藏技术在应用方面存在一定的局限性。将字符转换成Unicode编码的二进制形式,通过对载体字符与隐藏字符的二进制编码的运算提出了一种文本零水印的信息隐藏方法。该方法不限文本格式,解密时的标记文本与原文件分开。与同类隐藏方法相比具有较大的隐藏容量,算法的安全性、隐蔽性与鲁棒性较好。该方法将具有很广泛的应用前景。 MAGNETOM Terra正式取得CE临床认证 [正文]近期,全球各大网站纷纷刊载一个重磅新闻:全球首台可用于临床的7T磁共振MAGNETOM Terra已经正式取得CE认证,可以用于临床!因为7T具有巨大的潜力,已经正在改变、未来也必然会继续改变整个磁共振成像发展的方向。多年来的研究已经证实,7T提供了数倍于传统3T磁共振的信噪比和空间分辨率,在神经、血管、肿瘤、骨关节等多个方面都会带来全新的突破性进展。尤其是在目前常规影像设备难以诊断的神经退行性疾病 基于PDCA的进销存管理系统的改进 中小型企业资金短缺是阻碍其管理信息化的主要原因。介绍了一个基于PDCA(plan-o-Check-Action)的低成本的中小型企业进销存管理系统的设计、实现和改进。提出了建立跟踪库和跟踪表,作为软件测试的部分依据,同时调动企业工作人员一起配合参与PDCA循环,从而减少软件测试成本和培训成本。系统实现和性能测试结果以及最终成本统计结果表明,提出的方法是行之有效的。 基于动态数据流挖掘的案例推理及其应用 知识的获取、知识库的更新是案例推理技术的应用瓶颈,而许多案例推理系统中的知识库都是静态不变的,满足不了实际问题变化的需要。首先阐述了相关概念,接着提出了一种基于动态数据流挖掘的案例推理模型,其中动态数据流挖掘算法采用改进的数据流聚类算法。通过此模型使用基于动态数据流挖掘的案例推理技术,对数据进行实时挖掘,产生连续、动态的临时案例库,实现知识库的实时更新,从而满足实际问题变化的需要。最后通过该模型在实际中的应用说明其有效性。 基于发布/订阅系统的路由算法及其改进 介绍一种应用于Ad Hoc社会网络并支持发布/订阅系统的路由算法——社会投递算法(SocialCast),并针对该算法的一些不足提出改进。改进后的算法在计算效用值上更能充分利用社会网络的特性、改善路由性能,在消息投递上减少多余传输、提高传输效率,在消息发布上减轻发布者的负担、方便消息在网络中快速扩散。 情感词扩展对微博情感分类性能影响的实验分析 微博情感分类是典型的情感分析任务之一,而情感词是很多情感分析方法的基础.由于手工情感词典的局限性,情感词的自动扩展经常作为情感分析的重要步骤,而情感词扩展方法的好坏也经常用情感分类等任务来间接评测.在中、英文两个语种的微博数据集上进行对比实验,详细地分析了通过典型的情感词扩展方法抽取的新情感词对微博主观性分类和倾向性分类的影响.实验中对比了中、英文两种语种、不同的情感词扩展方法、不同的情感强度计算方法、不同的微博情感分类方法、不同的候选情感词词性、不同的种子情感词典、以及不同的微博情感分类测试集,透过多个视角,观察和分析情感词扩展在微博情感分类中作用,为相关研究工作提供参照或证据. 基于GA-PSO的粗糙集属性约简算法 属性约简是粗糙集合研究的重要内容之一。为了能够有效地获取决策表中属性最小相对约简,提出了一种基于GA-PSO的属性约简算法。该算法以条件属性对决策属性的支持度为基础,求解核属性,把所有的条件属性(除去核属性)加入粒子群算法的初始种群中,并用遗传算法对不满足适应度条件的粒子进行交叉变异操作。实验结果表明,该算法在加强局部搜索能力的同时保持了该算法全局寻优的特性,能够快速有效地获得最小相对属性集。 基于哈夫曼树的无证书公钥广播加密方案 现有的无证书广播加密方案是向用户传输相同的信息量,没有权限的概念。基于哈夫曼树,引入权值,提出了一种新的无证书公钥广播加密方案,实现了对不同权限的用户传输不同的信息量。与已有的广播方案相比,该方案平均计算密钥量少,降低了通信开销,灵活性更高。 基于词频的优化互信息文本特征选择方法 互信息(MI)是一种常用的文本特征选择方法,经典MI方法未考虑同一个特征项在不同类别内频数的差异性,也未考虑同一个特征在同一类别内的不同文本之间分布上的差异性。针对上述不足,以特征项的频数为依据,分别从特征项的类内分布、类间分布上的差异以及类内不同文本之间分布上的差异等角度,通过引入特征项的类内频数因子、类内位置分布因子以及类间分布因子,提出一种改进的MI文本特征选择方法,使得特征项的频数信息在MI模型中得到有效利用,合理改善互信息模型在文本特征选择方面的不足。文本分类实验结果表明,改进MI文本特征选择方法的平均准确率、召回率分别提高约5.2%及4.6%,平均综合评价指标值提高约4.9%,有效提高了模型的文本分类效率。 基于Chord的语义Web服务发现与合成的研究 提出一种基于Chord的语义Web服务发现与合成模型.将注册中心注册到分布式Chord网络中并基于领域本体对注册中心分类,使用本体描述为Web服务加入语义信息,语义Web弥补了传统Web语义不足的缺点.实验分析表明,该模型系统能提高Web服务发布、发现及合成的效率和准确率. 大数据中用户所需信息资源检测仿真 通过对数据缺失特征进行检测实现信息资源的有效检测,能够保证大数据中用户所需信息的完整性和准确性,对用户所需信息资源的检测,需要计算出数据特征距离,分析分配缺失数据属性特征权重,完成信息资源的检测。传统方法定义约束容差集合差异度,计算出不完备数据特征集合内全部对象的总体相异程度,但忽略了分析分配缺失数据属性特征权重,导致检测精度偏低。提出基于遗传优化的大数据中用户所需信息资源检测方法。结合遗传优化思想估计用户所需信息均值和协方差矩阵,以用户所需信息的对数似然函数作为目标函数,通过已有缺失数据样本获得参数的相应约束条件,建立大数据中缺失数据估计模型,消除用户所需信息量纲的影响,计算出数据特征的距离,利用聚类分析分配缺失数据属性特征权重,完成大数据中用户所需信息资源检测。实验结果表明,所提方法具有较高的填补准确性,且可扩展性较强。 应用于ΣΔADC的抽取滤波器的设计与实现 介绍了一种应用于ΣΔADC的抽取滤波器的设计和电路实现方法.通过对传统设计方法的分析,提出了一种可以节省10%硬件利用率的改进方法,同时提出了一种适用于半带滤波器的串并联结构,与传统的半带滤波器相比能够提高50%的硬件利用效率.在面积、速度和功耗的折衷的情况下,灵活应用CSD、CSE和多相分解结构,在0.18μm下实现了0.59 mm2的16位数字抽取滤波器.该滤波器与不应用串并联结构的滤波器相比能够节省18%左右的芯片面积. 一种基于反演控制的一体化制导律设计方法 针对末制导律的优化设计问题,为提高导弹的制导精度和攻击能力,提出了一种新的一体化制导控制系统设计思路,首先在拦截平面内给出一体化制导控制系统的数学模型;利用状态重定义后的一体化数学模型,用反演控制理论和高阶滑模观测器技术,设计了一体化制导律,并给出制导律的稳定性分析,并对传统制导控制系统的比例导引律、PID控制律和一体化制导律分别进行仿真验证,仿真结果表明一体化制导律能有效克服目标机动和自动驾驶仪延迟带来的制导误差,制导性能更优。 频率选择性衰落信道下OFDM信噪比盲估计 针对频率选择性衰落信道下带有载波频偏的OFDM(正交频分复用)信号信噪比(SNR)估计问题,提出一种基于空载波OFDM系统的SNR盲估计方法。由于本振和接收信号之间的不一致,会使得接收到的信号带有一定的频偏。频偏的存在破坏了子载波间的正交性,增加了子载波间的干扰噪声,从而减少了SNR。首先分析了频偏对信噪比的影响并得到有无频偏时信噪比之间的关系,其次根据空载波的特点估计出无频偏时的信噪比,再基于上述关系对OFDM信号进行有频偏时的信噪比盲估计,最后通过仿真验证了理论分析的正确性。 考虑地形影响的卫星导航性能评估方法 研究准确评估导航性能,针对地形因素会影响导航卫星的可见性,为了准确评估地形复杂地区对卫星导航的性能的影响,在仿真模型中引入地形影响因素。利用可视域分析理论完善了卫星可见性的判断依据,给出了考虑地形影响时可见卫星颗数和精度因子的分析模型,做为指标对卫星导航性能进行评估。结合机场终端区数字高程模型、卫星星历、航图等数据,对民用航空进近航路上导航卫星的可见性和精度因子建立的模型在MATLAB软件上进行了仿真和定量分析。仿真结果证明,方法可以检测出由地形影响导致的可见卫星减少、精度因子增大、导航性能下降,得出更准确的评估结果,避免潜在的安全风险。 面向高效检索的多源地理空间数据关联模型 地理空间信息往往包含矢量数据、栅格数据和文本描述信息,这些信息之间通常相互联系.如何快速、全面检索和定位这些相关联的信息,是地理空间信息应用中的新需求.为提高地理空间数据检索和分析的性能,该文提出一种面向高效检索的多源地理空间数据关联模型MSGCM.该模型通过提取多源地理空间数据空间信息、语义描述信息、内容描述信息及其关联关系,构建特征要素图,并基于关联模式将多源地理空间对象融合到统一空间中.通过计算不同对象之间的关联强度,构建类似图的关联模型.为提高模型构建效率,提出了一种基于特征索引的分块构建方法.与已有方式相比,MSGCM模型可以有效支持多源地理空间信息的关联,进而能够支持地理空间信息查询、分析及综合展现等多种地理空间应用.实验及分析表明,MSGCM可以有效提高多源地理空间信息关联检索结果的多样性,并具备一定的可扩展性. 基于结构相似度的飞机油箱内窥图像配准方法 针对飞机油箱隔舱式的连通结构中遍布管、阀、桁条等部件,造成相邻图像匹配困难的问题,研究了一种基于结构相似度的图像配准方法,以解决飞机油箱内窥形貌全景图像拼接问题。该方法首先进行尺度不变特征变换(SIFT)特征点的检测和初匹配,并根据匹配特征点对间的几何特征关系进行初步筛选,然后基于结构相似度的计算找出关键匹配点对,求取最优单应矩阵的模型参数,从而实现飞机油箱内图像拼接。实验表明,该方法能够剔除明显的误匹配点对,增加飞机油箱内窥图像中不同平面结构上的内点,解决了特征点对误匹配、漏匹配的问题,配准效率和评价指标都有了明显的改善。 虚拟场景中语音技术的研究与实现 介绍Speech SDK及XML的技术原理及特点,分析描述虚拟场景实体的XML文件格式,结合JavaScript与SAPI实现XML文件文本到语音的转换,并以虚拟多媒体教室系统为例,阐述系统实现中的关键技术。 基于RFID的家电监控追踪系统的设计实现 针对现在的家电产品管理方面存在的问题,提出了一种基于射频识别的家电全生命周期监控追踪系统的设计和实现方案,以RFID作为家电产品信息载体,对家电产品生产、流通、销售、使用、回收等各环节的相关信息进行全程记录。阐述了系统的组成和工作原理,详细介绍了系统各模块的硬件实现方法以及模块间的接口电路。利用数据库管理系统和物联网信息服务技术,设计了实验家电查询的软件系统。通过测试表明,该系统能有效实现对家电产品的可追溯性及相关单位对质量状况进行查询和跟踪。 基于有向双关系图和多核融合的蛋白质功能预测 针对多源异构蛋白质相互作用网络信息量大、数据冗余导致预测结果不能充分反映数据分布信息的问题,将功能类别网络和蛋白质相互作用网络相结合,提出基于有向双关系图和多核融合的多标记学习算法。首先,构建基于含有损失函数的目标方程和最大期望算法的自适应模型;然后,利用图优化策略融合功能类别和蛋白质相互作用网络构成的多个关联矩阵;最后,将融合后的关联矩阵代入模型中预测蛋白质功能。在Yeast和Mouse的蛋白质多源异构数据上的实验结果表明,提出的方法具有预测准确率高、标签损失率低等优势。 面向不均衡数据集的ARSGOS算法 现实世界中广泛存在着类别分布不均衡的数据,其分类问题已成为数据挖掘领域中的研究热点.提出一种基于高斯混合模型,并结合自适应随机子空间的升采样算法(ARSGOS).该算法使用随机子空间的思想,在不同的子空间下对少数类的样本空间使用高斯混合模型进行参数估计,并根据估计的概率密度函数构造少数类样本数据,从而使新样本数据更加准确真实,避免了噪音数据的生成.该算法通过引入数据子集交叠率,增强数据采样和分类器学习的差异性,提升了在不均衡数据空间下少数类样本的识别能力.通过大量UCI数据集验证,并采用不同的基分类器,与其他常用的重采样算法进行比较.实验结果表明ARSGOS算法有效地提高了少数类的识别率以及整体的分类性能. 模拟退火免疫粒子群算法在皮肤电信号情感识别中的应用 为了增强情感识别过程中皮肤电反应(GSR)信号特征选择的有效性,提出了一种改进的模拟退火免疫粒子群算法。首先,对342组被试6种情感的GSR信号进行去噪处理和原始特征提取;然后,将模拟退火机制引入到免疫粒子群(IPSO)算法的粒子更新过程中,使用新构造的模拟退火免疫粒子群(SA-IPSO)算法进行特征优化选择。实验表明:与IPSO相比,SA-IPSO能以较少特征获得较高的识别率,模拟退火机制的应用能更好地优化特征选择过程,且新的算法具有良好的全局收敛性能。 基于小波变换的多聚焦图像融合新算法 针对多聚焦图像,提出了一种新的基于小波变换的图像融合算法。首先将图像进行小波分解,得到低频图像和高频图像,对于低频图像采用基于邻域能量的方法进行融合,高频图像采用基于邻域方差取大的方法进行融合。最后进行小波逆变换,得到最终的融合图像。通过仿真实验验证了算法的有效性,实验结果表明,该算法明显优于传统算法。 一种有约束关系的实时周期任务调度算法研究 在多核嵌入式平台下,针对具有约束关系的实时周期任务,提出一种基于任务关键因子和截止时间的调度算法BVDS(Based on Value and Deadline Scheduling)。该算法以有效利用处理器为原则,根据每个处理器的实际运行情况,为有可能在截止时间前完成的任务分配处理器资源。算法实现分为两个阶段:第一阶段根据任务的到达时间、关键因子以及执行时间构建等待任务链表;第二阶段,在执行过程中,充分考虑不同任务的执行时间以及任务之间的约束关系进行优先级分配。实验结果表明,该算法在牺牲少量处理器利用率的前提下,有效地降低了任务的死限丢失率。 改进CUSUM算法的工控系统入侵检测方法 为准确检测工业控制系统的入侵行为,使系统能够及时对入侵攻击做出响应,提出一种改进CUSUM算法的入侵检测方法。分析工业控制系统信息安全特点,将工业控制系统中传感器获取的实际值和模型的预测值之间的差值作为统计序列;利用该差值序列改进CUSUM算法,引入3σ原则,设计选取参数β和τ的方法;将该方法应用于液位控制系统环境,验证其有效性。实验结果表明,该方法能够准确地检测出入侵行为,其误报率较低,检测速度快,适用于工业控制系统。 基于RTAI的多数据融合智能检测仪的研制 设计并实现了一种基于RTAI嵌入式Linux实时内核的多数据融合的智能检测仪的研制,针对传感器的非线性输出问题,给出了一种数据重构算法,采用最小二乘拟合与径向基函数残差插值进行融合重构,在增加有限计算量的条件下,提高传感器数据的近似精度;采用ARM11作为主处理器,构建以RTAI硬实时嵌入式系统为主核的管理子系统,采用DSP(TMS320F28335)作为协处理器,构建以μC/OS-Ⅱ操作系统为辅核的数据采集处理子系统,实现双CPU嵌入式实时系统架构,进行气体检测、风速检测、温度检测、风量检测、通道截面周长及面积测量等;设计了分析判断功能,对检测到的数据进行综合分析,依靠分析决策库,进行决策判断;在多个工业现场测试实验表明,该检测仪具备智能性高、数据实时处理能力强等特点。 环压应力应变数据采集系统的研究 文章研究的环压应力应变数据采集系统,主要是针对卡环在受压的情况下转化为拉伸应变度量的研究。系统控制程序主要采用基于VC++6.0环境的MFC来开发实现,整个系统由PC机控制运行。研究结果表明此系统在薄壁不锈钢管件连接应用中具有很高的实际价值和使用性。 基于电磁超声的天然气管道机器人测控系统设计 分析了国内天然气管道现状及检测维护情况,针对目前天然气管道裂纹缺陷检测问题,采用电磁超声检测方法,并结合虚拟仪器技术,设计了天然气管道机器人测控系统;系统以PXI模块化仪器平台为核心,结合数据采集模块和运动控制模块构建了硬件平台,以LabVIEW编程语言为基础开发了人机交互软件平台;该系统能够控制机器人在管道内的运动,并可在管道内壁激励和接收超声导波;整个系统可靠性高、扩展性强、人机交互界面友好,满足了机器人管内电磁超声检测作业时的运动控制、数据采集及处理要求。 网购环境下提供退款保证时的决策模型研究 研究了不同供应链成员提供退款保证策略及其优化选择问题。考虑了由单个制造商和单个零售商组成的单条供应链,共考虑了三种退款保证策略,即制造商和零售商均不提供退款保证、仅零售商提供退款保证以及仅制造商提供退款保证策略。分别求解得到相应的最优消费者需求量、零售价格、批发价格以及利润的联合决策。研究发现:零售商的商品销售价格、制造商的批发价格、消费者的需求量以及零售商和制造商的利润都与是否提供退款保证服务有关。发现只要当供应链成员提供退款保证服务时商品残值满足一定条件,提供退款保证不仅不会使供应链成员利润降低,反而会提高他们的利润水平;当制造商提供退款保证时商品残值与零售商提供退款保证时商品残值的差值满足一定的条件,供应链中制造商和零售商提供退款保证服务都有可能给供应链带来更高的利润水平。 基于HowNet句子相似度的计算 汉语句子的相似度计算在自然语言处理领域中是一项基础而又重要的工作,它直接决定着相关领域的研究发展状况。在词语相似度计算的基础上,针对目前句子相似度计算方法的不足,文中提出一种基于How Net的计算句子相似度的方法。在《知网》的词汇语义相似度计算基础上,加入了词语定义义原间的反义、对义关系、单义原的否定和符号义原、定义信息来计算词语的相似度。计算句子相似度前加入词语的消歧,在计算句子相似度时考虑了词语定义的关系义原与待比较的词定义的某个义原相等的情况,并加大了关系义原的权重。实验结果表明,在同等的测试条件下,所提出的句子相似度计算方法可以提高句子相似度的计算精度,更符合人的直观感觉。 车站联锁进路控制逻辑的形式化方法 基于计算机联锁系统是一个对列车行驶系统提供安全条件的系统,车站联锁系统是保证车站行车安全和提高运输效率的典型安全苛求性系统。以形式化方法 Event-B为基础,引入角色Agent对联锁系统进行规范定义,通过智能体与Event-B的建模和验证,构造了车站联锁进路控制逻辑形式化验证模型,并进行了形式化规范和推理,该模型在RODIN平台上进行验证,通过实例验证,满足了计算机联锁系统的安全需求。 Hodgkin-Huxley神经元同步的迭代学习控制 由于神经元模型和参数具有不确定性,加大了许多控制算法的应用难度,而迭代学习控制不需要精确的数学模型,因此适合神经元网络同步的控制。针对Hodgkin-Huxley(HH)神经元的同步控制问题,提出了基于PI型迭代学习控制算法。对四种不同情况下主从神经元同步控制进行仿真,结果表明,施加控制后从神经元能够迅速跟踪主神经元的动力学行为。研究结果证实了该控制算法的可行性和有效性。 极大平面图理论研究进展 四色猜想是指平面图的色数不超过4.实际上,四色猜想只需证明对极大平面图成立即可.正因为如此,从1891年至今,有众多学者从不同的角度展开了对极大平面图的研究.该文拟对其中的一些重要成果进行较为详细的综述,主要包括极大平面图的度序列问题、Hamilton性、色多项式、生成运算系统、计数、翻转运算、分解与覆盖、生成树和算法等方面.在总结极大平面图研究现状的基础上,提出了一些与着色相关的问题,这些问题意在探索极大平面图的结构与着色之间的关系,有助于对四色问题的进一步研究. 民用航空飞机注册号自动识别 为了提高机场自动化水平,提出一种简单、有效的飞机注册号自动识别算法。对于机场摄像机获取的彩色跑道图像,首先采用Canny操作和轮廓检测提取飞机图像,为了便于计算机处理将其转换为灰度图像;然后使用Hough转换和SWT(Stroke Width Transform)文本定位法定位飞机尾部的注册号;最后应用阈值法分割注册号,使用基于孔洞的字符模板匹配法识别注册号字符。实验结果表明,该算法具有良好的飞机注册号识别效果,较强的鲁棒性,具有广泛的应用前景。 基于软件漏洞的克隆代码稳定性评估 "针对克隆代码与非克隆代码产生""漏洞""倾向性的问题进行了研究,基于""漏洞""对不同类型克隆和非克隆代码进行了比较分析。首先提取软件系统中具有漏洞的代码,并使用克隆检测工具检测出软件的克隆代码;其次分别提取能够产生""漏洞""的克隆和非克隆代码,并分别计算不同克隆类型和非克隆的BOC漏洞密度和LOC漏洞密度;最后对type-1、pure type-2、pure-type3的克隆和非克隆漏洞密度进行了对比分析,并对代码中产生的""漏洞""类型进行分类分析,使用曼—惠特尼检验(WMM)验证了结果的有效性。实验结果表明type-1类型的克隆更容易产生""漏洞"",pure type-3类型的克隆引入漏洞的几率相对较小。研究还得出在克隆和非克隆代码中分别存在出现频率较高的""漏洞""集合,增加了对克隆特性的理解,帮助软件设计和开发人员减少代码克隆对软件造成的负面影响。" 基于PCA和LDA的方言辨识 针对PCA没有有效利用样本的类别信息而导致方言识别率低的问题,采用PCA和LDA组合方法进行特征提取。首先用PCA对普通话、上海话、广东话和闽南话四种方言进行降维,然后在降维后的空间中用LDA进一步特征提取,最后将该特征向量送入BP神经网络进行辨识。仿真实验结果表明,基于PCA和LDA的方言识别的平均识别率高达85%。 基于行为分析的微博传播模型研究 随着微博的迅速兴起和其影响力的不断提高,提取微博信息传播特征和构建传播模型已成为了研究热点。针对用户转发行为,首先分析了信息传播机制;然后从影响用户转发行为的发布用户、接收用户、用户亲密度和信息时效性4个方面提取出8个特征因素进行建模;在借鉴传染病动力学SIR模型的基础上,引入用户行为分析和接触节点,提出基于用户行为分析的SCIR模型,并给出动力学方程;最后利用新浪微博真实转发数据验证模型的合理性。实验结果表明,考虑用户转发行为的8个影响因素,结合行为分析结果,能够较好地拟合信息传播过程。 DPSM:可扩展高效的分布式子图匹配方法 为解决当前常见的子图匹配算法具有较高时间空间复杂度、难以实现大规模子图匹配和难以进行分布式并行等问题,提出一种可扩展高效的分布式子图匹配方法 DPSM。将查询图拆分为基本匹配单元,使用基本匹配单元分布式并行查询,以数据并行方式有效解决大规模有向图子图匹配任务。在Spark平台上实现DPSM系统,实验结果表明,DPSM能够在秒级时间完成拥有上亿顶点、数十亿边的大规模自然图子图匹配任务,具有良好的可扩展性。 基于像素链排序的直线绘制算法 针对直线生成算法在直线斜率大于0.5时的低效率问题,提出一种基于像素链排序的直线绘制算法。将直线看做是由许多条平行像素链或对角像素链拼接而成,利用逆向生成直线的类Bresenham算法求得各像素链的长度,通过Bresenham算法生成相应直线的位移码对各像素链进行排序,一次判断生成一条像素链。仿真实验表明,基于像素链排序的直线绘制算法生成的直线与Bresenham算法生成的直线精度一致,且计算量显著减少。该算法只有加法和乘法两种整数运算,适合硬件实现,其绘制速度是Bresenham算法的4倍。 基于改进混合算法优化RBF网络的滤波器建模 为构建精确的微带线滤波器神经网络模型,提出一种结合自适应遗传算法和改进粒子群算法的混合算法。在自适应遗传算法中,构造二次型选择策略以提高优秀个体的复制概率,加快收敛到初始全局最优解;利用粒子群算法良好的局部搜索能力,在标准粒子群算法的位置迭代公式中引入高斯扰动项,以克服收敛速度慢和早熟收敛的缺点,提高搜索全局最优解的可能性。通过对测试函数仿真,验证改进算法的可行性。最后将混合算法用于优化神经网络参数,建立平行耦合微带线滤波器模型。结果表明,滤波器参数S21和S11的均方根误差至少减小18.22%与12.68%,微带滤波器建模精度得到提高,验证了该算法对滤波器建模的有效性和可靠性。 可控嵌入式构件框架的研究与实现 针对传统面向用户模式开发中的相似性和重复性问题,提出可控嵌入式构件框架的开发方法。从三层架构角度入手,采用抽象工厂设计模式和模型驱动思想,利用XML关系数据存储机制和改进的XML映射方法,并通过构建嵌入式SQL子系统,设计可控嵌入式构件框架的具体实现过程。实验结果与分析表明,该系统能提高开发效率,减少开发成本,具有很强的适应性、移植性和可扩展性,自动化和可重用性较高。 I/O访问相关性原理 作为数据访问的基本原理,局部性一直是以单个数据访问请求为研究对象,忽视了数据之间的一个重要规律:数据访问中存在一些数据会一起访问——访问相关性.而且,相关性是有强度的:一些数据元素之间的相关性比其他元素更紧密.通过对典型数据I/O访问的分析,提出了访问相关性模型,并采用基于LRU堆栈的方法,提出了相关性集合的刻画方法. 基于MPC8315的U-Boot在Nand Flash上的移植 以MPC8315E搭建开发平台,介绍Freescale的32位微处理器MPC8315的特点及硬件平台结构,并给出U-Boot、内核以及文件系统的物理映射结构。Nand Flash比Nor Flash容量大,将U-Boot在Nand Flash中启动能够使Bootloader、内核以及文件系统都存储在Nand Flash中,从而从硬件上省略Nor Flash。本文重点阐述U-Boot在Nand Flash上的裁剪和移植过程,通过对U-Boot中硬件复位字的配置以及与Nand启动相关程序的分析与修改,最终实现U-Boot在Nand Flash上的移植。通过修改Makefile对软件实现选择性编译,并给出Linux下交叉编译链接的过程,对如何生成可执行文件做了详细的介绍。 惩罚因子在重复囚徒困境博弈中的研究 针对囚徒困境博弈中收益矩阵参数无法动态更新的问题,提出一个带惩罚因子的囚徒困境博弈模型。该模型中的个体可以根据自身的策略,动态修改收益矩阵中的参数,在每轮博弈之后个体根据邻居收益更新自己的策略。仿真结果表明,惩罚因子可以有效地促进合作策略的涌现,另外发现,合作策略的涌现不仅与惩罚因子有关,而且与网络个体的初始策略有关。当社团内部的个体采取相同策略,社团之间采取不同策略时,更有利于合作策略的涌现。最后,惩罚因子还可以提高参与者的平均收益。 直觉模糊测度的计算树逻辑 建立了直觉模糊Kripke结构(intuitionistic fuzzy Kripke structure,IFKS)模型,提出了基于直觉模糊Kripke结构的直觉模糊测度空间理论,阐述了IFKS的一系列性质。证明了任一路径转移的直觉模糊可达度(intuitionistic fuzzy probability,IFP)为初始状态的直觉模糊测度与各转移的IFP所取下确界,任一状态出发的所有路径上路径转移的IFP为所有路径可达度的上确界。给出了路径转移矩阵P及其传递闭包P~+的概念,给出了通过计算路径转移矩阵传递闭包,计算路径可达度的算法,并分析了算法的复杂度。提出了直觉模糊计算树逻辑(intuitionistic fuzzy computation tree logic,IFPCTL)理论,讨论了一组IFPCTL、可能测度计算树逻辑(possibilistic computation tree logic,PoCTL)和经典计算树逻辑(computation tree logic,CTL)公式的等价性。最后给出了一组等价的IFPCTL和PoCTL公式以及一组不等价的IFPCTL和CTL公式。 一种车辆移动对象相似轨迹查询算法 车辆移动对象的相似轨迹查询问题是当前移动对象数据管理研究中的一个热点,可以应用在车辆缉查防控、出行规律分析及城市道路规划等诸多领域。当前,随着车辆移动对象监测手段的不断丰富,车辆移动对象数据逐渐表现出流式不间断产生、数据量急剧增大的特征。现有的移动对象相似轨迹查询方法在面对具有上述特征的车辆移动对象数据时在查询正确性和查询效率方面暴露出诸多问题。论文针对这种大规模车辆移动对象数据流下的相似轨迹查询问题,提出一种基于双阈值支持度的车辆移动对象相似轨迹查询算法,该算法通过对车辆移动对象数据的预处理来减少查询中涉及的移动对象数据量,以提高移动对象相似轨迹查询的效率,同时保证查询正确性。实验表明,该文提出的算法,在保障正确查询相似轨迹的前提下,效率比传统相似轨迹查询算法提高很多。 基于层次回声状态网络的电力EPON业务流感知 为了进一步提高电力EPON(Ethernet Passive Optical Network)对智能电网多业务的支持能力,文章提出了一种层次基于回声状态网络的电力EPON业务流感知技术,采用离散回声状态网络算法对电力通信业务流进行识别感知,以实现EPON对业务的高效匹配能力;在此基础上通过OLT与ONU的交互,优化电力EPON的资源调度与分配能力;为了验证所提出的业务流感知机制,以配用电通信EPON作为典型业务,对基于回声状态网络算法的业务感知进行仿真,仿真结果表明该机制能有效降低丢包率和缩短时延,提高了EPON系统对电力通信多业务的支持能力。 电缆网电路图自动布图算法的研究与系统实现 针对电缆网电路图的自动生成问题,在借鉴传统电路原理图自动布图的行列布局与通道布线思想的基础上,同时结合电缆网电路图的特点,提出了先位号行定位,再点号列定位的自动布局算法,并在两相邻位号间形成的行通道与相邻点号间形成的列通道间进行通道布线。算法成功应用于自主研发的电缆网电路图自动布图系统。系统能够根据电缆网表中的元器件连接信息,自动生成逻辑功能正确且布图美观规范的电缆网电路图,系统已被多个航天研究所在火箭、导弹的电缆网设计工作中采用,显著提高了电缆网设计工作的自动化程度。 双层车辆路径问题的混合启发式算法 针对与城市物流密切相关的双层车辆路径问题(2E-VRP),提出了一种用来求解的混合启发式算法。该算法利用贪心算法的快速性、蚁群算法的搜索多样性以及邻域搜索算法较强的局部寻优能力来提高求解质量,加速算法的收敛性。把该算法应用于22个测试算例和3个大规模的算例,并与同类研究进行了比较。实验结果表明,混合启发式算法不仅能保证较高的精确性,而且具有很高的效率;与精确性最高的同类算法相比,虽然在解的质量方面稍逊,但在求解速度方面表现出了明显的优势。实验结果还显示了构建双层城市物流系统的潜在收益,中转站的设置能够在一定条件下显著提高城市物流效率。 机械压缩式热泵在石化企业的应用研究 应用化工流程模拟软件Aspen Plus对机械压缩式热泵进行模拟,并且对机械压缩式热泵温升、过热和过冷度、工质选取以及多级热泵的应用进行研究。研究结果表明:单级压缩式热泵的最大温升为45℃:最佳过热度为2℃,最佳过冷度为5℃:冷凝温度在60℃~80℃范围内最佳工质为R152a,在80℃~110℃温度区间内R143综合性能最优,在110℃~160℃温度区间内R123最优;复叠式热泵综合性能优于其它形式多级热泵:多级热泵的最大温升受工质的限制,在目前的工质范围内,最大温升可达115℃。 基于最小二乘与Taylor级数展开的新型混合定位方法 针对广播式自动相关监视系统中的虚假目标识别问题,采用一种到达时间差与到达时间和2种定位方法相结合的新型混合定位方法,获取目标真实空间位置,将其与自动相关监视报文中的位置数据进行比对来判断虚假目标。为使混合定位更加精确与快速,利用最小二乘法对目标初始定位,再将其作为Taylor级数展开迭代的初值,精确估计目标位置。模拟仿真结果表明,混合定位方法解决了Taylor级数展开算法中结果可能不收敛的问题,且在相同地面站布局条件下,其精度和稳定性较传统到达时间差定位方法更高。 CDN缓存资源分配的细胞优化算法 为了缓解Internet网络拥挤状况,提高用户访问网站的响应速度,从技术上解决由于网络带宽小、用户访问量大、网点分布不均等原因所造成的用户访问网站响应速度慢的问题,提出了一种新的缓存资源分配方法——细胞优化算法。该算法是模仿自然细胞系统功能的一种智能优化方法,其通过模拟细胞内部结构和原理,对细胞核、细胞质的浓度、细胞间的亲和度、细胞优化机制、细胞的动态演化过程建立数学模型。给出了算法的并行计算结构和步骤。最后,通过理论证明、仿真实验与同类算法的比较,验证了算法求解CDN缓,存资源分配问题的有效性。 安全协议的设计流程及层次模型研究 借鉴软件工程的思想,提出安全协议设计流程以及安全协议的层次模型和安全属性层次模型,并对该层次模型进行攻击分析。分析每一层面临的各种攻击手段和攻击方法,重点探讨了层间攻击,并用两个典型的例子给予说明。最后将提出的模型和框架作为安全协议工程的基础发展安全协议工程,以期最终解决安全协议设计和应用的问题。 磷酸中铜阳极电流振荡的互同步 物理系统振荡同步的成功实例已经有很多,但电化学系统振荡同步的实例还不多见。为了将用于物理系统振荡同步的方法应用于电化学系统,探索电化学振荡同步的新方法,以铜阳极在磷酸中的电流振荡为研究对象,以自制的电化学联机装置为工具,以铜阳极的电极电位为控制变量,用比例耦合同步方法研究2个独立电解池中铜阳极电流振荡的同步行为。本文的同步方法将比例调节和耦合方法结合起来,是2个电极电流振荡同步的有效算法。比例耦合同步方法的比例系数K为正值时,产生互同步效果;为负数时,产生反相同步效果。当两电极的振荡波形相同时,互同步的效果好;否则,效果差。在能发生电流振荡的电势范围内,铜在磷酸中阳极溶解的电极电位与电极电流之间成反比关系。根据这个反比关系,定性解释了本文方法实现互同步和反相同步的机理。2个互同步的电极电流之差很接近于零,但因为2个铜阳极的表面粗糙度很难完全相同,导致真实的电化学反应面积也很难完全相同,总有余差存在。根据比例调节的特点,用反证法证明余差的存在是本文的互同步方法能够成功实现的前提条件。最后,本文对互同步算法指出了改进的方向。 近似空间的笛卡尔积粗集模型及其可分解性 为处理人工智能中不精确和不确定的数据和知识,Pawlak提出了粗集理论。之后粗集理论得到拓广,人们提出了许多新的粗集模型。拓展的方法主要有两种,一种是减弱对等价关系的依赖,另一种是把讨论问题的论域从一个拓展到两个。Y.Y.Yao提出了一种基于两个论域的粗集模型。现研究基于两个近似空间的笛卡尔积粗集模型,给出了积近似空间的概念,刻画了可分解集合的上(下)近似、近似精度和粗糙度。最后研究了笛卡尔积粗集模型的可分解问题,给出了一个近似空间积可分解的充分必要条件。 网络控制系统PI预测控制及稳定性分析 将PID反馈原理引入广义预测控制(GPC)思想,把GPC目标性能函数改造成具有PI的结构形式,根据反馈时延导出多步控制序列,通过时延补偿器对前向时延进行补偿,使控制性能在网络环境下得到极大改善。控制器结合了PID控制和预测控制的优点,具有较强的鲁棒性和工程意义。构造Lyapunov函数对闭环系统的稳定性进行分析,并通过仿真验证了该算法的有效性。 基于事务序列的视频摘要挖掘方法研究 提出了一种基于事务序列的关联挖掘方法实现对足球视频的摘要挖掘。处理过程分为视频数据预处理、视频属性提取和视频摘要挖掘等三个步骤。视频数据预处理阶段将原始视频流切分成物理镜头。视频属性提取阶段先将物理镜头分成五种类型,将视频转换成镜头标识序列,以事务为单位对标识序列进行切分形成事务序列,构造一种关系数据库来存储这些事物序列数据。在视频摘要挖掘阶段,采用传统的Apriori算法对事务数据库进行关联挖掘获得关联模式,以挖掘出的关联模式为依据形成视频摘要。实验结果表明,挖掘精彩事件的查全率和查准率较高,具有实用价值。 基于DGPS航迹偏差的多旋翼无人机磁干扰检测技术研究 为了解决多旋翼无人机在飞行作业过程中受到环境磁干扰导致作业异常的问题,在使用DGPS进行差分定位的基础上,提出了一种基于航迹偏差的多旋翼无人机磁干扰检测技术;其基本原理是,当多旋翼无人机受到磁场干扰时,其飞行航迹会偏离预设航线,检测其航迹的偏离距离,通过与阈值比较,可以用来判断是否存在环境磁干扰;实验结果表明该方法可以有效检测环境磁场异常,在某些情况下比传统的磁航向角误差阈值检测方法可靠性更高,虚警率更小;综合使用航迹偏差检测方法和磁航向角误差检测方法,可有效(提高)环境磁场异常检测的准确度,降低虚警率。 一种防火墙动态地址转换机制研究与应用 为了有效解决Linux/Netfilter防火墙多出口性能问题,提出一种自动智能动态地址转换机制,实现防火墙智能选择最佳性能接口并自动进行源地址转换。运用该机制能减少网络维护人员的维护工作量,同时有效提高网络性能。 面向LTE-A的切换认证安全协议应用研究 LTE-A网络现已成为移动应用的主要传输管道,但LTE-A标准中的切换过程仍存在一定的复杂性和安全缺陷.为了解决LTE-A标准和传统切换认证的缺陷,本文设计了一种基于身份的切换认证方案.在用户注册阶段,通过基于身份的加密体制来保障用户身份信息不被泄漏;在切换认证阶段,采用新的基于身份的密钥协商协议,相比传统基于身份的密钥协商协议,该协议无需双线性对运算,大大减小了计算开销和通信开销,本文在该协议的基础上加以改进,使得更加适用于LTE-A网络.相比其它方案,本方案不仅具有更好的性能,而且满足前向保密性及防重放攻击等安全性.本文运用着色Petri网进行建模和仿真分析,仿真结果表明,本协议是有效的,且具有更高的安全性. 数据中心机房设备与线缆管理系统的设计与实现 设备、线缆历来是数据中心机房管理的重点与难点,了解和明确设备位置及线缆连接路径,可以有效缩短各类机房信息安全事件的处置时间,从而提高应急响应能力。从数据中心机房管理所面临的主要问题出发,提出机房设备与线缆管理信息化改造的必要性,结合已开发应用的机房设备与线缆管理系统,总结系统的功能特点,探讨系统开发所涉及的数据库设计、重要算法、编码规则等内容,最后,通过实际功能展示显示了已取得的成效。 PMC系统的软件测试 通过分析测试项目中流程设计、接口测试、持续集成和测试覆盖率遇到的问题,该文提出了对流程设计器、接口测试、持续集成技术和代码覆盖率工具集为一体的系统进行测试的新方案,此方案满足接口测试的一致性、正确性和完整性,实验结果证明了该方案的可行性和有效性。 基于高质量信息提取的微博自动摘要 是获取微博平台关键信息的一种重要手段。现有面向微博的自动摘要方法较关注文本集合中句子或者关键词的提取,而在去除冗余信息、内容噪声方面缺乏有效手段,导致提取的微博内容质量不高。为解决该问题,以微博平台为研究对象,提出一种基于时频域转换的信息提取方法,获得与某话题相关度高、冗余度低且信息量大的高质量微博文本,将综合分值较高的微博作为生成摘要的样本集合,并对该样本集合中每条微博的句子进行权重打分,选取权值较高的句子组成微博摘要。实验结果表明,该方法能够有效过滤冗余信息和内容噪声,基于自动评测和人工评测的摘要结果均优于现有自动摘要方法。 基于ZigBee的智慧温室蓄电池监测节点设计 针对传统温室监测节点蓄电池剩余电量问题,提出一种改进的基于ZigBee无线通信技术的温室节点无线监测方案,设计一个经济实用的低功耗ZigBee无线监测节点;以CC2530为主控芯片,通过控制不同的传感器和电池智能管理芯片DS2438及其外围电路,实现温室环境参数和各节点蓄电池电压、电流以及剩余电量的精确测量并实时显示;测试结果表明,系统运行稳定,数据检测精度达到0.001。 数字相控阵天线中的波束合成实现方法 数字相控阵天线是有源相控阵天线发展的重要方向,而信号处理的核心内容是波束合成。但波束合成的工程设计中面临的一些问题,往往通过经验进行处理,并未从理论角度进行分析和解决。首先,针对信号处理硬件平台中的数据采集功能,通过分析ADC噪声来源,并结合输入中频信号SNR、动态、采样处理增益,得到了满足输出SNR要求的最少有效比特位的解析表达。其次,根据数字相控阵阵因子表达,分析了在指向误差对方向图影响,根据天线增益的损失,可以确定指向误差的允许范围。另外,根据空间色散原理,分析了频偏对阵列方向图影响,同样根据天线增益损失,可以确定允许的信号带宽指标。上述指标确定方法,通过计算机仿真证明了正确性和有效性,从而为数字相控阵天线的工程实现,提供了有益的支持。 集群服务器在混合请求下的负载均衡优化算法 针对Web集群服务器在处理分配混合页面访问任务时开环负载均衡算法导致局部服务器负载偏高而降低系统效率的问题,建立了一类基于动态调节的闭环负载分配策略。根据服务器处理Web访问页面类型的特点,建立静态页面与动态页面混合处理的负载量模型,形成处理不同服务请求与负载均衡的内在动态映射关系,以此优化静态页面缓存与调用方式,并基于服务器负载率动态预测和均衡指标,采用负载率偏差最小的任务权重最优分配模型,确定服务器集群的最优任务权重,实现了服务器集群处理混合页面访问的负载均衡分配策略和算法。仿真结果验证了负载均衡算法的正确性和有效性,可以达到更好的负载均衡效果。 Revolution CT SSF联合ASiR-V算法在高心率CCTA中的应用价值-体模实验 目的:采用心脏动态体模,探讨SSF算法在改善高心率(100~120次/min)冠状动脉图像质量的应用价值及ASiR-V算法在低剂量冠状动脉CT造影中的应用价值。方法:心脏动态体模的心率分别设为100、110和120次/min,采用256层Revolution CT对心脏动态体模行冠状动脉CT扫描。管电压:80、100和120k V;ASiR-V权重依次设置为0%、10%、20%、30%、40%、50%、60%、70%、80%、90%和100%;噪声指数(NI):30、35和40HU;智能管电流(smart m A);X线管转速:0.28s/r;前置心电门控,曝光时间窗:单个心动周期的5%~95%RR间期。分别以标准(standard,STD)算法和SSF(snap shot freeze,SSF)算法重组图像,分别设为标准算法组和运动伪影抑制组。比较两组图像质量的差异并且分析影响图像质量及辐射剂量的相关因素。结果:SSF算法改善CCTA图像质量有统计学意义(3.56±0.32vs.2.65±0.55,P<0.05);ASiR-V、心率、管电压、NI对图像质量的影响均无统计学意义。心率、ASiR-V、管电压及NI对辐射剂量的影响有统计学意义(P<0.001),其中ASiR-V为主要影响因素,b值为0.852。结论:SSF联合ASiR-V算法可以改善高心率(100~120次/min)CCTA的图像质量并且显著降低辐射剂量。 基于顶点重要度和层次聚类树的地形网格简化 为提高基于精细DEM数据的地形网格简化效率,在传统顶点聚类算法的基础上,提出一种基于顶点重要度和层次聚类树的地形网格简化算法。对地形分块顶点进行聚类,引入由顶点特征值和简化误差构成的顶点重要度来筛选簇代表点;根据代表点构建粗化网格,迭代缝合与简化过程直至完成整个地形网格简化;采用内存层次聚类树对简化的中间结果进行组织,将之用于地形多分辨率绘制中,指导网格的简化和细分。实验结果表明,该算法较好地保持了原始地形特征,缩减了内存消耗,中间结果的利用有效提升了地形渲染效率。 一种基于身份的SIP认证与密钥协商机制 随着SIP协议在网络通信中的广泛应用,特别是向移动领域扩展,网络中大量使用无线设备,终端的运算与存储能力有限。对SIP的安全方案进行了讨论和分析,提出了一种基于身份的认证与密钥协商方案,保证了SIP消息传输过程中的完整性和真实性,并在该过程中进行了密钥协商。方案中不需要公钥证书,用户用身份标识SIPURI作为公钥,降低了对终端计算、存储能力的需求和通信开销,具有简单高效的优点。 德国创数据无线传输速率世界记录 [正文]德国弗劳恩霍夫固体物理研究所和卡尔斯鲁尔技术研究院的科研人员最近完成一项无线数据传输实验,创数据无线传输速率世界记录,达到每秒40G.这一数据传输速率与一般光纤数据传输速率相当,在一秒钟内可传输一张DVD光盘所存储的数据.研究人员开发出一种全集成化的无线信号发送和接受装置,工作频率240GHz,在距离1公里的两座建筑物顶端进行 基于元胞自动机的线性遗传程序设计算法 为维持进化过程中的种群多样性,并进一步提高求解问题的精确度,在SGP算法的基础上引入元胞自动机模型理论,提出一种能够实现具有细粒度并行的CSGP算法。该算法可提高求解问题的成功率以及减少进化代数,对比实验表明,CSGP算法较GEP算法和SGP算法在求解符号回归的问题上有较好的性能优势。 无线Mesh网在城市轨道交通车地通信系统中的研究 无线Mesh网因组网灵活、支持范围大和移动性强等特点,使其在城市轨道交通(城轨)中具有很好的应用前景。尝试将无线Mesh网技术应用于城轨车地通信系统中,针对车地通信存在的越区切换问题,提出了一种基于车载MMS(mobile Mesh station)位置触发的越区切换方案,利用OPNET对组网方案和切换方案进行了仿真。仿真结果表明,无线Mesh组网方案性能优于传统WLAN组网方案性能,切换方案能有效降低时延并避免了假切换和乒乓切换的发生。 基于AIS的航道船舶流量智能采集系统开发 通航能力和通航需求的准确分析,对于区域航运业的发展有着重要的意义。航道船舶交通流量及相关货运信息的精确采集,是统计分析的基础。本文研究基于AIS(船舶自动识别系统)数据的航道交通流量智能采集系统的设计方案,论述该智能系统的设计原理及主要算法、主要结构,重点说明该系统中的智能航道通过船舶AIS信息跟踪记录控制模块的工作流程。 核函数自适应的Mean Shift目标跟踪算法 为解决Mean Shift算法无法对核函数带宽进行自适应更新的缺陷,提出目标质心的分布散度与多级正方形匹配结合的核函数带宽的更新算法。利用目标质心点的分布散度和增量试探法计算几个目标的可能变化尺度,采用多级正方形匹配计算各回字形区域间的Bhattacharyya距离预测目标的尺度变化趋势,对该趋势下的几个目标尺度进行Bhattacharyya距离对比,Bhattacharyya距离最大者为当前核函数的带宽,即目标的尺度。该策略减少了背景噪声的干扰以及每次计算目标收敛区域时的冗余像素的干扰。实验结果表明,该策略优于增量试探法和传统的核函数带宽不变化的方法,在时间代价上略低于增量试探法。 无人机编队信息传输能耗平衡协同设计 针对无人机编队自组织网络拓扑结构的动态特性,导致编队中的无人机信息传输能量消耗不均匀,影响侦查任务的高效实施。提出了一种无人机编队信息传输能耗平衡协同设计方法。上述方法采用协同中继下的能量消耗模型,各无人机通过编队间的能量感知获得自身能量剩余程度,合理选择信息传输方式:通过邻近的其它无人机中继后下传给地面基站或者在能量消耗较少的情况下直接下传给地面基站。仿真结果表明,就地面基站收集信息的次数因素而言,协同中继算法能比分簇算法提高约22%左右,证明改进方法可以有效节省能量,延长了无人机编队的侦察时间。 基于下肢轮廓角度距离和步幅变化的步态识别算法研究 在视频监控系统中进行实时步态识别,不仅需要保证高识别率,同时需要尽量缩短识别时间。因此,提出一种基于下肢轮廓角度距离和步幅变化的步态识别算法。该算法对提取的轮廓图像按其最小外接矩形进行裁剪,并在保持宽高比不变的情况下,按照所有图像的最大高度进行图像缩放;提取一个周期内所有图像帧的质心高度、步幅,以及以骨盆关节点为依据的相邻两帧图像之间的下肢轮廓角度距离变化率作为步态特征;通过动态时间规整(DTW)算法和K近邻算法分别完成相似性度量和分类识别。实验结果表明,该算法能够较好地平衡识别率和时间代价之间的关系,以较短的时间实现用户身份高识别率,同时对衣着和背包状态具有较好的鲁棒性。 基于Chroma-based BOW特征的多版本音乐识别 多版本音乐识别,作为音乐信息检索领域内的一个重要课题,得到了人们的广泛专注.受海量音乐资源的驱动,人们对高效算法的需求呼之欲出.词袋模型是一种在自然语言处理和数字图像处理领域中的广泛应用的特征表示模型,本文将其引入到多版本音乐识别技术研究中,基于目前业界广泛应用的Chroma特征,提出一种新的高层次特征,Chroma-based BOW特征对歌曲的旋律信息进行表示,实现了特征空间到线性矢量的降维映射.同时,设计并实现了一套完整的多版本音乐识别算法.实验证明该特征对于多版本音乐识别是有效的,相应的识别算法能够大幅度提高了传统音乐识别系统的效率. 基于事件内容的快速视频交叉检索方法 针对安全系统中的海量资料和信息,提出一种基于事件内容的快速视频交叉检索方法。该方法通过检索事件所处环境和涉及的安防设备进行针对性的关联性检索,快速地将相关事件证据形成完整的事件证据链。实验结果表明,该方法能提高检索效率,提升针对突发事件的响应速度。 应用软件运行故障监测系统的设计与实现 应用软件运行过程中发生故障会给工作带来影响。本文介绍一种解决方案:通过程序设计实现应用软件运行监测、故障发现和排除。阐述程序设计原理、方法,工作流程。相对于人工维护,大大提高了维护工作效率,把故障的影响降到最低。 业务流程模型抽象中最优子流程数的确定 根据业务流程模型的特征,基于笔者前期工作中给出的两个不同约束条件下的受限k-means行为聚类算法,提出确定最优子流程数的方法。基于对流程结构的假设,同时结合行为语义的经验阈值限定,给出了确定子流程数恰当上限值的方法,以达到减少循环次数的目的。根据k值的变化,分别基于子流程结构紧密性特征和流程结构树,在循环过程中设计增量式方法,对簇中心进行简便的递增;设计合理的有效性指标,对抽象结果模型进行评估,进而生成最佳子流程数;利用真实的流程模型库对设计的方法进行实验验证,得到的最优子流程数与人工设计的结果非常接近。 机载远程数据集中器协议转换方法研究 针对机载远程数据集中器(RDC)的数据转换功能,在对ARINC429和AFDX两种机载数据总线分析的基础上,提出了一种基于配置信息中虚拟链路(VL,virtual link)与ARINC429数据标号的适配关系的数据转换方法;对RDC功能需求进行分析并给出整体设计方案,采用模块化设计并对各模块功能进行了详细的介绍,其中配置信息模块的应用增加了RDC数据转换功能的灵活性;在新型航电系统架构下,通过对RDC之间基于VL的通信过程中的数据转换过程进行仿真和分析,验证此方法的可行性;协议转换方法的研究为RDC的整体功能仿真研究奠定了基础。 基于DMA的双通道千兆以太网MAC设计与验证 以太网是现有局域网采用的通用的通信协议标准。千兆以太网向下完全兼容10/100 Mbps以太网,并利用了原以太网标准所规定的全部技术规范。作为以太网技术的核心,千兆以太网MAC层协议是数据帧收发的基础,负责上层数据和物理层比特流的封装和解封,流量控制,校验检测等功能。针对航电系统应用中对通信带宽和数据实时性的要求,文中提出了基于DMA的双通道千兆以太网MAC方案。首先对缓冲区描述符存储结构进行描述,基于此种存储结构提出了基于DMA的双通道千兆以太网MAC架构设计,最后对发送、接收模块微结构进行了详细设计。在NCSim平台下对整个设计进行了仿真验证。结果表明GMII接口能够以10/100/1 000 Mbps的速率实现半双工/全双工通信,满足设计要求。 多数据库容灾系统的设计与实现 为了简化对于多种数据库的容灾备份,设计并实现了一种多数据库的容灾系统。该系统能够将服务器上的多种数据库备份到远程服务器上,采用统一的接口和存储格式,支持多种备份方式、多时间策略,提供。通过对底层的抽象,不同的数据库容灾模块可以独立的进行编写,并且可以一致的被系统所加载。实验结果表明,通过抽象并且按照统一的规则对不同的数据库容灾模块进行开发,使用针对不同数据库的优化技术,可以使容灾系统对服务器的负载影响最小化。 MRI引导下乳腺定位活检术应用效能探讨 目的:细化分析仅在MRI下显示的乳腺病灶的影像学特征以减少不必要的MRI引导下定位活检。方法:75例(共75个病灶)经MRI穿刺定位活检病理证实乳腺病患者,女性,平均年龄44.4±11.0岁,其中13例为恶性病变,62例为良性病变,所有病例穿刺术前均行常规3.0T MRI检查、且BI-RADS分级达4级。根据Fischer评分标准对穿刺术前病灶MRI影像特征进行回顾性分析,将病灶评分与病理结果进行对照,并运用受试者操作特征曲线(ROC)评价其诊断的准确度。结果:Fischer评分标准的曲线下面积(AUC)为0.802(95%CI:0.694~0.885),表现出较高诊断效能。ROC分析当取cut-off值为≤3时,可在不遗漏恶性病灶的情况下减少21例(33.8%)不必要的活检。结论:对于仅在MRI上显示的可疑病灶,运用Fischer评分标准进行进一步评估,能在一定程度上减少不必要的MRI引导下定位活检术。 一种基于时间权重的Petri Net工作流过程建模 为了研究Petri Net工作流模型的时间性能,首先给出了一种基于时间权重的工作流过程模型,此模型在变迁中引入时间,然后对此模型进行了时间性能分析,最后得出结论此模型在工作流的时间性能分析上是可行的. 改进的具有消息恢复签名方案 为了提出一个安全有效的具有消息恢复的签名方案,通过对基于椭圆曲线上具有消息恢复的数字签名的深入研究,发现文献[1]中提到的基于椭圆曲线上的具有消息恢复的签名方案是不安全的,存在着一个错误和两个安全泄露:(1)验证人Bob根据已知方程并不能恢复出消息m;(2)任何人不必要知道接受者Bob的私钥都可以恢复出签名消息;(3)任何人可以利用一个有效的数字签名计算出Bob的私钥。利用椭圆曲线上的加法的定义及Hash函数的特点,给出了一个改进方案。该方案可以有效地避免所指出的安全隐患,并给出了安全性分析。 格蕴涵代数的Ω-模糊子代数 给定一个集合Ω,将Ω-模糊集与格蕴涵代数相结合,引入了格蕴涵代数Ω-模糊子代数的概念,并研究了其相关性质;给出了格蕴涵代数Ω-模糊子代数的若干等价刻画,讨论了格蕴涵代数Ω-模糊子代数与格蕴涵代数子代数之间的相互关系;研究了格蕴涵代数Ω-模糊子代数在Ω-模糊集下交、并等运算下的基本性质;讨论了格蕴涵代数Ω-模糊子代数的同态像与同态原像的基本性质;最后研究了格蕴涵代数Ω-模糊子代数的直积与投影。 从“数控一代”到“智慧一代” "为满足制造业创新与服务化发展的需要,在普适信息、高技术群体发展的推动下,提出未来制造业中制造装备、制造模式升级到""智慧一代""的构想。分析了制造装备的进化历程,并从网络融合、社会信息物理系统、嵌入式创新与个性化服务视角下分析了智慧制造,给出国内外具有智慧制造雏形的案例,以有助于从共性角度理解由""数控一代""上升到""智慧一代""的方法和途径。" 一种小型双陷波超宽带单极天线的设计 针对超宽带通信系统与带内其它无线通信系统之间的干扰问题和天线小型化的要求,设计了一种微带馈电的小型化双陷波超宽带单极天线。通过在矩形贴片上加载渐变结构实现了天线在民用超宽带频带内的阻抗匹配和小型化要求,同时通过在矩形贴片上开F形槽缝实现了天线在带内的双陷波特性。实测结果表明,在2.85GHz到大于11GHz的工作频段内,天线的电压驻波比小于2,同时实现了在3.275~4.05GHz和4.95~6.25GHz频率范围内的良好陷波特性,有效地抑制了超宽带通信系统与WiMAX系统和WLAN系统之间潜在的干扰。并且,天线在整个工作频段内的H面具有良好的全向辐射特性,而E面具有类似于偶极子的特性。仿真和测试结果都显示改进天线结构能够很好地应用于超宽带系统中。 基于稠密与稀疏高程点的DEM插值算法 考虑到DEM插值的准确度、效率与平滑效果,将平面上离散高度点分为稠密和稀疏两种情况。针对稠密的情况,提出了分治算法快速构建三角网,然后逐点插入进行DEM插值;针对稀疏的情况,分析了三角网的不利因素,提出了高斯权值-向量基方法进行DEM插值。 基于子句的动态检查强制文字的SAT求解器 检查强制文字是一种重要的预处理方法。结合学习子句,提出一种在求解过程中使用的策略—基于子句的动态检查强制文字(CNL),并且设计了一种易实现低成本的数据结构。分别实现了两个不同版本的求解器:Glucose_PRE和Glucose_CNL,前者在求解初始时将检查强制文字作为预处理,后者实现了基于子句的动态检查强制文字策略。实验测试结果表明,与Glucose_PRE和Glucose3.0求解器相比,求解器Glucose_CNL在求解2015年和2016年SAT竞赛的应用类型的实例时,求解实例个数更多,耗时更少,说明所提策略和所设计的数据结构均可提高求解器的求解性能。 融合模拟退火的遗传算法在车辆调度中的应用 论文在遗传算法搜索过程中融入了模拟退火算法,针对选择运算、交叉运算和变异运算产生的新种群,使用模拟退货算法逐一进行优化。由于模拟退火算法不仅接收使目标函数变好的解,还在一定程度上接收使目标函数变差的解,有效避免陷入局部最优,克服了遗传算法局部搜索能力较差、易出现早熟现象的缺点,提高了遗传算法的性能,扩大了遗传算法的使用范围。仿真结果表明融合了模拟退火思想的改进遗传算法性能更优更稳定。 面向功能的Web服务分类系统研究与实现 提出一种基于支持向量机方法依据Web服务的功能信息进行分类的服务分类系统,以UNSPSC作为Web服务的类别体系,并利用UNSPSC中各类别的描述信息作为其父类别的样本文档.在层次化分类体系中利用概念之间的语义层次关系判断出类别的主概念从而进行特征选择的方法,概念之间的语义层次关系通过计算概念在语义词汇网络WordNet中的语义相似度而建立.经过在实际数据和模拟数据集合上进行实验,结果证明服务分类系统分类效果理想. 面向长作业环境中的云调度策略 随着云计算的普及,大量的数据处理选择云服务来完成。现有算法较少考虑异构型系统中虚拟机计算能力的不同,导致某些任务等待时间过长。提出了虚拟机负载大小实时调整的算法。对云计算中资源虚拟化特征,给出一种评估虚拟机计算能力的方法。根据虚拟机能力和运行过程中的状态变化,自适应进行任务量大小调整,满足实时要求。通过任务调度,协调任务完成时间,保持各虚拟机负载的动态均衡,缩短长作业的总执行时间,提高了系统的吞吐量和整体服务能力,提升了效益。实验结果表明,本文算法能自适应地调整任务量大小,进行调度,以维持虚拟机负载均衡。 基于虚拟样机的新型冲击夯建模与仿真 在电动冲击夯优化设计问题的研究中,采用机械行业新兴的虚拟样机技术设计了新型电动冲击夯。利用Pro/e软件建立了一种新型冲击夯几何模型并进行了虚拟装配,采用专用接口模块Mechanism/Pro将模型导入动力学仿真软件Adams建立了虚拟样机模型,并进行验证,调整仿真时间仿真步数的比值s解决了刚体之间的接触穿越问题;通过修改样机模型中的参数进行系统仿真分析了曲柄半径r、弹簧刚度k、夯机上机体m和夯板m2的比值n、弹簧预压缩力p等关键参数对系统稳定性和有效性的影响,确定了上述参数的最优值范围,经过多变量参数优化,得出一组最优参数值:r=20mm,k=26150N/m,n=4.5,p=260N,运行表明改进的样机模型能够用于实体加工制造。 一种在Android移动终端实现单点登录的新方法 针对目前移动办公越来越普及,各大企业纷纷开发了企业内部各种各样的移动端应用系统。企业员工需要频繁登录这些应用系统,每次登录就浪费了大量时间,降低了工作效率。同时,企业员工需要记忆繁多的用户名和密码,不仅费时费力而且还存在安全隐患。因此,开发出一种能在移动端进行安全单点登录的新方法是很有必要的。通过分析移动终端上单点登录的发展前景与现状,提出了一种在Android设备上进行安全单点登录的新方法。该方法基于智能密码钥匙的数字证书认证技术来实现身份认证,通过Android应用程序显示授权资源,基于SOAP协议完成单点登录,实现了基于Android客户端的数字证书身份认证与单点登录来访问相互信任的资源。实验结果表明,该系统能支持异构系统的单点登录,用户只需一次身份认证就能访问所有授权应用,提高了用户数据安全性和工作效率,同时也为管理员的管理带来了便捷。 基于相位差渐进循环协调的应急车辆优先信号控制 为了提高应急车辆的通行效率,针对应急车辆需要绝对优先路权的特点进行了信号控制的研究,提出了一种基于相位差渐进循环协调的信号控制策略,并将其与应急车辆优先信号配时转换策略相结合进行应急车辆优先信号控制,给出了应急车辆优先信号配时转换控制策略和动态相位差的计算方法。在由三交叉口组成的城市道路系统中,采用三种应急车辆的信号控制策略进行了微观仿真实验,结果表明,提出的基于相位差渐进循环协调的应急车辆优先信号控制策略通过对各交叉口相位动态循环渐进微调后,再采用应急车辆优先信号配时转换策略进行控制,可以使应急车辆安全不停车地通过交叉口,且达到了对非应急车辆影响最小的效果。 基于机载数据的高精度飞行航迹合成技术研究 合成高精度的飞行航迹数据是航空安全评估和民航事故调查中需要解决的首要问题。针对直接使用QAR记录数据合成飞行航迹面临着精度不够、数据互斥性较大、影响轨迹计算精度的实际问题,采用地图投影方式和飞行性能数据积分方法分别计算出飞行航迹,在此基础上对航迹进行了融合计算,最后提出利用已知飞行中的准确位置点地理信息数据对飞行航迹进行校准,实现对四维飞行航迹的高精度合成,较好地满足了飞行事故分析和飞行过程再现对高精度水平航迹的需要。 一种新的2.45GHz频率综合器设计与实现 提出了一种新的基于数字FLL的高速、低功耗2.45GHz频率综合器结构,它由鉴频器、数字控制电路、电流控制振荡器组成.它采用高速鉴频器对振荡器输出信号计数实现鉴频,数字控制电路根据鉴频结果调节振荡器输出信号频率来实现输出信号频率与目标频率的锁定.高速分频器基于异步计数结构,降低了内部模块工作频率,使得系统性能稳定;数字控制电路采用逐次逼近算法,使得锁定速度快;基于差分电流饥饿延迟单元的电流控制振荡器采用电流-电容双控模式,使得输出频率调节范围宽、精度高.该电路结构简单,易于实现,版图面积为13 200μm2.在0.18μm工艺下,仿真结果显示,其锁定时间为14μs;输出频率调节范围为1~4.5GHz;输出频率锁定2.450GHz;功耗为4.622mW. 基于相关函数的二进制偏移载波调制信号无模糊捕获模型 针对二进制偏移载波(BOC)调制信号捕获模糊问题,提出了一种基于本地BOC信号分解-合成的算法。首先,将副载波按照本地BOC信号的阶数n进行分解;其次,把分解得到的函数分别与伪随机码相乘,得到2n个BOC信号子函数,再分别与接收BOC信号作互相关得到2n个互相关函数;最后,将上一步得到的2n个互相关函数按照分解-合成算法进一步处理。理论分析和仿真结果表明,与OQCC算法相比,在捕获BOC(1,1)和BOC(2,1)信号时,该分解-合成算法的主副峰分离度(ASDMSP)有21.51 d B和3.4 d B的提高。实验结果表明,该分解-合成算法能够有效解决BOC信号捕获模糊问题。 物联网架构下风电机组远程状态监测仿真 研究物联网框架下风电机组远程状态精确监控。物联网框架下的风电机组中形成设备互动,由于频繁互动会对各种远程信号状态带来干扰,造成状态信号发生非规则形变,提取信号失真。传统的监控方法在信号形变的情况下很难有效对其特征进行提取,造成监控不准。提出混沌粒子融合算法的物联网架构下风电机组远程状态监控方法。建立集成监测模型,将风电机组监控信号输入到该模型中,提取与风电机组状态相关的信号,将其作为风电机组远程状态监测的依据。将混沌粒子群算法与信号融合算法相结合,从而对失真信号进行补偿。在物联网架构下利用改进算法对风电机组远程状态进行监测,将监测数据传输到控制端。实验结果表明,利用改进算法进行物联网架构下风电机组远程检测,能够监测风电机组的运行状态,从而保障风电机组的正常运行。 车载自组织网络环境下基于软件定义网络的数据协作调度算法 针对车载自组织网络(VANET)中路侧单元(RSU)应答车辆请求效率低下的问题,提出基于软件定义网络(SDN)的数据调度算法SDDS。首先,依据车辆状态信息生成策略冲突图,并求解其最大权重独立集,实现单个周期内被应答请求数目最大化;其次,通过分析数据在车辆节点中的冗余度对系统服务能力的影响确定最优参数,设计了一种基于地理位置的协助车辆挑选机制;最后,分析跨区切换车辆的特点和影响多RSU协作的因素,提出一种基于冲突避免的多RSU协作机制;此外,提出了新的评价指标——服务效能来评价系统的整体服务质量。仿真实验中,相比请求数目优先算法(MRF)和协作数据分发算法(CDD),SDDS的服务效能最高增幅达到15%和20%。仿真结果表明,SDDS能显著提高调度系统的服务效率和质量。 一种支持QoS与信任值的启发式重路由机制 随着网络规模持续扩大和新业务不断增长,用户对网络的服务质量(Quality of Service,QoS)提出了更高的要求.用户数量增加、网络规模复杂、网络安全性差等因素使得网络元素(节点或链路)更加容易失效,从而导致重路由发生率增高.本文在描述网络模型、用户需求以及满意度计算方法的基础上,根据带宽与信任值设计启发式函数,提出了一种支持QoS与信任值的启发式重路由机制(QoS and Trust value based heuristic ReRouting,QTRR).仿真结果表明,当重路由条件触发时,本机制能够快速建立一条可信且满足QoS需求的重路由路径. 基于哈希技术和MapReduce的大数据集K-近邻算法 K-近邻是一种著名的分类算法。由于简单且易于实现,因此其被广泛应用于许多领域,如人脸识别、基因分类、决策支持等。然而,在大数据环境中,K-近邻算法变得非常低效,甚至不可行。针对这一问题,提出了一种基于哈希技术和MapReduce的大数据集K-近邻分类算法。为了验证算法的有效性,在4个大数据集上进行了实验,结果显示,在保持分类能力的前提下,所提算法可以大幅度地提高K-近邻算法的效率。 云计算环境中支持语义的安全策略匹配研究 云计算环境中,一致的安全策略是用户和服务能够成功交互的基础.如何有效地实现安全策略的匹配已经成为保证云计算环境安全的重要关注点之一.因此,提出一种云计算环境中支持语义的服务安全策略匹配方法,将策略匹配的问题转换成语义概念的包含推理问题.该方法首先构建了表示云计算环境下安全知识的云安全本体;其次,利用描述逻辑推理本体元素相互间的语义关系,在此基础上,给出了安全策略匹配结果的判定方法;最后通过实例分析,说明了方法的可行性和实用性.该方法克服了句法级匹配存在的不足,为云计算环境中服务双方安全策略的表示和匹配提供了一种有效的解决方案. 大规模战场电磁环境并行体绘制技术研究 提出了一种基于PC集群的大规模三维战场电磁环境并行绘制方法。该方法采用直接体绘制的三维体数据可视化方法;利用空间八叉树对电磁绘制区间进行空间分割,并采用先序遍历八叉树叶节点的方式进行任务分配;采用Binary-swap算法对各PC节点的生成图像逐次进行全屏幕深度合成。实验表明,该方法能够较好满足大规模战场电磁环境实时可视化的要求。 一种优化的语音特征参数提取方法仿真 研究语音特征参数准确提取的方法。利用传统算法进行语音特征参数提取,需要获取语音特征的连续谱,根据该连续谱提取其特征参数。但是由于语音特征的随机性较强,很难保证其连续性特征,因此将造成语音特征参数提取的准确性降低。为了避免上述缺陷,提出了一种基于谐波探测算法的语音特征参数提取方法。详细阐述谐波探测算法的相关理论,将该理论应用于语音特征参数提取的过程中。利用该算法进行语音特征参数提取。实验结果表明,利用本文算法进行语音特征参数提取优化处理,能够极大的提高语音特征参数提取的准确性,取得了令人满意的结果。 头颈部毛母质瘤的影像学表现及病理特征 [正文]病例报道病例1:患者女,11岁,左侧眶下区皮下结节一年余,无明显疼痛,皮肤无异常,质地硬。来院后先行超声检查示一实性结节,大小约2 cm,其内见斑点状血流信号(图1A),考虑血管瘤,CT检查示混杂密度影,平扫可见点状钙化影(图1B),增强轻度强化(图1C)。病例2:患者男,47岁,右侧颞部皮下可触及一大小约1.5 cm大小的结节一年余,无明显疼痛,质 Benford法则在水文数据质量挖掘中的应用研究 为识别和改进数据中存在的质量问题,采用Benford法则进行数据质量挖掘分析,该方法通过分析数字分布规律来检测数据的合理性,达到控制数据质量的目的.以水文数据中降水量数据为样本验证方法的有效性.实验结果表明,该方法能有效识别数据集中存在异常信息,提高了水文数据的数据质量,具有一定的应用前景. 基于稀疏表示的WMSN红外和可见光图像融合 在使用无线多媒体传感网络WMSN进行环境监测的过程中,对同一场景所采集的红外和可见光源图像进行信息融合时,传统的方法融合的数据量较大且没有充分考虑其内在稀疏性和丰富的结构特征,图像融合的质量不高。将稀疏表示理论应用于WMSN红外和可见光图像融合中,在原始DCT冗余字典基础上,结合K-SVD字典训练算法和同步正交匹配追踪SOMP算法对WMSN红外和可见光图像进行有效的稀疏表示,并选择自适应加权平均融合规则对稀疏表示系数进行融合处理。仿真结果表明,相对于传统的基于空域及变换域的红外和可见光图像融合方法,该方法更能从WMSN含噪图像中有效地保留源图像的有用信息,获得较好的融合效果。 自平衡机器人中数据融合算法的研究与实现 轮式自平衡机器人是一种通用的机器人,它适用于各种复杂环境中,通过陀螺仪和加速度计采集的数据可以控制其平衡性,但是不够精确和实时。为了进一步提高系统的响应,文中通过离散卡尔曼算法将ENC03陀螺仪和MMA7260加速度计采集的数据进行融合输出。首先研究了传统的卡尔曼算法,然后建立了适合自平衡机器人的算法模型,最后介绍了其工程实现。通过实时监测可以看出,在未进行角度融合之前,加速度计计算得出的角度在静态和动态都有着极大的噪声。经过卡尔曼滤波算法融合后的角度平滑稳定,达到了预期效果。 利用改进粒子群优化的软件模块划分算法 软件模块划分是软件工程领域一个重要并且非常复杂的问题,通过软件模块划分可以将规模庞大的复杂软件系统分解为易于理解和维护的子系统.针对现有软件模块划分算法收敛速度慢、划分效果不佳的问题,提出了一种基于复杂网络和群体智能算法的软件模块划分算法.首先对软件系统抽象形成复杂网络图,然后改进粒子群优化算法,使用最短路径初始化种群,使用概率选择的方式更新粒子位置,最后给出概率选择粒子群算法的全局收敛性证明.采用六个典型复杂软件项目实证结果表明,新算法稳定性更好,收敛速度更快,为软件模块划分问题提供了一个有效的工程化方法. 医疗器械自助注册网站的设计与实现 "随着医疗器械行业的快速发展,诸如纳米医疗器械、激光医疗器械等新产品源源不断地出现。为了解决医疗器械多样性带来的注册难问题,本文利用Asp.Net和Access开发了名为""注册小助手""的医疗器械自助注册网站。网站根据产品的分类检索数据库,为公司注册流程步骤提供指导,并依托学院的学术力量,提供专家线上线下的解答。使产品能顺利通过层层审批和检测,加快产品上市时间。" 齐墩果酸分子印迹聚合物的计算机模拟、制备及吸附性能研究 在软件Hyperchem7.5中,采用分子力学MM与PM3半经验法优化齐墩果酸(OA)与甲基丙烯酸(MAA)、丙烯酰胺(AM)的分子最低能量构象,计算各自电荷分布;采用AmberMM与PM3半经验法,模拟OA-MAA、OA-AM的结合,计算OA-MAA、OA-AM的结合力大小。分别以MAA、AM作为功能单体,采用本体聚合法制备OA-MIP1、OA-MIP2。通过结合动力学实验和等温吸附实验对OA-MIP1、OA-MIP2进行吸附性能评价。从模拟结果可看出,OA-MAA较易形成氢键,使得OA-MIP1对OA吸附较快,而OA-AM形成较稳定氢键,结合力较强,使得OA-MIP2拥有更大的平衡吸附量。静态吸附结果表明,OA-MIP1在0.5h即可达到吸附平衡,而OA-MIP2则需要2h;OA-MIP1的平衡吸附量为145μmol·g-1,远低OA-MIP2平衡吸附量345μmol·g-1。这与计算机模拟的结果一致。研究结果表明,计算机模拟能应用于OA-MIPs合成前的功能单体筛选,能有效地提高OA-MIPs的研发效率。 新型气液分配器的开发与应用 通过对常用泡帽型气液分配器深入研究及剖析,提出了一种新型气液分配器。研究结果及工业应用表明,新型气液分配器流体分配性能优于泡帽型分配器,消除了中心汇流及流动死区等问题,优异的流体分配性能有利于催化剂充分利用和装置长周期高效运转。 基于跨事件理论的缺失事件角色填充研究 事件抽取是信息抽取领域的研究热点。针对ACE事件抽取局限于当前单个句子而造成大量事件论元角色缺失的现象,提出了基于跨事件的缺失事件角色填充理论并实现了原型系统。系统分为缺失角色填充识别和缺失角色填充分类两个部分,识别部分用于判定缺失角色是否可被填充,分类部分用于从其它事件描述中选择合适的角色(实体)对可被填充的缺失角色进行填充。对ACE2005语料进行了后期标注,实验中两个阶段的F值分别达到72.97和74.68。 远程实时健康监护系统数据传输及安全性研究 为实现传感器和数据中心间的双向数据传输,提出一种远程实时健康监护系统。设计包括微型生理信息采集器、数据传输系统和实时服务支撑平台的系统架构,使用蓝牙、GPRS技术,并以智能手机为媒介实现数据双向传输,利用IOCP协议、动态密钥、数据验证、防恶意攻击等方法提高数据传输质量。实验结果表明,该系统具有较好的可靠性和安全性。 智能监控系统跟踪技术研究 根据小区环境的复杂背景、光照变化以及经济成本等因素,开发了智能报警监控系统,自动控制云台转动,能保证目标在可监视范围之内。针对背景混淆下的跟踪难点,为准确预测目标位置,提出基于谱直方图的特征提取算法进行目标检测,并引入Kalman滤波器预测目标位置的跟踪算法。谱直方图将图像转移到频域进行统计,减少了颜色以及噪声等因素的干扰。仿真实验证明,系统可以保证视频监控的实时性以及自动跟踪的准确性,并且有效地节约了系统开发的经济成本。 多层螺旋CT评价心肌桥对近端血管内径变化率的影响 目的:利用多层螺旋CT冠状动脉造影来探讨心肌桥对壁冠状动脉近端血管内径变化率的影响。方法:收集上海中医药大学附属上海市中西医结合医院2016年10月-2017年1月进行冠状动脉CTA检查且符合条件的患者79例,其中LAD心肌桥患者27例,无心肌桥作为对照组患者52例。对所收集的患者进行心动周期的45%(收缩期)及75%(舒张期)双期重建,利用Vitrea 2后处理工作站测量出RCA及LAD近端横截面的长径与短径,利用其均值代表该血管的直径。再利用血管内径变化率(inner diameter change rate,DCR)公式:冠状动脉血管内径变化率=(血管收缩期直径-血管舒张期直径)/血管收缩期直径×100%,从而计算出患者DCR。心肌桥组与对照组进行统计学对照分析,P<0.05认为具有统计学差异。结果:心肌桥组RCA近端DCR为13.41%±7.85%,对照组RCA近端DCR为10.40%±9.60%,两者不存在统计学差异(t=1.399,P=0.166,P>0.05),心肌桥组LAD近端DCR为10.85%±14.66%,对照组LAD近端DCR为11.81%±11.51%,两组不存在统计学差异(t=-0.318,P=0.751,P>0.05);但心肌桥组LAD近端长径的DCR为7.59%±7.05%,对照组LAD近端长径的DCR为12.75%±12.36%,心肌桥组LAD人品端长径的DCR明显低于对照组,且具有统计学差异(t=-2.0,P=0.049,P<0.05),但LAD近端短径的DCR在两组中不具有统计学差异(t=-0.078,P=0.938,P>0.05);心肌桥组RCA近端长短径与对照组均不具有统计学差异(t=0.855,P=0.395,P>0.05及t=1.42,P=0.16,P>0.05)。结论:心肌桥患者LAD近端长径DCR低于对照组,表明心肌桥的存在对于壁冠状动脉近端的长径DCR存在明显的影响。 网络化软件的信任度量模型优化仿真分析 对网络化软件的信任度进行建模,对提高网络安全方面具有重要作用。由于网络化软件具有一定的开放性,使得网络化软件的不同层面信任度权重不能固定。传统的建模方法主要通过获取网络化软件的不同层面信任度估计权值,建立网络化软件的信任度量模型的,导致建模不准确、误差大的问题。提出一种综合信任云评价方法,进行网络化软件的信任度量评估。通过分解层次法确定并计算指标权重参数。通过自定义云相似度划分参照等级,计算同一信任等级的多个软件供应商的综合信任云差异度,以综合信任云差异度辅助模型完成网络化软件的信任度量评估。仿真结果表明,提出的信任云计算改进方法,使网络软件运行与停止更加符合当代网络运行体系,弥补了现有互联网信任度指标不确定的不足,充分证明综合信任云计算方法的科学有效性及实用性,为网络安全提供了依据。 基于协同训练的低空运动平台动态人物阴影检测 针对现有视频监控中人物阴影检测大都采用背景减法,难以实现低空运动平台下的动态检测问题,提出一种针对低空运动平台的动态人物阴影检测方法.在改进现有的3种室外人物阴影像素特征的基础上,提出一种亮度反差区域特征,并通过实验给出了其优化组合模式;基于像素与区域特性的独立性构建双视图分类器,设计了与之相适应的半监督协同训练策略;最后针对实时处理需求,提出了通过随机采样改善学习效率、利用支持向量机解决小样本学习问题的加速方案.实际低空运动平台下的实验结果表明,该方法具有较高的人物阴影检测率与较好的算法鲁棒性,可有效地解决低空运动平台下的高质量动态人物阴影检测问题. 多方法协作免疫进化算法研究 为提高免疫进化算法的全局寻优能力并降低计算复杂度,提出了多方法协作免疫进化算法。对免疫进化算法进行了改进。考虑抗体个体差异性,将抗体种群划分为精英、普通和劣等子群,对其分别执行高斯变异、均匀变异和消亡更新等差别化操作,增强了算法全局搜索能力。模式搜索法的探测和模式移动策略由单步交替改为贪婪下降,加快了算法收敛速度。将模式搜索法作为局部搜索工具嵌入免疫进化流程,同时采用免疫进化信息指导模式搜索法的初始点和参数设置,实现多方法协作优化。采用经典测试函数和某星载电子设备布局优化问题对算法进行了测试,测试结果表明算法寻优能力和收敛速度优于免疫进化算法,计算复杂度有显著下降。 利用模式指导树的并行频繁项集挖据方法 关联规则挖掘的主要任务是根据对事务的统计找出项之间的关系。传统的挖掘算法要求项具有逻辑属性,并在挖掘过程中产生大量的中间项集,成为算法的瓶颈。给出一种基于关联路径树的表格数据组织形式,并采用模式指导的方式进行频繁项集挖掘,该方法不要求项具有逻辑属性,初始模式不同的项集组合迭代可以分配到不同的CPU完成,提高了算法的执行效率。该算法对美国1984年国会选举数据进行了实验,结果完全正确。 嵌入式系统低功耗软件技术研究 嵌入式系统低功耗设计中有硬件技术无法涉足的空间,可通过低功耗软件技术实现降低系统功耗的目的。针对液晶显示器(LCD)电气特性,从软件角度,综合运用动态电源管理技术和动态电压管理技术,根据处理器负载变化趋势和对空闲模式计时的思想,给出了降低液晶显示器功耗的算法和策略;利用优化编译技术中的操作替换和指令排序方法,分析和研究图形图像处理中常见的矩阵变换算法,给出了低功耗策略和验证节能73.9%。并就低功耗软件技术算法和策略给出了结论和提出了下一步研究的方向。 存储区域网络的动态负载均衡算法研究 针对存储区域网络(SAN)中负载变化的特性,提出了一种临界值动态任务负载分配算法。通过负载状态值准确地反映SAN中当前负载情况;通过调整临界因子来避免服务器重载的现象;通过测试获得算法中所需的参数,使配置更为简单合理。实验结果显示,该算法对大数据大密度的任务访问效果明显。 基于长时性特征的音位属性检测方法 提出一种基于长时性信息的音位属性检测方法,该方法通过高、低两层时间延迟神经网络(TDNN)进行实现,低层TDNN在短时特征上进行音位属性的检测,高层TDNN在低层检测结果的基础上,对更长时段上的信息进行融合。实验结果表明,引入长时性特征使得音位属性检测率提升约3%,将音位属性后验概率作为音素识别系统的观测特征,使用长时性特征的识别结果提升约1.7%。 Petri网极小虹吸的计算方法与性能分析 虹吸是Petri网的一种重要结构,可以用来分析所模拟系统的许多重要特性,如可达性、可逆性和活性等.文中首先提出了虹吸子网的概念,并给出了将Petri网划分成虹吸子网的多项式算法,进而给出其性能分析.通过求解虹吸子网的极小虹吸得到原Petri网的所有极小虹吸.而对于每个虹吸子网,首先求解它的一个极小虹吸,并根据此极小虹吸对子网进行分解,将分解得到的子网做类似原网的处理过程,直到每个子网的位置集就是一个极小虹吸或不包含任何极小虹吸为止.性能分析及实验表明,所构造的求解Petri网所有极小虹吸的算法是一个有效的算法. 降龙涎香醚或其同系物定量构香关系的研究 龙涎香是1种名贵的动物香料,在调香中占有不可或缺的位置。然而由于天然龙涎香己很难获得,多以合成产品代之。在众多合成龙涎香料中,降龙涎香醚或其同系物的评价较高。为了满足人们对龙涎香型香料的日益增长的需求,开展合成龙涎香料方面的理论和应用的研究,是非常有意义的。目前QSAR法在香料分子的研究领域中应用还少。本文应用密度泛函论(B3LYP),计算降龙涎香醚或其同系物的各种量子化学结构参数。结合其香气强度的实验值(香气阈值),用Stepwise法建立具有重要统计学意义的QSAR方程。一方面,它预测降龙涎香醚或其同系物的香气强度的能力良好,另一方面还能反映出影响降龙涎香醚或其同系物香气强度的重要量子化学结构参数。结果表明:最低空轨道能量ELUMO、分子的偶极矩μ对降龙涎香醚或其同系物的香气强度有重要影响,ELUMO能级越低,香气阈值越小,分子的香气越强;偶极矩μ越大,分子的极性越强,分子的香气也越强。 基于工作流模式的BPMN过程模型验证方法 针对业务流程建模符号过程模型语义验证问题,提出了一种新方法。该方法基于正确的业务流程建模符号过程模型是工作流模式的合理组合的理念,通过扩展和改进业务流程建模符号及其执行语义,设计了工作流模式的形式化编码规则;借鉴Petri网化简方法,设计了工作流模式组合化简规则;基于工作流模式编码及组合化简规则,给出了业务流程建模符号过程模型验证方法。应用示例表明了该方法的有效性。 基于时序分解的用电负荷分析与预测 在智能电网普及的大数据背景下,对电力数据进行精准的分析和预测对电网规划和经济部门的管理决策具有重要的指导意义,但大多数模型都只是在单一的时间尺度上进行研究。针对这一问题提出一种基于时序分解的后向传播算法的循环神经网络预测模型。通过对真实的居民用电消费数据以及外部因素数据统计处理,深入地分析了居民用电特点以及行为规律,并根据其数据的特征以及天气、节假日等外部因素对用户用电行为的影响建立预测模型,对用户未来时段的用电量进行预测。此外,考虑到居民用电消费数据的时序特征在不同时间尺度呈现不同的变化规律,通过时序分解建立预测模型来对用户用电行为的周期性和趋势性进行建模,并通过加权融合达到一起训练的效果,具有一定的协同性,提升预测精度。 自动化码头AGV充电与作业的集成调度研究 为了提高自动化集装箱码头AGV(Automated Guided Vehicle)的作业效率,根据采用电力驱动的AGV作业时的充电需求和运输过程的特性,考虑了垂岸式集装箱堆场布局和AGV充电过程对实际作业的影响,以最大化AGV充电利用率、最小化最末任务完成时间、最小化AGV空载时间为目标,以AGV充电后的续航能力等为约束条件,以遗传算法为研究方法,构建了考虑充电过程的自动化码头AGV作业的调度模型。通过算例分析,对比了遗传算法与混合整数规划算法的求解效果,分析了参与运输的AGV数量对运输时间的影响,也验证了遗传算法给出的调度方案的可信性。最后得出结论:针对该问题,遗传算法可以快速、高效地给出值得信赖的AGV调度方案。 两层传感器网络中可验证隐私保护Top-k查询协议 无线传感器网络中隐私保护技术已经成为研究热点,其中隐私保护精确Top-k查询协议已成为富有挑战性的研究问题.文中提出了一种两层传感器网络中可验证隐私保护Top-k查询协议SafeTQ(Safe Top-k Query),SafeTQ由隐私保护Top-k查询协议和两种完整性验证模式组成.SafeTQ使用加随机数扰乱、加密和高资源节点之间安全计算第k位数据值等策略,能够在不泄漏隐私信息的情况下,精确地完成传感器网络Top-k查询.SafeTQ中两种完整性验证模式分别使用邻居数据项形成加密链和空间邻居节点概率发送验证消息策略,使Sink能够检测和拒绝不正确或不完整查询响应.文中通过理论分析和使用真实数据集实验验证了SafeTQ的安全性和有效性. 大数据集挖掘的层次二分抽样算法 针对目前关联规则挖掘的数据集不断增大,而很多抽样算法精度不高还要解决一系列NP难问题等情况。在分析利用频繁1项集进行抽样处理的基础上,提出了高精度的基于频繁n项集平均划分的关联规则挖掘算法——EHAC算法。理论和实验都表明,EHAC能够提高数据挖掘精度,在数据平均划分的同时,尽量保证频繁n项集能够平均划分,减少了数据库扫描次数,一定程度上缩减了数据库规模。 复杂网络模型及其在疫情传播和控制中的应用研究 复杂网络已成为一个热点研究问题,它在工程技术、社会、政治、医药、经济、管理等领域都有着广泛的应用。越来越多的科学家开始关注基于复杂网络拓扑结构的动力学研究。其中,关于疾病传播的研究是一个重要方面。分析和研究了小世界网络模型和BA无标度网络模型两种经典的复杂网络模型,并模拟了传染病按照SIR传播模型在两种网络中的传播情况,讨论了其上的传播阈值以及随机免疫和目标免疫策略对传播阈值的影响。最后对H1N1病毒的传播情况进行了仿真模拟,包括H1N1病毒在自由传播和采取随机及目标免疫两种免疫策略时的传播情况。仿真结果表明,目标免疫策略可以有效抑制疾病的传播。 无空闲时隙的动态多叉查询树RFID防碰撞算法 为了提高RFID系统识别标签的效率,提出一种无空闲时隙的动态多叉查询树RFID防碰撞算法DMQT。该算法根据碰撞位的特征动态调整树分裂的叉数,能够有效地减少碰撞时隙。通过跟踪标签的碰撞位来避免不存在标签的分支,从而可以消除空闲时隙。理论和仿真分析可以看到,该算法具有很小的识别时隙和较大的吞吐率,算法性能优于目前存在的RFID防碰撞算法。 本安防爆关联设备性能自动测试系统的设计 当前绝大部份的本安防爆关联设备的测试为人工检测或半自动测试,为了提高企业的生产效率,避免人为错误,设计了一套用于自动测试本安防爆设备的系统,该系统采用Internet作为连接平台,采用多点测试技术,结合数据库的存储、检索和报表等技术,能对多种本安防爆关联设备的输入输出信号及本质安全性能进行自动测量、分析,并能实时存储测量数据;与传统的测试装置相比,该设计具有高效率、使用方便、可靠性高和测量精确等优点,达到预期效果,对于企业实现生产自动化有着积极的推动作用。 RFID二进制树型折半搜索防碰撞算法 为提高RFID系统中多标签读取的工作效率,分析了二进制树型搜索防碰撞算法,提出一种改进型的二进制树型折半搜索算法.通过构建哈夫曼树,使用自定义编码,采用堆栈操作确定碰撞位,用折半查找方式减少重复路径访问量,并最终确定最短路径长度.数据分析及实验结果表明,二进制树型折半搜索防碰撞算法可以明显降低搜索深度,显著提高RFID多标签读取的工作效率. 文本挖掘技术在互联网赌博案情分析中的应用 当前我国正对足球赌球案件进行专项调查。针对网络赌博案情信息语义信息的不明确性和分析的复杂性,综合运用Web信息抽取技术、犯罪特征关系可视化分析技术和计算机取证技术,设计并实现了网络赌博案情分析系统。实验表明,该系统可以快速、有效地进行网络赌博案情信息的分析处理,更加直观地表现案情,为案件侦破提供重要线索。 基于改进的均值漂移和卡尔曼滤波的目标跟踪算法 针对固定搜索窗口均值漂移算法在目标运动速度过快或目标尺度发生变化而导致跟踪失败的问题,提出一种与卡尔曼滤波相结合的自适应窗口跟踪算法。首先用卡尔曼滤波算法对运动目标进行预测及更正,设定感兴趣区域,并利用均值漂移算法确定搜索窗口大小和位置,同时结合Bhattacharyya系数进行目标定位,实现视频中目标跟踪。通过对比分析和实验结果,改进算法对目标尺度发生变形时具有较好的鲁棒性和准确性。 基于故障树的专家系统在雷达电源故障诊断中的应用 故障树是故障诊断专家系统问题求解策略的一种重要方法,为了减小知识表示和获取的难度,可将基于故障树的专家系统应用到雷达电源故障诊断中,通过构建和实现某雷达通用电源故障诊断系统,可达到简化和诊断高效的目的。经实例验证,基于故障树的雷达电源故障诊断专家系统,具有较高的诊断效率和准确性。 格值有限状态自动机的同态和商自动机 提出了格值有限状态自动机(LFSA)的同态、强同态的概念,研究了LFSAs同态、强同态的若干性质。在LFSAs强同态的基础上,得到了LFSA的商自动机及其最小化自动机,刻画了商自动机的性质。 一种基于最大熵模型的加权归纳迁移学习方法 传统机器学习和数据挖掘算法主要基于两个假设:训练数据集和测试数据集具有相同的特征空间和数据分布.然而在实际应用中,这两个假设却难以成立,从而导致传统的算法不再适用.迁移学习作为一种新的学习框架能有效地解决该问题.着眼于迁移学习的一个重要分支——归纳迁移学习,提出了一种基于最大熵模型的加权归纳迁移学习算法WTLME.该算法通过将已训练好的原始领域模型参数迁移到目标领域,并对目标领域实例权重进行调整,从而获得了精度较高的目标领域模型.实验结果表明了该算法的有效性. 基于ARM+DSP的网络视频服务器的设计 网络视频服务器可对音视频数据进行编码处理并完成网络传输,实现远程监控。为了达到对高尺寸的图像进行全屏的视频压缩或无失真的压缩,并且实现图像数据在网络的高效传输,可采用一种ARM+DSP的网络视频服务器,采用TI公司高性能的DSP芯片TMS320C6415-500进行图像数据处理,用Intel公司的Strong ARM SA1110芯片掌控系统管理与网络传输。用ARM+DSP设计的网络视频服务器,采用H.264视音频压缩编码算法,图像分辨率为352×288象素,可同时访问4个网络摄像机,并输出4路视频信号,内置Webserver实现网上浏览。用ARM+DSP设计网络视频服务器是一种先进且高效的方案。 基于GPU的小波变换 针对图形处理器研究问题,其中图形海量数据集的分析与处理,多用小波变换方法。但计算量大,难以适应实时性要求。近年来图形处理器的性能大幅提高,其深度流水线和并行运算机制提高,为解决实时计算问题提供了良好的平台。在研究小波变换矩阵形式及GPU编程模型的基础上,提出了一种关于GPU的小波变换方法,利用数组与纹理之间的对应关系实现小波变换,将离散的数据点映射到纹理,将小波变换的计算影射为高维矩阵与向量间的乘积形式,并通过渲染到纹理的形式取得中间结果。方法充分发挥了GPU流水线的并行性优势,实验表明方法可有效减少计算时间,从而达到实时绘制的要求。 工作流管理系统的柔性技术研究与应用 工作流的柔性是指灵活、动态地处理变更和异常的能力,这种能力使得工作流管理系统能够满足企业业务流程不断变更的需求,以及适应市场和企业的发展。自20世纪90年代中期开始,在提高工作流管理系统的柔性方面进行了很多探索和研究,引起了极大关注。该文首先介绍柔性工作流的相关概念及方法,然后结合工作流可扩展模型和跳转返回机制来增强工作流的柔性,最后基于微软WWF(Windows Workflow Foundation)的开发平台,通过示例来演示由宿主动态更新业务流程。 ERFT:一种增强型的可靠文件传输工具 网格数据传输组件RFT提供了可靠文件传输服务,但是GT4中的RFT仍存在不支持xml配置文件、不能进行全局优化配置、不能可视化操作等不足。在研究GT4 RFT组件的基础上,设计和实现了一个增强型的可视化可靠文件传输工具——ERFT。对大型文件的传输实验表明,ERFT继承了RFT的传统优势,同时又改进了其不足,为用户提供了更为可靠、友好的文件传输服务。 欢迎订阅2015年《计算机科学与探索》、《计算机工程与应用》杂志 [正文]《计算机科学与探索》为月刊,大16开,单价40元,全年12期总订价480元,邮发代号:82-560。邮局汇款地址:北京619信箱26分箱《计算机科学与探索》杂志社(收)邮编:100083《计算机工程与应用》为半月刊,大16开,每月1日、15日出版,单价45元,全年24期总订价1080元,邮发代号:82-605。邮局汇款地址:北京619信箱26分箱《计算机工程与应用》杂志社(收)邮编:100083 基于FPGA的多通道超声波测距系统设计 超声波测距是一种非接触式连续测量方法,具有电路简单、测量精度高等优点;传统的测距系统多采用单片机实现,无法满足现代测距实时性、立体化、多向性的要求;因此设计了一种基于FPGA的多通道超声波测距系统,所有通道同时进行检测与处理,系统具有较高的扩展性;硬件部分采用US100超声波传感器实现了超声信号的发射与接收,采用京微雅格C192芯片对回波信号进行检测和处理,实现了从2厘米到4米的距离的精确测量,测量结果送入LCD12864进行显示;软件设计采用Verilog HDL语言在Primace编辑环境下进行开发,在Modelsim软件下进行仿真,并通过HR3开发板验证了全部设计功能;测试结果表明:该测距系统运行稳定可靠,测量精度高。 一种融合免疫接种机制的改进鱼群聚类算法 传统的K-均值聚类方法,在聚类过程中过度依赖初始聚类中心的选择,同时由于全局搜索能力的不足,很难得到精确的聚类中心。鱼群算法在解决优化问题中表现出良好的并行性和全局搜索特性,但由于人为设置参数的影响可能会陷入局部最优。针对聚类问题的特征,将鱼群算法运用到聚类问题中,在使用自适应步长的鱼群算法的基础上,进一步融合免疫接种机制,加强算法对精确解的搜索性能,通过UCI数据集上的实验分析和比较,表明算法具有更好的有效性和稳定性。 非诚实环境下认知无线电共享信道安全协商 由于认知无线电网络中节点工作在不同频段,因此需要在通信前进行共享信道的协商过程。在Ad hoc认知无线电网络中,现有协商方式是协商节点暴露自身频段的使用信息计算出共享信道,然而该方法过度的泄漏节点的频谱使用信息,从而有利于恶意节点的攻击。针对这一问题,提出基于安全多方计算的认知无线电共享信道的协商方法,通过将该问题建模为百万富翁问题,利用安全多方计算协议在无需可信第三方的支持下,通过共享秘密信息,计算出正确的共享信道,且该方法不会暴露协商节点的信道使用信息,防止了恶意节点利用共享频谱信息的攻击。 基于Cache的海量图片存取优化方案 针对Hadoop分布式文件系统(Hadoop distributed file system,HDFS)存储海量图片效率低下的问题,在分析HDFS的基本框架以及其固有的文件读写流程基础上,提出了基于Cache的海量图片存储优化方案(HDFS based on Cache,CHDFS);该方案引入了Cache、预读、文件合并等机制,来提高图片读写的性能,弥补了HDFS存储海量图片时的缺陷;采用图片合并的方式减少Namenode中元数据的个数,同时提高Datanode存储空间的利用率;由于Cache、预读以及图片合并等操作对用户都是透明的,所以,该方案并没有增加用户使用HDFS的复杂性;实验结果表明,CHDFS方法可以有效地提高图片的存取效率。 油液混合动力挖掘机动臂势能回收系统 针对传统液压挖掘机工作装置在作业过程中所产生的能量损失,提出了一种油液混合动力挖掘机动臂势能回收系统,以改善挖掘机的燃油与环保性能,分析了影响动臂势能回收利用的主要设计参数,建立了挖掘机虚拟样机模型和液压系统相关元件的数学模型。基于主辅动力源出口压力差分配流量的方法,设计了油液混合动力挖掘机势能回收利用的控制策略。理论分析与仿真结果表明,具有动臂势能回收利用功能的油液混合动力挖掘机能量利用率得到明显提高,系统的操作性能基本不受影响,从而验证了所设计的系统方案和控制策略的有效性。 无线网络流媒体业务功率节省调度算法 分析了移动通信信道时变但仍然是连续变化的特点,提出了基于超前服务时间的功率节省调度算法。该算法使得用户都是在信道质量较好的一段时间内连续得到调度,在每个调度时隙块基站能够向用户传递更多的数据。仿真表明该算法流媒体播放质量保障能力和功率节省性能均有较好的表现。 基于Andriod系统的USB数据采集系统设计与研究 随着智能手机和平板电脑日益普及,基于这些Andriod平台的控制系统应需而生。介绍一款基于CH9343和STM32F407VET6设计的数据采集系统。USB接口采用国产芯片CH9343,很容易实现与Android系统的连接;数据采集采用STM32F407VET6片内集成ADC转换器,具有速度高成本低等优点。详细介绍硬件系统各功能部分的设计,及Andriod系统应用软件的开发过程。整个设计新颖、实用,并进行了实际设计验证,结果显示,该设计具有很好的参考和推广价值。 用于图像检索的多区域交叉加权聚合深度卷积特征 "针对依赖图像特征和聚合编码的""以图搜图""方法检索准确率较低的问题,提出一种基于多区域的交叉加权聚合深度卷积特征描述算法——RCro W.首先利用目标区域具有较高激活响应的特性标记出目标轮廓位置,将卷积特征图和目标轮廓掩码图结合生成空间权重矩阵;然后引入多区域策略,将空间权重矩阵转变成多区域交叉权重矩阵;最后利用多区域交叉权重矩阵加权聚合深度卷积特征生成图像特征向量.在Oxford5k,Paris6k和Holidays这3个数据集上进行的实验的结果表明,RCroW算法的图像检索平均准确率优于CroW,R-MAC和SPoC等7种算法." 多功能嵌入式远程信息采集与监控系统 设计了一种基于ARM-Linux多功能嵌入式远程信息采集与监控系统,实现了对大型光电望远镜工作现场的环境信息、图像信息以及相关设备开关量状态信息的采集与监控.采用CGI技术,通过对设备驱动编程、Inter-net网络和串口通信编程以及基于GSM网络收发短信息编程和图像信息采集编程的开发,客户端通过访问系统内置boaweb服务器,可将远程采集的信息直观实时的显示在Web页面上,并可实现对现场相关设备开关量的控制.同时用发送短信息的方式也可实现同样的功能.系统性能稳定可靠、实时性好. 实时调度EDZL算法的可调度性判定 针对多处理器实时调度中的EDZL调度算法,利用多任务之间的相互干涉关系,找出与多处理器之间的时间约束条件,提出了一种可调度性判定的方法,并对给出的判定方法进行了证明。给出了一种判定多处理器实时EDZL可调度性的算法,这种方法可在设计多处理器实时系统时使用。 分段卷积神经网络在文本情感分析中的应用 文本情感分析是当前网络舆情分析、产品评价、数据挖掘等领域的重要任务。由于当前网络数据的急剧增长,依靠人工设计特征或者传统的自然语言处理语法分析工具等进行分析,不但准确率不高而且费时费力。而传统的卷积神经网络模型均未考虑句子的结构信息,并且在训练时很容易发生过拟合。针对这两方面的不足,使用基于深度学习的卷积神经网络模型分析文本的情感倾向,采用分段池化的策略将句子结构考虑进来,分段提取句子不同结构的主要特征;并且引入Dropout算法以避免模型的过拟合和提升泛化能力。实验结果表明,分段池化策略和Dropout算法均有助于提升模型的性能,所提方法在中文酒店评价数据集上达到了91%的分类准确率,在斯坦福英文情感树库数据集五分类任务上达到了45.9%的准确率,较基线模型都有显著的提升。 基于业务预测的混合时隙分配算法 为了提高战术数据链系统的时隙利用率,提出一种基于业务预测的混合时隙分配方法(traffic prediction based hybrid slot allocation algorithm,TPHSAA)。该算法将固定时隙分配与动态时隙预约机制相结合,使用Kalman方法预测各节点在下一时帧的业务量并计算所需时隙数,时间基准节点(network time reference,NTR)收集各节点时隙请求信息并结合其优先级进行动态时隙的预约分配。OPNET仿真结果表明,TPHSAA算法在时隙利用率、吞吐量、时延等各种性能方面明显优于传统的固定时隙分配方法,实现了时隙资源的动态调整和充分利用。 基于文字书写教学的笔迹噪声处理 文字书写教学系统对笔迹噪声的处理必须按是否可反映用户书写效果分类。不规范行笔状态分为过快或飘笔、过慢或顿笔、笔迹非法变向等三大类,相应形成的噪声结构归纳成白色、黑色及抖动三类,噪声处理有序采用步长可选线性插值、点序差及虚拟平滑等策略。实验及比较分析表明,噪声处理策略实现了按噪声是否需给出指导意见进行分类处理的工作机制,为系统依据用户书写效果产生客观、准确指导意见提供真实、有效、可信的数据环境。 基于DOM结构树的网页正文信息分段方法 网页正文信息的正确提取与分段,对文本信息挖掘等具有重要的意义。本文提出并实现一种从Web页面获取正文信息并能够正确分段的方法。该方法首先利用网页布局标签<table>和<div>构建一个DOM结构树,然后利用DOM结构树所反映出的布局标签的嵌套关系,对内容块进行取舍,提取出正确的正文信息,最后利用对一些特殊标签的处理,实现正文信息的分段。实验表明,该方法易实现、效率高,能自动准确地提取正文信息并分段。 航天科工一院测控公司喜获国际最高级别发明展银奖 "[正文]本刊讯:在4月28日~5月8日举行的第110届巴黎国际发明展览会上,中国航天科工集团公司一院测控公司的专利项目""多总线VISA库系统""首次代表中国航天科工参展,并一举夺得国际最高级别发明银奖。""多总线VISA库系统""是一种多总线仪器VISA库系统,立足于自主设计的总线控制器,通过提供统一的、通用的I/O接" 基于物联网的装备供应链信息共享研究 "结合我军装备供应链的发展实况,分析了装备供应链在无缝连接、资源重复利用以及""牛鞭效应""等方面存在的问题,探讨了我军装备供应链发展对""信息共享""的需求,分析了基于先进物联网技术的装备供应链信息共享模式,提出了装备供应链的信息共享价值内容,并建立数学模型加以定量分析。" 基于局部稀疏表示的二尺度块目标跟踪方法 局部稀疏表示的目标跟踪取得很好效果,但受局部块尺度大小的选择影响较大,为此提出基于局部稀疏表示的二尺度块目标跟踪方法.该方法联合不同尺度块字典和局部稀疏系数来进行外观表示,利用外观在不同尺度块下展现出不同的空间结构以及相关特性,使得目标外观表示更为健壮和有效,可以完全适应更复杂的追踪场景.为了增强目标表示的鲁棒性和准确性,在外观模型中考虑重构误差的影响,减少不可信赖的局部块所造成的偏差.另外,在模板更新时,充分考虑对局部遮挡的判断,舍弃最中间的模板.仿真结果表明,该方法在复杂条件下,能够有效的跟踪运动目标. 基于可信度的MANETs动态信任评估 移动Ad Hoc网由于没有固定基础设施,使得网络节点间的信任问题变得日益突出。针对已有信任评估模型在证据收集和信任融合方面的不足,提出了一个多维证据融合信任评估模型。通过拓展Watchdog机制,检测节点对包的各种历史处理行为,来扩宽直接信任证据收集的维度;同时使用Beta分布下的贝叶斯统计方法,计算每种行为的可信度,之后通过证据合成规则来融合多种行为,以提高信任评估的准确性。通过仿真实验,验证了该模型的有效性;分析表明,模型中使用信息贴近度量化推荐权重,降低了恶意推荐带来的影响,保证了信任评估的健壮性。 模拟冷却塔计算机控制系统的设计与实现 为了在实验室内精确的模拟各种冷却试验,研制了采用抽风式机械通风结构的逆流式模拟冷却塔,介绍了该塔的整体结构和工作原理;以流量或温度作为被控对象,可以近似看作一阶惯性滞后模型,在获得对象的飞升曲线后,用Cohn-Coon公式求对象参数,在最小模型假设的前提下,由Ziegler-Nichols整定法结合人工整定得到PID参数;测试实验中水温和风温稳定后都可控制在设定值的±0.1℃,实验结果表明,该冷却塔设计合理,控制精度高,能够满足各种冷却试验使用要求。 基于空间聚类的应急物资储备一体化区域划分 近年来我国各种重大的自然灾害、公共卫生事件、事故灾难、社会安全事件等非常规突发事件频发,造成了严重的人员伤亡和财产损失。一体化区域的应急物资储备是非常规突发事件应对的有效保障,一体化区域的划分即一体化应急资源布局的层次确定问题是首要问题。利用空间聚类方法来解决一体化区域的最佳层次确定,并给出相应的动态聚类算法,算例研究表明此方法给出的区域划分能够达到救援效率与储备成本的折中,具有较好的实用性。 不含双线性对的密文检索公钥加密方案 针对非可信云环境中的密文检索问题,在dPEKS模型的基础上,提出一种不含双线性对运算的密文检索公钥加密方案。该方案借鉴了RSA算法和Elgaml算法的思想,基于特殊模剩余类环上、循环群中的运算来构造加解密和检索子算法。在判定性Diffie-Hellman问题困难假设的前提下,证明了该方案可以抵抗不可区分性选择明文攻击和离线关键词猜测攻击。性能分析结果表明,该方案能够有效加解密数据,支持密文的关键词检索,并且在运算效率上比现有同等级安全的公钥加密方案更具优势。 基于灵活对象的指控系统应用技术研究 随着信息技术的不断发展,面向服务协作的应用对加快信息化条件下联合作战军事行动反应速度的作用日益明显,但是面对越来越丰富的Web服务和数据的多样性,现有技术在可扩展性、实时性以及交互性方面已经无法满足日益增长的需求。为了解决面向服务协作的上述不足,文中引出了面向组件的灵活对象的概念,提出了基于灵活对象的Web开发模型,设计了一个基于灵活对象的SOC实例。实例分析表明,该模型能够有效简化指控数据集成过程,增强基于SOC的指控系统的交互性和实时性。 网络用户离线签名身份准确验证仿真 对网络用户离线签名身份准确验证,可以保证网络用户信息的安全性,避免伪造签名带来的安全风险。准确验证网络用户离线签名身份,需要提取离线签名图像的特征,通过不同方向对离线签名图像的不同特征隶属函数进行计算分析,达到网络用户离线签名身份准确认证的目的。传统方法在验证用户身份时,未考虑特征隶属函数,导致存在验证效率低、验证准确率低的问题。提出一种新型网络用户离线签名身份验证方法。通过水平方向重心、垂直方向重心、离线签名图像高宽比、签名笔画面积与用户离线签名图像总面积的比、正倾斜度五个特征隶属函数,对用户离线签名图像的特征进行提取,并根据提取结果通过用户离线签名特征向量之间的欧几里得距离对签名相关性的强弱进行衡量,以相关性强弱为依据对用户离线签名样本进行聚类处理,通过最小生成树算法完成用户离线签名的身份验证。仿真结果表明,所提方法提取的特征点多、误拒率和误纳率低,验证所提方法的验证效率高、验证准确率高。 不同H/C比煤质对水煤浆气化过程的影响分析 以GE水煤浆气化炉为研究对象,在分析煤质组成中H/C比的基础上,利用C16H10、C18H30和SO2代替原煤,应用Aspen Dynamics建立水煤浆气化反应过程动态模型,动态模拟分析煤中不同H/C比等因素对气化过程的影响。模拟结果表明,在同等干煤负荷下,相比于煤中H/C比含量,煤中灰质含量对气化反应器温度、CO含量、冷煤气效率以及H2S的影响较大,对H2影响较小,对CH4含量的影响基本不变。该研究结果为实际生产控制过程中的选煤、配煤等提供指导。 第三方互联网支付平台的盈利分析 针对我国第三方互联网支付平台要在盈利的前提下发展,就合理的定价策略进行了建模讨论。该建模方法基于产业组织理论的双边市场理论,运用了实际数据帮助判定平台的市场结构为垄断,并结合目前国内平台的实际收费情况即只收取用户手续费和对商户采取两部收费,分析得出当一系列的内生变量增加时,平台都会趋向于向商户收取更多的手续费,而减少用户的手续费和商户的注册费;最后对定价策略的完善提出了建议。 一种基于流形边缘最大化的图像集分类算法 现有的图像集分类算法在进行图像集表示时往往做出多种假设,无法有效描述图像集的特点,且难以利用图像集中区分性信息进行分类。为此,借鉴深度学习的思想,提出一种改进的图像集分类算法。将每个图像集模拟为一个流形并作为多层深度神经网络的输入,通过激励函数使得各个流形非线性地映射到另一个特征空间。在网络的最顶层,采用反向传播和最大流形边缘准则训练和优化流形的参数。在测试阶段,使用训练得到的深度网络,计算测试图像集和所有训练类别之间的相似性,并利用最短距离进行分类。实验结果表明,与判别典型相关分析算法、流形到流形距离等算法相比,所提算法的分类精度更优、运行时间更短。 直接力/气动力复合控制系统鲁棒稳定性分析 针对直接力控制的脉冲发动机非线性量化特性和喷流因子的不确定性,结合直接力/气动力双反馈复合控制方案,为了导弹飞行高速稳定性设计,提出了圆判据的鲁棒稳定性分析方法。首先建立了直接力/气动力复合控制系统数学模型,在完成复合控制系统设计的基础上,采用圆判据稳定性分析特点把非线性复合控制系统简化成一个非线性环节和一个线性环节的典型闭环结构形式,并根据圆判据的结论分析了脉冲发动机喷流因子不确定性和非线性量化特性对导弹自动驾驶仪稳定性影响。最后仿真结果验证了方法的正确性。 基于可穿戴设备的无监督室内/室外场景探测方法 提出了一种基于多传感器可穿戴设备的无监督室内/室外场景的区分方法。首先,该方法对多维的传感数据进行时间序列建模,通过分析该时间序列挖掘出场景切换的模式并对该时序数列进行分段分析;接着,建立相似性测量模型对每个分段时间序列进行室内/室外场景相似度计算,根据计算的结果识别出室内/室外场景。通过实验分析,该方法对室内/室外场景区分准确度高达90.1%,相较于其他方法准确度提高了13%~33%。该方法无须对数据人工标记,实现了较高的场景区分准确率,适用于大规模数据采集场景。 语音识别在英语发音纠错中的应用 主要研究如何更好地让计算机智能地纠正英语学习者的发音错误。借助语音识别中的HMM(隐马尔可夫模型)建模方法,用Viterbi算法和改进的后验概率算法对中国学习者的英语发音进行自动识别,通过对基本单元进行切分和评分,最后,为英语学习者提供可信度比较高的发音信息反馈,纠正发音错误。 基于Doo Sabin细分的图像插值 图像插值是放大低分辨率图像以适应目标显示屏幕的一种重要方法。保持图像的几何特征是保证放大图像质量的一个有效途径。基于Doo Sabin细分,提出了一种新的图像插值方法。该方法首先通过一次映射关系获取高分辨图像的部分数据;然后根据高分辨率图像中未知像素点的几何特征将它们分类;再根据Doo Sabin细分方法由已知像素点插值出所有未知像素点。未知像素点的值是与最相关的邻近像素点的加权均值,加权策略根据像素点间的相对位置由Doo Sabin细分推演获得。实验证明,与现有插值方法相比,基于Doo Sabin细分的图像插值能够更好地保持上采样图像的边缘的尖锐特性,减少锯齿现象,获取高质量的高分辨率图像。 互连网络的故障诊断研究综述 互连网络作为系统内部的协同机制和主要的通信手段,是多处理器并行计算机的重要组成部分。为了进一步提高多处理器并行计算机的诊断能力,保障系统的可靠运行,在充分分析互连网络拓扑结构研究的基础上,首先指出了各种互连网络拓扑结构的继承关系、优缺点、拓扑性质、成本和连通度,进而对互连网络的各类故障诊断度进行详细阐述,在结合互连网络各类故障诊断度的基础上,以进一步提高互连网络拓扑性能和诊断能力为目标提出了互连网络故障诊断研究的3个发展方向。系统地综述了互连网络的故障诊断研究现状,重点归纳了互连网络在各类故障诊断度方面的研究成果,指出了互连网络故障诊断研究的发展趋势以及未来研究的主要方向,从而促进互连网络在多处理器并行计算机的应用和推广。 仿真机器鱼双鱼协作过孔策略的研究 水中机器人受到水波动力及水下复杂环境等相关因素的影响,导致行为控制和多机器鱼之间协作完成任务成为难点。针对水中机器人大赛中的双鱼协作过孔项目,从仿真机器鱼控制及协作策略两方面对水中机器人的控制方法进行了研究。水中机器人控制采用基于目标区域的路径规划方法,克服了仿真机器鱼由于水波动干扰而无法准确到达目标点的问题。仿真机器鱼通过不断感知外界环境和自身位置,合理进行角色变换,从而有效地实现了协作带球过孔的任务。该算法在2011中国机器人大赛暨RoboCup公开赛水中机器人双鱼协作过孔项目中获得冠军。对仿真机器鱼控制及协作策略的研究,为日后实体水中机器人的控制研究提供了参考,具有重要意义。 基于路径宽度的Zigbee网络路由算法优化 针对AODVjr算法在路由查找过程中的盲目性导致网络能量利用率不高的问题,提出一种基于路径宽度的W-AODVjr算法。该算法通过在源节点与目的节点之间形成一个环状封闭路由查找区域,并采用指数查找的方式确认最优路径宽度。通过源节点选取路径中节点剩余能量最大的节点,以此作为路由的方法来最大限度保护低能量节点。NS2仿真结果表明,W-AODVjr算法能有效保证数据包发送的成功率,与AODVjr算法相比,网络能量利用率提升8%,生命周期延长约为12%,在Zigbee网络中W-AODVjr算法更具优越性。 基于光纤传感的入侵检测信号提取与识别算法 "基于分布式光纤传感的地埋式入侵检测系统由于其无源、传输距离远、抗电磁干扰等特征,引起了人们的关注,并得到初步应用。系统中光纤传感信息的正确提取和事件识别是系统的关键性指标之一。文中提出了一种入侵信号的提取与识别算法。使用基于Hilbert变换的信号包络线提取,结合数学形态学上的腐蚀和膨胀运算思想,实现入侵信号片段的分割;采用小波阈值收缩方法,结合平移时不变算法实现入侵信号片段除噪;使用基于""小波包-能量""的方法提取信号特征;分别采用基于BP和RBF的人工神经网络实现对光纤信号分类。仿真实验验证了算法的有效性。" 基于资源热度的Hot-Chord结构化网络搜索算法 Chord算法是结构化P2P网络的经典算法。Chord具有很多优秀特性,但仍存在一定局限:节点异构性、负载均衡、热点资源问题与底层物理拓扑。从路由效率、负载均衡、拓扑匹配几个角度改进Chord,并在此基础上提出基于资源性能和热度的Hot-Chord。充分考虑节点异构性和底层物理拓扑结构,引入局部负载均衡,建立热环,提高热点资源搜索效率,从而提高性能。 基于蚁群代理的无线自组网多路径优化算法的研究 在蚂蚁算法基本规则的启发下,基于移动Agent的分布式路由算法研究(AntNet)的基础上,设计出一种新的基于蚁群代理优化的无线自组网多路径优化的路由协议算法(AdHoc-AntNet)。这是一种新的无线自组网的路由算法,其结合蚁群理论和无线自组网本身的特点,对AntNet进行了改进,从而建立更有效的、适合无线自组网的路由协议算法。通过仿真试验表明,与AODV相比该协议在路由开销、平均分组延迟和吞吐量等方面都有明显的改进。 基于FCoE协议的NPV交换机流量转发 以太网光纤通道FCoE(Fiber Channel Over Ethernet)实现了数据中心前端计算网络与后端存储网络的无缝融合,降低了数据中心基础设施的单位建设成本、维护成本,支持数据中心异构网络环境与不同的接口类型。存储区域网络SAN(Storage Area Network)中交换机数量受到8比特域ID唯一的限制最多可以有239台。N端口虚拟化技术NPV(N Port Virtualization)极大地克服了SAN网络在规模上受限的问题,能够让更多的服务器或存储设备接入到网络中,扩大网络容量。NPV交换机与传统FCF(Fabric Channel Forward)交换机最大的差别是上下行流量转发机制不同,它采用上行流量从映射端口转发,下行流量查表转发。NPV交换机驱动层路由模块的设计与实现采用敏捷开发模式进行,主要包括端口设置、下发NPV Mapping表项、路由表项、Fips规则下发。实验测试证明该设计实现了NPV交换机对FCoE流量的正常转发,确保了可行性。 DCent:基于双网卡服务器的高可扩展数据中心网络结构 虽然以服务器为中心的新型数据中心网络互联结构部分一般程度地解决了传统树型结构面临的性能瓶颈和可扩展性难题,但是,现行服务器大多数带有2个网络端口,如何基于双网卡服务器设计一种高可扩展、高性能的数据中心网络互联结构是一个颇具挑战性的问题.提出了基于双网卡服务器的高效可扩展数据中心网络互联结构DCent.DCent具有较高的网络吞吐量,使得数据中心能够进行无损和持续扩展,各类应用能够不受数据中心规模扩展的影响而继续运行;且服务器之间通过交换机进行互联,避免了服务器之间的直接连线,具有良好的模块化性. BIOP:自动构造增强型ROP攻击 针对传统的代码注入和代码复用等攻击技术的缺陷,返回导向编程(Return-Oriented Programming,ROP)提出了复用以ret指令结尾的短指令序列,实现图灵完备编程的思想.ROP攻击可以绕开现有的针对代码注入的防御,且相比于传统代码复用技术,构造功能更为强大.但ROP攻击使用的ret指令结尾的指令序列具有明显的特征,这些特征导致ROP攻击容易被检测到.现有的ROP改进技术使用jmp指令结尾的短指令序列构造攻击,虽然消除了以ret指令结尾的特征,但同时引入了新的特征,且并不具有实用性.文中提出了一种分支指令导向(Branch Instruction-Oriented Programming,BIOP)攻击技术,使用jmp指令或call指令结尾的短指令序列构造攻击.相比于以前的工作,BIOP不引入新的特征,能有效避免现有的防御技术.同时我们分析并解决了构造攻击时寄存器的副作用,提出控制指令序列概念解决构造时内存冲突,实现自动化构造BIOP攻击.作者设计了一个自动化构造BIOP工具,构造了大量实际的BIOP shellcode,实验结果表明BIOP攻击可以绕过现有的ROP防御技术. 基于RSSI均值的无线传感器网络拓扑控制算法 针对采用接收信号强度指示(RSSI)值构建拓扑存在误差的不足,提出一种分布式拓扑控制算法RTC。该算法基于RSSI均值计算节点间双向路径损耗,从而判断两节点间是否存在每跳通信链路代价都小于直接通信链路代价的两跳路径,以构建局部优化拓扑。理论分析了算法的通信复杂度和网络连通性,仿真分析了其节能特性,结果表明RTC在降低网络能量消耗的同时延长了网络生命周期。 短程力分子模拟在Hadoop上的实现及优化 "在Hadoop开源云计算平台上运行分子模拟程序,具有节省软硬件投资、缩短模拟时间等研究意义。然而,该平台并不擅长科学计算类应用中所涉及的快速迭代和子任务间通信。为此,在原子分解法基础上提出了三种解决方案并利用""读写HDFS同步法""实现短程作用力有效的分子动力学模拟的并行算法。在一个Hadoop集群上测试和分析了程序的可扩展性、加速比和各部分耗时情况,结果表明在大规模体系模拟中有较好的效果,最高取得了28倍的加速比。实验证明,Hadoop并行技术在分子模拟中有着较高的经济价值和实用价值。" 相控阵天线辐射电磁场空间分布研究与仿真 相控阵天线辐射波束模型直观地展示了天线性能,在天线研制和运用中有着重要作用。而天线辐射波束在实际复杂环境中建模的准确性是一个亟待解决的问题。为了提高相控阵雷达天线波束在实际环境中建模的准确性,需要对相控阵天线辐射电磁场空间分布进行研究。首先求解了平面相控阵天线的方向图函数,建立了相控阵天线的辐射模型,然后采用抛物方程的方法建立了电磁波的传播模型,最后在matlab环境下应用SSFT算法求出了模型的数值解,得到了天线辐射电磁场的空间分布。绘制了天线辐射电磁波在无限空间内传播的衰减分布图,通过与天线方向图的理想传播衰减进行比较,验证模型的正确性。 基于Vague集的教学质量多指标模糊评价 针对课程教学质量评估的模糊性和不确定性问题,通过构建一个多指标模糊评价模型,利用改进的层次分析法确定各评价指标权重,提出一种基于Vague集算术运算的教学质量综合评价方法。以西安石油大学《材料成形原理和工艺》课程为例进行验证分析,结果表明该模型具有较高的工程实用性。 基于Scrapy技术的数据采集系统的设计与实现 面对互联网信息极其庞大并且经常更新的问题,基于Scrapy爬虫框架设计并实现了一种数据采集系统。不仅可以根据用户自身需求获取数据,还可以对自身的采集任务进行简单的管理。介绍了系统开发的关键技术,探讨了系统框架设计、功能模块和数据库设计方案。使用Django MTV模式进行开发,底层数据采集框架使用Scrapy,一种使用Python编写实现的网站数据异步爬虫应用框架,网页解析采用XPath和Python正则相结合的方法,采用j Query树插件z Tree实现了任务的树形管理,使用bootstrap实现了数据的任务名加关键字组合查询和页面效果。系统主要分为网页解析模块、数据处理模块、系统登录模块、任务新建模块、任务管理模块和数据查询模块。最后分析了浏览器端和服务器端的数据交互,以及网页数据定位和解析的实现。 烟草移动服务GIS简易网格监控系统 由于常州烟草一线员工流动性大、工作任务弹性大、绩效成果难以直接衡量,对他们的监控和管理是日常管理中的难点和重点。GIS网格具有丰富的展现、智能、实时、可靠、效果好等优点。明确基于GIS网格技术对一线移动服务监控的必要性,然后进行了系统功能的描述和总体设计,提出了简易GIS网格的实现算法,并对实时监控、网格任务分析、网格绩效分析等系统进行了详细设计。 跨地域分布式云计算资源调度系统及实证 首先对跨地域分布式资源调度在电网的应用进行必要性分析,提出实际IT环境中的问题和挑战,并分析目前市场上的云计算产品和解决方案的不足;其次结合电网的实际IT环境,对跨地域分布式资源调度的资源模型和调度策略进行研究,提出适用于电网的方案,并给出系统的技术架构和部署模式。最后,在实际生产环境中进行原型搭建,并结合电网的应用对该系统进行实证。 异构多核处理器分支预测器研究与设计 为解决单核处理器时钟频率难以提高、处理器功耗逐渐增加等问题,文中提出了一种新型异构多核处理器的设计方案.该结构中增加了B-Cache结构和C-Core控制器,这种新型异构多核处理器避免了流水线因分支预测失误而flush,提高了整个处理器执行效率. 网络优化的最大利润问题及其破除可增利润圈算法 仿照最小费用最大流问题的物理意义,将网络上的费用参数转换成为一种利润参数,提出一个与最小费用最大流问题类似、但意义完全相反的最大利润最小流问题,并建立了该问题的数学规划模型。此外,提出了一个求解该问题最优解的破除可增利润圈算法,该算法通过不断破除网络上的可增利润圈增流,使目标函数值不断增长,最终得到问题的最优解及目标函数值;同时给出了关于该算法正确性的证明过程,并对算法的复杂度进行了分析,最后用示例对算法的求解过程进行了演示。结果表明,该算法能快速有效地求得该问题的最优解及目标函数值,且比一般的线性规划方法更加方便且直观得多。 基于HTML5 WebWorker组件的DDoS攻击方式和检测 HTML5已成为各大网站前端开发的首选语言。基于HTML5的多线程组件Web Worker具有极强的隐蔽性和不易察觉的特点,可以结合网站的XSS漏洞,对目标Web系统形成DDo S攻击,成为攻击者发起攻击的一种方式。针对这种攻击方式,提出三种检测方案:1模拟浏览器检测方案,通过模拟浏览器对目标站点进行检测。2浏览器插件配合云检测平台的检测方案,通过浏览器插件对用户浏览器与服务端发送请求速率进行动态监控,并协助云检测平台及时通知被植入恶意代码的网站管理员。3 JS钩子系统配合云检测平台检测方案,通过对容易遭受攻击的网络应用植入钩子程序,可以方便有效地监测用户使用Web Worker发送请求的情况,并及时上报至云检测平台进行分析,对于恶意攻击的行为会通知被植入恶意代码的管理员及时处理。通过以上三种方式将这种攻击防患于未然。 一种鲁棒的视频指纹提取和匹配方法 在视频广告监测的解决方案中,视频指纹日益受到重视。针对目前视频指纹鲁棒性不强、匹配方法效率不高或适用度不广的缺点,提出一种快速鲁棒的视频指纹算法:在视频指纹提取阶段,结合改进的Harris检测和改进的帧间差异法分别提取图像特征、运动特征以产生鲁棒的视频指纹;在指纹匹配阶段,设计了一种分层方案,该方案结合了典型匹配算法适用度广、位置敏感哈希(LSH)高效性的优点。实验表明,在亮度变换和尺寸变化以及常见的噪声攻击中,提取的视频指纹有较强的鲁棒性,提取、匹配的效率高。该方法满足视频监测准确性及实时性的要求。 网络功能虚拟化技术综述 无论是企业网还是数据中心,为实现相关应用功能、提升网络性能和加强网络安全等部署了大量的网络功能设备,但这些网络功能设备大多基于硬件,存在功能固化、扩展能力差、统一管理困难等问题。为解决上述问题,学术界和工业界不约而同将目光投向了网络功能虚拟化NFV技术。通过解耦网络功能和物理设备,使网络功能不受物理设备的约束,便于网络设备服务的升级更新,同时,NFV为新的体系结构、系统和应用的产生提供了可能。首先介绍了NFV技术,并与云计算和SDN进行对比,然后从VNF的系统结构、数据平面、控制平面、部署方式、实现语言和应用6个维度详细阐述了当前的研究成果,最后总结并展望了NFV未来的研究发展方向。 基于精确基线查找的维文联机手写延迟笔划探测 维文联机手写单词中有大量的延迟笔划,在进行特征提取之前需要对延迟笔划进行探测。先采用精确基线查找的方法找到基线的位置,再依据基线信息划分成一定的区域,最后根据区域信息和维文延迟笔划的特点,采用多信息融合的方式去探测维文延迟笔划。对收集到的1088个维文手写体样本进行探测实验,正确探测率达到97.3%。实验结果表明,该方法是有效的,能够很好地探测出维文的延迟笔划。 基于混沌的Hash函数的安全性分析 随着现代密码学的发展,Hash函数算法越来越占有重要的地位。针对基于耦合映像格子的并行Hash函数算法和带密钥的基于动态查找表的串行Hash函数算法进行了安全性分析。对于前者,发现耦合映像格子系统导致算法中存在一种结构缺陷,在分组序号和分组消息满足特定约束关系的条件下,无需复杂的计算可以直接给出特定分组和消息的中间Hash值。对于后者,分析了产生碰撞缓存器状态的约束条件。在此条件下,找到算法的输出碰撞的代价为O(2100),远大于生日攻击的代价。 基于图的有监督判别投影 无监督鉴别投影没有利用样本类别标签,所以没有利用样本的鉴别信息。该文在无监督鉴别投影算法的基础上提出了基于图的有监督判别投影(graph-based supervised discriminant projection,GSDP)算法,利用吸引图和排斥图设计目标函数进行特征抽取,建立吸引图的目的是使同类但不是近邻的样本互相吸引,建立排斥图的目的是击退近邻但不是同类的样本。在Feret,Yale和Orl这3个标准人脸库上的大量实验表明了该算法的有效性。 云计算中高效节能的虚拟机分布式管理方法 云计算数据中心的集中管理方法存在虚拟机迁移数量过多、不能很好地扩展到大规模集群上的问题。为此,提出一种节能的虚拟机分布式管理方法。分布式管理方法分为本地管理与全局管理,本地管理负责监控数据中心的各个节点,通过设置高门限值和低门限值决定虚拟机的迁移,全局管理负责将虚拟机迁移到产生最小电源消耗的物理节点上。实验结果表明,分布式管理方法能在减少虚拟机迁移数量、提高系统服务质量的同时,实现云计算数据中心的高效节能。 一种散乱数据点的多分辨率曲面重构方法 给出一种基于细分曲面技术实现散乱数据点的多分辨率曲面重构的方法。在曲面重构过程中,依据灰度图像边缘检测思想分析散乱数据特征值,将这些特征值生成纹理特征曲线进行曲面细分,从而形成了多分辨率网格模型结构。经过测试,该方法不仅重构曲面时间短,同时构造出的细分曲面能较好地反映原始数据的细节特征。 基于SingalR的Web实时应用程序框架设计 针对传统Web实时应用程序实现技术的不足,构建基于SingalR的Web实时应用程序框架。该框架能处理客户端单向数据请求、客户端业务逻辑执行或改变应用程序状态后通知到其它连接的客户端及主动将更新数据定时推送到所有连接客户端或特定客户端这3种场景。客户端通过由SingalR生成的本地Hub代理类调用服务端方法,结果通过SingalR路由上下文返回到客户端,服务端通过SingalR路由上下文以广播的方式调用客户端代码,实现服务端、客户端之间的全双工通讯。实验结果表明,该框架可以满足Web实时应用程序的业务需求。 基于网络延时的异步航迹融合 针对分布式传感器网络中广泛存在的通信延时问题,在异步航迹融合的基础上,提出一种基于网络通信延时的异步航迹融合算法。该算法对通信延时情况进行模拟,能自动地对产生延时的传感器进行跟踪和检测,并做出相应处理。算法具有较高的灵活性和较强的实用性。对数据传输延时的影响进行分析,通过仿真实验比较了数据传输延时对航迹融合效果的影响。 基于k-means聚类的股票KDJ类指标综合分析方法 股票技术分析是证券分析的常用手段之一,目前的股票技术分析主要存在2个问题:1)都是从某个角度进行单维度分析,投资决策有较大偏差; 2)任何单一的技术指标都有其局限性,需要相互补充才能更好进行投资决策。针对这些问题,本文讨论如何利用数据挖掘技术进行股票多维度综合分析问题。首先,分析数据挖掘应用到股票分析中可以解决的问题及可能面临的挑战;其次,提出一种基于数据挖掘聚类方法的选股模型;最后,对1364只上证股票进行实证分析,形成对股票的随机指标K、D、J等的综合挖掘结果。 基于攻防图的网络主动防御策略选取研究 传统的网络主动防御策略选取方法在网络状态重要性和攻防策略依存性上考虑不足,并存在计算冗余问题。通过在攻击图中融入防御动作,提出了基于模式匹配的攻防图生成方法。结合攻防图,给出节点重要性和攻击前提概率的定义,改进现有收益量化方法。运用自顶向下广度优先算法遍历攻防图节点生成收益矩阵,减少了计算冗余,在此基础上选取纳什均衡策略对和最优主动防御策略。最后,运用实例验证了所提方法的可行性和有效性。 组推荐系统及其应用研究 近年来,组推荐系统逐渐成为推荐系统领域的研究热点之一.大部分推荐系统主要关注单个用户的推荐,然而在许多日常活动中需要为多个用户形成的群组进行推荐.组推荐系统作为解决群组推荐问题的有效手段,将单个用户推荐扩展为群组推荐,这为推荐系统的研究带来了一些新的挑战.根据群组特征和社会化因素,融合群组成员偏好以满足所有群组成员的偏好需求是组推荐系统的主要任务.该文对最近几年组推荐系统研究进展进行综述,从组推荐系统的形式化定义和研究框架入手,对组推荐系统的用户偏好获取、群组发现、偏好融合算法、社会化组推荐以及效用评价等关键技术进行前沿概况,并分析了群组特征对偏好融合算法的影响.对组推荐系统在不同领域的应用进展进行归纳和总结.最后,对组推荐系统有待深入研究的难点和发展方向进行展望. 联合细分曲面中特征构造方法 为增强联合细分曲面的造型能力,根据联合细分的特点,提出了参数修改和复合曲线网两种特征构造方法。前者根据设定的特征角,在有限次细分中改变跨界二阶偏导,从而在插值曲线上生成特征;后者则将特征构造与基曲面的形状表达分离,定义了由基曲线网和特征曲线网组成的复合曲线网,采用改进后的联合细分规则生成带有特征的插值曲面。通过实例表明,由两种方法生成特征的影响范围可灵活调节,但后者生成的曲面总体质量较高。二者一起有效解决了联合细分曲面中特征构造的难题,增强了联合细分方法的造型能力。 基于二阶锥规划的宽带波束优化设计 针对常规加权波束形成器获得恒定束宽波束时,存在工作频率带宽较窄的问题,提出了一种基于二阶锥规划的宽带恒定束宽波束形成器的设计方法.该方法是将工作频段分成若干窄带频率区间,采用二阶锥规划方法使各子带中心频率上的波束最佳地逼近期望波束,并得到相应的权向量,使各子带中心频率上的波束图主瓣宽度恒定.计算机仿真结果表明基于二阶锥规划的宽带波束优化方法,具有较好的稳健性. 基于GPS的无人机天线自动跟踪系统 针对解决无人机飞行探测中定向天线跟踪问题,设计一种新型的基于GPS和单片机的天线自动跟踪控制系统。通过详细比较GPS跟踪的三种算法,确定圆球模型算法对于一定跟踪精度范围,可完全替代平面模型和椭球模型,减少了计算量。根据圆球模型算法对系统软硬件进行开发与设计,系统通过无人机通信数据链获取无人机的位置信息,结合系统自身的GPS位置信息、罗盘的方位信息,运用圆球模型算法计算出天线需转动的方位角和俯仰角,通过单片机控制天线对准无人机。实验测试结果表明,对于10公里范围内的跟踪,系统的跟踪精度满足设计的要求,具有较强的实用价值和推广意义。 优化茶多酚近红外光谱定量分析模型 茶叶中茶多酚作为茶叶品质检测中常规检测成分之一,目前的常规检测方法的缺点是费时、费力,成本较高,因此本研究利用近红外光谱分析技术对茶叶中茶多酚含量快速无损检测具有很高的实用价值。为了提高近红外光谱茶多酚预测模型的精度,利用小波消噪预处理茶叶近红外光谱,滤去其中的噪声信息。再用区间偏最小二乘法(iPLS)与遗传算法(GA)相结合的PLS波长筛选法iPLS-GA建立茶多酚的预测模型:用iPLS预测前,先将整个光谱划分为40个子区间,选择交互验证均方根误差RMSECV值低于全光谱区间的第25和34子区间的组合为信息区间,共166个波数点,然后用GA全局优化组合这166个波数点,最终共有18个波数点用于建立茶多酚模型。结果表明,用小波消噪和iPLS-GA建立的茶多酚模型的预测相关系数Rc和校正均方根误差RMSEC分别为0.964 8和2.14;预测相关系数Rp和预测均方根误差RMSEP;分别为0.958 7和2.22。均比其它模型好。建模数据量从3 320个减少到18个,使模型得以简化。 甲骨拓片图像的目标自动定位算法 为了解决当前图像目标定位算法难以自动定位发生形变的目标,且定位时间耗时长等不足,提出一种基于稀疏活动轮廓模型的甲骨拓片图像的目标自动定位算法.对甲骨拓片图像进行分块,对每一块进行形状估计,在目标形状估计约束下,利用共同勾画算法学习图像目标轮廓模型,在待定位图中扫描出与模型匹配数值最高的区域,将其作为定位结果,利用基于距离约束的霍夫变换,精确定位结果.实验结果表明,所提算法可以有效克图像目标尺度变化大的问题,提高了目标自动定位精度,减少了定位耗时. 基于无线传感器网络技术在交通信息采集系统的应用 交通拥挤、道路阻塞、交通事故的频繁发生,以及交通造成的环境污染日益成为制约国民经济发展的瓶颈。为了防止交通拥堵现象的进一步恶化,各国政府纷纷启动智能交通计划。针对智能交通系统的关键在于交通信息采集,开发成本低、可大量布设到各个路口的基于无线传感器网络的交通信息采集系统。主要介绍应用于智能交通时无线传感网络的构成,包括无线传感器网络的体系构架机器如何应用于交通信息采集领域,以及要开发原型样机的软硬件指标和通信协议、生命周期分析。 基于ADUC7060的多功能气体检测仪的设计 检测仪以ADUC7060为核心控制器,采用电化学气体传感器对不同组分气体识别并进行浓度检测,以TFT点阵式液晶显示模块进行显示,设计测量电路以及数据处理电路以及相应的程序,制作出的气体检测仪在实际运用中相对精度高,软件采用模块化编程思想,完成对气体的识别和检测,并对测量数据进行存储和显示。采用软件的参数模型方法进行零点校正,并且采用低功耗的技术延长该检测仪的电池更换时间和寿命。和其它同类检测仪相比,该设计具有很好的实用价值和应用价值,稳定性好、精度高、反应灵敏。 基于特征选择和SVMs的图像分类 重点论述了基于MI图像特征选择方法[1],简要地讲述了支持向量机的SVMs分类器原理和设计[2]。提出了MI贪婪最优算法,将高维数据处理转化为一维数据处理,简化了运算难度,同时提高了分类速度和准确性。实验结果表明,通过对8个分类、上千张图片进行分类处理,效果好于传统的分类算法。 基于帧间差值的视频镜头渐变检测算法 针对在视频同一镜头中运动因素引起的帧间差值波动与镜头渐变过程的帧间差值波动情况相似可能对镜头渐变产生误检的问题,利用镜头渐变是从一个镜头转换到一个新的镜头的本质特征,通过分析帧间差值曲线,提出了省略帧间差值波动,直接计算帧间差值波动前后两帧差值的视频镜头渐变检测算法。利用该算法对若干视频进行实验,并与双阈值累计帧差算法进行比较,实验结果表明了该算法在视频镜头渐变检测中的有效性。 基于眼部追踪技术的产品意象草图认知差异研究 情感匹配度是评价设计草图品质的重要指标,设计师的认知在其心理意象外显为草图的过程中起着关键作用.分析其认知差异可提高设计协作效率,并高效筛选出情感匹配度较高的优质草图.针对此问题,首先提出了循环设计流程,筛选感性意象语汇并定义意象属性;其次运用Tobii眼动仪采集设计师阅读任务文本、创作草图时眼动指标数据;然后拆分草图计算情感匹配值再择优组合为意象草图;最后对比分析设计师观察意象草图进行感性评价时的眼动指标.结果表明,草图组合法则可获得情感匹配度较高意象草图,设计师自身经验与信息资源调用的数量、维度、回视次数和方案质量成正比,与作业时间和评判准确度成反比. 将Word表格数据导入Oracle中的数据入库中间件 针对数据库系统存在原始数据采集与二次录入的问题,采用Word对象模型和OracleClient设计一个将Word表格数据直接写入Oracle数据库的中间件。将该中间件应用于某指挥信息系统数据采集模块后取得了较好的效果。 面向机会社会网络的服务广告分发机制 提出面向机会社会网络的服务广告分发机制,解决移动网络环境中由于节点移动性、拓扑动态性引起的集中式服务注册库失效的问题.首先分析机会社会网络中服务表现出的社会特征,提出服务社会上下文及其参数度量方法;然后提出基于社会上下文的服务广告分发机制,该机制根据服务行业相关度判断用户对服务的感兴趣程度,以确定广告目标节点,根据可靠度和活跃度计算节点效用,并根据行业时空共存关系预测节点和服务行业相遇概率,以动态选择服务广告代理;最后在社区移动模型下进行了仿真实验,验证了算法的正确性和有效性. 云计算环境下高效安全的冠字号码查询方法 针对现有冠字号码管理系统查询时间长、数据存储可扩展性差等问题,将云计算技术应用于冠字号码的存储和查询中。根据银行ATM机加钞过程及网点清分过程,定义存储冠字号码信息的关联形式,结合钱币的冠字号码,提出可交换加密的折半查找索引算法,对索引及数据进行加密,保证冠字号码的安全性。理论分析与实验结果表明,该方法可利用云计算平台的虚拟存储和虚拟计算能力,满足银行对冠字号码管理系统的数据传输能力和扩展性能的要求,并且与当前主流查询方法相比,具有较高的查询效率及安全性。 无线传感网离群点检测技术研究综述 无线传感器网络(wireless sensor network,WSN)受电池能量、计算能力、通信能力和内存空间及传感数据多维特征的限制,传统的离群点检测技术不能直接应用于WSN,因此出现了一系列针对WSN的离群点检测技术。对已有的WSN离群点检测技术进行了概述,根据各离群点检测技术的特征进行了分类和分析,并结合现有技术的缺陷和需求,展望了WSN离群点检测技术的未来研究方向和目标。 自动制造系统Petri网模型的资源变迁网性质分析 资源变迁网NR是自动制造系统Petri网模型N的简化形式,在系统死锁的分析与控制中有重要作用.文中总结了资源变迁网的若干性质,并指出:对于任意一个非空资源子集R1,若资源变迁网NR关于R1的内连子网N1=NR[R1∪(.R1 ∩ R1.)]是强连通的,则一定存在以R1为资源集的资源变迁回路,特别地,θ=<R1,(.R1 ∩ R1.)>就是其中一个.进一步,若θ又满足完美条件,则θ即为N的一个完美极大资源变迁回路. 用于星点检测的自适应变邻域背景预测算法 针对现有星点检测中背景预测算法存在的星点模糊、对噪声抑制能力差等问题,基于已有的背景预测算法,提出了一种用于星点检测的自适应变邻域背景预测算法;该方法根据待预测像素点所在位置不同采用不同的权值矩阵进行背景预测,当待预测像素点在星点边缘处时,仅用待预测像素点邻域内灰度小于邻域灰度中值的像素点组成预测权值矩阵进行预测计算,而在其他区域时,直接使用固定权值进行预测;同时,该算法还可以根据待预测像素点噪声属性,自适应调整待预测像素点自身灰度值在背景预测计算中的权值;最后对现有背景预测算法和所提算法进行仿真和参数比较,实验结果表明,改进算法较现有算法对星点的处理更清晰,对噪声的抑制能力更强,有利于后续星点检测的处理。 基于深度相机的自主虚拟化身情感交互技术 人机情感交互是虚拟现实的研究热点之一,深度相机的普及使基于深度相机的人机交互技术得到广泛应用。将微软Kinect2.0作为交互设备,定义不同情感语义的姿态和手势,设计基于模板匹配的手势识别方法。以建立人机情感和谐为设计目标,提出虚拟角色情感交互方法,构造虚拟化身的认知结构和情绪交互规则,并在计算机上实现原型系统。实验结果显示,虚拟化身可以通过表情动画和头部运动响应用户的身体动作,表明该技术方案具有可行性。 一种面向稀疏和虚假评分的协同推荐方法 针对购物网站上存在大量虚假评分和数据稀疏问题,提出了一种鲁棒性的基于群组信誉的虚假评分探测方法.首先,使用稀疏和低秩矩阵补全理论对稀疏的用户-项目矩阵进行填充;其次,建立群组信誉模型,把用户按照不同的分组大小赋予不同的信誉值,若一个用户常常存在于一个大的群组中,那么就认为他的信誉度高,否则,认为其可能是虚假评论者;第三,根据用户的不同信誉度,构造一个加权的用户-项目评分矩阵;最后,借助调整后的评分矩阵和协同过滤算法为用户推荐他们既感兴趣质量又好的商品.通过在Amazon、Netflix和Movie Lnes数据集上的实验结果表明,本文的算法能够有效应对虚假评分,不仅信誉评价性能优于基本的基于组的方法,推荐结果也优于其它协同推荐算法. 基于小波变换的心音信号滤波方法研究 为了准确提取心音信号,心音信号消噪是实现心血管疾病无创诊断的前提,传统的心音信号消噪方法在消除噪声的同时,也滤除了心音的有用信息。利用小波变换多尺度多分辨率的特点对心音信号进行分解,将不同频带的信号展现在小波分解的不同尺度上,根据心音信号的频率分布特点,通过选择重构系数可消除心音信号中的干扰分量,并对不同小波的消噪效果以及同一小波不同分解层数的消噪效果进行仿真对比研究。结果表明,在haar、db6、sym8、coif5四种常用小波中,db6小波对心音信号的消噪效果最明显,证明其中采用db6小波进行5层分解可以获得最佳消噪效果,并对临床采集的228例心音信号进行消噪实验,db6小波均获得了很好的效果。 无重叠视域多摄像机环境下的目标匹配算法 大区域的视频监控会用到多台无重叠视域的摄像机,目标在时间和空间上都是分离的,如何将不同摄像机中相同目标进行匹配是无重叠视域多摄像机目标跟踪的关键问题。提出一种基于时空和表现模型关系的算法来实现目标匹配,利用核密度估计方法计算时空变量多元概率密度来掌握交互摄像机之间的关系,通过摄像机之间的亮度转移函数(BTF)来掌握目标在摄像机之间表现模型的改变。采用真实视频和仿真方法对算法进行实验,实验结果表明此算法匹配效果良好。 人工蜂群算法在并行测试任务调度中的应用 并行测试是下一代自动测试系统的关键技术之一,而并行测试任务调度是并行测试的核心内容;首先建立并行测试调度的数学模型,根据人工蜂群算法解决动态调度优化问题的优势,提出基于人工基于蜂群算法的并行测试任务调度方法;并给出应用实例,仿真实验表明:该算法收敛快、准确率高,能有效解决并行测试调度优化问题。 非下采样三通道不可分小波的多聚焦图像融合 针对可分小波多聚焦图像融合方法存在的不足,提出了一种基于非下采样三通道不可分小波的融合方法。根据不可分小波理论,构造了一组3通道4×4的不可分小波滤波器组,并把此滤波器组应用于多聚焦图像融合中。实验结果表明,该方法对多聚焦图像的融合有较好的融合效果,其融合性能好于采用相同融合算法的基于张量积小波的融合方法。 基于希尔伯特-黄变换的超宽带信号检测方法 基于超宽带信号检测中希尔伯特-黄变换经验模态分解的边界问题,研究分析了基于非等间隔灰色模型预测极值点的解决方法。针对该方法在某些极值分布情况时个别极值点检测不到的问题,提出了时序残差修正的非等间隔灰色模型解决新方法。通过理论推导,证明了该新方法的有效性,在此基础上,对实际超宽带信号进行了结合新方法的希尔伯特-黄变换检测仿真。分析和仿真结果表明,改进的经验模态分解可以较为准确地重构出淹没在干扰或者噪声中的超宽带脉冲信号,明显改善了超宽带信号检测的准确度。通过与离散小波变换对比分析,体现出希尔伯特-黄变换更适合用于检测超宽带信号。 基于大数据分析的潜射武器健康状态评估 针对潜射武器健康状态评估中的大数据分析问题,对大数据概念以及特点进行了论述,重点阐述了神经网络、群体智能和相关分析三种大数据分析方法的主要思想、应用范围,提出了潜射武器健康状态评估的潜射武器大数据存储与处理、数据特征提取、健康状态评估技术路线,最后指出了大数据分析在潜射武器健康状态评估中应用的可行性。 基于双流卷积神经网络的改进人体行为识别算法 近年来人体行为识别成为计算机视觉领域的一个研究热点,而卷积神经网络(convolutional neural network,CNN)在图像分类和识别领域取得了重要突破,但是人体行为识别是基于视频分析的,视频包含空间域和时间域两部分的信息;针对基于视频的人体行为识别问题,提出一种改进的双流卷积神经网络(Two-Stream CNN)模型,对于空间域,将视频的单帧RGB图像作为输入,送入VGGNet16模型;对于时间域,将多帧叠加后的光流图像作为输入,送入FlowNet模型;最终将两个模型的Softmax输出加权融合作为输出结果,得到一个多模型融合的人体行为识别器。基于JHMDB公开数据库的实验,结果证明了改进的双流CNN在人体行为识别任务上的有效性。 一种基于语义的服务搜索与匹配方法 Web服务是构建基于面向服务架构(SOA)的应用系统所需的主流分布式计算技术.作者此前提出了一种语义增强服务库,支持基于语义的服务注册、搜索和组装.讨论该服务库中所使用的一种基于语义的服务搜索与匹配方法.该算法不仅考虑了功能性属性而且引入了非功能性属性来进行服务匹配.算法利用所建立的本体对服务进行语义标注,并通过对OWL-S进行扩展,增加了非功能性属性支持.论文给出了服务的定义,详细介绍了服务搜索与匹配的步骤,并以一个服务的搜索为例对算法的使用进行了说明.最后把本文提出的算法与基于关键字匹配的服务搜索算法进行了比较分析,结果表明本文所提出的算法搜索更加准确.论文最后简介了基于该算法的语义库的基本架构及功能. 基于短空时变化的鲁棒视频哈希算法 "针对互联网相似视频内容检测问题,提出了基于短空时变化的鲁棒视频哈希算法。特征提取和特征量化是该算法的两个关键步骤。在特征提取中,与现有基于时空信息融合的特征提取方法相比,该算法的创新性在于充分利用相邻帧之间局部空域信息的短时变化(简称""短空时变化"")来提取特征。该算法首先构造视频内接球,并以球心为起点对内接球进行划分,获取一系列内接球环,从而捕捉相邻帧的空域信息的短时变化,然后将球环非负矩阵分解系数作为视频内容进行特征表示;在特征量化中,该算法采用改进的曼哈顿量化策略将视频特征映射成二进制的哈希序列,更好地保留了原空间中的近邻关系,提高了量化的准确度。实验结果表明,该算法具有良好的性能。" 基于时间序列和任务调度的Web数据聚类算法 为了实现Web服务请求数据的快速聚类,并提高聚类的准确率,提出一种基于增量式时间序列和任务调度的Web数据聚类算法,该算法进行了Web数据在时间序列上的聚类定义,并采用增量式时间序列聚类方法,通过数据压缩的形式降低Web数据的复杂性,进行基于服务时间相似性的时间序列数据聚类。针对Web集群服务的最佳服务任务调度问题,通过以服务器执行能力为标准来分配服务任务。实验仿真结果表明,相比基于网格的高维数据层次聚类算法和基于增量学习的多目标模糊聚类算法,提出的算法在聚类时间、聚类精度、服务执行成功率上均获得了更好的效果。 基于DCD协议RSS feeds传输模型的研究与设计 目前RSS feeds的传输方式会导致大量重复传输,并且现有的RSS应用缺乏对RSS频道的有效管理的机制,文中提出了一种用DCD协议传输RSS feeds的方法,设计了利用DCD传输RSS feeds的封包格式,描述了其传输方式和传输流程,经过对典型RSS应用场景的模拟和理论计算,在对两种传输方式进行分析和比较后,得出了使用DCD协议传输的方法可很好地解决RSS feeds传输的及时性和传输效率问题的结论,并且提出了使用DCD协议频道管理交互流程来管理RSS频道,从而增强了RSS频道的可管理性。 基于联合概率矩阵分解的移动社会化推荐 利用移动设备上下文、移动社会化网络等信息进一步提高推荐系统的预测准确率,并缓解可能存在的数据稀疏性和冷启动问题,已经成为移动推荐系统的主要任务。采用基于矩阵分解的因子分析方法,结合用户、服务和用户社会化网络信息进行服务推荐,可以缓解数据稀疏性和冷启动问题;同时,为了增加信任矩阵密度,引入间接信任关系,提出了一种符合移动社会化网络特点的信任度计算方法,该方法仅利用移动社会化网络结构信息构建信任矩阵,从而减少用户对信任关系的主动标识。实验结果表明,引入间接信任关系能够提高预测精度,同时比传统的协同过滤算法和已有的一些矩阵分解方法具有更好的预测准确率,特别是在评分数据稀疏的情况下。 应用系统中身份认证建模及推理方法 逻辑推理是对身份认证进行形式化研究的重要手段,但现有研究成果主要集中在认证机制、认证协议等单个方面,并不考虑应用环境.通过引入身份认证域,对应用系统中身份认证进行形式化描述;在此基础上,提出一种基于谓词的身份认证建模及推理方法,包括7种谓词、8个推理规则和一种4步骤推理方法等,并对基于静态口令、动态口令和数字证书的身份认证模型进行实例分析. 基于软件定义网络的多控制器负载均衡架构 在分析多种基于软件定义网络(SDN)分布式架构的基础上,提出一种新的基于SDN分布式控制架构。该架构将控制层分为负载均衡层和控制系统层,使用多个负载均衡器运行负载均衡算法,避免大型网络中负载均衡器成为网络约束因素。负载均衡因子除采用主机CPU和内存占用率外,增加了控制器的请求连接数,且基于动态实时反馈信息,准确反映各控制器的负载情况。在虚拟机和Mininet软件上的实验仿真结果验证了该架构的可行性。 基于用户交互的钓鱼邮件检测机制及其实现 针对国内外钓鱼邮件研究没有注重个体差异以及缺乏细粒度用户交互反馈的情况,提出一种集理论研究与实际应用相结合的框架,构建一种可视化邮件异常特征模型,设计一个chrome插件,使用分类器对邮件进行检测,将邮件异常特征详细信息和判定情况实时报告给用户。用户查看邮件后结合实际情况与分类器判定情况进行对照检查,出现判定不一致时对相应特征信息进行校正,将校正后的特征向量反馈给分类器进行修正,并将相应的特征信息组加入黑名单或白名单。实验证明,框架能根据个体反馈修正分类器,有效提高钓鱼邮件检出率和用户对钓鱼邮件细粒度感知体验。 基于张量积样条混合算法的计算机建模可视化 为获取精确光滑的地层面图形,首先利用测井数据对其进行克里金插值建模,然后根据数据的疏密性,获取两种网格数据,细化克里金估计网格;稀疏克里金估计网格。为了使其光滑可视化,在克里金估计基础上采用张量积样条算法对细化网格进行光滑处理;对稀疏网格进行y与x方向插值处理。该方法不仅利用克里金估计建模的精确性与Matlab样条函数的封装性及其光滑处理效果,而且采用混编技术;极大地提高了地层面构造的光滑度且不失估计精度,因此该方法在油藏表征与计算机建模中具有一定的实用意义。 改进的跨域直接匿名认证方案 针对现有的直接匿名认证方案在不同信任域之间无法有效实现的问题,在原来直接匿名认证方案的基础上,设计了一种跨域的直接匿名认证方案,将外域的证书颁发者作为一个代理,直接由外域的证书颁发者颁发证书,有效解决了可信计算平台在不同信任域内的隐私保护问题;另外,对不同信任域内的可信计算平台进行了权限设计。经分析论证,改进的方案满足匿名性、不可伪造性和能够防范重放攻击,进一步提高了认证方案的执行效率。 多模型传感器故障软闭环容错控制研究 针对一类非线性系统建立精确机理模型困难、且仅用单一模型进行故障检测与容错不甚可靠等问题,提出一种基于数据驱动的多模型传感器故障软闭环容错控制方法,并对非线性系统中卡死、恒增益、恒偏差等常见传感器故障进行了研究。首先采用历史数据建立了系统的RBF神经网络、最小二乘支持向量机和核部分最小二乘三种预测模型,并基于序贯概率比检验算法同时以多个模型产生的残差对传感器进行故障检测;当检测出传感器发生故障时,则用系统多个预测模型的融合值代替传感器的输出,从而以软闭环方式实现对传感器故障的容错控制。最后将所提出的方法应用于一阶水箱液位控制系统,实验结果表明多残差与序贯概率比检验算法的结合能够可靠诊断传感器故障,多预测值优化融合的软闭环可对传感器故障实现安全、高性能容错。 基于中介真值程度度量的ERP软件选型评估方法 ERP软件选型是企业成功实施ERP项目的关键问题。在中介真值程度度量方法的基础上,本文提出一种软件选型定量评估方法,该方法对候选ERP软件进行横向对比,将各层评价指标评分映射到数轴,利用加权距离比率函数计算相应指标相对于其它候选软件的优劣程度,实现ERP软件评估由定性描述到定量计算的转变,为企业ERP软件选型提供可靠性依据。与其它选型评估方法的对比实验表明,该方法具有较高的简便性与准确性。 基于超像素的人工神经网络图像分类 基于人工神经网络对图像标签分类,为简化后续数据处理,先用Normalized Cut将图像分割为超像素,提取特征向量,通过输入训练样本集,对网络进行训练,在最小均方误差意义下得到网络参数,最后在Matlab的仿真实验中基于不同隐藏层节点,使用BP神经网络模型对图像超像素进行分类。 基于量子粒子群求解多制程订单选择问题 针对订单选择问题,考虑订单具有不同制程的特征,建立了以总收益为目标的混合整数非线性规划模型。以量子粒子群优化算法为框架进行求解,采用基于排序的粒子编码方案表达0-1变量和整数变量,提出四种种群初始化策略以便提高求解质量,并在迭代过程中对不可行解进行修复。通过对比验证,结果表明模型和算法可行、有效。 利用图像相位信息构造的仿射-卷积矩不变量 不理想的成像设备或者成像条件经常会导致图像的退化现象,如相机失焦、相机与场景的相对运动等会使得图像模糊,不同拍摄视角会引起图像中几何形状的变化等.为了实现对退化图像的识别、检索等,利用图像的相位信息构造了一种仿射-卷积矩不变量对这些退化图像进行描述.首先利用高斯卷积变换、仿射变换对图像的模糊、几何变化建模;然后分析图像的相位谱图像在高斯卷积变换、仿射变换下的性质,提出了能同时对高斯卷积变换和仿射变换具有不变性质的不变量计算方法.通过对计算机合成变换的图像、真实拍摄的图像进行检索、识别实验,表明了文中提出的仿射-卷积矩不变量的有效性和适用性. 欢迎订阅《计算机与现代化》,欢迎投稿 [正文]《计算机与现代化》是计算机专业学术期刊。其特点是:时效性强,密切跟踪计算机科技发展动态,以最快的速度精选刊登计算机专业的新理论、新技术及其在各领域应用成果的论文。本刊系中国科技核心期刊、中国科技 一种新的频繁项集精简表示方法及其挖掘算法的研究 频繁项集挖掘是数据挖掘研究领域的一个基本问题,其瓶颈在于频繁项集全集的结果过多,冗余现象严重.主要的解决思路是只挖掘全体频繁项集中有代表性的子集,使得这种子集或者可满足应用的需要或者可由它们导出其他项集.最大项集和闭项集便是这类解决方案中两种最典型的子集形式.在最大项集和闭项集的基础上,提出了元项集这一新的频繁项集精简表示方法.首先,证明了最大项集和闭项集都是元项集的特例,且元项集所包含的项集数目介于二者之间;其次,讨论了元项集的性质.最后,通过在闭项集挖掘算法DCI-Closed-Index的基础上引入剪枝策略,设计了一个元项集挖掘算法.实验结果表明,所提出的挖掘算法是有效的和高效的. 多天线多用户认知系统中基于BD预编码的用户选择方法 在次用户配置的多天线的认知多天线协作反馈系统中,信道方向信息存在量化误差,现有的用户选择方法不能使次用户系统容量最大化,而且增大了对主用户系统的干扰。为了消除量化误差对主次用户系统的影响,提出了一种基于BD预编码的统计独立系数的用户选择方案。分析和仿真结果表明,所提方案在主用户系统干扰受限的条件下有效提升了次用户系统的容量。 基于SOA云架构的电子监察业务平台的设计与构建 在分析电子监察业务特点的基础上,设计了一种基于SOA云架构的电子监察业务平台。在平台底层采用云计算的虚拟化技术和分布式并行数据处理技术对平台涉及的海量异构资源进行统一而高效的管理,并向上层提供虚拟资源服务、监察业务核心功能开发环境和灵活可伸缩的海量异构数据处理能力;在平台中层采用SOA架构把业务核心逻辑、资源和数据封装为标准Web服务,实现单项监察业务元服务;在平台高层采用BPMS业务协作管理机制,把监察业务间的协作封装为Web服务,实现多项监察业务间的协作服务及相关组合服务。元服务、协作服务及组合服务由平台最高层的服务中心统一组织管理,供跨地域分布的各监察部门最终用户使用。 基于云的概念空间模型研究 从认知科学出发,讨论了Grdenfors的概念空间理论,用云模型对概念空间进行了形式化研究。由于概念、属性中存在着大量的模糊性和不确定性,将云模型和Grdenfors的概念空间模型结合起来,建立了一套基于云的概念空间模型。并进一步对概念、相似性等进行定义,形成了一套新的基于云的概念空间模型方法。通过一个昆虫分类实例来进行概念空间的建模实验。用静态和动态两种不同的方法来验证基于云模型的概念空间的有效性。 基于H分量旋转的荔枝图像分割算法 自然场景下荔枝图像分割,因果实与背景之间的颜色特征以及本身的形状特性的差异,表面会出现亮度不均匀,对分割造成非常大的影响。为了减少亮度不均匀给荔枝图像分割带来的影响,选择HSV彩色空间中色调H分量,并对H分量进行旋转作为图像分割的特征;通过模糊聚类算法和马氏空间约束条件来进行图像分割,利用形态学滤波消除分割后的随机噪声,并对分割区域标记,利用图像标记来恢复分割区域的原始图像。结果表明,该算法能很好地解决亮度不均匀造成的影响,对成熟荔枝分割的正确率达到了90.4%。 结合直方图均衡和模糊集理论的红外图像增强研究 目前在运用靶场光电测量设备对红外图像进行采集的过程中,经常会出现边缘不清晰、缺乏较高对比度等问题,对图像采集质量产生了严重影响。因此通过尝试将直方图均衡以及模糊集理论相互结合的方式,使得图像能够在对数隶属度函数下,完成向模糊域的转化,随后反复进行非线性变换使之可以获得更加清晰的边缘,最后利用直方图均衡化算法使得红外图像能够拥有更高的对比度,以此达到增强红外图像的目的。通过最终的实验分析可知,结合直方图均衡与模糊集理论的方式确实能够有效增强红外图像,改善其边缘不清晰等问题,具备良好应用效能。 Linux下有中断端点的USB设备驱动的实现 手写板是有中断端点的USB设备,为了在Linux下实现此类设备的驱动,分析了USB设备的基本概念和Linux设备驱动原理,对Linux下设备驱动程序的内部机制和框架构成进行了研究。在此基础上,提出了引入信号量机制控制内核线程同步的方法来实现read函数,进而设计出Linux下有中断端点的USB设备的驱动程序。通过编写应用程序和设计对比实验,证明了此驱动可以准确、高效地读写设备。与针对中断端点普遍采用的输入子系统方式相比,简化了程序设计,提高了系统效率。 基于Web的节水灌溉远程监控系统 为了满足远程监控灌溉系统的需求,本文提出基于3G无线通信技术和Web的节水灌溉远程监控系统,实现灌溉泵站的远程监测、通信及自动控制,满足节水灌溉远程监控的实际需要。为了实现局部更新网页数据和图像,通过对当前流行的Ajax框架的分析,选择适用于远程监控系统的jQuery框架。最后将Ajax技术应用于远程监控系统,实现局部刷新页面的效果,并总结出Ajax技术的优缺点。 用于约束多目标优化问题的热力学遗传算法 为了保持所求得的约束多目标优化问题Pareto最优解的适应度与多样性,在NSGA-II基础上提出了一种用于求解有约束的多目标优化问题的热力学遗传算法。结合热力学中自由能与熵的概念,利用热力学中熵与能量的竞争来保持种群的适应度与多样性的平衡,设计了热力学算子。根据非支配排序Pareto分层结构建立分层小生境来改进选择算子,弥补了选择算子不足。实验结果表明:该算法不仅得到的解在空间分布均匀,收敛性好,同时解集具有较广的分布空间。 基于支持向量机的多姿态人脸特征定位 提出一种多姿态人脸特征定位方法,在Adaboost定位的人脸区域中划分眼、鼻和嘴的搜索区域,利用眉眼和鼻嘴整体特征,通过大规模多姿态五官样本训练的支持向量机在搜索区域中确定候选眼、鼻及嘴区域。对候选眼、鼻及嘴区域进行筛选与合并以确定最佳位置,实现多姿态人脸上五官的准确定位。实验结果表明,该方法具有较好的精确性和鲁棒性,能适应复杂背景下表情变化的多姿态人脸上的眼、鼻及嘴的定位。 基于直觉模糊集理论的IDS方法研究 入侵检测是网络系统安全维护过程中的有效方法之一,主要指通过对网络系统中的各种数据进行收集、分析,进而发现其中存在的可能对系统安全构成威胁的入侵攻击行为,并迅速作出响应的过程。但由于网络空间中的攻击形式多样,具有许多未知和不确定性,因此如何对其中的不确定性进行描述并采取相应的措施成为了构建入侵检测模型的重要一环。直觉模糊理论就是一种针对系统中存在的不确定性问题进行研究的理论。因此,通过对基于直觉模糊集理论的入侵检测方法进行深入研究发现,其对于处理入侵检测系统中大量不确定性问题具有重要的作用和意义。文中对现有文献中3种典型的基于直觉模糊集理论的入侵检测方法进行了相对全面的分析介绍,并进行了适当的对比总结,指出了目前各种方法仍存在的不足和未来的研究方向,这对其进一步的发展具有一定的参考价值。 一类分布式控制系统中带有优先约束的周期性任务容错调度方法 随着分布式控制系统复杂性的增加,系统出现故障的可能性增大,然而系统中的任务在任何情况下都必须在其时限前完成,因此需要为分布式控制系统提供容错能力.本文针对回路任务之间具有优先约束的分布式控制系统,先给出了系统模型、任务模型和容错模型.然后基于版本复制技术设计了可同时容忍软件故障和硬件故障容错的容错调度算法.算法通过设计一个调度序列来满足回路任务之间存在的优先约束关系和主副版本之间串行执行需求.考虑到系统的采样周期与系统的控制性能有关,采用改进的粒子群算法对调度算法进行了优化.仿真实验表明本算法是有效的. 大数据环境下的网格动态故障检测研究 "研究大数据环境下网格动态故障检测的方法。大数据来源范围广博,数据类型极复杂;数据的广泛性,资源的高度异构和不同地理上的分布,使网格故障发生成为影响系统应用的主要问题。目前网格故障检测方式,不能满足网格动态故障检测需要。利用""灰色预测理论""的算法,依据动态心跳的原理,设计动态故障检测架构,给出了预测模型;提出了网格动态故障检测方法。实验结果证实是有效的和准确的,提出的动态故障检测算法优于静态故障检测算法,解决了大数据环境下网格动态故障检测问题。" 基于CMAC神经网络的人脸表情识别 针对BP等全局性神经网络收敛速度慢和局部极小的存在,用于人脸表情分类时,不仅实时性难以达到要求,而且识别精度也存在不确定性。为提高速度,加快收敛,提出一种基于局部性CMAC(Cerebellar Model Articulation Controller)神经网络的人脸表情识别方法。先对样本图像进行预处理,提取感兴趣的脸部区域,通过K-L(Karhunen-Loeve)变换对处理后的图像提取眼、嘴和鼻等重要特征点的位置和局部几何形状作为识别特征得到感兴趣的表情区域。最后将待测表情与标准表情的欧氏距离作为CMAC神经网络的输入,表情类型作为网络输出,对人脸7种典型表情进行识别。实验结果表明,基于CMAC的方法能有效地识别人脸表情,而且算法简单,学习速度快,可用于需要实时分析人脸表情的场合。 奇校验下的三磁盘崩溃数据恢复策略 灾难发生的后果可能导致数据中心存储系统中的多个数据盘同时崩溃,如果采用镜像式备份,极有可能导致数据无法恢复.而采用基于奇校验的冗余技术,在确保所有磁盘被操作概率相同的情况下,既可以对一个或者两个崩溃的数据盘进行数据恢复,也可以对三个或三个以上同时崩溃的数据盘进行数据恢复. 面向跨企业多方协同应用的Web服务安全模型 现有的Web服务安全工具仅提供单个服务的安全策略配置功能,忽略了业务流程层面的安全需求。为此,提出一种面向跨企业多方协同应用的Web服务安全模型,将Web服务安全建模、部署与监控过程,融合到企业业务流程管理过程中。在此基础上构造基于Secure-WSCDL的建模工具、转换工具和监控工具,实现SOA架构下业务模型与安全建模在软件工程生命周期中的同步。通过简化的国际贸易进出口流程实例,验证了该模型与相应工具的有效性。 二频机抖激光陀螺高精度低延时信号解调电路设计 在激光陀螺信号解调滤波过程中,工程上经常运用高阶FIR滤波电路来实现抖动信号剥除;这种方法虽然精度高但具有较高的延时,无法满足激光陀螺输出结果实时性的要求;为了解决这个问题,提出了一种基于FPGA的激光陀螺信号解调电路,利用自适应滤波原理对激光陀螺输出抖动信号进行自适应剥除,最后进行了相应精度及延迟测试;实验结果表明激光陀螺信号经过该系统自适应抖动剥除后效果较好,激光陀螺静态输出百秒方差仅为千分之三且系统延迟0.6ms远低于常规5ms延迟,满足了激光陀螺信号解调高精度低延时的要求。 求解约束优化问题的改进蝙蝠算法 针对基本蝙蝠算法求解精度低、易陷入局部最优的缺点,提出一种改进的蝙蝠算法用于求解约束优化问题。该算法利用佳点集方法构造初始种群以维持群体的多样性,引入惯性权重以协调算法的勘探和开发能力。为了避免算法陷入局部最优,对当前全局最优解进行多样性变异操作。通过对四个标准测试函数和化工应用的仿真实验并与其他算法进行比较,结果表明了该算法具有较强的全局搜索能力。 基于韵律特征参数的情感语音合成算法研究 为了合成更为自然的情感语音,提出了基于语音信号声学韵律参数及时域基音同步叠加算法的情感语音合成系统。实验通过对情感语音数据库中生气、无聊、高兴和悲伤4种情感的韵律参数分析,建立4种情感模板,采用波形拼接语音合成技术,运用时域基音同步叠加算法合成含有目标感情色彩的语音信号。实验结果表明,运用波形拼接算法,调节自然状态下语音信号的韵律特征参数,可合成较理想的情感语音。合成的目标情感语音具有明显的感情色彩,其主观情感类别判别正确率较高。 修正UNIFAC(Dortmond)模型预测二元溶液气液平衡活度系数的计算软件设计 基团贡献法是一种常用且有效估算气液平衡活度系数的方法,修正UNIFAC(Dortmond)模型预测精度最高,但计算过程相对复杂,手工计算效率较低,目前缺乏独立开放的应用软件。本文基于MATLAB设计了一套利用修正UNIFAC(Dortmund)模型计算二元溶液气液平衡活度系数的计算机应用程序。集成了Dortmund数据库(DDB)中常见化合物基团参数等计算数据,根据修正UNIFAC(Dortmund)拆分规则定义二元溶液体系组分的基团信息,输入温度及任一组分的摩尔分数,即可自动计算活度系数(γ)。程序计算结果与文献中用修正UNIFAC(Dortmond)模型的预测结果一致。与Bruce基于标准UNIFAC(Dortmond)模型设计的程序对比,本程序计算结果和实验值更为接近,误差值小于15%。 突发事件新闻标题与正文提取方法 针对突发事件新闻网页语料处理问题,提出了一种基于此类新闻特点与网页标记信息的抽取和定位新闻内容的方法。该方法将网页标记与文本相似度作为机器学习的特征项,利用贝叶斯分类方法提取新闻标题。利用事件新闻的用词稳定性与网页标记的嵌套特点,减少了文本处理数量,降低了文本向量维数,在此基础上计算向量相似度以定位新闻篇首与篇尾。实验结果表明,该方法抽取标题的准确率达到86.5%,抽取正文的平均准确率在78%以上,能有效抽取新闻内容,且易于实现,对其他网页文本处理中挖掘标记信息与文本自身信息具有一定的借鉴意义。 曲线数据压缩算法研究与应用 在数据分析软件中,随着数据量的增加,导致数据分析的难度增加,制约了软件系统性能的进一步提高。在分析了以往数据压缩算法的基础上,提出了一种改进的数据压缩算法,通过该算法对数据进行压缩,可以保证高压缩比和低失真率参数,压缩后的数据量远远小于数原始数据量,从而可以有效的降低分析软件后续的工作量,提高分析软件的性能,尤其在数据量大和实时性要求比较高的应用中,该算法的优点更加突出。 基于Web Services的数字化制造软资源支撑系统开发 通过分析档案管理系统的开发流程和需求,针对数字化制造软资源管理业务中存在的手工管理为主、设计和生产信息不流畅等管理现状,构建了基于Web Services的数字化制造软资源管理业务流程,提出了基于Web Services的数字化制造软资源支撑系统框架,并设计和实现了该系统。实验结果表明,该系统能够对图纸、文件等纸质、电子文件进行一体化管理,基于Web Services技术是构建数字化制造软资源支撑系统的有效方式。 最小化总投影误差优化一元回归分类的人脸识别 针对现有回归分类算法不能很好地考虑总类内投影误差而影响人脸识别鲁棒性的问题,提出最小化总投影误差(TPE)的一元回归分类算法。首先通过各个类投影矩阵计算所有训练数据的类内投影误差矩阵,并且借助特征分解找到一元旋转矩阵;然后利用一元旋转矩阵将每个训练图像向量转换为新的向量空间,并计算出每个类的特定投影矩阵;最后,根据一元旋转子空间中各个类的最小投影误差来完成人脸的识别。在人脸数据库ORL、FERET、扩展YaleB及一个户外人脸数据库上的实验验证了该算法的有效性及鲁棒性。实验结果表明,相比于其他几种先进的回归分类算法,该算法取得了更好的识别性能。 直升机飞行模拟器中天空背景图像实时仿真 针对反潜直升机低空飞行模拟的实际需求,通过建立球面天空几何模型,利用CIE2003通用天空标准亮度分布模型,基于Preeham全气候特征参数值,进行亮度压缩、颜色空间转换、gamma校正和顶点颜色映射,实现不同经纬度地域、不同时间、不同天气状况下天空动态背景图像的实时仿真。仿真结果表明,该方法物理意义清晰,计算量小,产生的图像质量较高,是低空飞行模拟器生成户外天空场景的一种有效方法。 基于Zookeeper的GIS集群实现 针对地理信息服务遇到的大量用户并发访问和系统可靠性问题,基于Zookeeper,设计并实现地理信息服务集群,解决集群单点故障问题、数据一致性问题、统一配置管理问题,提高整个集群系统的响应效率和稳定性。从实现的角度,给出集群的体系结构、设计思路、工作流程,阐述集群节点间的交互关系和全局参数服务、组服务、命名服务的实现,实验验证了该集群的并发访问能力和故障处理能力。 一种基于遗传算法的TSP建模方法 为解决小组软件过程(TSP)中针对活动如何有效安排工程小组人员的问题,从关于目标和面向活动的角度刻画TSP模型,提出基于遗传算法的优化方法。分析TSP核心思想,给出模型的结构和形式化描述,介绍建立模型的步骤。通过实验验证了该优化方法具有良好的执行性能,能够得到一个具有较优效益值的人员安排方案,可行性良好。 多格式数字视频输入接口的设计与实现 数字视频输入接口是视频编码系统的重要组成部分之一。输入视频来源于计算机图形和视频系统,涵盖多种格式标准,现有视频编码系统中的数字视频输入接口往往只支持视频系统的输入源,不支持计算机图形的输入源。因此支持多种视频格式的数字视频输入接口能够扩展视频编码系统的应用范围。设计并实现了一种多格式数字视频输入接口,兼容BT.656接口,支持VESA监视器时序标准、ITU-R BT656数字分量视频接口标准和SMPTE 274M、SMPTE 296M标准视频输入,具有高鲁棒性和容错能力。该设计通过了虚拟平台验证和FPGA原型系统验证,功能和性能均满足视频编码系统的要求。 具有稳定饱和度的DBSCAN算法 在DBSCAN算法基础上提出SS-DBSCAN算法,克服了现有密度聚类方法存在的一些问题,在不增加算法时间复杂度的情况下,避免了空间邻近点被划入噪声簇和不同簇空间位置叠加等问题。提出饱和度概念,保证同一簇内部非空间属性分布的稳定性,并以热带气旋生成海域为例,证明这种算法可以取得很好的聚类效果。 主动过约束并联机构6PUS+UPU力位混合控制 为了解决主动过约束并联机构驱动协调性问题,提出一种基于动力学的力位混合控制策略。以6PUS+UPU并联机构为研究对象,基于运动学分析的基础,利用虚功原理推导了机构整体动力学模型与单分支动力学模型,进而得到机构期望内力;以机构内力和运动精度为控制目标,在力位混合驱动的基础上提出一种基于动力学的力位混合控制策略;以6PUS+UPU机构样机为对象进行控制实验,并对实验结果进行了分析。实验结果显示,所提力位混合控制策略可以提高对主动过约束并联机构内力的控制精度,改善各分支驱动协调性,并能在一定程度上提高机构运动精度。 基于有限域上Chebyshev多项式的密钥协商方案 利用传统RSA算法和有限域上离散对数问题,提出一种新的基于混沌映射的密钥协商方案。该方案基于有限域上Chebyshev多项式良好的半群特性,运用RSA算法巧妙地隐藏通信双方产生的有限域上的Cheby-shev多项式值,从而避免了以往的种种主动攻击,保证了密钥协商的安全;同时,该密钥协商方案还实现了身份认证功能。理论分析和软件实现证明了该方案的可行性、正确性和安全性。 基于JSP和Android的C/S结构问卷系统 为了方便问卷调查的实施过程,提高统计数据采集过程的效率,提出一种基于Android平台的手机问卷调查系统实现方法。该问卷系统是客户端/服务器结构的,服务端包括基于JSP的问卷设计模块、问卷信息统计模块、数据库,以及基于C#的Web Service用于实现数据库访问接口;客户端是Android平台上的问卷交互系统,从数据库获取问卷信息,在手机上显示问题和选项供用户回答并把回答结果提交到数据库。该系统在Android模拟器上以及真机上都进行了测试,结果表明该系统能有效实现问卷调查功能。由于客户端可以运行在移动设备之上,该系统的实现可以使问卷过程随时随地进行,使调查过程更加便利和高效,拓宽问卷调查的客户群,因此可以为需要市场调查或社会调研的企业或机构所采用。 海量图片快速去重技术 针对海量图片中的去除重复图片效率低的问题,提出一种基于图片特征的并行化海量图片快速去重技术。首先,对图片提取图片颜色、纹理、形状等特征,用来全面描述图片;其次,使用度量标准对图片之间的特征距离进行度量计算;最后,利用如果两个点到任意一点距离相等则这两点有可能是同一个点的思想实现根据特征距离对重复图片的快速定位,达到重复图片检测与去重的目的。结合实验数据分析验证该技术不仅能够准确地去重图片,且采用i5四核处理器的单机计算方式仅10 min左右即可处理500万级图片量,与一般的两两计算相比,提高了海量图片去重的时效性,使得计算时间大幅度缩短。 一种ALOHA算法的帧长度调整方法 在介绍动态帧时隙ALOHA算法的基础上,提出了一种帧长度调整算法。该方法利用一帧周期中碰撞及正确接收的信息推测阅读器有效范围内可能存在的标签数目,指导阅读器设定适当的值继续下一帧清点。仿真表明该方法具有可行性和实用性。 基于3G语音服务系统的开发研究 3G业务已从一个前卫概念逐渐向实际应用转变,传统的语音增值业务技术却跟不上市场需求的发展。文章首先进行了语音增值业务在3G技术发展下的市场分析,然后提出了适用于中小型企业语音服务系统的模型,对系统开发实现,语音增值业务的推广具有现实实践意义。 循环神经网络结构中激活函数的改进 循环神经网络相比于其他深度学习网络,优势在于可以学习到长时依赖知识,但学习过程中的梯度消失和爆炸问题严重阻碍了知识的按序传播,导致长时依赖知识的学习结果出现偏差。为此,已有研究主要对经典循环神经网络的结构进行改进以解决此类问题。本文分析2种类型的激活函数对传统RNN和包含门机制RNN的影响,在传统RNN结构的基础上提出改进后的模型,同时对LSTM和GRU模型的门机制进行改进。以PTB经典文本数据集和LMRD情感分类数据集进行实验,结果表明改进后的模型优于传统模型,能够有效提升模型的学习能力。 基于粗糙集的漏洞属性约简及严重性评估 计算机漏洞是危害网络安全的重大隐患,可以利用系统配置不当、系统设计缺陷或是软件的bug等对系统攻击.由于产生漏洞有多种因素,使得与漏洞相关的属性有很多,难以客观筛选强关联属性.而且在不依赖专家经验或是先验知识的基础上,确定属性权重的客观标准也是一个困难的问题.提出一种新的漏洞评估方法 RAR,首先采用粗糙集理论中改进的可辨识矩阵算法,得到约简的漏洞强关联属性集;进而利用属性综合评价系统理论评估漏洞的严重性;最终获得二元组表示漏洞的定性评估值和定量评估值.实验结果体现该方法避免了主观选择漏洞强关联属性集和依赖专家先验知识,在漏洞属性约简和属性权重的计算上获得了满意的效果,对漏洞的定性分析和定量分析是准确有效的. 具有重复场景元素的复杂自然图像颜色编辑 提出了一种针对具有重复场景元素的复杂自然图像的颜色编辑方法,在提供极少量用户交互的情况下,该方法能够快速、准确地对复杂自然图像进行颜色编辑。首先在图像中进行简单的颜色线条标记,并且使用SLIC(simple linear iterative clustering)超像素分割快速地生成图像子块区域;然后结合纹理特征和颜色特征,定义鲁棒的外观相似性距离度量,以能够捕获图像中所有的重复场景元素;最后在颜色传递过程中采用基于局部特征相似的颜色分配和基于全局的颜色传递方法进行重着色编辑处理。实验结果表明,该方法不仅极大地提高了颜色编辑的时间效率,而且在颜色编辑结果中保证了颜色传递的一致性和连续性,从而能够获得高质量的颜色编辑结果。 基于内存映射文件的进化算法数据存储引擎 为了观察和分析进化算法的执行情况,往往需要将算法执行过程中产生的大量数据存储在磁盘文件中。用于进化算法的嵌入式数据存储引擎EADB(Evolutionary Algorithm Database)提供了简便灵活的数据存储接口,通过使用内存映射文件技术来实现数据的快速和大量存储。相较于传统文件I/O存储方式和一般的通用数据存储引擎,EADB大大加快了存储速度。 基于簇间距离自适应的软子空间聚类算法 针对软子空间聚类过程中簇间距离(簇间的分离程度)对聚类的影响程度不确定的问题,提出了一种基于簇内紧密度和簇间距离自适应软子空间聚类算法。算法以经典的k均值聚类算法框架为基础,在最小化各个子空间簇类的簇内紧密度的同时最大化各个子空间簇类的簇间距离。并且通过推导得到新的子空间聚类中心和特征加权的计算方式,克服了软子空间聚类对输入参数敏感的缺点,实现了算法的自适应学习,并且取得了较好的聚类效果。 自然语言文本中不确定性信息的自动识别 自然语言中存在大量不确定的表述,针对此类信息的检测任务是信息抽取领域的研究热点之一,然而,面向中文的不确定信息检测研究仍然比较匮乏,利用支持向量机(Support Vector Machine,SVM)能够很好的解决非线性、高维数、局部小样本等实际问题的优势,将中文不确定性信息识别问题转化为分类问题,通过在复旦大学发布的中文不确定性检测数据集语料上的实验,验证了本文提出的基于SVM的中文不确性信息检测方法的有效性,相比于句子评分模型,我们的系统取得了更好的召回率. 业务流程的时延预测队列挖掘方法 过程挖掘是针对流程信息系统所记录下的日志进行分析,将业务流程真实过程还原的技术。目前已有的方法多是基于控制流与数据流的观点,针对任务运行状态的,无时延的业务过程进行挖掘。但在挖掘存在多任务的有时延的业务进程方面,目前的方法存在一定局限性。提出基于队列挖掘优化过程模型的方法,首先利用现有的基于过程挖掘的方法,挖掘业务流程的初始模型。再运用队列挖掘的观点对特定的顾客进行时延预测,挖掘出顾客的行为信息,以此对初始流程模型进行优化。最后通过实例验证了所提出的优化挖掘方法的有效性,优化后的流程模型不仅对事件日志有很好的重放效果,并且能够反应出多类别的,且存在时延的业务流程中任务的行为信息。 不同渠道权力结构下的逆向物流定价决策 应用Hotelling模型建立零售商传统渠道回收,第三方企业有三种不同的回收模式:传统渠道回收模式(TT)、线上渠道回收模式(TE)、传统渠道和线上渠道同时存在的回收模式(TD)。同时考虑零售商和第三方企业在Cournot竞争和Stackelberg竞争下,零售商和第三方企业回收的均衡解。应用博弈论求解出不同竞争情况下零售商和第三方企业的最优回收定价和最优利润,并进行比较分析。零售商总是在Stackelberg竞争下,第三方企业选择传统渠道进行回收时获得最大利润;而第三方企业则是在Cournot竞争下,自身选择传统渠道和线上渠道同时回收时获得最大利润。 基于Mirror Driver的屏幕图像捕捉技术 目前各类非高依赖DirectDraw应用中屏幕捕捉技术存在效率低下、捕捉遗漏、光标丢失以及不够稳定等问题。为此,提出基于镜像显示驱动的屏幕图像捕捉方案。设计并实现内核驱动,通过捕捉鼠标光标移动路径中的关键点再现光标轨迹,并对捕获到的变化区域集进行优化合并。实验结果证明,该方案能快速稳定地捕捉屏幕上的变化区域图像,实现光标的移动捕捉。 帧异或视频加密算法 提出一种针对H.264标准的新型视频加密算法,弥补了选择性加密压缩比变化及熵编码加密编码器不通用的问题。该算法将由密钥决定的一帧图像的细节与背景分别异或在原始图像帧的对应位置,生成视觉重叠图像以达到加密目的。实验结果表明,算法能够有效控制压缩率变化并可作为通用插件植入H.264编解码器中,适应于视频实时通信场合的需求。 基于Android平台的WIFI遥控智能小车的设计 为了设计一款WIFI遥控智能小车,能够实现在WIFI控制下小车遥控运行、自动跟随、自主循迹、自动避障、视频拍摄和视频回传等功能,提出了基于Android平台的系统总体设计方案,采用了双电源独立供电以避免电机运转引入干扰的供电方案,探讨了直流电机驱动模块的电路设计和抗干扰解决方案,以及WIFI模块的设计方案,并详细介绍了Android设备与单片机之间的通信协议和软件设计的关键模块:电机驱动与PWM调速模块和命令解码模块;测试结果表明:系统运行状态良好,在Android设备控制下小车能够实现遥控运行、自动跟随、自主循迹、自动避障、视频拍摄和视频回传等功能,满足设计要求,达到了预期目标。 云计算环境下的数据挖掘服务模式 为了求解网络环境下分布式海量数据的分析处理、促进数据挖掘的开发集成和商业应用,提出了云计算环境下的数据挖掘解决方案,通过云环境计算能力和云计算服务模式,阐述了对数据挖掘服务问题的解决机理。云计算环境下的数据挖掘是一种网络环境下的信息资源服务模式。基于此,构建了数据挖掘服务的架构,设计了数据挖掘服务的创建流程,给出了数据挖掘服务模型的体系结构,并从生命周期的角度定义了数据挖掘的服务过程,从而形成了云计算环境下的数据挖掘服务模式。 RS-IPSO-BPNN模型在建筑工程估价中的应用 针对一般建筑工程估价问题的复杂性,融合粗糙集理论、粒子群算法和神经网络算法的优势,提出了一种新的建筑工程估价模型——基于粗糙集理论、改进粒子群算法和神经网络算法集成的建筑工程估价模型。利用粗糙集理论对影响建筑工程造价的因素进行约简,优化BP神经网络的输入变量;利用一种带收缩因子的改进粒子群算法优化BP神经网络初始权重和阈值。该方法有效地增强了BP算法对非线性问题的处理能力,同时提高了BP算法的收敛速度和搜索全局最优值的能力。选取湖南某市工程案例进行实证分析。研究结果表明,新的算法模型能够以工程特征为依托,科学客观地评估建筑工程造价,具有较高的实际应用价值。 电子投票匿名性分析 电子投票公布计票结果会影响投票者的匿名性。针对该问题,定义匿名性为投票选择的不确定度,利用熵衡量投票系统的投票者匿名性,比较计票结果公布前后投票者的匿名性变化。分析结果表明,投票规模越小,投票者匿名性在结果公布后受到的损失越大;在小规模电子投票情况下,计票结果应该选择只公布获胜者,不公布具体得票数,以减少投票者匿名的损失。 基于PCA的XML文档特征提取方法 为了更好地对XML文档进行分类或聚类分析,以主成分分析的理论基础为指导,在研究了文本表示的各种模型的基础上,提出了两种对XML文档进行向量化表示并进行特征提取的方法,同时也实现了对XML文档的有效降维。实验结果表明,两种方法都能有效地表示XML文档的主体特征,但全路径特征向量抽取方法能更好地描述XML信息,为下一步有效处理XML文档做了良好铺垫,具有一定的研究价值。 利用不规则线段组合的压缩链码 "针对目前的压缩链码方法对边界的不规则特征利用不充分问题,提出一种对不规则线段进行压缩并以组合码表达的无损组合压缩链码.首先删除方向差为135°以及90°正直角的冗余边界网格,使其分布更为集中;其次对不同斜率的线段进行统一的行程编码,用3个参数实现不规则线段的重复模式表达,进一步提高压缩率;最后通过衡量不同组合的出现频率与压缩率,设计一套""标志码+区分码+长度码""的组合链码结构.利用8个省级行政区划栅格边界数据进行实验,结果表明,文中提出的组合压缩链码压缩率平均可达35.39%,与原始的方向差链码相比提高20%以上." 基于广播的WSN新休眠机制的研究 普通的无线传感器网络由于节点电量有限,所以在实际应用中往往采用休眠的方式来节省电量,但目前各种休眠方案均有其局限性。为了在情况复杂的实际布网环境中有效地提高网络寿命,提出了一种基于广播的节点休眠机制,并结合SVM方法制定相应的休眠策略。实际测试表明,基于广播的新型休眠机制相比传统布网方法,布网时间延长了三倍以上,可以大大地延长网络寿命,具有极高的使用价值。 面向鱼雷罐车运输模式的铁水生产罐次调度方法及应用 铁水生产过程中普通类型铁水生产工艺路径存在不确定性,常规优化方法难以解决该生产调度问题,以人工为主的调度方法速度慢、优化程度低、造成冗余等待时间过长,为了解决这些问题,提出了铁水生产罐次优化调度方法。对TPC罐次铁水调度问题的目标与约束条件进行数学描述,建立了数学模型;提出由TPC罐次批次的划分、特殊类型铁水TPC罐次的设备指派、特殊类型铁水TPC罐次的开工时间优化和普通类型铁水TPC罐次的设备及开工时间调度组成的调度策略,并给出了相应的调度算法。将所提方法应用于该钢铁企业铁水生产调度,显著降低了平均日冗余等待时间,验证了所提方法的有效性。 基于运动显著性的移动镜头下的运动目标检测 针对移动镜头下的运动目标检测中的背景建模复杂、计算量大等问题,提出一种基于运动显著性的移动镜头下的运动目标检测方法,在避免复杂的背景建模的同时实现准确的运动目标检测.该方法通过模拟人类视觉系统的注意机制,分析相机平动时场景中背景和前景的运动特点,计算视频场景的显著性,实现动态场景中运动目标检测.首先,采用光流法提取目标的运动特征,用二维高斯卷积方法抑制背景的运动纹理;然后采用直方图统计衡量运动特征的全局显著性,根据得到的运动显著图提取前景与背景的颜色信息;最后,结合贝叶斯方法对运动显著图进行处理,得到显著运动目标.通用数据库视频上的实验结果表明,所提方法能够在抑制背景运动噪声的同时,突出并准确地检测出场景中的运动目标. 基于迭代合成的D-S证据理论改进方法 针对D-S算法中折扣因子不能准确度量证据重要性和一次合成不够精确等问题进行了研究,提出一种基于迭代合成的D-S改进算法。该算法使用复合折扣因子进行证据重要性度量,并用融合结果不断修正复合折扣因子,通过多轮迭代合成最终结果。最后用模拟算例验证了算法具有很好的融合效果,算法的迭代次数不会随着融合精度的提升而激增,也不会随着证据规模的增大而增多,说明算法是有效的、稳定的和可扩展的,适用于大规模证据合成。 基于相位相关的图像三维重建算法 在Muquit M A等提出基于相位相关的三维重建算法的基础上提出改进,对图像进行细节恢复的前处理;利用各个匹配等级之间的结构相关性进行搜索限制;在每个匹配等级下都进行畸点的识别和重定位;对匹配结果进行限制深度的三维模型优化处理.实验结果表明,提出的改进算法比原始算法的三维重建性能有明显提升. Goldfish:基于矩阵分解的大规模RDF数据存储与查询系统 随着互联网应用的迅猛发展和语义网技术研究的深入,语义数据呈现出爆炸性增长趋势.一方面,对于语义数据实现高效存储和查询是语义网应用的重要基础,越来越多的语义应用可以依赖于此以提供更好的服务;另一方面,语义数据的爆炸性增长,对大数据环境下的语义数据的存储与查询技术提出了新的挑战.传统的基于关系型数据库的语义数据与查询系统已难以满足大规模语义数据的存储与查询需求.该文针对大规模RDF数据的存储与查询问题,以OpenRDF Sesame框架为基础,采用分布式分层式存储架构,提出并实现了属性表存储结构来进行语义数据的存储.在此基础上,针对布尔矩阵分解算法在对大规模语义数据构造属性表较慢的问题,基于Spark分布式计算框架提出并实现了并行化频繁项集挖掘算法求解大规模矩阵分解,以加速属性表的构造过程.并且,在查询层增加了基于哈希转换等查询优化.最后,基于该文所提出的索引结构和优化方法设计实现了原型系统Goldfish,并在大规模合成和真实数据集上进行了实验对比.结果表明,Goldfish原型系统比Rainbow系统查询性能平均提升约6倍,比Jena-HBase查询性能平均提升约500倍,比基于MapReduce的RDF查询系统SHARD性能平均提升约1200倍. 水产品安全评估体系中属性约简算法研究 针对水产品安全评估体系中评估指标的复杂和冗余问题,提出一种混合信息量的属性约简算法。该算法采用正域和信息量相融合的方法,定义属性不重要性公式。为减少算法的搜索空间,只计算除核属性以外的样例属性不重要性。实例验证和分析结果表明,与启发信息为属性依赖性或属性频率的约简算法相比,该算法具有更好的属性约简效果,可以应用于水产品安全评估体系中评估指标的约简。 基于Linux平台的实时视频OSD设计与实现 视频应用程序的GUI与视频无法同时显示,在对此问题分析的基础上,提出了Linux平台上基于软件实现的在屏显示技术OSD(On Screen Display)混合器的视频应用程序框架,讨论了实现的关键技术。并针对该混合器移植开源GUI,为视频应用程序的界面设计提供API。应用实例表明,实时性、交互性和复杂度均比较理想。 IMAR对起搏器植入患者胸部CT扫描的应用价值 目的:通过仿真胸部体模对伪影区域内肺结节及肺组织显示效果的分析,评价IMAR算法对心脏起搏器植入患者CT扫描的应用价值。方法:在胸部仿真体模内置入模拟肺结节60个,分别在心脏起搏器放置前后进行胸部CT扫描(常规剂量及低剂量扫描),图像分别采用无IMAR和有IMAR两种方式进行重建。比较肺结节的检出率,测量模拟肺结节CT值与其标称CT值的差值,并比较图像的噪声值、SNR、CNR值,并对主观图像质量评分。结果:低剂量胸部CT扫描时,使用IMRA检出结节数目较多;使用IMAR算法磨玻璃肺结节的测量CT值与标称CT值的差值较小。使用IMAR重建时图像SNR及CNR值均较高。IMAR重建图像质量主观评分高于未使用IMAR。结论:IMAR算法能减轻心脏起搏器金属伪影,提高低剂量胸部CT对伪影区域结节的检出率,提高伪影区域磨玻璃肺结节的显示效果,提高伪影区域图像质量。 复杂环境下多种群蚂蚁机器人路径规划新算法 依据真实蚂蚁具有自动分流功能这一研究成果,提出了一种全新的机器人路径规划蚂蚁算法。该方法首先用栅格法对机器人运动环境进行建模,在此基础上,两组蚂蚁进行相向搜索,每组蚂蚁都含少量分流蚂蚁,分流蚂蚁选择信息素较少的路径行走,从而增强了搜索多样性。计算机仿真实验表明,即使在复杂的环境下,用该算法也可以较迅速地规划出一条全局优化的路径。 结合视觉语义的乳腺X线图像检索 针对乳腺X线图像检索过程中底层特征不能有效表达高层语义,提出一种结合视觉语义的图像检索算法。引入图半监督学习框架提取查询图像的类别归属度,作为视觉语义;考虑类别分布的不平衡性,在语义提取过程中加入类标签正则化;设计结合视觉语义和底层特征的相似度度量准则。在乳腺X线分块图像数据库上的实验结果表明,该算法能够有效提取图像的视觉语义,性能优于基于单一底层特征的检索算法。 一种稳定的人脸轮廓模型 用Harris算子提取被测人脸上受表情影响较小的几个关键特征点,由这些特征点的相对座标位置与正面人脸模型中对应点的相对座标位置之间的转化关系估计出被测人脸的姿态向量,用此姿态向量与提取的点建立一个综合人脸轮廓模型。由于所提取的特征点受人脸表情变化影响很小,在模型中又正确估计了人脸姿态,因此,此模型可以很好地抑制人脸表情和姿态变化的影响,适合于作为识别人脸的依据。 网络空间威胁情报共享技术综述 如今,以高级可持续威胁(APT)为代表的新型攻击越来越多,传统安全防御手段捉襟见肘,网络空间安全态势日趋严峻。威胁情报具有数据内容丰富、准确性高、可自动化处理等特点,将其用于网络安全分析中可以有效提高安全防御能力。因此,威胁情报越来越被关注,学术界和产业界已针对威胁情报分析与共享开展了相应研究。文中首先对威胁情报的价值、意义进行了分析,并对威胁情报和威胁情报厂商进行了分类;然后重点从威胁情报共享技术面临的主要问题出发,分析和总结了学术界和产业界针对这些问题进行的研究与尝试;最后展望了威胁情报共享领域未来的研究内容。 基于Python的结构拓扑优化与3D打印试验研究 针对传统拓扑优化方法在设计和制造工程结构周期长、效率低等方面存在不足的问题,发展了一种高效率结构优化设计策略。采用一类简洁高效的双向渐进优化方法对简单T型结构进行拓扑优化,使用有限元法求解T结构的结构响应。构造以结构最小柔度(刚度最大)为目标函数,材料体积为约束的优化问题,利用多次有限元迭代和单元灵敏度判断来不断删除和添加材料以达到材料最佳分布。针对最优结构进行3D打印制造和力学性能试验研究,结果表明试验与仿真吻合良好,满足工程刚度需求。所提策略应用于复杂三维结构的优化设计和制造,可以大大缩短复杂工程结构的设计制造周期,为指导工程结构设计提供参考。 Khudra算法的相关密钥差分分析 Khudra算法是一种总轮数为18的轻量级分组密码算法。现有分析方法使用相关密钥差分分析Khudra算法,通过在2个密钥上引入差分,构造14轮区分器攻击16轮Khudra算法,区分器的攻击概率为2-56.85。基于此,同样使用相关密钥差分分析Khudra算法,仅在1个密钥上引入差分构造10轮区分器,共攻击16轮Khudra算法。分析结果表明,该10轮区分器与现有相关密钥差分分析的14轮区分器相比攻击概率提高了228.425,整个分析过程的数据复杂度为233,时间复杂度为295。 基于重启Lanczos过程的模型降阶方法 针对大规模的线性时不变系统,提出了基于重启Lanczos过程的模型降阶方法。首先,通过重启Lanczos过程分别得到原始系统的可控Gram矩阵的近似矩阵及可观Gram矩阵的近似矩阵。然后,根据原始系统的可控Gram矩阵及可观Gram矩阵所满足的Lyapunov方程构造映射Sylvester方程并求解,对解进行双正交化,得到降阶所需的变换矩阵,从而得到降阶系统。运用此方法对大规模线性时不变系统进行降阶,能够得到具有较高近似精度的稳定的降阶系统。最后,数值算例验证了此方法是行之有效的。 基于均匀映射的立体影像柱面深度感知分析 双目立体影像以平面作为零视差表面,采用两台透视相机生成左右眼图像。展览展示应用通常将上述方法生成的立体影像沿长宽两个方向均匀映射到柱面屏幕,使观察者对立体影像的深度感知产生变形。描述柱面条件下立体影像生成、显示和感知过程的几何分析模型,利用该模型对均匀映射下柱面中心的立体影像深度感知进行分析。理论模型的分析结果表明,均匀映射方法会造成柱面中心深度感知的非线性放大,引起深度平面弯曲等现象,影响观察者对场景的认知。数据实验结果表明,均匀映射条件下经验法则限定的深度范围仍旧适用;根据模型计算的安全深度区间感知映射结果,内容生成者可进一步减小成像时的最小深度边界,获得更加突出屏幕的立体视觉效果。 基于电磁波小尺度衰落的移动物体检测 随着无线网络技术的发展,出现了针对网络信号能量强度的大量研究。提出一种新颖的基于电磁波小尺度衰落效应的移动物体检测方法。该方法以静止环境中稳定信号能量强度作为依据,通过滚动窗算法捕捉移动物体出现时的小尺度衰落效应,从而实现移动物体的检测。实验结果表明该方法可有效地检测到移动物体,达到100%的检测率。由于该方法仅依赖于电磁波的小尺度效应,因此可在各种无线网络环境中实现。该方法可用于构建安全监控系统,且实施简单,无需专用传感器。 基于多传感器融合的摔倒检测算法的研究 针对传统摔倒检测算法中误报和漏报率高的不足,提出一种基于多传感器融合的摔倒检测算法;该算法分别以人体的加速度和姿态角值为判定依据;首先,采用三轴加速度传感器和电子罗盘对上述两种数据进行采集,并通过无线模块发送至PC机;之后对采集数据进行分析和处理,进而根据阈值进行异常姿态检测;最终,综合加速度和姿态角的分析结果给出准确的检测结论;实验结果表明,该算法检测的准确率达99.2%、与传统检测算法相比具有更强的稳定性与可靠性。 火电厂凝结水泵停泵水锤分析 火电厂凝结水泵在发生事故停泵时,伴随不科学的关阀规律,将会出现严重的水锤现象。贵州某600MW超临界火电厂投运以来,在凝结水泵停运时多次发生持续时间短暂的振动高报警现象。停运瞬间止回阀处伴随有很大的声响,严重时导致正在运行的冷凝泵振动高保护跳泵,对电站安全稳定的运行造成巨大的隐患。为解决上述问题,根据其凝结水系统运行情况,建立系统仿真模型;通过进行瞬态仿真可知,旋启式止回阀的快速关闭是导致水锤压力过大的主要原因;更换安装新型液控止回阀、选择科学合理的两阶段关阀规律并根据系统运行特点在阀后设置气压式调压室,能够有效地降低阀后最大水锤压力和水锤波动性。压力水系统中液控止回阀和配置气压式调压室是防护水锤事故的有效的措施。 面向无线Mesh网的集中式IP地址分配方案 针对无线Mesh网的地址自动分配问题,考虑到网络的分层特性,网络架构,用户需求等因素,提出了面向无线Mesh网的集中式IP地址分配方案。该方案发挥网关节点的枢纽作用,利用路由协议进行信息同步,能够高效地完成用户的地址分配。同时方案考虑到了数据备份,节点意外中断等实际问题。实验结果证明该方案可以快速完成用户的地址分配任务。 双通道高速数据采集处理平台的设计与实现 为满足数字式测向接收机对高速数据采集和处理的需求,研制了高速数据采集处理平台,该平台基于ADC12D1800RF模数转换器实现了两路1.35 GHz中频信号的带通采样,以Xilinx公司V7系列FPGA为数据处理器,采用高速DDR3作为存储设备解决了海量数据存储问题,并通过高速串行接口(GTX/SRIO)实现了大容量数据的实时传输;该平台的测试结果为:1.8 GHz采样率时有效位数大于8bit,DDR3存储器的工作主频可达1333MHz,GTX接口在10Gbps速率下工作时,其误码率小于10-9,上述测试结果表明该平台可以高速、准确地实现信号采集、数据传输、存储和处理,达到了预期设计目标。 移动对象最近邻查询实时更新算法 在移动对象最近邻查询实时更新算法中,安全区一般是难以求解的不规则凸多边形。针对该问题,将安全区设计为圆形,在此基础上提出一种效率更高的移动对象最近邻查询更新算法。将算法分别运行于基站上的最近邻管理系统和移动对象上的Client管理系统,实验结果表明,该算法可以降低系统的存储代价,提高其数据处理性能。 机载激光雷达系统高精度点云生成研究 为了解决基于无人直升机的机载激光雷达(Lidar)系统中获得三维激光点云数据的效率低、精度低问题,提出了一种可获得高精度三维点云数据的解决方案;从系统点云数据生成原理分析影响点云精度的因素;实现十一阶扩展卡尔曼算法对多传感器数据进行数据融合处理,充分利用了不同传感器的优点;改进的扩展卡尔曼融合算法,不但有效地降低噪声和干扰对系统影响,而且提高了激光雷达系统点云数据的可靠性和精度;实验结果验证了算法的正确性和点云数据的精度。 P2P网络中基于云模型的主观信任评价 为了解决P2P网络交互的安全性问题,模拟人际交互网络,提出了基于云模型理论的主观信任评价模型.通过信任云及信任等级基准云的定义,实现了主观信任定性与定量的统一,客观地反映了信任的模糊性与随机性.通过逆向信任云算法、信任云合并算法及信任云相似度计算算法,实现了主观信任的综合评价和决策.仿真实验分析表明本方法是有效的和合理的.为开放式网络环境中的信任评估研究提供了一个有价值的新思路. 基于Harris角点的弱纹理三维非连续图像检测 对弱纹理三维图像中非连续数据进行检测,可以消除图像匹配时的误差,提高图像处理的质量。进行非连续数据检测时,需要依据弱纹理三维图像的灰度变化状态,构建三维图像灰度强度变化矩阵,对非连续数据角点进行检测,但传统的基于连续隐边界曲面算法,要求在非连续数据在同一位置具有高度重复性,导致图像的非连续特征匹配点歧义性较强,降低了弱纹理三维图像中非连续数据检测的准确性。提出一种基于改进Harris角点检测的弱纹理三维图像中非连续数据检测方法。该方法先依据输入弱纹理三维图像的颜色(灰度)变化状态,检测出图像中像素灰度不发生变换的区域来增强图像的可区分性,然后计算出原始输入图像和增强后的图像数据视差,利用Harris角点算法获取弱纹理三维图像中像素灰度不发生变换区域的角点集,基于小波变换的原理构造了三维图像灰度强度变化矩阵,对非连续数据角点进行剔除。仿真结果表明,基于改进Harris角点检测的弱纹理三维图像中非连续数据检测方法可以有效的提高弱纹理图像的匹配精度。 板级电路测试诊断技术研究及典型设备研制 针对某典型弹载单机及其板级电路进行测试与故障诊断技术研究,利用模拟电路无损伤自动化测试技术,结合性能监测、故障定位与模糊故障诊断算法等方面的研究,进行典型电路测试设备的研制,并对研制过程中遇到的难点进行分析;主要研究内容包括:模拟电路故障诊断算法研究、板级电路测试点规划、探针板卡及夹具的设计、数据采集系统的设计选型、信号调理电路的设计实现及软件系统的模块化设计等,最终实现板级电路测试诊断设备的研制;实测选择某型军用产品板级电路为测试对象,结合工程上易于实现的故障字典法,根据测试点测量得到的响应向量计算隶属度大小,进而准确实现了数字电路和模拟电路故障的定位。 机器人运动避障优化控制研究 针对多机器人编队在路径规划中存在的避障和协同控制问题,对传统人工势场法进行改进优化,使得多机器人系统能够顺利通过障碍物区域,形成预期编队,并提出基于固定无向网络的一致性优化算法的控制协议,使得多机器人系统性能达到最优并满足一致性。首先建立环境和网络模型,针对多机器人编队避障中存在的局部极值和编队控制问题,改进了势场函数,同时引入回环力以及机器人间的作用力。然后根据最优控制理论,设计了三个代价函数,对多机器人系统进行优化控制,通过构建的李雅普诺夫函数,证明了多机器人系统的稳定性。通过数值仿真的结果表明,多机器人系统在复杂环境下能够有效的通过障碍物区域,避免了局部极值的问题发生,并最终达到一致,验证了一致性避障优化算法的可行性和有效性。 基于PXI总线的雷抗侦察接收机自动测试系统设计 针对越来越突出的装备技术保障问题,以雷抗侦察接收机为例,设计研制了一套基于PXI总线的自动测试系统;该系统广泛采用了模块化、标准化、规范化的设计思想,实现了接收机的相关技术指标的实时监测,解决了快速准确定位故障源难的问题,大大降低了接收机的维修时间,提高了装备保障效率。 鲁棒的点云曲线骨骼提取算法 为解决复杂点云数据的曲线骨骼提取问题,提出了一种鲁棒的点云曲线骨骼提取算法。该方法首先通过区域分割将点云模型分成多个弱凸面集,减少噪声点对骨骼提取的影响,然后在每个弱凸面集中根据对称点信息提取候选骨骼点,对候选骨骼点进行压缩和平滑,并采用最优平面法对骨骼点进行重定位,最后利用区域分割信息将各区域的骨骼点连接得到最终的曲线骨骼。实验结果表明,该方法不仅能够处理完整和非完整的点云数据,而且能够正确提取包含复杂形状的点云骨骼。 双伸位堆垛机系统调度的优化设计 以某机务段段修配件自动化立体仓库(AS/RS)为例,通过分析影响双伸位堆垛机系统运作的重要因素,提出一种基于作业时间最短的优化设计,建立相应的数学模型,并采用分区平均搜索初始种群的遗传算法对其进行验证。结果证明该优化设计有利于提高双伸位堆垛机AS/RS系统的运作效率。 一种改进的变步长自适应GSO算法 基本萤火虫群优化(GSO)算法在求解全局优化问题时,存在收敛速度慢、求解精度不高等问题。为此,提出一种变步长自适应GSO算法。该算法在一定程度上可以避免GSO算法过早陷入局部最优,且步长随迭代次数的增加而自适应地调整,从而使算法在后期获得精度更高的解。运用6个标准测试函数进行实验,结果表明,与GSO算法相比,该算法的收敛速度及精度均有明显提高。 基于社会行为分析的群智感知数据收集研究 随着近年来无线传感器网络、众包计算、社会计算、机会网络研究的不断深入,群智感知这种新型的感知模式被提出,其理论和关键技术有了进一步突破的契机。群智感知构成了以人为中心的网络,基于社会行为分析的数据收集是该感知模式下的重要的数据收集方式。探究了群智感知的起源、发展和研究意义,对基于社会行为分析的群智感知数据收集密切相关的平台与应用、社会属性、机会式数据收集三个方面的研究现状进行综述,分析三者之间的关系,提出未来面临的关键问题。最后进行了总结,以期对该方向上的后续研究起到一定引导作用。 基于SPINS的无线传感器网络低能耗安全路由协议 LEACH协议是一种基于分簇结构和分层技术的重要的无线传感器网络路由协议,其簇建立过程容易遭受身份伪造、laptop型攻击等。基于SPINS设计了低能耗安全路由协议,使用μTESLA思想认证广播包,借助SNEP协议为簇头和其簇成员节点分配认证密钥,节点验证簇头身份和链路可达后方可加入簇。协议实现了不同类型节点之间通信的机密性、完整性、新鲜性、身份和链路双向性认证等安全目标。基于NS2的仿真结果表明,由于基站和簇头节点承担了与安全相关的较多任务,普通节点的能耗并未明显增加。 一种基于Weibull函数的单粒子注入脉冲模型 单粒子效应是当前集成电路抗辐射加固的研究重点之一.根据空间辐射粒子特点,提出一种基于Weibull函数的单粒子注入脉冲模型,该模型利用Weibull函数对瞬时脉冲直接进行电路级描述.实验证明,该模型与传统器件级电流注入脉冲模型的SER统计数据拟合度高达98.41%,同时可将电路模拟时间缩短3个数量级,在高速超大规模集成电路的单粒子效应研究中,具有明显的模拟速度优势,为深亚微米级的抗辐射加固研究提供了坚实的理论基础. 多维度自适应3D卷积神经网络原子行为识别 针对现有的3D卷积神经网络(3D Convolutional Neural Networks,3DCNN)行为识别算法将输入视频分块划分为固定长度,其包含的行为信息可能冗余或不全的问题,提出了解决方案。利用人体运动质点轨迹的特性定义了人体原子行为;以原子行为的长度作为视频分块的长度进行视频划分,得到包含完整信息的人体行为。3DCNN要求输入数据必须是相同维度,而原子行为视频块长度不同。为此改进了空间金字塔池化(3D Spatial Pyramid Pooling,3D SPP)技术,以适用于不同长度视频处理。把SPP层放置在全连接层前,处理3DCNN卷积层输出的不同长度特征图,以输出相同长度特征向量。与相关算法相比,实验数据说明该算法对输入数据要求更低,由于视频分块信息的完整性,识别率有显著提高。 多级能量异构传感器网络能量有效的路由算法 能量异构在无线传感器网络中普遍存在,由于多级能量异构无线传感器网络节点的初始能量在一定范围内随机分布,为了能有效地利用节点能量的异构性降低网络能耗、延长网络稳定周期,提出一种适用于能量异构环境的多级能量异构传感器网络能量有效的路由算法。节点根据其当前剩余能量与网络平均能量的比值决定其成为簇首的概率,通过调整节点的阈值,并基于此决定是否成为簇首,且在簇间采用多跳路由协议。仿真结果表明多级能量异构传感器网络能量有效的路由算法可以有效地均衡网络能量消耗,延长网络稳定周期。 基于CUDA的蛋白质翻译后修饰鉴定MS-Alignment算法加速研究 对MS-Alignment算法进行分析得出该算法很难满足大规模数据对鉴定速度的要求,而且具有的一个特点是相同的任务在不同的数据上重复计算,为数据划分提供了基础。基于CUDA编程模型使用图形处理器(GPU)对步骤数据库检索及候选肽段生成进行加速优化,设计了该步骤在单GPU上的实现方法。测试结果表明,此方法平均加速比为30倍以上,效果良好,可以满足蛋白质翻译后修饰鉴定中大规模数据快速计算的需求。 认知无线电网络中基于智能天线的空间频谱接入机会 认知无线电技术可以动态使用授权频段进行传输,因此如何有效利用这些频谱接入机会成为一个研究重点。研究了在认知无线电网络中使用智能天线,使认知用户在时域上获得频谱接入能力外,还具有增强的空间复用能力。当前频段出现活跃授权用户时,认知用户可以优化天线波束模式,避免干扰授权用户同时保持在该频段上的传输。对波束调节的策略进行了分析,并给出了使用这些策略时认知用户可以获得的空间共享概率。理论分析和仿真结果均表明,使用智能天线可以使认知用户获得更多的频谱接入机会,频段的利用率大幅提高。 面向复杂虚拟试验支撑环境的信息监控研究 面向复杂虚拟试验应用的系统支撑环境为各类试验应用提供了很好的同步与互操作支持;为保证该支撑环境下整个系统与各应用的正确、稳定运行,需要有相应的监控工具进行监管;在分析VTSE原型架构的基础上,研究监控与其它模块间的交互关系,并以此完成监控模块划分与监控程序设计;确定了监控内容及其获取方式、监控信息的存储与查看方式;最后,实现了VTSESPY工具,并通过多次试验验证了VTSESPY对各类信息的监控以及异常捕获等功能,获得较好效果。 火电机组多变量预测控制系统的开发与应用 针对目前我国火电机组对于先进控制软件的迫切需求,结合子空间模型辨识和预测控制技术开发出一种基于状态空间模型的火电机组多变量预测控制系统;该系统采用子空间辨识方法离线辨识状态空间模型,利用多模型切换适应火电机组部分控制回路的非线性特征,最后使用预测控制器完成对象的在线控制;在电厂协调控制回路的实际应用中,负荷升降速率4%,压力偏差小于0.18MPa。所以该系统能够有效提高控制回路的响应速度和稳定性,具有一定的实用性和推广价值。 智能汽车换道控制算法的仿真研究 针对传统智能车辆跟随轨迹控制方法所存在的延迟反应问题,基于预瞄-跟随理论建立了智能车辆换道过中的轨迹跟随运动模型,提出了智能车辆换道过程中的控制算法。在PreScan和matlab/simulink的联合仿真环境下,实现了智能车辆换道过程中轨迹跟随控制,并进行了36km/h、72km/h和108km/h速度下的仿真验证。仿真结果表明,仿真轨迹与实测换道轨迹走势接近且重合度较高。 一种Windows平台下本地进程间过程调用的实现方法及其应用 在实际的应用软件开发工作中可能需要为下面的情形提供一种解决方案:有一簇结构非常复杂的功能函数集,有若干个不同的应用都需要利用这一簇功能集来实现相应的功能。如何完成上述情形的开发工作,有很多可选方案。提出了一种进程间过程调用的实现方法,并就如何把此方法应用于上述问题的解决以及在实际开发中应该注意的问题进行了阐述。 基于聚类分析的网络论坛意见领袖发现方法 提出表示网络论坛用户的特征值向量,它由7个特征值组成。设计基于EM算法的用户聚类算法,从实际的贴子数据中提取向量数据集,并基于聚类结果筛选出最符合意见领袖群体的子类。以某论坛为例,分别运用该方法及现有典型方法提取出意见领袖并进行比较,验证本文算法的正确性。 基于极大熵原理的理性公平交换协议 鉴于理性交换协议是一个动态博弈模型,在完全不完美动态博弈中,力图用极大熵原理来解决理性参与者的策略行为推断问题。扩展了一个基于信息熵的理性交换协议模型,通过引入期望收益函数和期望均衡的方法,给出理性交换协议的公平性描述;基于最大熵原理构造了一种新的理性交换协议;证明该协议的安全性,利用博弈树的方法对整个交换过程进行分析并给出了理性公平性证明,结果表明该协议能达到期望均衡。协议交换过程中无须可信第三方的参与,该协议实现了理性公平性且具有更好的适应性。 meso-四(4-磺酸基苯基)卟啉二聚体的分子动力学模拟研究 为了考察meso-四(4-磺酸基苯基)卟啉的聚集行为,本文采用分子动力学模拟方法研究了meso-四(4-磺酸基苯基)卟啉所形成的二聚体结构,并考察了离子强度对meso-四(4-磺酸基苯基)卟啉聚集的影响。结果发现,未质子化的meso-四(4-磺酸基苯基)卟啉H2TPPS44-不能形成二聚体;而质子化的meso-四(4-磺酸基苯基)卟啉H4TPPS42-能够形成稳定的二聚体,且静电相互作用是其二聚体形成的主要驱动力。加入适量浓度的KCl能增强H4TPPS42-分子间的静电相互作用,促进H4TPPS42-分子聚集。 基于TD-LTE短信平台的智能排队叫号系统设计 随着经济和社会的快速发展,服务行业的种类和业务量正在急剧增长,排队等候成为人们经常面临的问题,为了解决排队等候的秩序问题,设计了一种基于TD-LTE短信平台的智能排队叫号系统;该系统可以通过APP预约软件可以进行远程预约排号,也可以通过服务大厅的固定取号端进行实时排队取号;通过APP预约软件使人们不必前往办事地点就可以进行排队取号,不仅方便了人们办理各种业务,节省了排队时间,而且在进行排队取号时不再受到时间和地点的限制;该系统在设计模式上采用了软硬件协同的方法进行设计,对系统的软硬件进行集成开发,各模块之间使用无线方式进行连接,简化了布线的复杂性,增强了系统的稳定性;该系统具有安装快捷,使用维护方便,成本低廉,便于扩展等特点。 WSF:基于FOWA的Web服务选择算法 为了解决语言型数据无法用于计算的问题,提出了一种基于FOWA的Web服务选择算法.该算法分为两个部分:WSF-DF(defuzzification algorithm for WSF)基于多个用户对于Web服务的语言型评价,进行服务选择;WSF-Credibility(credi-bility test for WSF)用来查出可能存在的用户恶意评价并排除,从而提高Web服务选择结果的可信性.仿真结果表明,相比于其他Web服务选择算法,WSF算法考虑到了多个用户的评价意见,可以避免少数用户恶意评价带来的干扰,服务选择结果符合用户的真实意愿. 基于LabVIEW的机载28V直流用电设备测试系统的设计 设计了一套用于验证机载28V直流用电设备在承受飞机供电特性标准MIL-STD-704中规定的正常电压瞬变下能否正常工作的测试系统,该测试系统通过LabVIEW编程产生直流浪涌电压信号作为测试用信号,并通过高精度采集板卡实现对测试系统输出信号的采集、储存、回放与显示;硬件采用电流反馈环节实现主功率电路中并联MOS管的均流,提高了系统的可靠性及输出功率;经实验证明,该测试系统操作方便,工作稳定,输出信号良好,产生的浪涌电压信号满足飞机供电特性标准MIL-STD-704的要求。 医学噪声图像分割的分解与活动轮廓方法 医学噪声图像的分割是一件非常困难的事情,为了同时进行噪声去除和图像分割,提出一种基于分解的图像活动轮廓分割模型.该模型是G空间图像分解模型和边缘、区域相结合的活动轮廓模型集成的一个变分泛函,由于模型直接求解困难,把它分裂成2个泛函极值——图像分解部分和图像分割部分.其中,图像分解部分是在G空间的泛函极值,用第二代曲波变换域的阈值收缩求解;分割部分是变分水平集泛函极值,其Euler方程为非线性偏微分方程,可用梯度下降流求解.实验结果表明,文中模型不但可对噪声图像去噪,而且在相同的实验条件下分割效果优于Chan-Vese模型、Snake模型、Level-set模型和ASM;不仅提高了图像的质量,还能较好地分割出目标部分. 制造工艺领域知识覆盖度计算方法 为有效且定量地评估知识库中的知识含量,针对航空企业的制造工艺知识库,提出知识单元覆盖度来测度知识,以本源要素和求解要素建立知识单元的表达,应用知识属性的离散化确立知识单元数量,并通过知识单元的相异度进行知识覆盖分析,进而给出覆盖度的计算方法。以具体实例说明了该方法的可用性,即该方法有利于实现组织知识的量化分析、反映知识库中各类型知识的具体含量,为企业更有针对性地进行知识获取提供借鉴。 三维重建中点云模型与纹理图像的配准 研究三维立体图像优化问题,实现高真实度的纹理图。由于立体图像重建过程产生累加误差,影响匹配精度。目前半自动和自动纹理贴图中三维扫描数据与高分辨率纹理图像对应点配准精度低、计算量大。为解决上述问题,在标准ICP(It-erative Closest Point)算法的基础上,提出一种改进的LM-ICP 2D和3D配准算法。通过法向量内积加权的最近点迭代,动态更新特征对应,减小误匹配点对配准精度的影响,并利用LM(Levenberg-Marquardt)算法优化投影矩阵。采用真实数据进行仿真。实验表明,提出的算法能得到精度高、真实性强的匹配图像效果,为设计提供参考。 关于游泳水的阻力与姿势动态建模优化仿真 针对游泳水的阻力与姿势动态建模时,因为水的阻力存在不定性,使得自由泳时的姿势发生非线性变化。传统的建模方法,通过对部分阻力与泳姿之间的关系进行建模,忽略了游泳姿势受到所有阻力共同干扰产生的变化,导致建模不准确的问题。提出采用新的短距离自由泳中水阻力与姿势的关系建模方法。采用调查法、实验法和数理统计法对某游泳队的4名男游泳运动员的训练和比赛情况进行统计分析,对短距离自由泳中的水阻力进行了详细分析,将水阻力划分为摩擦阻力、压差阻力和波浪阻力,根据流体力学和运动学等相关理论,最终确定了短距离自由游中最小水阻模型。仿真结果表明,改进模型能够有效提高短距离游泳运动员的竞技水平。 改进粗粒度并行遗传算法在网格任务调度中的应用 现有并行遗传算法采用随机方法划分子种群,算法收敛性能不高,并且不可避免的破坏种群的较优模式;为了改进这些缺陷,设计了一种新的多点交叉算子,提出了一种改进的粗粒度并行遗传算法;取资源数为6,任务数为50,种群的规模为60,遗传代数为600;采用相同的控制参数进行仿真实验;仿真实验表明,与传统并行遗传算法相比较,提出的改进算法在收敛速度和寻优空间方面有很大的提升。 基于垂直频繁模式树带有负载均衡的分布关联规则挖掘算法 大数据时代,开展面向海量、分布数据的知识发现研究成为学界和业界关注的热点,而负载均衡问题是开发分布式挖掘算法必须考虑的重要因素之一。为此,提出了一种基于垂直频繁模式树带有负载均衡的分布关联规则挖掘算法,算法采用垂直频繁模式树存储项及其关联而无需对局部挖掘结果进行合并,减少了通信量,简化了处理流程。同时所提出的算法采用混合体系结构即中心站点按照局部站点的处理能力分配任务,实现了负载均衡,提升了算法的性能。实验结果表明所提算法切实可行并具有较高效率。 飞行器鲁棒性AADL测量仿真建模分析 研究飞行器鲁棒性准确测量问题。飞行器鲁棒性测量过程中受气动特性、大气环境变化剧烈导致动压快速变化,气动弹性和气动加热联合导致飞行器鲁棒性数据非真实变化。传统的测量方法处理这种变化时,无法准确获得真实数据,常借助大量经验数据作为检验数据,导致对飞行器的鲁棒性做出一个大致判断测量结果不准确。提出一种AADL模型的飞行器鲁棒性定量评价方法,通过建立飞行器鲁棒性AADL模型,添加新的飞行器数据类型扩展AADL模型测量能力,设置模型内各属性的取值范围,描述飞行器数据属性,为AADL模型设计一种调节算法,可以较为直观地对不同飞行控制系统鲁棒性能的优劣做出比较,从而解决了飞行控制系统鲁棒性复杂评估困难的问题。仿真结果进一步验证了该算法的有效性。 基于时空特征的车辆加油行为可视化分析 通过分析区域内车辆加油的大数据,研究车辆加油的普遍行为模式,调查可能的异常行为.为此,以覆盖新疆维吾尔自治区的车辆加油数据为基础,设计了一个交互式可视分析系统.首先通过抽取相关数据集的基础特征,得出加油站、汽车、驾驶员3类实体之间的关系;然后使用多种可视化经典视图并加以组合;此外,在部分视图上增加了一些额外的图形元素,以在具体应用场景下从不同视角描绘出典型的数据特征,如时空特征等,同时展示不同实体之间的关系.通过2个基于真实数据的案例,在领域专家的协助下分析数据中的典型个体行为模式及统计群组特征,最终实现对异常行为的识别. 基于PCC的航空机轮加载试验台控制系统的实现 针对现代航空机轮加载试验台高可靠性和高精度的要求,提出了基于可编程计算机控制器(PCC)的新型机轮加载试验台控制系统的实现方法;系统以贝加莱PCC为控制核心,通过传感器实时采集载荷信号,采用模糊自整定PID控制算法实现对试验台液压系统的控制,完成机轮加载试验;同时,通过高速以太网与工业控制计算机进行通信,实时上传并且记录试验数据;试验结果表明,该系统具有稳定性高、实时性好、控制精度高等特点。 基于视觉显著性的运动图像去模糊研究 为解决图像中运动物体的模糊问题,提出一个基于图像视觉显著性的快速去模糊机制。根据图像的显著图将其划分为视觉显著区域和非显著区域,使用改进的快速去模糊算法对非显著区域的模糊核进行预测;使用可以将显著区域运动物体的空间可变模糊转换为空间不可变模糊的补偿机制对显著区域进行补偿,把预测到的非显著区域模糊核应用到显著区域的去模糊处理中;使用反卷积算法恢复图像。实验结果表明,在保证整个图像具有统一的模糊度和不产生回铃现象的前提下,该机制具有较好的去模糊效果。 基于图像的点云建模及其真实感绘制 从视觉凸壳的理论出发,提出了一种基于图像的真实物体的点云建模和绘制方法。在建模方面,首先从不同视点采集目标物体的图像,然后对采样图像所形成的视觉凸壳进行均匀的点采样。同时,利用等间隔索引表来组织每幅采样图像的轮廓边,从而提高了建模效率。在绘制方面,首先分析目标物体在各种不同光照条件下的图像,并得到点云模型的离散反射属性,然后通过优化的插值方法实现真实感的绘制效果。实验表明,该方法的建模速度快,绘制结果具有很强的真实感。 区域标记在碎米率检测中的研究 碎米率是大米品质检测的一个重要因素。通过运用形态学理论及数字图像处理与分析技术来获取自动检测碎米率的方法。依照国家标准,提出了利用形态学开运算校正光照不均和去除图像中的噪声,运用改进的最大类间方差法来自动确定图像分割阈值,使用区域标记算法统计大米数量和面积。实验结果表明:该方法可以提高识别碎米的准确度和效率。 P2P流媒体中未知覆盖网拓扑信息的节点选择策略 为了在高动态性的P2P网络拓扑下选择高效的合作节点,以路由相似思想对节点所属的自治区域进行探测,用探测到的各个节点所在的自治域的信息代替传统策略中假设自治域完全拓扑信息已知这个条件,结合逻辑和物理最小跳策略进行节点的选择,增强实际可用性。根据节点的优良性以及聚合流速率,优先选择最优的邻近节点作为新加入节点的供给节点,解决了最小跳策略中候选节点优先级相同的问题。仿真结果表明,改进后的策略相对于原策略,网络堵塞及流服务质量得到了明显的改善。 一种存在特权集的门限群代理多重签名方案 针对陈伟东提出的一类存在特权集的门限群签名方案,最近有文献相继指出该类方案存在严重的安全问题,并针对其主要存在的不能抵御内部成员的合谋攻击,不能抵抗可信密钥认证中心发起的伪造攻击,签名不具有可追踪性等安全隐患提出了改进方案.本文结合他们的安全性分析和存在特权集的门限群签名方案,依据schnorr秘密分享原理,提出一种适用于多个特权集的门限群代理多重签名方案,实现了不同集合用户具有不同权限的代理授权和代理签名,增强了门限群代理签名方案的安全性和可靠性.并且着重对该方案进行了安全性分析,指出在离散对数假设的前提下,该方案是安全的. 基于小波的稀疏体素数据压缩与多分辨实时绘制 为减少多分辨稀疏体素的存储空间并提高其绘制效率,提出一种基于小波的稀疏体素数据压缩与实时绘制算法.在稀疏体素生成阶段,基于小波的多分辨和稀疏体素的稀疏特性,利用多级三维Haar小波变换将高分辨率的稀疏体素转换为低分辨稀疏体素和多级细节信息,并采用紧凑的编码方式对小波系数进行编码,实现对多层级稀疏体素的数据压缩;在交互绘制阶段,结合稀疏体素八叉树光线投射算法,以低分辨体素节点为交互过程中的着色计算图元,交互过程终止后通过三维Harr小波逆变换逐级添加细节信息还原得到高分辨体素,进而实现多分辨绘制;最后充分利用多核CPU并行加速多分辨光线投射算法.对不同复杂度的面片模型进行压缩与绘制,实例计算表明,该算法高效且易于实现. 基于CAN总线的分布式远程测控系统设计 针对钢铁冶金控制系统中现场控制设备多分散、数据传输信息量大,实时性和可靠性要求高的问题,设计并实现了一种基于ARM9处理器的CAN总线与以太网互联的远程测控系统,对CAN与以太网TCP数据包进行了详细分析,并阐述了CAN与TCP协议转换的软件设计思路,以及CAN控制器在Linux系统下的驱动编写方案,实现了由CAN网络与以太网构建的设备互联信息网,通过CAN网络和以太网两者的有机结合,实现了现场总线数据的远程传输,构建了一个大中型的远程测控/数据传输网络。 一种无证书签名方案的分析与改进 无证书公钥密码体制虽然解决了基于身份密码体制中的密钥托管问题,但是当随机预言模型被具体的哈希函数实例化时,将会导致无证书签名方案在现实生活中的不安全。标准模型下的证明为无证书签名方案提供充分的保障。通过两种具体的攻击方法,对李艳琼提出的标准模型下的无证书签名方案进行安全性分析,指出其不能抵抗公钥替换攻击和恶意的KGC攻击。针对存在的安全问题,对原来的无证书签名方案进行改进,并加强方案与公钥、私钥等参数的联系,从而达到安全要求。在标准模型下,基于NGBDH问题和Many-DH问题的困难性假设,改进的无证书签名方案在自适应选择消息攻击下是存在性不可伪造的。与李艳琼提出的方案相比,改进后的无证书签名方案在安全性上有了更高的优势。 浅谈化工工艺设计集成平台 根据化工工艺设计的特点,强调了工艺设计集成平台的重要性,详细阐述了化工工艺设计集成平台的系统结构和应有的主要功能,并根据系统结构和主要功能阐述化工工艺集成平台设计的方法和技术。 人数统计与人群密度估计技术研究现状与趋势 人数统计与人群密度估计是人群分析中的重要分支,也是视频监控所关注的重要信息之一。尽管近几十年来该领域取得了一些重要进展,但仍存在一些具有挑战性的问题。综述了基于计算机视觉的人数统计与人群密度估计方法的研究现状以及发展动态。首先,介绍了人数统计与人群密度估计技术的发展背景及应用方向。其次,总结了近年来提出的比较重要的方法,从机器学习的角度,将其分为浅层学习的方法和深度学习的方法;而从学习到的模型角度又可将其分为直接的方法(即基于检测的方法)和间接的方法(如基于像素的方法、基于纹理的方法以及基于角点的方法)。详细介绍了近二十年来基于浅层学习的方法,并对近些年来基于深度学习的人数统计与人群密度估计技术做了一个简要的总结。然后,对人数统计及人群密度估计方法性能评估技术进行简介,并提供了几个用于人数统计与人群密度估计的测试与评估数据集。最后,总结了该领域存在的技术挑战并对未来的研究方向进行了展望。 基于词袋模型的分布式拒绝服务攻击检测 针对分布式拒绝服务(DDo S)攻击有效荷载快速变化,人工干预需要依赖经验设定预警阈值以及异常流量特征码更新不及时等问题,提出一种基于二进制流量关键点词袋(BSP-Bo W)模型的DDo S攻击检测算法。该算法可以自动从当前网络的流量数据中训练得到流量关键点(SP),针对不同拓扑网络进行自适应异常检测,减少频繁更新特征集带来的人工成本。首先,对已有的攻击流量和正常流量进行均值聚类,寻找网络流量中的SP;然后,将原有的流量转化映射到相应SP上使用直方图进行形式化表达;最后,通过欧氏距离进行DDo S攻击的分类检测。在公开数据库DARPA LLDOS1.0上的实验结果表明,所提算法的异常网络流量识别率优于现有的局部加权学习(LWL)、支持向量机(SVM)、随机树(Random Tree)、logistic回归分析(logistic)、贝叶斯(NB)等方法。所提的基于词袋聚类模型算法在拒绝服务攻击的异常流量识别中有很好的识别效果和泛化能力,适合部署在中小企业(SME)网络流量设备上。 一种结合SVM与卡尔曼滤波的短时交通流预测模型 针对短时交通流量的预测问题,提出了一种结合卡尔曼滤波与支持向量机的预测模型。该模型采用预测误差平方和与相关系数极大化准则智能选取恰当的预测方式,综合利用了支持向量机的稳定性与卡尔曼滤波的实时性,发挥了两种模型各自的优势。实验结果表明,该模型误差指标均低于单项预测模型。特别地,该模型在高峰时段的预测性能最佳,平均相对误差保持在8%以内,是短时交通流预测的一种有效可行的方法。 基于GPU粒子系统的大规模雨雪场景实时模拟 基于粒子系统的雨雪模拟大幅提高了三维场景的真实感,但传统的基于中央处理(CPU)的粒子系统的渲染效率难以达到在大规模场景中进行雨雪渲染的要求。为此,提出了一种基于GPU的粒子系统来渲染雨雪场景的算法。该算法在视点前的一个固定区域内产生和绘制粒子,在顶点着色器中进行粒子属性的更新,在几何着色器中将粒子从点扩展为矩形,并对每一帧中的粒子的属性进行缓存处理,保证了粒子属性更新的连续性。此外,采用多幅雪花纹理与粒子随机组合,使雪花效果符合多样性和随机性。实验结果表明,该算法能在大规模场景中进行雨雪效果的实时渲染,并有较高的真实感。 关于电网供电系统谐波信号检测仿真 谐波检测是实现供电系统谐波治理的前提条件,可提高电能质量。大多数谐波检测算法会由于系统的动态特性导致测量精度的下降。对此,提出了一种基于改进总体最小二乘-旋转矢量不变技术(TLS-ESPRIT)的谐波检测算法。首先根据信号自相关矩阵特征值的相对误差大小得到信号源个数,从而划分出信号子空间。然后用二阶泰勒多项式来表示动态的基波相量,对TLS算法中的范德蒙矩阵进行了扩展;相比TLS-ESPRIT算法,还可估算出基波频率偏移值和频率变化率值。最后采用不同的信号模型和实测数据来检验算法的性能。MATLAB仿真结果表明,所提基于改进TLS-ESPRIT算法能够快速可靠的得到谐波信息。 变维卡尔曼滤波算法的机动目标跟踪性能研究 卡尔曼滤波在非平稳矢量信号和噪声环境下具有广泛的应用,针对机动目标具有多个运动模型的特点,采用基于卡尔曼滤波的变维算法对机动目标进行跟踪处理,该算法首先建立了机动目标的非机动模型(CV)和机动模型CA),然后对观测数据进行滤波和误差估计处理,最后通过计算机的蒙特卡洛仿真得到了滤波轨迹和机动目标的位置和速度误差,仿真结果表明变维卡尔曼滤波算法具有很好的目标跟踪性能。 基于KVM的虚拟锁步技术 依托基于内核的虚拟机(kernel-based virtual machine,KVM)的平台,针对虚拟机容错系统中的关键技术——虚拟锁步技术展开研究,主要研究虚拟锁步技术所采用的虚拟机同步机制(VM synchronization mechanism)。对开源虚拟机容错软件Kemari进行架构剖析与代码分析,指出其所使用的基于数据拷贝的虚拟机同步机制在不使用共享存储进行锁步运行时,具有一定性能缺陷;以此为基础,提出相应的改进措施,设计并实现一种新的虚拟机同步机制。该机制采用事件重放的方式实现冗余虚拟机间块设备数据的同步,弥补了Kemari虚拟机同步机制的相关性能缺陷。 Web服务业务流程执行语言交互兼容性判定 为验证两个Web服务业务流程执行语言描述的Web服务是否交互兼容,提出了一种基于Petri网对服务进行建模和交互兼容性判定的方法。基于Petri网模型定义了服务网,给出了WS-BPEL控制结构到服务网模型的映射规则,将服务之间的交互兼容性的判定转换为对服务组合网的接口匹配和结构属性的判定,提出了一种基于服务网路径进行服务交互兼容性判定的方法,并设计了相关算法。通过实例验证了该方法的有效性。 基于客户满意度的第四方物流多属性指派决策机制 基于第四方物流客户的多样化需求,建立了客户满意度属性体系及量化标准;通过对物流客户和第三方物流进行需求和供给的多属性分析,给出了属性需求和供给值矩阵;通过研究属性需求和供给值之间的关系,建立了基于客户满意度的第四方物流多属性指派决策方案;利用Microsoft Excel中的规划求解对一个实例计算,并通过对比分析验证了这一多属性指派决策方案的可行性、实用性和有效性。 SDN环境下基于机器学习算法的DDoS攻击检测模型 软件定义网络(SDN)是一种新兴的网络架构,将控制逻辑和转发逻辑分离.SDN网络中,控制器拥有对网络的全局控制能力.正是由于控制器的这一特性,使得它成为分布式拒绝服务(DDoS)攻击的主要目标.针对这个问题,提出了一种基于机器学习的方法来检测DDoS攻击的模型,首先使用熵值检测流是否异常,发出异常警告后提取网络流特征,依次调用SVM与K-means两种机器学习算法来检测DDoS攻击.实验结果表明,所提出的算法能够降低误报率,并且对DDoS攻击的检测率和准确率高于原始的SVM和K-means算法.此外,还通过实验验证了所提出的模型的CPU平均利用率低于无熵值检测的SVM+K-means算法. 基于正交混沌多用户混沌通信及其误码率 为了解决多用户混沌通信系统中由于通信用户数目的增多以及混沌序列间的准正交性所引起的信道干扰问题,对不同混沌信号采用施密特(Schmidt)正交化方法产生其对应的正交混沌序列作为扩频码在加性高斯白噪声(AWGN)和瑞利(Rayleigh)衰落信道下研究多用户混沌通信系统中的误码率(BER)。首先将其统计自相关和互相关特性作为刻画正交混沌扩频码序列性能的重要指标,测试并分析各正交混沌序列的统计相关特性,同时也对其互相关特性曲线的均值和方差进行了测试与分析;然后通过两种信道仿真实验获取各正交混沌扩频码的BER,并将其与对应统计相关特性作对比揭示其内在关联性;最后比较分析两种不同信道下多用户混沌通信系统的BER,并揭示信道对其的影响。仿真实验表明,两种信道下相空间混沌信号中的空时正交混沌序列都能获得较低BER,尤其是在Rayleigh衰落信道下的空时正交混沌序列能够获得更低的BER,说明所提方法能更有效地降低多用户通信中信道间的干扰,更有利于多用户通信需要。 EAST极向场电源本地控制器软件设计与实现 本地控制器是EAST(experimental advanced super-conducting tokamak)极向场电源控制系统重要的子系统,实现对12套电源系统的实时控制,设备状态监控以及系统保护;本地控制器采用主从结构的实时控制软件架构,共享内存实现内部数据传输,脉冲式消息传递触发进程执行;通过现场总线、硬件板卡、反射内存网络等与现场设备和主控制器进行数据传输;通过数字移相板卡实现对整流器的环流、四象限等运行控制。经实验测试,该设计运行稳定,能满足极向场电源控制系统对本地控制器的实时性可靠性的需求。 可信编译理论及其核心实现技术:研究综述 编译器是重要的系统软件之一,高级语言编写的软件都必须经过编译器的编译才能成为可执行程序。编译器的可信性对于整个计算机系统而言具有非常关键的意义,如果编译器不可信,则很难保证系统所运行软件的可信性。可信编译是指编译器在保证编译正确的同时提供相应的机制保证编译对象的可信性,对可信编译理论和技术的研究具有重要理论意义和实用前景。阐述了可信编译器的概念,介绍了编译过程正确性的形式化定义,对可信编译的主要研究进行了概括。在全面分析可信编译研究现状的基础上,从编译器自身可信性和确保编译对象可信性两个方面,对可信编译器设计和实现的相关理论和方法进行了分类和总结。最后,讨论了可信编译有待解决的问题和未来的研究方向。 基于用户影响力游走模型的社会化推荐算法 社会化推荐在一定程度上缓解了推荐中的数据稀疏性问题,但是通常仅考虑了社交网络中用户间的局部影响关系。综合考虑用户的局部影响力和全局影响力,提出了基于用户影响力游走模型的社会化推荐算法,该算法根据用户信任关系和历史行为分析用户的局部影响力,通过评估用户的评分质量研究用户的全局影响力,然后将二者有机结合计算随机游走模型中各节点之间的转移概率。通过与以往的算法在均方根误差、覆盖率和F-Measure等指标的实验结果表明,提出的算法在一定程度上提高了推荐的性能。 面向CPU-GPU源到源编译系统的渐近拟合优化方法 针对CPU-GPU异构并行系统应用开发移植后优化不充分问题,提出了一种渐近拟合优化与源到源编译相结合的方法,该方法能够对插入了制导语句的C语言程序转换为CUDA语言后的程序进行多次剖分,根据源程序特性和硬件信息自动完成源到源编译与优化,并基于该方法实现了原型系统。通过在不同环境中的该原型系统在功能和性能方面进行的测试表明,由系统生成的CUDA目标程序与C源程序在功能上一致,性能上却有了大幅度提高,通过与CUDA基准测试程序相比表明,该目标程序在性能上明显优于其他源到源编译转换生成的程序。 成批处理工作流动态分组调度优化方法 针对现有成批处理工作流调度方法的不足,建立考虑活动实例对执行者执行能力需求等约束的动态分组调度优化模型,提出一种解决该问题的实现算法。算法主要思想是利用微粒群算法的智能优化原理,同时优化最小化活动实例的停留时间总和与执行开销总和这两个目标函数,最终产生一组满足约束条件的Pareto优化调度方案。仿真实验说明了算法的有效性。 具有树和路约束的平行机排序问题 考虑具有树和路约束的平行机排序问题,其工件集对应于无向图(有向图)的边(弧)集。目标是选取工件集的一个子集使其满足树或路的约束,将其放在平行机上处理,使得机器的最大完工时间(makespan)尽可能地小。通过分析此类问题的组合性质,得到如下结论:在K-树约束下,利用最小支撑K-树的性质可得一个有效多项式时间近似方案;在两固定点间路的约束下,通过构造辅助实例以控制边的权重,分析辅助实例的输出值与目标实例最优值之间的关系,利用最短路的性质可以得到一个2-近似算法;在单源点最短路径树的约束下,根据最短路径树的性质可以得到一个有效多项式时间近似方案;在两固定点间最短路的约束下,在所有的两点间最短路构成的子图基础上,通过构造新的辅助图以控制弧的权重,再利用最短路的性质可以得到一个1.618-近似算法。 基于粗糙集的推理通道消除 基于粗糙集理论对推理通道问题进行了研究。通过采用属性约简和属性值约简方法对数据库中的数据进行处理。在属性值约简基础之上,采用一种改进算法找出了数据库中推理规则集。进一步,将推理规则集中属性频率高的属性安全级别提高至决策属性的安全级别,从而消除推理通道。最后通过一个实例表明提出的消除通道算法是有效的。 基于贝叶斯网络的在线草图识别算法 针对手绘草图识别算法大多采用限制用户绘制习惯来实现笔画分组的问题,提出一种基于贝叶斯网络的手绘草图识别算法。该算法将手绘草图识别中的笔画分组和符号识别统一为一个过程,用贝叶斯网络拓扑结构来表达草图结构信息。基于该网络,根据最大后验概率对连续输入的笔画进行动态最优分组,同时在线预测每组笔画的符号类别。实验结果表明,该方法是一种有效的在线递进式笔画分组和识别算法,在电路符号手绘识别中达到71.3%的过程识别率和85%的最终识别率。 基于(k+2,k)MSR的多容错低修复带宽编码 传统(k+2,k)最小存储再生码(MSR)在双节点失效的情况下,会有较高的修复带宽。为此,提出一种多容错编码方案。通过引入4个备份校验节点,使编码的上下部分均形成最小存储再生码结构。仿真结果表明,与现有的(k+2,k)MSR方案相比,该方案能降低双节点失效时的修复带宽。 基于帧间特征和连通域检测的人数统计 为了更好地管理机场、火车站及汽车站等人流密集的固定场所,文中提出一种简单、高效的人员流量统计算法。首先,将视频序列中的图像转化为灰度图像,利用帧差法提取前景图像并将其二值化;然后,利用上下边缘点匹配的连通域检测算法对前景图像中的连通域进行提取,利用人头的形状信息和灰度信息对连通域进行筛选;最后,通过对人头连通域的跟踪实现人数统计。在提出的人头跟踪计数算法中,利用人头连通域在视频帧中的信息和容器对人头特征进行跟踪计数。实验结果表明,该算法具有良好的人头跟踪和计数效果。 产品族模块化设计与平台配置的主从关联优化 为了在产品族设计中的模块化过程中协同考虑产品平台配置,对模块化设计和平台配置的关联优化进行研究,提出一种基于主从对策的决策机制,构建了一个0-1非线性双层规划模型,该模型体现了模块化设计为主、产品平台配置为从的主从关联关系。根据模型特点设计了一种嵌套式遗传算法和结合问题特色的染色体处理策略。将模型应用到一个汽车产品平台案例中,并将优化结果与基于单层规划的两阶段方法和集中制方法进行比较,证明了所提方法的合理可行性。 面向移动终端的视频图像模糊特征提取仿真 对移动终端的视频图像的模糊特征进行提取,能够有效改善移动终端视频图像低照度的图像质量。对移动终端的视频图像特征进行提取,需要获得图像特征初匹配点对,进而对特征单向匹配结果进行双向匹配。传统方法构造移动终端视频图像模糊特征指标,将视频图像细节信息进行划分,但忽略了特征点的双向匹配,导致提取精度偏低。提出基于SURF的虚拟现实技术下移动终端视频图像模糊特征提取方法。对输入的移动终端视频图像进行视频图像灰度提取,检测移动终端视频图像中的闭合区域作为终端视频图像的放射不变区域,获得移动终端视频图像初匹配点对,再对获得的视频图像模糊特征单向匹配结果进行双向匹配,最后对误匹配点进行剔除,由此完成移动终端视频图像模糊特征提取。实验结果表明,所提方法能够有效改善移动终端视频图像的视觉效果,提升亮度、清晰度。 移动端非显式用户身份信息的隐私问题研究 智能设备给人们带来方便的同时也记录了大量使用者的使用习惯、位置、访问内容等隐私信息.文章综合考虑用户行为数据的采集方式,数据处理方式以及存储方式,自主设计用户行为数据的采集系统,并在智能终端设备上采集用户的大量非显式身份信息数据,包括网络流量信息、屏幕状态信息等,通过对这些数据进行处理和分析,发现利用这些非显式的用户身份信息可以有效对用户身份进行识别,并能推测出部分用户间的社会关系.实验表明,基于非显式身份信息数据的研究对保护用户隐私有重要的现实意义和很大的应用价值. 基于融合上下文的移动用户行为过程挖掘与预测 针对移动环境中单个用户个性化行为过程的挖掘和预测问题,考虑不同类型上下文对行为过程的影响,研究将行为过程中不同类型的上下文融合成统一的整体(情景),提出上下文融合过程模型。进而将上下文融合过程模型融入移动用户的行为过程中,提出一种基于融合上下文的行为过程模型。在此基础上提出一种挖掘算法ASCF-Mine,利用行为过程中存在的情景周期和时间属性来挖掘频繁的行为过程。结合频繁的行为过程,提出一种基于协同过滤的预测方法来自主构建满足用户个性化需求的行为过程。通过具体的实验分析,验证了所提方法的有效性。 直觉模糊Petri网的空战战术决策 空战对抗时间短、任务重、态势变化迅速、不确定性因素多。直觉模糊集是处理不确定性问题的有效方法,结合Petri网强大的知识表示能力,给出了直觉模糊Petri网(IFRN)的模糊推理算法和推理规则。针对空战战机稍纵即逝的特点,适当选取模糊控制变量和隶属度函数,构建了直觉模糊Petri网决策模型。选取某空战实例进行仿真实验,决策结果与专家的预测结果吻合,验证了模型的正确性和可用性。分析了模型在实际应用中存在的缺陷,提出适当的改进意见,使模型更加完善。 几何与物理相结合的三维域颗粒堆积算法 在离散元仿真和离散元与有限元耦合问题的前期准备阶段,必须快速在一个指定区域产生互不交迭的、堆积密度尽可能高的颗粒集,以模拟真实的离散状物质.文中针对三维域颗粒集的生成,提出一种几何与物理相结合的颗粒堆积移动模型.首先采用构造法在容器底部产生第一层颗粒.对于后续颗粒的移动方向,其与容器边界接触按物理学弹性碰撞理论计算;与颗粒接触按纯几何方法计算,即以连续2次接触点的法线向量的叉积向量确定新的移动方向,且使新方向有向下的趋势.该模型保证颗粒连续3次接触的目标不同,其稳定态位置快速收敛于3个支撑目标之上,从而满足颗粒静止的物理条件;颗粒间的接触检测基于容器区域规则划分的网格单元,并充分利用颗粒移动模型的特点,根据颗粒的位置和移动方向,按网格层、网格行在网格单元中寻找即将接触的颗粒.实例结果表明,最终产生颗粒集的时间与颗粒数量成线性关系,且颗粒集密度高,耗时也比较短. 3D面部扫描获取面部年龄可以告诉你真实的生理年龄 "[正文]中科院上海生物计算研究所的韩敬东教授和他的同事通过3d MDface系统拍下332位17岁到77岁中国人面部的3D图像。利用这些数据,韩教授绘制出人脸的年龄""图谱"",同时基于特定面部特征的年龄模式。韩教授的研究发现,嘴宽、鼻宽和鼻嘴之间的距离会随着年龄的增加而增长,同时眼角会下垂。年轻人的人脸更加平滑和纤细,老年人的脸庞脂肪更多,脸颊更饱满,皮肤更松" 数据集浓缩研究综述 数据集浓缩是在一定条件下去除数据集合中的噪声和冗余数据,选用一个充分小的数据子集来代替原有数据集,而不降低数据挖掘任务的精确度的过程,是数据挖掘任务得到良好效果的保障,在某些场合又可作为完成数据挖掘任务的主要方法。对数据集浓缩技术的发展状况进行总揽和评述,分析并展望未来发展方向,为将致力于此研究方向的科研人员提供参考。 时空数据异常探测方法 "以""k倍标准差""准则为基础,提出一种专题属性双重偏离的时空异常检测方法,在每个要素的空间邻近域里采用""k倍标准差""准则探测各时刻的空间异常数据,在每个空间异常数据的时间邻近域中,再次使用该准则判断该要素是否为时序异常,并将所有空间和时间邻近域上均表现为异常的数据定义为时空异常。实验结果表明,该方法是有效可行的。" 形态学联想记忆网络的研究进展 形态学联想记忆网络具有无限存储能力、一步回忆记忆、良好地抵抗腐蚀噪声或者膨胀噪声的噪声容限等许多优点。从形态学联想记忆的概念、基本原理、发展脉络、研究新成果、发展趋势和研究方向等多个方面综述了形态学联想记忆网络的研究进展。对形态学联想记忆方面的研究带来了一定的参考价值。 基于Legendre多项式混沌神经网络的异步保密通信 "提出一种基于Legendre多项式混沌神经网络的异步保密通信系统。在发送方,系统以Legendre多项式混沌神经网络模型作为Logistic混沌序列辨识器,利用混沌序列与明文序列进行异或运算实现""一次一密""异步加密;接收方将混沌初值输入保密的Legendre多项式混沌神经网络,产生与发送方相同的混沌序列,与密文进行异或运算实现异步解密。加密与解密信息完全隐藏于混沌序列中,其安全性取决于Logistic混沌序列的复杂性和无法预测性。理论分析和加密实例表明,Legendre多项式混沌神经网络产生的序列具有良好的自相关性和互相关性,且算法简单易行,克服了混沌同步通信的诸多缺限,具有良好的安全性。" 稳健特征子空间波束形成算法及其性能分析 基于特征子空间的稳健波束形成算法能够用于改善一般导向矢量失配的稳健性,而且相比于其它算法实现简单,然而方法在低的信噪比和较高的信号加噪声子空间维数条件下,性能急剧恶化,而且信号加噪声子空间的维数必须是已知的。为改善波束形成稳定性,提出了基于L-曲线的方法用于信号子空间及其维数的稳健估计,使得该算法的应用条件得以满足,其中还提出了广义SINR,并详细分析了理想条件下信号子空间的选取对算法性能的影响,最后进行了详细的仿真试验和性能分析,验证了所提出方法的正确性和有效性。 基于AHP的装甲分队模拟训练成绩评估方法 在装甲兵训练由分业训练向分队级训练转化的过程中,训练成绩评估是通过装甲分队模拟训练系统进行的。评估结果是否合理将影响部队训练积极性和训练水平的提高。针对目前以人为主的定性评估不客观、不准确的问题,从装甲分队模拟训练系统的实际需求出发,经过分析装甲分队模拟训练的特点,利用AHP算法构建了装甲分队模拟训练成绩评估指标体系,建立了成绩评估的数学模型,给出了各指标的权重计算方法和各子指标的详细评分方法;最后,以某次装甲分队模拟训练为例,评估了分队训练成绩。评估仿真结果科学合理,在某装甲分队模拟训练系统应用中取得了很好的效果。 漂移扫描相机中拖尾现象快速消除方法 对同步卫星进行观测时,由于漂移扫描CCD相机的帧转移特性,若所拍摄星空中出现亮星,则会出现贯穿星图的smear拖尾现象。通过分析smear拖尾现象的成像机理,提出一种快速smear拖尾消除方法。首先,利用多项式拟合消除背景不均匀性;然后,计算并拟合星图每列灰度平均值,通过比较拟合前后的灰度平均值判断拖尾所在列;最后,通过将拖尾像素赋拟合后的灰度平均值消除拖尾。实验结果表明,该算法不但能够有效去除拖尾,减小星图背景均值差,而且其处理时间仅为常规smear拖尾去除算法的20%,验证了算法的有效性。 基于动态变换技术的数据库加密方法研究 随着信息技术的发展,数据库在信息管理的应用已非常广泛。为了确保数据库的安全,提出基于内层加密函数对数据库表的动态变换加密技术,动态变换加密能使当前行、列数据的加密密钥做到互不干扰。由于经济类财务数据以数值型数据为主,把明文转化为密文后,密文还是数值,与原数据表述物品的数量、金额的方式无差别,因而从数据外观判断不了数据的真实性与合法性,该技术既对数据进行了加密,同时也扰乱了破解者的视线,做到更深层次地保护数据库的目的。 基于渐消记忆自适应Kalman滤波的GPS/DR数据融合 针对标准的卡尔曼滤波器对系统模型依赖性强、鲁棒性差,而GPS/DR系统的精确系统模型难以建立的问题,提出了一种渐消记忆自适应联邦卡尔曼滤波器。融合了自适应联邦滤波算法和SageHusa自适应滤波算法,估计变化的系统观测噪声方差阵,使之更符合真实的模型,并有效对GPS的定位数据的传统算法的发散得到收敛,提高组合定位的精度。计算机仿真结果表明了该算法的可行性和有效性。 融合LBP和GLCM的纹理特征提取方法 为提取有效的特征用于纹理描述和分类,提出一种融合局部二进制模式(LBP)和灰度共生矩阵(GLCM)的纹理特征提取方法。利用旋转不变的LBP算子处理纹理图像,得到LBP图像及其GLCM,采用对比度、相关性、能量和逆差矩描述图像的纹理特征。实验结果表明,与其他方法相比,该方法提取的纹理特征具有更强的纹理鉴别能力,平均分类正确率达到93%。 一种Piccolo加密算法硬件优化实现研究 Piccolo轻量级密码算法是近年来物联网领域提出的重要安全算法之一。研究了一种Piccolo加密算法硬件优化实现方法,相同的轮运算只实现一次;原始算法共有r轮运算,其中密钥为80和128位时,r分别取值为25和31。优化方法直接把r-1轮重复调用变成r轮循环运算,同时在r轮循环运算完成后构造一个RP-1轮置换函数。实验表明优化后的Piccolo-80密码算法在面积上少了3227个Slices,优化效率达到24.6%,有效节省了硬件实现面积,同时加密速率提高了10%。 面向能力的层次网络计划模型构建方法 针对用户构建的以活动为中心的云制造项目计划不能适应以能力为基本调度单元的云制造项目管理机制的矛盾,提出云制造环境下面向能力的层次网络计划模型。通过对活动进行分割以及活动—能力、能力—活动、能力层次等关系模型的构建,实现以活动为中心的云制造项目计划模型向面向能力的层次网络计划模型的转换。所构建的能力层次网络计划模型以能力为云制造计划调度的基本单元,克服了以活动为管理单元的计划管理粒度粗放、不能及时捕获制造能力以及能力约束关系对计划(包括跨项目的多个计划)执行的影响等问题,从而建立面向能力的云制造计划调度与监控的基础。 基于密度的不确定数据离群点检测研究 针对不确定数据集进行离群点检测,设计了基于密度的不确定数据的局部离群因子(Uncertain Local Outlier Factor,ULOF)算法。通过建立不确定数据的可能世界模型来确定不确定对象在可能世界中的概率。结合传统的LOF算法推导出ULOF算法,根据ULOF值判断不确定对象的局部离群程度;然后对ULOF算法的效率性和准确性进行了详细分析,提出了基于网格的剪枝策略、k最近邻查询优化来减少数据的候选集;最后通过实验证明了ULOF算法对不确定数据检测的可行性和效率性,优化后的方法有效地提高了异常检测准确率,降低了时间复杂度,改善了不确定数据的异常检测性能。 缺失数据下基于带约束粒子滤波的状态估计 实际工业过程具有强非线性非高斯噪声等特点,粒子滤波是一种常用的状态估计方法。带约束粒子滤波通过极大后验概率密度函数原则,将超出约束区域的状态估计值映射到约束区域,保证了状态估计的有效性。本文针对检测值部分缺失和全部缺失两种情况,提出一种缺失数据下的带约束粒子滤波算法。该算法基于贝叶斯原理,分别从先验粒子权值的计算以及状态估计值的映射两方面考虑了缺失数据的影响。仿真例子验证了该算法的有效性。 博弈论在网络安全态势感知中的应用 博弈论是研究具有斗争或竞争性质现象的数学理论和方法,将博弈论运用到网络安全态势感知研究中已成为当前网络安全研究热点。阐述了网络安全态势感知常规研究方法及其不足,分析了网络安全的博弈特征并给出了网络安全态势博弈模型,论述了博弈论在网络安全态势感知中的应用进展并分析了其优缺点,展望了下一步的研究和发展方向。 基于双门限的第一、第二心音自动识别方法 为提高心音检测算法对异常心音的识别率,提出一种基于双门限的第一心音(S1)和第二心音(S2)自动识别方法,通过海明窗进行滤波预处理,采用改进型希尔伯特-黄变换提取心音包络,利用双门限法对心音进行分段,使用临床知识对S1和S2进行自动识别。实验结果表明,该方法能够准确识别正常心音和异常心音中的S1和S2。 低能耗无线传感器网络路由协议研究 针对LEACH协议生成非均匀的簇造成能量损耗的问题,提出一个基于节点剩余能量和地理位置,用于分层次均匀成簇和建立备用簇头以减少反复成簇频率的路由协议LEACH-EP。仿真实验证明,该协议在节点初始能量和网络规模相同的条件下,消耗的能量要远少于LEACH协议,节点生存时间更长,更适用于大规模无线传感器网络的低能耗路由协议。 基于AdaBoost的入侵检测技术探索与分析 阐明了入侵检测系统的监测过程,提出在入侵检测的分析方法中通过AdaBoost框架的循环迭代,在每次迭代中,由该算法产生一个带权值的分类器,迭代结束产生多个分类器,最后将这些分类器进行加权联合,得到一个具有较高识别率的分类器,进而克服采用单一分类算法产生的识别率难以满足系统要求的缺陷,从而达到系统对攻击识别率提高,误警率降低的目的,以KDD99作为实验样本数据源,仿真实验表明该方法检测预警准确率高。 软件项目管理消错模型的研究 "把管理科学与工程中的前沿理论""消错学""应用于软件项目管理,通过建立软件项目管理的消错模型,并用消除错误的""十五、六、三""法来分析软件项目管理中错误的发生原因和机制以及提出消除错误的方法。并结合实例说明该模型的具体应用过程,为研究和发现软件项目管理中出现错误的规律提供一种理论模型和方法,也为软件项目管理实践提供参考。" 基于机器学习的地震异常数据挖掘模型 研究基于机器学习的地震异常数据挖掘方法。在进行地震异常数据挖掘过程中,由于地震监测系统信号时变性及监测环境的不稳定性,采用传统的方法进行挖掘,其挖掘的精确度较低。为此,提出基于机器学习的地震异常数据挖掘方法。根据机器学习的相关理论获取标准方程组和最小均方误差值,实现异常数据挖掘最优模型的构建,通过计算数据的特征向量,建立地震监测数据特征库,依据获取的概率值实现对监测数据的正确判断,从而完成对地震异常数据的有效挖掘。实验结果表明,利用基于机器学习的地震异常数据挖掘方法,能够有效的提高地震异常数据的挖掘准确度与挖掘效率,保证了地震监测系统的有效性。 基于FPGA的CMP电涡流终点检测装置设计 为实现对晶圆表面金属层的化学机械抛光(CMP)过程中的终点检测和对抛光速率进行监控的要求,设计了一种基于电涡流测量原理的测量装置;该装置以FPGA器件作为控制核心,由其控制高速D/A转换器生成正弦交流信号,并驱动测量电桥;由于测量线圈产生的交变磁场在晶片金属薄膜上产生电涡流,引起测量线圈的阻抗发生变化;通过测量相应的阻抗变化产生的信号,可以计算出相应的晶片表面金属薄膜的厚度;实验表明该装置可以满足对晶圆表面100~1 000nm厚度金属层的测量要求。 一种有效的基于时空信息的视频运动对象分割算法 为实现视频编码标准MPEG-4中语义对象的自动提取,提出一种基于时空信息的运动对象分割算法。在时域上通过双边加权累积帧差和分块高阶统计算法得到目标的运动区域检测模板,以在充分利用时域信息的同时提高算法的速度;在提取空域信息时,先对视频序列的灰度图进行对比度增强处理,然后利用自适应Canny算子获取准确的空间边缘信息;最后进行时空融合,用空域边缘信息修正过的时域运动模板来提取运动对象。实验结果表明,本算法可以快速准确地分割视频运动对象。 基于改进BP算法的惯性仪器故障诊断 为了挖掘隐藏在惯性仪器测试数据背后的信息知识,运用数据挖掘技术,以Clementine12.0为平台建立模型并实现对惯性仪器故障诊断的过程。提出一种基于两阶段聚类并做改进的BP算法,与传统BP算法相比,提高了预测精度和普适能力。 基于相邻尺度小波变换乘积的镜头渐变检测 镜头边界检测是进行视频内容分析的首要步骤。提出一种基于相邻尺度小波变换乘积的镜头边缘检测方法。对镜头内的每帧视频图像进行小波变换,求出各帧图像相邻尺度小波变换尺度积的模和方向。计算连续帧图像尺度积与模之间的绝对距离,得到2帧图像间的不连续值。通过自适应阈值分割,检测出镜头边界。 基于类别以及权限的Android恶意程序检测 针对Android平台恶意软件数量的日益增多,提出一种基于类别以及权限的Android恶意程序检测方法。以Google Play划分的类为依据,统计每一个类别应用程序权限使用情况,利用应用程序的访问权限,计算该类别恶意阈值。安装应用程序时,利用序列最小优化算法给应用程序正确分类,分析应用程序使用的权限,计算该程序恶意值,与该类别的恶意阈值进行比较,给用户提供建议,帮助用户判断该程序是否是恶意的。实验结果表明了该方法的有效性和可行性。 移动式起重机吊装路径规划仿真平台设计 针对移动式起重机吊装路径规划的过程、结果难以直观显示,算法性能测评困难等问题,设计并实现了一款面向移动式起重机吊装路径规划的仿真平台。给出平台的系统框架,具体介绍路径规划、可视化的设计,用一个实例验证其可用性和有效性。实例表明,平台可实时显示搜索树(图)的生长过程、规划所得的路径及其吊装过程,同时可进行算法性能的自动测试,有助于吊装路径规划算法的研究。 微可压缩SPH流体的稳定性固体边界处理算法 固流耦合,即流体的固体边界处理一直是基于物理的流体模拟技术的研究重点.为解决SPH流体模拟中固流耦合存在的交界面处流体粒子衰减和穿透问题,提出一种固体采样边界粒子与动量守恒保持的位置-速度修正方案相结合的固流耦合方法.首先在预处理阶段对快速格子形状匹配(fast lattice shape matching,FLSM)模型表示的固体边界进行表面和内部边界粒子采样;然后在运行过程中计算流体粒子密度和受力时考虑边界固体粒子的相对贡献;最后利用动量守恒保持的位置-速度修正方案对流体粒子进行位置和速度的修正.为了提高计算速度以满足交互式应用需求,把每个迭代步长内的计算完全并行化后加载到GPU上进行加速处理.实验结果表明,该算法实现了微可压缩SPH流体与刚体以及弹性体的双向耦合,并可以高效、稳定地模拟固流耦合中的非穿透、液滴飞溅、溶解等复杂现象. 基于蓝牙4.0和GSM的无线心率监测仪设计 针对现有的家用心率监测仪器便携性差、报警功能不完善、成本高等问题,提出一种基于蓝牙4.0BLE协议栈和GSM移动通信网络的新型无线心率监测仪设计方案;系统可分为主机和从机两部分;从机由光电式脉搏传感器和蓝牙模块组成,负责测量心率和电量,并将得到的数据实时无线发送给主机;主机由蓝牙模块、单片机、液晶屏和GSM模块组成,负责接收从机发送的信息,并对数据进行监视,同时完成人机交互;实验测试表明,系统可稳定实现心率测量、监视、显示及远程设置、查询和报警功能,与市场上同类产品相比,具有使用便携性好、功耗低、测量准确、多重报警方式及成本低等优点,在家用智能监护领域具有广泛的应用前景。 新闻图像中重要人物的自动标志 为了实现新闻图像中重要人物的自动标志,针对由于不同的表情、光照、姿势等因素带来的视觉空间上的差异性问题,提出融合文本和视觉多模态信息的新闻人物自动标志方法.首先针对每个人名找到与该人名相关的人脸图像子集,建立人名与人脸的映射关系;其次在文本空间计算相似度,并在视觉空间对人脸子集图像进行聚类和计算相似度;最后采用加权的Borda方法对文本和视觉空间的相似度排序进行序融合.在大约50万幅的雅虎新闻图像数据集上进行实验的结果表明,该方法可显著地提高基于聚类方法的性能. 软件安全性与可靠性分析技术研究 近年来,软件安全性与可靠性在保证系统安全、避免重大人员伤亡和财产损失方面起到了重要作用,工程中对软件安全性与可靠性分析的应用有着十分迫切的需求;然而,工程中目前还缺乏软件安全性与可靠性分析的实施方案作为指导;为了促进软件安全性与可靠性分析工作的有效开展,文中结合FHA(功能危险评估)、PHA(初步危险分析)、SFMEA(软件失效模式及影响分析)、SFTA(软件故障树分析)4种分析技术,提出一种基于系统危险的软件安全性与可靠性分析方案;该方案使用FHA进行危险识别,根据PHA进行危险的追踪与控制,运用SFMEA进行软件失效模式、影响分析,再通过SFTA分析软件失效原因并提取软件安全性需求;然后,文中利用这种方案进行实例应用研究,指导软件安全性与可靠性分析的有效开展;最后,文中总结并展望了软件安全性与可靠性分析技术研究的发展方向。 本征层工艺参数对微晶硅太阳电池开路电压的影响 采用甚高频等离子化学增强气相沉积系统(VHF-PECVD)制备器件质量级本征微晶硅薄膜,研究薄膜的光电性质和结晶性质.结果表明:随硅烷浓度增加,薄膜材料的光敏性增加,晶化率减小;辉光功率增加,薄膜材料的光敏性减小,晶化率增加.将本征微晶硅薄膜应用到微晶硅薄膜太阳电池中,测试电池的I-V特性,获得开路电压.结果表明:硅烷浓度增加,电池的开路电压增加;辉光功率增加,电池的开路电压减小. 基于M-IBE的异构传感网密钥管理协议 为解决异构传感网(heterogeneous sensor networks,HSN)因功能异构而导致的组内通信和组间通信安全问题,研究了公钥密码体制尤其身份基密码体制(identity-based encryption,IBE)在异构传感网中的应用,提出了基于多域身份基加密(multi-domain identity-based encryption,M-IBE)的异构传感网密钥管理协议.从逻辑上把HSN中的一个组类比于M-IBE的一个域.部署前由可信第三方为HSN生成全局公共参数、选取各组公私钥、抽取组内各节点私钥;部署后同组内邻居节点通过交换身份标识建立共享密钥;不同组内邻居节点在获得簇头授权后协商建立共享密钥.协议由密钥预分配、组内共享密钥建立、组间共享密钥协商、新节点加入、节点移除5部分组成.实验分析表明:该协议具有较高的安全性,可以抵抗高端节点和低端节点俘获攻击,较低的存储需求和恒定的连通概率适用于安全需求较高的应用场景中. 机动再入目标跟踪仿真研究 "在弹道目标跟踪精度优化的研究中,机动再入目标高速的运动特性与复杂的受力环境,使得单一的运动模型与标准的交互式多模型算法不能真实反映其运动状况,而导致跟踪误差较大。为了提高跟踪精度,引入强跟踪滤波器的交互式多模型(IMM)算法,并将""当前""统计模型(CS)引入到交互式多模型算法中,提出CS_STF_IMM算法。通过""当前""统计模型对强机动的适应性与强跟踪滤波器关于模型失配的鲁棒性提高跟踪的精度与稳定度。仿真结果表明,改进算法在对机动再入弹道目标跟踪时具有良好的跟踪效果,并且稳定性高。" 基于C-RAN架构的基带资源分配方法设计 针对移动互联网业务流量激增,无线运营商在网络建设、运营、升级等方面的支出不断增加而收入却增加缓慢的问题,论文研究了基于集中式基带资源池的云型无线接入网(C-RAN),提出C-RAN架构下基带处理单元(Building Base-band Unit,BBU)与远端无线射频单元(Radio Remote Unit,RRU)组成的动态映射的模型,以此模型设计基带资源分配方法。通过计算与分析,结果表明利用启发式降序首次适应算法作为预分配的算法,对基带资源进行了合理的分配,这对于提高基带资源的利用率并且减少电能消耗是实际可行的。 面向行为识别的拉普拉斯特征映射算法的改进 提出了一种面向行为识别的拉普拉斯特征映射算法的改进方法。首先,将Kinect提供的关节点数据作为姿态特征,采用Levenstein距离改进流形学习算法中的拉普拉斯特征映射算法,并映射到二维空间得到待识别行为的嵌入空间;其次,结合待识别行为的嵌入空间和训练数据建立先验模型;最后,通过重新设计的粒子动态模型和观察模型,采用粒子滤波算法进行行为识别。实验结果表明,该方法可以对重复动作、遮挡,以及动作幅度和速度都有明显差异的行为进行较好的识别,总体识别率达到92.4%。 基于软件过程的项目风险管理及其工具 研究并开发一种项目风险管理辅助工具,该工具基于软件过程建模,记录风险源属性及其直接影响元素。进行风险影响分析,根据预设的加工过程单元与风险对象的关系,自动估算最终交付物元素或所有其他相关元素受到的风险扩散影响,包括成本、工作量和成功概率。采用多维度可视化方式显示估算结果,以支持用户进行风险管理决策。 固定密钥与密文长度的访问控制方案 由于目前云存储的访问控制策略中密文与密钥长度过大,引发存储与通信代价过高,并且针对用户权限撤销需要频繁地重新加密对称密钥或者重新加密原始数据,导致系统安全性差、效率低下的问题,提出了一种安全可靠的,基于CP-ABE(ciphertext-policy attribute based encryption)的访问控制方案。该方案不仅可以固定密文与密钥的长度,具有较高的计算效率,而且支持用户权限的撤销功能,并且在密钥与密文传送的过程中使用了签名机制,防止传输过程遭受破坏。通过理论与实验证明,该方案可以抵抗选择密文攻击、合谋攻击等常见的攻击。与现有的方案相比,该方案具有较高的计算效率,并且更容易实现。 整合贝叶斯网络的BDI模型 针对BDI模型在不确定领域应用的薄弱,提出了一种改进的BDI(Beliefs—Desires—Intentions)模型。以BDI体系结构为基础,结合贝叶斯网络,建立了智能体对可能世界的认知表示;通过贝叶斯网络推理,实现了对达成目标状态的期望估计;在意图中,通过引入规划因子,完成行为决策。用Java语言在eclipse平台上对农作物栽培实例进行仿真,验证了模型能够在不确定环境中,进行理性的认知、推理、规划。 基于Plone的LDAP协议集成方案的研究和实现 "Plone,作为一个被誉为""世界级内容管理系统""的专业内容管理系统,随着Plone版本的提高及其被越来越多的国内外大小企业应用于企业内部内容管理,如何解决Plone用户与Windows用户实现单点登录及如何简化Plone添加用户的问题成为了困扰Plone管理员的一个关键问题。在Plone4.1的基础上,通过在Plone控制器上安装、配置轻量目录访问协议(LDAP)服务组件的方法,最终在客户机上通过LDAP成功登录Plone,实现了Windows用户及Plone用户的单点登录,并得出了Plone集成LDAP服务的解决方案。此方案的推广可大大减少Plone管理员的工作量,还可节省大量的人力物力。" 基于Gabor多尺度空间的不变兴趣点检测 针对以往仿射不变兴趣点的特征尺度不能直接断定的问题,提出一种基于Gabor多尺度空间的不变兴趣点检测算法。该算法主要包括三个步骤:应用Gabor滤波器组与图像卷积建立图像Gabor多尺度空间;通过极大值准则检测兴趣点并直接断定特征尺度;采用二阶矩矩阵描述兴趣点局部结构。实验结果表明,相比较其他Hessian-Affine、MSER等算法,该算法在图像模糊和JPEG压缩情况下可重复率和可匹配率均取得最好结果,是一种能有效直接提取特征尺度的兴趣点检测算法。 基于前摄性调度的车辆路径问题 为解决传统反应性调度方法存在的动态客户响应能力不足和响应效率不高的问题,针对海量、分散、多样、并发的电商物流需求,在分析历史交易数据的基础上,从动态客户预测、分区聚类和车辆路径优化3个角度研究了配送车辆动态调度问题。在利用客户需求的历史表现预测动态需求的基础上,建立了单周期和多周期配送路径优化的数学模型,设计了前摄性车辆调度方案。以重庆某超市为例对模型和算法性能进行验证,结果表明,所提方法能够有效提升动态客户的响应能力和效应效率。 基于改进粒子群优化算法的虚拟机迁移选择策略研究 粒子群优化算法由于实现容易、精度高、收敛快,在解决多目标优化问题时呈现出较强的优越性。在定义匹配距离的基础上,引入粒子群优化算法思想制定虚拟机迁移选择策略,并对粒子群优化算法做出改进,引入规避列表思想,将剩余性能不满足虚拟机性能需求的服务器加入到规避列表中,以避免多个满足非劣最优解的虚拟机迁移到一台服务器,导致资源占用率超过结点资源上限。通过在CloudSim平台上与基本粒子群优化算法进行的仿真对比实验证明了本算法具有更快的收敛速度和选择速度。 基于同伦方程的交叉熵BP算法 提出一种建构在同伦方程基础上的交叉熵BP算法,在原有的交叉熵函数基础上,通过同伦方程的参数逐步调节权值,使收敛达到最佳效果。同时其激活函数采用广泛意义的函数,有利于拓展函数使用空间,并结合动量项使收敛效果更佳。实验结果表明,改进后的算法与原始的加动量项算法相比有较好的收敛速度,陷入极小点的几率也大为降低。 磁共振图像对比度增强算法的仿真研究 研究磁共振图像对比度增强的问题。常用的磁共振图像增强算法在增强对比度的同时引起图像噪声过放大。为提高图像局部区域的清晰度和解决图像噪声过放大的问题,提出一种利用Contourlet变换的非线性图像对比度增强算法。首先利用Contourlet分解图像,得到子带图像;然后通过一种新的非线性增强算子改变变换域各子带的系数,有效地对图像强弱边缘进行不同程度的增强,最后通过Contourlet逆变换得到增强图像,能够在增强磁共振图像微小细节的同时避免噪声增大。仿真结果表明,方法适用于磁共振图像局部区域对比度增强,可改善图像视觉效果,有利于医生分析和诊断病情。 一种基于编码等价变换和遗传算法的DNA序列优化设计 针对DNA计算中的DNA序列设计问题,基于6个DNA序列设计约束条件,将DNA序列设计问题转化为多目标优化问题,提出小生境遗传算法进行求解。算法利用DNA序列设计中的相似性约束与H-测度约束,在单链DNA序列集合上定义共享函数,利用两种类型的编码等价变换以及模4算术运算,构造了5个遗传算子,并给出具体的DNA序列设计结果。通过比较,算法可以得到质量更好的DNA序列,且在种群规模与进化代数方面具有更高的计算效率。 2010 CCF中国计算机大会 [正文]2010年10月16-17日,中国杭州2010 CCF中国计算机大会(2010 CCF China National Computer Conference,CCFCNCC 2010)将于2010年10月16-17日在杭州举行。CCFCNCC是由中国计算机学会(CCF)2003年创建的一个系列性会议,已在不同的城市 基于信息检索的需求跟踪方法综述 需求跟踪作为软件过程管理中的一个重要环节,在保障系统质量、应对需求变更方面发挥着重要作用。利用需求跟踪,软件工程师可以发现制品之间的依赖关系、评估需求覆盖率和计算需求变更的影响。随着软件项目的日益复杂和软件制品数量的增加,跟踪关系的自动恢复和维护日益受到业界关注。近年来,人们对于基于信息检索的需求跟踪自动化技术做了大量研究。针对基于信息检索的需求跟踪技术进行综述,从技术改进、支撑工具和度量指标三个方面进行了深入分析。在此基础上,对其发展趋势和有待深入的研究点进行了展望。 基于一阶迁移系统的限界模型检测工具实现 为了简化在限界模型检测过程中模型的建立过程,给出了一种采用基于一阶迁移系统语言的模型建立方法,并在此一阶迁移系统语言中加入了通道的功能,增强了描述能力。然后在此基础上完成了一个以基于插值和k步归纳的限界验证算法为核心的模型检测工具(BMCF),最后利用该工具对常见的互斥协议,简单数据传输协议的性质进行了分析与验证。结果表明,利用该工具对系统进行建模具有方便直观的特点,并借助实现的验证算法能高效的检验性质的正确性,如果性质不成立工具还会给出反例提示。 光纤安防监测信号的特征提取与识别研究综述 光纤安防监测系统信号的特征提取与识别方法是当前的研究热点。光纤振动信号的随机性、非平稳性,以及各种信号的相似性,导致信号的识别容易产生误报现象。识别入侵事件类型的关键是信号的特征提取和高效的识别方法。对光纤振动信号的各种特征提取方法和识别方法进行分析和比较,把特征提取方法分为基于小波分解的特征提取法、基于其他分解模型的特征提取方法和基于波形统计参数的特征提取法;把对光纤振动信号的识别方法分为经验阈值识别方法、支持向量机识别方法和神经网络识别方法,最后对特征提取方法和识别方法进行总结和展望。 基于JNI和C++的Intel集成众核并行方法 针对当前Intel集成众核协处理器(MIC)只能使用C/C++/Fortran编程语言进行并行计算,不能对已有的Java程序提供高性能计算支持的问题,提出基于Java Native Interface(JNI)技术和C++的MIC混合并行计算方法。该方法基于JNI设计Java代码与C++代码的数据交换机制,使MIC协处理器强大的浮点计算能力加速Java应用程序成为可能。通过实验测试分析基于MIC多线程并行的Java程序计算性能效果,结果表明该方法能有效利用MIC协处理器,对Java程序的计算性能提升显著。 SAT问题可多项式归结到MSP问题 针对文献[1]中提出的MSP问题(定义见正文),从SAT问题出发,给出SAT问题到MSP问题的多项式归结,进而给出MSP问题NP完全性质的另一种证明。 基于投递概率预测的DTN高效路由 在延迟容忍网络中,基于配额的多拷贝路由通过对消息拷贝数量的限制来控制开销,如何在控制开销的前提下尽可能提高消息投递成功率是其需要解决的关键问题.文中首先分析了提高消息投递成功率的配额分配策略,然后据此提出一种基于投递概率预测的配额路由算法.该路由算法根据节点的本地信息预测到其它节点的投递概率,在复制消息时,根据节点间投递概率的差别分配拷贝配额.仿真结果表明,该算法能较为明显地提高投递成功率并减小延时. 结合区域HOF和字典学习的人体行为识别方法 为辅助老人看护,提出一种基于视频的人体行为识别方法。采用AMBER方法检测视频中的运动目标,粗定位行为感兴趣区域;提取行为感兴趣区域各像素点的光流,构建归一化的光流方向直方图(histograms of oriented optical flow,HOF),用于描述人体行为;采用在线字典学习方法进行训练和测试,在训练阶段寻找最优的字典和稀疏矩阵,在测试阶段依据稀疏性分类不同特征。在国际上通用的ADL人体行为数据库中的仿真实验结果表明,采用本方法进行人体行为识别的识别率高,且不同人体行为之间的分类混淆率低。 对一个无证书签密方案的分析与改进 He对Liu等人提出的无证书签密方案进行了改进,用以实现AⅠ类型敌手攻击下签密方案的不可伪造性。但通过对He所提的改进方案进行安全性分析发现,该方案无法抵制AⅡ类型敌手的伪造签密攻击,并且用户在签密过程中使用的一次性数据一旦发生泄露也会影响方案的安全性。由此,基于椭圆曲线离散对数问题提出一个新的无证书签密方案,安全性及效率分析表明新方案能弥补He所提方案存在的安全漏洞且运行效率不低于同类方案。 基于改进RRT算法的预警机实时航迹规划 在预警机航迹规划优化问题的研究中,预警机在空中进行科学、精确的实时航迹规划,可提高其实战效能。由于预警系统带有复杂的飞行器动力学约束和路径约束,求解困难,且计算时效性要求高。针对预警机的作战任务要求及载机平台的技战术特性,对经典的RRT算法进行了适应性扩展,提出了一种新的实时航迹规划方法。算法中改进了节点采样方式和节点扩展方式,并采用基于Dubins路径的运动规划方法生成树节点之间的局部最优航迹,使得规划算法不仅能够充分的考虑载机平台的动力学特性,而且规划空间维度远低于常规的搜索空间,算法的时效性得到较大幅度的提升。为了适应动态、不确定的运行环境,算法还可借鉴滚动时域控制(RHC)的思想,设计了在线滚动规划求解框架。仿真结果表明,提出的算法非常符合于复杂、动态环境下的预警机实时航迹规划优化的要求。 嵌入式电子节能控制器的设计与实现 节能控制能够有效降低能耗,对保护环境等方面具有重要影响;但目前大多数电子节能控制器都是通过采用单片机技术和双向晶闸管过零触发交流调压电路对电子节能控制器进行设计;通过介绍电子的负荷特点和节能原理,分析电子节能控制器的硬件组成电路,并对电子节能控制器的主要软件程序的流程图进行设计,完成电子节能控制器设计;但这种方法节能控制效果较低,难以保证电子节能控制器性能,为此,提出一种基于模糊PID控制的嵌入式电子节能控制器设计与实现方法;首先通过对嵌入式电子节能控制器的处理器、电源电路、复位电路、系统时钟电路、JTAG接口电路、D/A转换电路、功放电路、双极性电源电路以及嵌入式电子节能控制器硬件PCB板器件布局等的设计,完成嵌入式电子节能控制器硬件设计;在此基础上,选用模糊PID控制方法对嵌入式电子节能控制器进行设计;通过分析模糊PID控制原理,介绍加入自调节因子的模糊PID控制的算法设计,以此确定输入输出隶属度函数,再利用模糊推理和模糊规则,得到电子节能控制器的模糊控制过程,从而完成嵌入式电子节能控制器的设计;实验证明,所提方法能够有效提高嵌入式电子节能控制器的节能控制效果,具有良好的使用价值。 云应用部署配置模型 云计算已经成为广泛使用的计算范型,越来越多的大规模分布式系统已经或正在向云平台部署和迁移.用户在部署和管理维护应用系统时通常需要管理底层基础设施资源细节,或者使用平台提供方的应用部署和管理服务,前者使得应用部署和运行时管理易于出错且费时费力,而后者则降低了系统管理的灵活性,很难满足用户的个性化需求.针对这一问题,本文提出了一种高层抽象模型来描述云应用的部署配置和管理需求.需求模型采用声明式机制定义期望的系统状态,而无需描述实现目标状态所需的执行步骤和细节.本文基于开源云计算平台Open Stack和自动化配置管理工具Puppet进行了原型实现,通过一个应用案例验证模型的有效性. 基于回答集编程的Banks选举求解方法 采用启发式算法的Banks选举在进行求解时执行效率较低。为解决该问题,提出一种基于回答集编程(ASP)的求解方法。通过建立Banks选举问题到ASP问题的映射,编写相对应的ASP,调用回答集求解器进行求解,得到的每一个ASP模型就是Banks选举问题的一个解。实验结果表明,当问题规模为200时,该方法的求解时间为4.196 s,求解效率高于手工启发式方法。 云计算下分布式大数据智能融合算法仿真 当前分布式数据融合算法存在网络能耗高、数据融合后网络节点生存期较短等问题,提出基于估计机制的分布式大数据智能融合算法。对于连续不确定的数据流,通过滑动时间窗口细分数据。并在滑动时间窗口中依据网格法将数据划分至各网格中,于各网格中通过信息熵对正常数据进行筛选,采用局部异常因子对于剩余可能是异常的数据进行判断,根据判断结果将异常数据剔除。利用分布式网络参数以及数据特性和变化规律判断最优分簇规模。利用最优分簇结果对数据簇头的生成区域进行限定,将数据节点剩余能量估计当作簇头选取的依据,选择某区域内剩余能量较大的数据节点作为簇头。将在异常数据剔除结果中采集到的正常数据传输至簇头节点,完成分布式数据智能融合。实验表明,上述算法平均网络能耗为32nJ/bit,网络节点存活期较长。所提算法具有较强的可实践性,是一种可靠且科学的数据融合算法。 基于稀疏低秩分解的杂草种子配准 针对杂草种子识别在实际应用中的困难,提出了一种适用于杂草种子配准的稀疏低秩分解算法。阐述了稀疏低秩算法的原理和求解方法,原本有等式约束且非凸的问题可以通过求解核范式和l1范式的无约束凸优化问题得到很好的配准结果。为了验证配准工作的重要性,运用k折交叉检验对比配准前后的识别率差异。实验结果表明,基于稀疏低秩分解的配准算法能够提高杂草种子的识别率,为实际中的杂草种子识别提供了可行方案。 千兆以太网高速数据通信记录系统 为开展航空、航天领域各项专项试验并实现数据获取与分析,提出了一种基于FPGA的具备千兆以太网接口的高速数据通信记录系统的设计方案,详细描述了该记录系统的功能设计、硬件设计、接口设计、嵌入式软件设计方法,其主要功能是通过通信接口与配套使用的系统进行通信,在通信过程中,将所有的通信数据记录至非易失性存储器中,事后通过数据读取接口将记录的数据读取至上位机完成数据处理与分析. 基于边收缩的渐进网格模型生成算法 为了实现3D模型的渐进式网格模型表示,改进基于边收缩方式模型简化的收缩代价计算方法。本算法首先从SMF数据文件中读取模型数据信息,然后在内存中快速建立起3D模型,重新设计Garland算法中QEM的权值计算方法。以顶点相邻三角平面法向量最大偏差的平方作为顶点的重要程度并将其加入到误差测度公式中,通过简化最终生成渐进式网格模型。实验结果表明,本算法简练,网格模型生成速度快,模型轮廓信息保持完整。 集团化组织内的用户数据同步与分发技术 为了整合集团化组织内部数量繁多的信息系统,需要实现用户数据(包括组织结构和人员数据)的共享,针对此目标,提出了一套技术方案,在集团组织分支内建立多个用户数据分中心,并使用复合的Web Service接口传送数据。该技术方案已经在生产实践中得到了实施,实现了集团化组织内的用户数据的同步和分发。实施结果表明,该技术方案能够保证在集团组织内可靠、完整和实时地共享用户数据。 基于GL Studio飞机控制面板仿真 为了对某型飞机机载武器管理系统(SMS)进行地面仿真,开发相应的地面模拟器,提供飞行人员和地勤人员进行训练使用,进一步提高部队战斗力,介绍了GL Studio仿真软件并利用该软件设计了飞机武器控制面板,模拟了武器发射控制流程和飞机机载武器管理系统的工作原理,最后开发了软件程序并设计了该模拟器的硬件。经模拟仿真实践证明GLStudio建模形象逼真,是一种高效、快捷的仪表面板仿真软件,在飞机武器控制面板的仿真中能够达到良好的效果。 基于结构比对的蛋白结合位点预测方法 蛋白质通过结合位点与其他分子产生相互作用,所以对蛋白结合位点的预测具有重要的意义.现有许多不同的预测方法,但是这些方法存在命中率低或计算量大的问题,本文引入了一种基于结构比对的蛋白质位点预测方法,同时在结构比对过程中引入同源索引,找出相应的同源模版,并与之进行结构比对,然后将结构相似的模版中的配体映射到目标蛋白质中,采用聚类方法对位点进行分析.结果表明,与其他预测方法相比,本文的方法降低了计算量,并提高了预测精度. 谱图降噪处理软件的编制及其在仪器分析实验教学中的应用 本文针对现代仪器分析实验谱图中常见的随机噪声和基线漂移,编制了窗体化的谱图降噪处理软件,能够应用于气相色谱、拉曼光谱等多种实验谱图的优化。该软件处理效果明显,操作简单,便于学生使用和掌握,并能够在实验教学中持续发挥作用。通过运用并模仿编制软件,学生能够整合相关课程的知识要点,并从专业应用的角度理解软件原理,提高学习兴趣,从而掌握基本的计算机应用技术和必要的谱图分析技巧,加强对数据处理概念的认识。 不确定参数时滞混沌系统的自适应反同步 研究超混沌系统的反同步问题,针对一类异结构参数不确定存在时滞超混沌系统的反同步问题,提出了一种设计控制律的新方法。以李雅普诺夫稳定性理论为基础,通过自适应控制法设计了非线性控制器和参数自适应律,实现含不确定参数的时滞混沌系统的反同步控制,并运用拉萨尔不变集理论建立的李亚普诺夫稳定性原理证明了误差系统是渐近稳定的。最后,利用MATLAB对超混沌Lu系统与超混沌Chen系统的数值仿真,验证了所提出方法的有效性和正确性。 基于行为的机器人自适应队形控制 针对多机器人在未知复杂环境下的队形控制问题,将leader-follower法结合到基于行为法中,提出了机器人在复杂环境下采取跟踪链的方式穿越障碍,而后再重新组队,使机器人适应环境的能力增强,避免了机器人在复杂环境下掉队的现象。在避障活动障碍时,依据障碍运动趋势有预见的主动避开,使控制行为既简单又有效,仿真结果表明该队形控制算法的可行性和有效性。 支持向量机算法在多目标优化中的仿真应用 针对目前多目标输出SVM回归的算法使用多阈值,其预测效果不理想、运算量大问题,提出采用相同阈值的SVM多目标输出回归的算法,然后对交叉验证提出均匀化均方误差统计方法。首先采用粒子群算法对SVM模型作参数优化,然后用改进的序列极小化特征选择算法优化SVM特征。仿真结果说明粒子群算法对参数优化,均匀化均方误差作为评价准则,效果相对较好,在多目标优化问题中的应用研究具有广泛的应用前景。 面向云存储的基于全同态密码技术的文档相似度计算方法 针对云存储服务中存在的用户隐私保护需求,提出了一种在密文状态下的文档相似度计算方法。数据拥有者将文档ID、加密后的文档密文以及文档simhash值的密文上传到云服务器中;云服务提供者进行待计算相似度文档的simhash密文值和数据拥有者文档simhash密文值的全同态加法运算,获得文档间汉明距离的密文;数据拥有者解密汉明距离密文获得文档相似度排序结果。云端在不获悉数据内容及其simhash明文的情况下完成数据对象相似度运算,保护了数据隐私。给出了该方法的详细过程及相关的实验数据,验证了该方法的可行性。 人工蜂群算法在重力坝断面优化设计中的应用 人工蜂群算法是一种新型的群智能优化算法,对于处理复杂的非线性多峰值优化问题具有很好的适用性。对三种典型测试函数进行性能测试,与粒子群优化算法相比较,人工蜂群算法的适应度函数评价次数明显较少,对求解多峰值优化问题具有较好的适应性,将人工蜂群算法应用于重力坝断面优化设计,研究结果表明,该方法是可行的,具有寻优效率高且易于实现的优点。 Web论坛数据源增量爬虫的研究 针对Web论坛站点结构复杂、内容更新快等特点,提出一种针对论坛的增量信息采集算法,使用站点地图重建技术及网页更新频繁度估计方法,根据站点地图选择有效的链接,按照网页更新频度确定网页的采集频度。实验结果表明,该方法是有效的。 基于H.264的AAQIM水印算法 针对网络视频传输过程中的版权保护问题,提出基于H.264的自适应活性量化索引调制(AAQIM)水印算法,以块的活性为载体信号,引入自适应机制,采用一个区域嵌入一位水印信息,使误差被块的几个系数分担。实验表明,水印具有良好的不可见性,对后续帧影响小,对重编码、加噪及滤波等攻击具有很强的鲁棒性;水印的提取不需要原始视频,算法简单、调制方便,能够满足实时要求,有较高的实用性。 一种改进的无线网络传输控制协议 在传统的无线网络传输控制协议中,错误调用拥塞控制机制会导致性能下降。针对该问题,提出一种改进的TCP协议(TCP-FR)。通过判断数据相对传输时间的延迟趋势,区分网络拥塞丢包和无线链路误码丢包,并根据拥塞程度划分网络拥塞等级,动态调整拥塞窗口的大小。仿真结果表明,该协议可保证网络对拥塞判断的准确性,并提高网络的信道利用率和吞吐量。 基于状态模式的地铁纸币处理器设备驱动程序设计 介绍地铁自动售票机(TVM)纸币处理器设备驱动程序编写所涉及的相关技术,主要包括设计模式中的状态模式,并辅之以Windows线程同步技术。结合这2种技术实现工控机和纸币处理器的交互和通信。该方法对符合RS-232的设备的驱动程序编写有着一定的参考意义。 FlatVC:云环境下虚拟机集群的扁平化版本控制 IaaS的发展使得云服务能够快速地部署虚拟机集群。然而,在部署过程中虚拟机群的版本控制效率不高。目前的版本控制方法存在网络负载大、操作速度慢的问题。提出一种新颖的虚拟机集群版本控制方法,叫做FlatVC。FlatVC在计算节点增量地生成虚拟机版本,以避免将版本数据传输至存储节点,并在虚拟机版本恢复时按需传输版本数据,因此减小了网络传输负载并加速了版本控制过程。通过使用缓存树结构来共享网络传输数据,FlatVC减小了根节点数据传输压力。此外,我们针对增量版本所构成的版本链进行了I/O优化,避免了版本链导致的性能下降。实验结果显示,FlatVC能有效地实施虚拟机集群版本控制,加速版本生成以及恢复过程。 一种TDD-LTE随机接入检测算法 为了提高TDD-LTE上行链路随机接入信道处理的高效性,提出一种基于欠采样时域分步处理的随机接入检测算法。与传统方法相比,降低了运算的复杂度,同时分步处理的调度机制提高了接入响应的实时性。实验结果表明,在不同的信道环境下,该算法都具有良好的检测性能。 基于相位一致性原理的特征点检测 为了从原始图像中提取具有较强表示能力的图像特征,研究了相位一致性原理,提出了基于相位一致性原理的特征点检测算法。在相位一致性原理的基础上,代替DoG和Harris特征点检测算子,提取了准确稳定的图像特征点。在介绍了DoG和Harris相关算法原理后,将基于相位一致性原理的图像特征点同DoG、Harris提取的特征点进行了主观和客观的对比分析,显示出相位一致性原理特征点具有特征点位置准确、分布广泛、可重复性高、算法稳定等优势。结合实例验证了该算法的有效性和可行性。 基于扩散的聚焦形貌恢复算法 针对聚焦形貌恢复技术在测量运行时对上位机内存需求量大的缺陷,提出一种聚焦形貌恢复算法。该算法基于聚焦评价曲线的区间特性及连续表面约束,运用传统方法初始化扩散区域,利用已扩散区域的深度信息猜测扩散点的深度,并在搜索半径内重新搜索确认扩散点的深度,得到更加精确的深度值。实验结果表明,与传统方法相比,该算法在保证精度的同时,上位机内存使用率平均降低9.6%。 改进多样性和局部优化能力的引力搜索算法 针对引力搜索算法局部搜索能力较弱,搜索过程容易出现早熟的现象,提出一种基于多样性和局部优化能力协同优化的引力搜索算法。将粒子群算法中局部最优解和细菌趋化中排斥操作的概念引入到引力搜索算法中,通过帮助粒子接近最优位置和逃离最差位置,改进了搜索算法中粒子的局部优化能力及种群多样性,并使用标准函数进行测试。结果表明,该算法能够实现全局搜索与局部搜索的平衡,最大程度地保持种群多样性,提高算法搜索能力。 一种减少重复搜索的FPGA快速布线算法 为了提高FPGA布线的运行速度,提出一种减少重复搜索的快速布线算法,该算法分为布通驱动布线算法和时序驱动布线算法.在布通驱动布线算法中,通过把线网的布线路径转换成连接的布线路径来判断每条连接的路径中是否存在拥塞节点,如果存在,保留其布线路径,否则重新进行搜索;时序驱动布线算法采用临界度判定机制来平衡运行速度和时序性能之间的比重.实验结果表明,与公认的VPR布线算法相比,布通驱动布线算法和时序驱动布线算法的运行时间分别平均减少了95.19%和28.98%,且时序驱动布线算法的关键路径延时减少了4.80%. 带B样条曲率线的NURBS曲面设计 为了满足产品设计的需要,提出一种NURBS曲面设计算法用于构造插值曲率线的曲面.首先利用给定已知曲线作为公共曲率线的等参曲面束的表达式、B样条的导矢公式和2个B样条的乘积理论,给出以一条非均匀B样条曲线作为公共曲率线的等参曲面束的显式表达式;然后讨论插值曲面可用NURBS精确表示的必要条件,并给出2种表达式,得出以一条非均匀B样条平面曲线作为公共曲率线的曲面束的NURBS精确表达式,以及控制顶点的计算式.通过实例展示了曲面设计效果,表明算法是可行的. C2组织测试的想定描述:平台装备及其状态定义 C2组织测试的想定要素包括作战区域、C2组织结构、C2组织角色、作战平台装备以及C2组织的团队与网络,文章重点讨论作战平台装备要素的描述,包括平台装备层次关系的定义和平台装备的状态描述,提出了平台装备层次关系的树形结构与XML描述方法和平台装备状态转换定义。在平台装备的状态转换定义上,根据C2组织测试需求,建立了平台装备能力定义和状态转换的描述。 基于轨迹相似度的伴随人员推荐 移动网络和智能终端的发展使得基于优质用户的伴随人员的推荐成为互联网发展的热点之一,而伴随人员的推荐算法则是至关重要的因素.针对以往基于地理位置的用户轨迹性相似推荐算法中需基于地理位置或基站数据,且数据稀疏时推荐结果不理想的问题,提出了基于IP场所的轨迹余弦相似度的伴随人员推荐,以更完善的IP场所数据代替地理位置数据,以一段时间的纵向日期和横向时刻分别计算余弦相似度以消除数据稀疏性问题.最后推荐出了相似度质量更高的伴随人员. 被动声纳目标建模及实时仿真 通过分析舰船辐射噪声的水声特性,提出了工程上应用的舰船辐射噪声连续谱和线谱的数学模型,仿真得到了期望的舰船辐射噪声信号。利用通用的硬件资源和底层控制软件,开发了实时仿真软件,实时输出舰船辐射噪声的模拟信号,经有源信号衰减板衰减为微弱模拟信号。实验证明,得到的微弱模拟信号很好地再现了典型舰船辐射噪声的水声特性,作为被动声呐实时仿真的信号源,可用于半实物仿真及系统测试。 基于时间Petri网的并行测试任务调度 并行测试拥有减少测试时间和降低测试成本的强大优势,正成为研究热点之一;首先介绍了并行测试的基本概念,针对在并行测试系统中由于多任务并行调度,可能引起的资源冲突问题,提出一种基于时间Petri网的并行测试任务调度建模方法;通过搜索Pe-tri网模型的可达树,寻找不同的变迁发生序列;比较不同序列的完成时间,得到完成所有测试任务需要时间最短的并行任务调度序列;最后,在该模型下,对一个实例进行了仿真分析;试验结果表明,该模型适于描述该类型系统的任务调度过程。 江西杰科工程咨询有限公司简介 [正文]江西杰科工程咨询有限公司成立于2005年,是江西省计算技术研究所的控股投资公司。公司依托江西省计算技术研究所及江西省计算机质量监督检验站的强大技术实力,是我省最早从事IT领域独立第三方服务的专业机构之一,专业从事信息技术咨询、信息工程监理以及信息工程评估等服务业务。江西杰科公司按照ISO9001:2000质量管理体系的要求对业务进行规范化管理,不断提高服务质量、丰富服务内涵,致力于为用户提供最好的信息技术服务。作为专业化、技术型的信息技术服务提供商,我们以顾客/市场为导向,以专业技术为驱动。杰科公司拥有一支经验丰富、素 煤制乙炔的热集成设计和动态性能分析 等离子裂解煤制乙炔工艺是一种处于试验阶段的新型煤化工路线。系统的节能、平稳运行对新工艺的经济性和可行性至关重要。本文基于夹点技术对煤制乙炔全流程进行了用能分析和诊断,得出了最优的能量利用目标;在考虑实际约束的情况下提出2套换热方案。进一步,利用路径法得出两套换热方案的传递函数,由此建立了动态仿真模型,进而得到2套换热方案对扰动的动态响应特性;在对传递函数进行分析的基础上,发现影响换热网络动态性能的公用工程位置和单个换热器换热充分度2个因素。结果表明,方案1和方案2均具有较好的动态性能,能够保障节能方案的平稳运行。 基于无线传感器网络的TDOA-CTLS定位算法研究 节点位置的确定是无线传感器网络的应用基础.为了提高传感器网络节点定位的精度,利用转移矩阵和观测矩阵噪声之间的关系,采用约束总体最小二乘算法求解时差定位问题.通过将定位问题转化为一个约束总体最小二乘问题,然后又将有约束问题等价为无约束的问题,利用Newton算法进行迭代求解.最后计算机仿真结果验证了该算法的有效性. 基于免疫优化多输出最小二乘支持向量机及其应用 传统的支持向量机是一种两类问题的判别方法,不能直接应用于多类分类问题。为了解决这个问题,提出了一种免疫优化多输出最小二乘支持向量机方法。该方法利用免疫算法来优化最小二乘支持向量机的参数。将该方法应用于污水生化处理过程建模及语音情感识别,仿真结果表明,该方法具有更高的精度。 用双枝模糊逻辑和模糊Petri网构建的攻击模型 以双枝模糊逻辑和模糊Petri网(Fuzzy Petrinet,FPN)理论为基础,定义了一种全新的网络攻击模型BBFLPAN,将网络攻击中对攻击起促进与抑制作用的两方面进行综合考虑与分析,用变迁表示攻击、防御行为的产生发展过程,库所表示系统所处的状态,将网络攻击与防御行为和攻击与防御结果进行了区分,直观地表示网络攻击的演变情况。同时结合双枝模糊逻辑,分析了BBFLPAN模型的基本推理规则,并提出了BBFLPAN的推理算法,并通过实验验证了算法的正确性。将对网络攻击实施起正反两方面的因素一起考虑和分析,使其对网络攻击的描述更加切近实际情况。 基于单逻辑程序的一致性规划任务有限域表示方法 有限域表示(FDR)能有效地压缩状态空间,其转换算法在实例化阶段对每个初始状态都生成一个逻辑程序,而一致性规划任务的初始状态数量通常较大,所以这通常需要较大的时间和空间开销,甚至导致内存溢出。为了提高转换算法运行效率使其能处理更为复杂的规划问题,提出了一种基于单逻辑程序的IFDR转换算法。IFDR算法从初始信念状态中所有可能的初始世界状态得到一个事实集,再由动作和公理计算得到一个规则集。一个事实集和一个规则集组成一个逻辑程序,IFDR用此单逻辑程序完成实例化。实验结果表明IFDR算法在解决问题的效率和数量上都有所提高。 云环境下基于可搜索加密技术的密文全文检索研究 为了解决云存储技术带来的数据安全和高效检索问题,在深入研究可搜索加密技术基础上,提出一种基于云存储的密文全文检索模型,给出基于可搜索加密技术的密文全文索引构建和检索策略,并对方案的安全性进行分析。实验表明,云存储环境下基于可搜索加密技术的密文全文检索方案既保证了数据的安全性,又具有很好的检索效率,可适用于海量数据的加密存储与高效安全检索。 摆式陀螺寻北仪悬带摆角及质心平动分析 为了分析悬挂式摆式陀螺寻北仪悬带摆角和质心平动,从摆式陀螺寻北原理出发,由动静法推导了重力矩下摆式陀螺寻北运动的动力学方程,分析悬带摆角、转子轴垂直摆角与质心平动的关系,建立并解算其运动模型,通过实验验证,悬带摆角与垂直角是由相同的高低频率的简谐运动组成,并非传统解释的前者是后者的高频振动;质心平动是二者的线性叠加,且随垂直角在摆动中心水平两侧呈对称分布。 基于社团并行发现的在线社交网络蠕虫抑制 随着在线社交网络(Online Social Network,OSN)的快速发展,OSN蠕虫已经成为最具威胁的网络安全问题之一.为了防止OSN蠕虫的快速传播,文中提出了一种基于社团并行发现的OSN蠕虫抑制方法.首先将分布式图计算框架Pregel和基于标签传播的社团发现算法(Label Propagation Algorithm,LPA)相结合,提出了一种能够处理大规模OSN网络社团发现问题的并行LPA算法(Parallel LPA,PLPA).其次,文中在PLPA算法的基础上给出了3种社团关键节点的选取策略,并提出了相应的OSN蠕虫抑制方法.最后,通过在两组真实数据集上进行的社团并行发现及OSN蠕虫抑制仿真实验证明了文中方法的有效性. 基于GPRS的供水管网远程监控系统设计 为实现供水管网的信息化管理,介绍了一种基于GPRS通信方式、采用嵌入式微处理器和μC/OS-II实时操作系统的供水管网远程监控系统设计方案,阐述了监控终端的软硬件实现及监控中心的软件设计;监控终端将现场采集的各类数据经协议封装后由LPC2210微处理器通过串口发送给GPRS无线模块,再由GPRS数据网络发送给远端的监控中心,监控中心实现对数据的处理、存储和统计分析以实现监控功能;该系统实验效果好,为供水管网的自动化管理提供了一种可行的解决方案。 基于元性质的数量型属性值自动提取系统的实现 实体属性值抽取是信息抽取的重要组成部分.针对数量型属性类型多样以及取值易变的问题,设计实现了一种基于元性质的数量型属性值自动抽取系统.对系统的结构、功能框架以及相关核心技术,包括提取文本的选择、候选值的提取及评估、结果的自动验证等进行了详细讨论.通过对百度百科的五大类9个子类实体数量型属性值的抽取,平均准确率和召回率分别达到71%和89%,高于基于简单搜索的方法和传统的基于词汇-句模的方法.该方法适用于开放领域的数量型属性值获取,易于获取单值属性的精确取值. 火情自动视觉检测系统的设计 针对现有智能楼宇火情自动视觉检测系统中对火情严重程度的判断功能不足,无法提供多样性信息的问题,设计并实现了智能火情多状态视觉检测系统,监控系统设计了底层控制站CCD视觉信息采集模块和DP总线信息传递模块,增加了智能火情多状态视觉检测系统的功能,利用火情检测图像的均值作为初始背景,根据不同火情事件获取背景图像进行实时更新,依据DSP处理器得到二值图上目标特征设定不同阀值,判断发生了哪类火情,对火情不同事件识别率达到了95%,适用于新一代的智能楼宇监控系统应用。 基于图形变换的人体经络可视化 提出了一种可以检测并直观表示人体经络分布的实时定位和显示方法。首先,利用多通道经络阻抗检测仪和磁定位跟踪仪确定人体体表经络点的位置,获取经络点的三维信息;其次,对场景摄像机进行标定,并将其结果和经络点的三维信息通过图形变换技术变换到统一的世界坐标系下,获取摄像机的投影矩阵H;最后,根据该投影矩阵H,将经络点三维信息投影到二维图像上,形成二维经络线,并将其融合于场景摄像机拍摄的人体体表实时图像上,实现人体经络的可视化。测试结果表明,该方法能够准确有效地定位和显示人体经络。 基于GPRS和ZigBee的远程分布式灯光控制系统 为解决城市灯光控制系统引起的耗能问题,提出一种基于GPRS(通用分组无线服务)和ZigBee的LED节能照明远程分布式智能控制系统。采用基于PWM(脉冲宽度调制调光)技术的智能控制终端,使用ZigBee无线网络进行LED灯具的终端通信,实现分布式智能控制;通过GPRS通讯模块接入GPRS网络和远程控制中心相连,实现远程智能控制。测试结果表明,该系统集成3种不同通讯模式实现LED灯光的远程分布式智能控制,可根据不同的场合灵活选择合适的控制方式;控制方便、易于扩展,具有较强的实用价值和推广意义。 IP追踪新进展 在信息化社会发展过程中,互联网的应用已成为人们最重要的通信手段.然而,在网络应用大规模发展的同时,网络安全的状况不容乐观,网络安全问题也日益突出.在众多网络安全问题当中,分布式拒绝服务攻击(DDoS)是最具威胁的问题之一.IP源追踪技术是监测和防御DDoS攻击的重要手段,能够实时隔离或阻断攻击,使得各项入侵响应措施更加准确有效,且在提供法律举证和威慑攻击者等方面具有积极作用,对于缔造一个安全可信的网络环境具有重要意义.本文介绍了近几年IP追踪算法的新进展,分析比较各种算法的优缺点,并指出IP追踪技术所面临的问题展望了其今后的发展趋势. 云计算环境下网络用户信息资源优化调度 云计算环境下对网络用户信息资源的优化调度,能够有效实现云计算环境下资源调度的优化。对网络用户信息资源的调度,需要先获得信息资源优化调度任务的总权值,并将其作为参量计算适应度值,完成对信息资源的优化调度。传统方法通过评估形同节点的性能,选取最低成本评估策略,但忽略了获得网络用户信息资源优化调度任务的总权值,导致调度精度偏低。提出基于遗传算法的云计算环境下网络用户信息资源优化调度方法。将信息资源通过虚拟化技术抽象为彼此独立的网络虚拟资源,通过考虑网络带宽、带宽利用率等参数,引入评价模型,依据网络节点情况预测任务执行速度,对不同数据资源的任务集合进行调度安排,获得资源优化调度任务的总权值并将其作为参量计算适应度值。实验结果表明,所提方法在资源调度效率与资源利用率方面具有优势。 全景视觉还原算法分析与应用 为了便于掌握海上试验进度和协调各参试专装同步操作,需要实时大范围的影像监视参试船特定区域周围360°场景的试验情况,文中采用全景视觉技术生成的全景图像获得水平360°环境信息,来满足这种大视场影像监视需求。文中分析并实现了将圆形全景图展开还原成符合普通视觉的矩形全景图的三种还原算法,并结合图像插值、无线影音、视频流采集等技术探讨了全景视觉在靶场海上试验中的具体应用,通过实物模型验证了全景视觉可较好地运用到海上试验中。 基于ASP.NET的密码学实验教学网站的实现与应用 信息安全概论中的密码学基础内容抽象、不易理解,教学难度较大。基于ASP.NET的密码学实验教学网站在辅助理论教学,降低学生学习理解难度上取得了很好的教学效果。本文从理论和实验教学实际出发,针对密码学基础理论实践教学中存在的问题,阐述系统的设计思想与实现要点,通过RSA加密通信实例介绍平台的实验设计和典型应用,并且对实验网站的进一步完善方向进行探讨。 私有云平台资源监控与优化系统 目前中大型的私有云集群通常分布在全国多数据中心且同时运行大量虚拟机实例,对其产生的大量监控数据进行实时分析与离线统计,将面临巨大的计算、存储与网络压力。为此,设计一个面向大型私有云的资源监控与优化系统。采用大数据方法对数据进行分布式计算,解决对大型私有云的监控问题,同时基于采集的监控数据,通过热迁移机制减少因集群物理资源分配不均匀导致的资源浪费。实验结果表明,该系统可以满足用户对私有云的实时监控与离线统计需求,并提升13%以上的物理资源利用率。 基于SOA和DDD的铁水联运信息平台构架设计 铁水联运是现代信息技术的直接产物,通过信息整合,在生产和流通领域的各个行业实现智能化、及时化,从而提高经济运行的时间和空间效益。由于我国集装箱铁水联运起步晚,基础薄弱,发展水平低,各实施主体自身的信息系统发展不均衡,未能实现有效对接而形成信息孤岛,对铁水一体化运输和服务带来直接影响。先进的信息整合和平台开发模式对铁水联运信息系统的发展至关重要。提出基于SOA(面向服务构架)和DDD(领域驱动开发)构架的铁水联运信息平台构建方案,与传统的电子数据交换(EDI)方式相比,SOA的ESB(企业总线)型构架更加灵活高效,实现跨系统流程整合;DDD构架能有效地建设业务系统,低耦合结构也能对测试和更新做出快速反应,具有较大的实践意义。 无动力运载器水下弹道的建模与仿真 通过研究无动力运载器水下弹道特性,确定无动力运载器在出水点处应满足的速度、角速度、姿态角及出水点位置等指标要求,从而使导弹和运载器安全分离,并确保舰艇安全。由于水下动力学环境复杂且随机,无动力运载器又属于无闭环控制,因此无动力运载器在水下受波浪、海流、射速、射深等多因素影响,使得无动力运载器水下弹道的设计十分困难。为此,对无动力运载器的进行了动力学建模,利用matlab等软件对水下弹道进行了仿真计算,重点分析了无动力运载器不同发射速度和发射深度的参数值对运载器出水速度、俯仰姿态及出水距离等因素的影响规律。结果表明:出水俯仰角随发射速度的增大而变小,随发射深度的增大而变大;出水合速度随发射速度和发射深度的增大而变大。研究结果对水下运载器整体设计和弹道设计具有一定参考作用。 群组决策的专家权重微调整方法 针对多属性群决策中专家权重的确定问题,在尽量保持数据原始信息的基础上,对专家的初始权重进行逐次微调整。依据平均差概念,对平均差系数公式做适当的修改,并且运用此公式从局部到整体逐次地对专家权重进行微调整。毕业生论文答辩情况排序的案例表明了该方法不仅实用而且简单可行。 WSN中基于分簇的模糊加权数据融合算法 为在数据收集和传输中保证数据的准确性和实时性,提出一种基于分簇的模糊加权数据融合算法(FWADF)。在簇内利用模糊逻辑控制器分析节点数据的可信度,确保数据的可信性,同时加入对数据优先级的考虑,减少网络时延。在簇间采用模糊加权矩阵方法提高数据的准确性。在NS-2仿真工具上的实验结果表明,在同等数据流量的前提下,采用FWADF算法时数据到达基站的时间延迟最短,在节点收集相同数据量的情况下,与Proposed DF、VWFFA、FIM等算法相比,基站获得数据的平均准确率分别提高5.0%、16.1%、9.5%。 基于局部重建的点云特征点提取 为了有效地提取点云数据中的特征信息,针对采自分片光滑曲面的散乱点云数据,提出一种基于局部重建的鲁棒特征点提取方法.首先基于局部邻域的协方差分析计算每个数据点的特征度量,并通过阈值过滤获取初始特征点集合;然后在每个初始特征点的局部邻域内构建不跨越特征区域,以反映该点局部特征信息的三角形集合;再利用共享近邻算法对构造的三角形法向进行聚类,得到对应局部区域数据点的分类集合;最后对每一类点集拟合平面,通过判断该点是否同时落在多个平面来进行特征点提取.实验结果表明,该方法简单、稳定,对局部邻域选取的大小不敏感,具有一定的抗噪能力;能够在有效提取显著特征的同时,尽可能多地保留相对较弱的特征. 齿轮箱状态监测中的振动信号多标度分析 利用齿轮箱振动信号的自相似性,以局域标度分析算法提取齿轮箱振动信号中包含的微弱设备状态特征信息,将局域标度指数与主成分分析法相结合,通过提取局域标度指数多元统计量的低维主分量对齿轮箱状态进行监测。实验结果证明,该方法具有较高的检测效率和准确识别率。 一种基于知识粒度的决策系统属性约简算法 本文针对决策系统给出了一种新的知识粒度模型,并给出了知识粒度下的核属性定义和分析了知识粒度模型的属性约简与正区域模型的属性约简的等价性.在此基础上利用知识粒度的重要性作为启发式信息构造了决策系统的属性约简算法.算法能够快速获取决策系统的属性约简,算例分析进一步说明了算法的可靠性. 基于PID神经网络的三自由度直升机控制 使用一种PID神经网络控制器对具有仿射非线性强耦合的三自由度直升机系统进行仿真和实时控制,效果达到预期目的。PID神经网络多变量控制具有很强的适应性,根据神经网络的BP学习算法,通过神经网络的离线仿真可以确定神经网络权值的初值。再通过在线学习可以实时地更新神经网络权值对非线性系统进行自适应控制。PID神经网络输出层之间彼此交叉,实现了三自由度直升机俯仰轴和横滚轴的解耦控制。横滚轴在水平方向上产生的力矩驱动旋转轴在水平面的旋转。把横滚轴作为输入,使用传统PID控制器使得旋转轴达到了满意的控制效果。 基于有效路径权重的XML树匹配算法 针对当前XML文档信息查询算法的不足,提出一种基于有效路径权重的树匹配算法。在保持XML文档树有效结点和树结构的基础上,树根结点信息最重要,随着树深度增加,结点信息重要性逐渐减弱的特点,按照路径层次自动计算路径权重,并赋予相应路径,根据树结点的有效信息和树结构的有效路径计算树的匹配度。在大规模XML文档查询方面,实验验证了该算法在保证较高查准率和查全率的基础上,有效提高了查询效率。 基于最小二乘修正的随机Hough变换直线检测 利用Hough变换进行直线检测时,由于直线在参数空间中的映射容易受到邻近目标、噪声以及本身非理想状态的干扰,算法中的投票过程较易出现无效累积,进而导致虚检、漏检及端点定位不准等问题。针对传统方法的上述缺陷,提出了一种基于ρ-θ域最小二乘拟合修正的随机Hough变换的直线检测方法。首先,在随机抽样时利用像素-长度比值对抽样的有效性进行判定,剔除不在直线上的抽样点对;然后,对邻域相关点进行ρ-θ域的最小二乘拟合,得到修正后的直线参数用于累加投票,投票过程中设定累加阈值,通过检测峰值点逐次检出疑似长直线;最后,通过设定断裂阈值对每条长直线进行筛选和分段,定位出直线段的端点。仿真实验表明,所提方法在投票时有效抑制了复杂环境对局部最大值的干扰,使直线检测的准确率得到显著提升。 基于非线性误差修正的智能水质检测仪的研制 根据光度法原理,设计了一种高精度的智能化水质检测仪,阐述了智能化水质检测仪的硬件、软件组成,对软件上的数据处理关键技术进行了详细的分析,采用单色LED发出特定波长的光,实现对水质参数的测量,通过分析测量过程中的主要误差干扰,提出滤除干扰和修正误差的方法,通过分析吸光度和溶液浓度的函数关系,采用曲线拟合技术建立两者之间的数学模型;实际应用表明,本仪器具有调试简单快速、测量精度高和可靠性高等特点,具有良好的应用前景。 服务器端Mashup开发平台的设计与实现 针对当前客户端Mashup应用程序存在的不足,提出一个服务器端Mashup开发平台。采用基于接口的面向对象设计方法,使平台具有良好的可扩展性,利用对象池提高平台性能,使用数据总线减少系统耦合,提高平台的灵活性。实践证明,该平台能够满足服务器端Mashup应用开发的需求。 连续多类型曲线段进给速度前瞻规划 为解决由直线、圆弧与参数曲线形成的多类型曲线段混合插补过程中的进给速度前瞻规划问题,提出一种连续多类型曲线段进给速度前瞻规划算法。该算法以预读的加工路径作为进给速度规划单元,通过曲线段划分生成连续多类型曲线段;根据插补几何误差与机床动力学参数建立段间衔接点进给速度约束;使用三次多项式型进给速度曲线,利用解析法精确计算各曲线段在曲线长度约束下的进给速度参数,以充分发挥机床的动力学性能;使用回溯重规划策略进行全局规划,实现段间速度连续性;通过进给速度规划残差补偿策略降低残余长度、提高终点精度。实例分析表明,该算法能显著提高终点精度、生成平滑的进给速度曲线、降低加工时间。通过加工实验验证了该算法有效、可行,并能充分发挥机床的动力学性能。 改进的粒子群模糊聚类算法 针对传统的模糊C-均值聚类算法对初始聚类中心较敏感、易陷入局部最优的缺点,将粒子群优化算法和FCM算法相结合,提出一种改进的模糊聚类算法。该算法利用粒子群算法的全局搜索能力代替FCM算法寻找初始聚类中心,使其跳出局部最优,实现模糊聚类。主要从反映数据集分类的类内紧致性程度和类间分离性程度的角度考虑,重新设计适应度函数。实验结果表明,提出的算法在聚类正确率和有效性指标上有更好的效果。 基于改进形态学算子的木粉边缘检测算法 针对木粉显微图像边缘复杂、细节模糊等问题,提出一种基于HSV空间目标提取和改进数学形态学多尺度算子的边缘检测算法。基于HSV空间提取目标,排除背景噪声干扰;通过最佳方向检测改进传统多尺度形态学检测算子,避免了多方向检测的权重选择,以此获得较好的木粉边缘。实验结果表明:形态学检测算子优于传统canny检测算子;改进的形态学多尺度检测算子不但取得了较好的边缘检测效果,而且提高了边缘检测精度和定位能力。 基于Lucene的搜索引擎的研究与应用 互联网搜索的精确性一直是衡量搜索引擎性能的重要标志。针对普通搜索引擎的固有缺陷,文中提出了一种应用于新闻检索的搜索引擎。该引擎是利用开源的网络爬虫工具将互联网信息抓取到本地,并利用Lucene开放的API,对特定的信息进行索引和搜索。Lucene是基于Java开发的源代码开放的全文检索工具包,具有高性能、可扩展等特性,是实现搜索引擎的核心组件。通过对Lucene的API进行分析,并在此基础上,构建了索引和搜索的模块,并对网上新闻内容进行实时地搜索。通过与普通搜索引擎对比,该新闻搜索引擎提高了搜索的精确性。 基于路径表达式的XML第四范式及无冗余性判定 随着XML应用越来越广泛,为避免冗余,XML模式设计也变成了越来越受关注的问题,因此XML规范化理论是一个重要的研究领域.类似于关系数据库,本文从数据库角度出发,以消除数据冗余为目的,研究DTD中以路径表达式为基础的基本定义;因函数依赖和多值依赖是数据语义的重要组成部分,将其引入到XML领域中,形式化定义了XML的函数依赖和多值依赖;基于XML树、函数依赖和多值依赖概念,给出了键、冗余的描述,进而在XML函数依赖和多值依赖并存情况下,提出了满足XML第四范式的条件;给出了满足XML第四范式条件的XML文档树无冗余的判定定理,并通过具体实例及实验证明了XML第四范式的有效性. 基于集成学习支持向量机的步态识别 提出一种基于Bagging算法和SVM的步态识别方法。首先应用背景差分法分割出运动人体轮廓,然后将人体分为多个可变区域,并通过计算获取特征向量。采用SVM分类器进行分类识别,为了提高SVM的识别率,采用Bagging算法对分类结果进行分类集成,实验结果表明,该算法取得了很好地识别性能。 决策树ID3算法的分析与优化 对ID3算法的基本原理及其主要不足以及现有几种改进算法的优缺点进行了简要分析,针对ID3算法的主要不足即倾向于多值属性的选取,利用粗糙集理论和数学相关知识点对其进行了一定程度的改进。理论分析和实验结果表明,改进后的算法在一定程度上不仅较好地解决了ID3算法的多值偏向问题而且大大简化了算法的计算过程,明显提高了算法分类准确度和执行效率。 飞行器突发故障演化模型设计与仿真 飞行器突发故障是一种随机故障,常对飞行器的安全飞行造成严重威胁。为认识和揭示飞行器突发故障的演化规律,提出利用耗散结构理论对飞行器突发故障的演化机理、演化过程及演化特征进行分析和研究,根据时变熵和时变频带能量分布建立飞行器突发故障演化模型,并以飞机发动机喘振为例进行仿真验证。结果表明,耗散结构熵值的变化和时变频带能量的波动,能够反映飞行器突发故障的演化过程和演化特征。 三值逻辑证明系统及正例与反例的提取 三值逻辑模型检验是对更高层的模型抽象验证的一种方法,对其验证中常常需要给出正例和反例.为此,讨论了三值逻辑模型检验以及正例和反例的提取,并在给出一套三值逻辑证明规则的基础上形成一个证明系统;运用该系统可以证明模型是否满足某个性质;在证明过程中为存在路径量词提取正例,为全称路径量词提取反例.正例和反例的提取可给模型的细化指明方向.最后通过实例给出了该证明系统在数字逻辑电路验证中的应用. 基于组合模型的极端降水预测方法 在极端降水准确预测问题的研究中,利用数据挖掘技术建立极端降水量预测模型,为极端降水事件的分析预测和洪涝灾害的防御工作提供参考依据。为提高预测精度,采用组合预测方法,提出极端降水组合预测模型。首先根据不同权重确定方法给支持向量机预测模型和自回归滑动平均预测模型赋予适当的权重,对两个模型的预测值计算加权平均,最后得到极端降水的最终预测值。应用于江西永修站极端降水量预测,仿真结果表明,组合预测方法预测精度高,用在极端降水预测方面具有较好的应用前景。 基于邻域粗糙模型的高维数据集快速约简算法 根据粒子群优化算法的思想,给出了求解高维邻域决策表的一个约简算法SPRA。通过采用固有维数的分析方法MLE等,将其估算的维数值作为SPRA算法的初始化参数,提出了高维数据集快速约简算法QSPRA。利用5个UCI标准数据集对该算法进行了验证,结果表明,该算法是有效的、可行的。详细分析了种群规模和迭代次数对结果产生的影响。实验表明,基于核的启发式添加算法思想已经不适合求解高维数据集。 协作多点传输对网络能效性能的影响 为节省蜂窝网络中的能量并保证用户的服务质量,需要在能量消耗和延迟之间达到一个折中。采用M/G/1队列建模基站的睡眠模式,通过分析得到能量损耗和平均时延之间的关系,在此基础上,演示协作蜂窝网络的能耗函数;研究平均中断概率约束对网络能耗节省的影响,以降低网络能耗,在提高网络性能的前提下,有效控制传输时延。 基于病情自述和知识图谱的疾病辅助诊断 病情自述是网络疾病咨询中普遍的信息形式。为了从这些不规范的数据中发现隐含疾病知识和用户语义提出一种无监督学习方法构建知识图谱,并基于此进行疾病辅助诊断。从同一疾病的病情自述提取特征关键词,使用特征关键词的概率关联和语义关联构建特征关联网络。在特征关联网络中找出描述疾病时常用的特征团模式,基于特征团的语义关系构建知识图谱;从知识图谱上抽取结构化特征,利用结构化特征与病情自述文本的Jaccard系数完成病情自述的文本表示;利用SVM实现病情自述的分类识别,结果分类的微平均和宏平均都在80%以上。研究能够用于疾病结构化知识发现和用户意图分析,初步诊断病情自述疾病类型。 基于同构RBF网络的视差图像分治重建 提出一种基于同构径向基函数(RBF)网络的视差图像分治重建方法,通过可调域值和边缘检测完成视差图像的区域分割,在每个区域内采用RBF神经网络进行重建,将各个区域进行拼接,得到最终的重建结果。在不同区域运用RBF进行重建时根据各区域结构特征的繁简用不同分辨率的数据进行训练。实验结果表明,该方法可以获得高质量的重建结果。 基于多分类器组合模型的垃圾邮件过滤 针对垃圾邮件过滤中代价不对等问题,即正常邮件被误判为垃圾邮件的代价远大于垃圾邮件被误判为正常邮件,构建一种使用2层结构的组合分类器框架。对样本邮件进行预处理,使文本特征和行为特征相结合。在提高单分类器性能的基础上,对不同分类器进行组合优化,并通过反馈及时调整模型,实现高效的自学习功能。 面向多核处理器的多实例并行BGP协议模型设计与实现 开发BGP的线程级并行可以满足不断膨胀的互联网应用对协议性能的迫切需求。本文提出了一种面向多核处理器的多实例并行BGP协议模型,它以邻居会话划分为基础,借助数据并行思想实现了不同邻居会话在多个线程上的并行处理。首先,通过分析BGP协议特点,我们给出了该模型的总体框架设计,然后在Quagga BGP的基础上完成了具体实现。在Intel Xeon四核服务器上的性能测试结果显示,多实例并行BGP协议较BGP协议的性能加速均值在2.73左右,并且能够有效利用多核处理器计算资源,为改善协议处理能力提供了更大的提升空间。 链式火炮自动机结构改进及其动力学仿真研究 针对链式自动机动作的主动轮由电机驱动,链条上装有滑块,滑块能在机体的滑槽内滑动,用来带动自动机完成自动循环。等效能设计对传统链式自动武器,设计新链式自动方式,采用偏移式闭锁机构,为了射击平稳、结构简单和可靠性高,将链传动平面与自动机运动平面平行布置,提出将击发机构、抛壳机构整合到自动机体内,利用滑块解决链传动方向与自动机方向变化的问题。在UG软件上对改进的自动机结构进行三维实体建模,利用ADAMS软件进行动力学仿真和运动学验证。结果表明设计机构能够正常工作,火炮自动系统工作时更加平稳,可靠性更高。 生物地理学算法求解柔性车间作业调度问题 针对加工设备和操作工人双资源约束的柔性作业车间调度问题,建立以生产时间和生产成本为目标函数的柔性作业车间调度模型,提出基于模糊Pareto支配的生物地理学算法,采用模糊Pareto支配的方法计算解之间的支配关系并对Pareto解集排序,进行全局最优值的更新,并采用余弦迁移模型来改善生物地理学算法的收敛速度。将该方法应用于某模具车间的柔性作业车间调度中,仿真结果验证了该方法的可行性和有效性。 基于3种群Lotka-Volterra模型的种群动力学函数优化算法 基于3种群Lotka-Volterra模型构造出了可全局收敛的种群动力学优化算法。在该算法中,每个种群对应着优化问题的一个试探解;基于3种群间的每种相互作用关系,提出了相应的图形表示方法以及对应的Lotka-Volterra模型构建方法,种群间的相互作用关系包括竞争关系、互惠共存关系、捕食-被食关系或者它们间的任意组合;3种群间的每种相互作用关系均对应着一种种群进化算子,该算子的数学表达式就是其对应的Lotka-Volterra模型的离散化表达式;另外,为了求解更复杂的优化问题求解,将种群融合、突变和选择等行为也构造成操作算子。所有算子的特性可以确保整个种群的适应度指数要么保持原状不变,要么向好的方向转移,从而确保了算法的全局收敛性;在种群演变过程中,种群从一种状态转移到另一种状态实现了种群对优化问题最优解的搜索。应用可归约随机矩阵的稳定性条件证明了本算法具有全局收敛性。测试结果表明本算法是高效的。 接收与处理分离的实时大数据处理模型 在大数据处理过程中,系统必须有非常高的数据处理效率。为了满足对大数据实时、高效、稳定处理的需求,提出了一种接收与处理分离的数据处理模型。该数据处理模型由数据接收单元、内存数据库、原始数据分发单元、数据处理单元、处理数据分发单元、数据归并单元组成。接收单元负责接收、整合结构化数据与非结构化数据,把每条完整的数据放入内存数据库中;分发单元从内存数据库中检测获取数据,按照海量数据负载均衡算法把数据分发到数据处理单元;数据处理单元处理数据,处理结果放入内存数据库;处理数据分发单元继续从内存数据库中获取处理后的数据,并按照海量数据负载均衡算法把数据分发给数据归并单元。实验证明,使用该模型方法,系统保持了非常高效的处理效率。 基于跨层议价博弈的认知无线电网络资源优化分配策略 如何合理分配有限的频谱资源是认知无线电网络的核心问题之一.通过对物理层功率控制和MAC层带宽分配需求的研究,建立以最大化系统吞吐量为目标的跨层优化模型.并在该模型的基础上设计一种基于跨层议价博弈的带宽与功率分配算法(Bandwidth and Power Allocation Algorithm-Cross Layer Bargaining Game,BPAA-CLBG).仿真结果表明该算法可以有效地提高认知无线电网络的频谱利用率,且在系统吞吐量方面较现有算法有明显的改善. 基于模糊逻辑的无损视频压缩的算法 数字视频领域对高质量视频的需求使得无损压缩的应用范围越来越广,为此设计了基于模糊逻辑的无损视频压缩算法。该算法采用基于模糊逻辑的方法计算相邻帧的分块间的相似性以及分块的块内相似性,来确定时间预测与空间预测的选择,时间预测中定义了一种新的运动估计的匹配准则,最后通过相似度估计Golomb编码的最优参数,在无须复杂统计模型的情况下实现低复杂度、快速高效的Golomb编码。实验结果表明,与无损压缩算法JPEG-LS相比,在压缩率上有很大提高。 能耗均衡的无线传感器网络无标度容错拓扑模型 网络生命期是衡量无线传感器网络性能的重要指标,无标度拓扑对节点随机失效的强容错性在一定程度上能够延长网络生命期,但是其拓扑结构的不均匀会造成网络能耗的不均衡.为此,针对无标度拓扑能耗不均衡导致网络生命期缩短的问题,该文考虑节点剩余能量和节点间距离,建立能耗模型,根据此模型评估网络的生命期,分析节点能量和节点间距离对网络生命期的影响,得出网络生命期与节点能量正相关,与节点间距离负相关的关系.将此关系应用于无标度拓扑演化规则的择优连接机制中,提出一种能够均衡网络能耗的无线传感器网络容错拓扑动态演化模型.在建模过程中,将节点剩余能量与节点间距离的比值作为适应度函数,并考虑网络拓扑变化时存在的链路增减的动态行为,利用平均场理论分析此演化模型节点度分布的幂率特性,最终得到一个具有无标度特性的容错拓扑.在MATLAB仿真平台下,分析由所提演化模型形成拓扑的度分布形式,验证出该拓扑的度分布服从幂率特性.同时,将此模型与其他经典模型进行网络性能对比分析,验证出该模型不仅能够均衡节点和网络的能量消耗,延长网络的生命期,还能够增强网络的容错和容侵能力. 基于边缘信息C_V模型的医学图像分割方法 图像分割是医学处理中的重要研究内容之一,提出一种基于边缘信息的改进的C_V模型的医学图像分割方法.在模型中增加了表征边界特征的项,利用图像的边界信息与区域信息为分割服务,克服了传统C_V模型不能利用图像的梯度信息的不足.并对C_V模型的区域信息项进行了改造,改变了传统C_V模型中均值取值的定义,提高了对灰度层次丰富的图像分割能力.增加了距离函数惩罚项,将距离函数重新初始化的过程并入整个水平集框架模型中,极大地提高了曲线演化与分割速度.实验表明该模型是有效的医学图像分割方法. 结合密集神经网络与长短时记忆模型的中文识别 文本图像识别是计算机视觉领域一项重要任务,而其中的中文识别因种类繁多、结构复杂以及类间相近等特点很具挑战性.为改善这一问题,使用文本行端到端的识别模型.首次提出利用密集卷积神经网络(DenseNet)提取文本图像底层特征,同时避免手工设计、统计图像特征的繁琐;将整行图像特征直接送入双向长短时记忆模型(BLSTM)进行局部相关性分析,减少字符定位分割这一步骤;最后采用时域连接模型(CTC)解码获得识别的文本信息.实验表明所提出的模型可以高效的进行图像文本行的识别,并对图像的多种形变具有较好的鲁棒性. 运动员动作三维视觉图像准确识别仿真研究 在对运动员动作三维视觉图像准确识别的研究中,为纠正运动员错误动作,提高运动员的训练质量,通过对完整的动作图像边缘轮廓特征进行提取,是进行动作三维视觉图像识别的关键。而传统算法只能对人体动作的局部线条进行重构,不能获取完整的轮廓特征,降低了动作三维视觉图像识别的精度。提出采用轮廓波域边缘检测的运动员动作三维视觉图像识别方法,并将其应用到运动员错误动作的识别中,首先对人体运动动作进行视觉重构和特征分析,采用边缘检测算法进行边缘轮廓特征提取,实现运动错误动作三维视觉识别方法的改进。仿真结果表明,采用上述方法能有效实现运动员动作三维视觉图像的识别,提高运动员动作的修正和判断能力,错误动作识别准确度较高。 微服务器集群架构的绿色云计算平台 "随着计算机和网络技术的发展与普及,数据中心作为计算机和网络集中安装运行的单位,已经成为一个独立的行业并具有完整的业务模式.由于数据中心是将成千上万的计算机集中在密封的环境中运行,IT设备以及降温空调的耗电成本占数据中心运营成本的50%以上.巨大的耗电量也限制了数据中心的建设规模.因此绿色节能机房成为了当前数据中心的必然选择.目前,降低能耗的方法大部份停留在外围设施上,没有触及核心耗电的服务器部分.从降低服务器能耗出发,以太阳能为主供电源,再到优化配电系统,提出完整的数据中心建设解决方案,论述其原理并提出了""绿云技术""的技术标准和""绿云房""建设规范.最后通过测试结果和试运行情况验证了整体绿色性能." 欢迎订阅2016年《计算机工程与应用》 "[正文]中国科学引文数据库(CSCD)来源期刊、北大中文核心期刊、中国科技核心期刊、RCCSE中国核心学术期刊、《中国学术期刊文摘》首批收录源期刊、《中国学术期刊综合评价数据库》来源期刊,被收录在《中国期刊网》、《中国学术期刊(光盘版)》、英国《科学文摘》(SA/INSPEC)、俄罗斯《文摘杂志》(AJ)、美国《剑桥科学文摘》(CSA)、美国《乌利希期刊指南》(Ulrich’s PD)、《日本科学技术振兴机构中国文献数据库》(JST)、波兰《哥白尼索引》(IC),中国计算机学会会刊、计算机工程与应用学会学报,中国期刊方阵双效期刊、中国精品科技期刊、工业和信息化部精品期刊、中国国际影响力优秀学术期刊、中国""百强科技期刊""、中国""期刊数字影响力100强""" 基于环分块的能耗均衡分簇路由算法 针对无线传感器网络(WSN)中节点能耗不均衡和能量效率低而影响网络生命周期的问题,提出了基于环分块的能耗均衡分簇路由算法(EBCR-RP)。首先,计算网络能耗最低的单跳距离,并将其作为环间距;然后,优化每环的簇数目,并对每环进行均匀分块,且在每块中选取能量最高的节点担任簇头,以均衡网络能耗;最后,设计传输代价函数,搜索簇头和汇聚节点之间数据的最佳传输路径,以提高网络能量效率。仿真结果表明,EBCR-RP与模糊理论簇形成协议(FLCFP)和改进的非均匀分簇路由(IUCR)算法相比,网络的生命周期分别延长了51. 4%和8. 6%。EBCR-RP能够有效地延长网络生命周期,均衡网络能耗,提高能量效率。 融合约束采样和面部对齐的稀疏表示人脸识别 针对传统的稀疏表示分类算法中面部对齐受限而影响人脸识别率的问题,提出一种基于约束采样和面部对齐的稀疏表示分类算法。首先通过使用约束采样对训练图像进行预先标注得到固定脸特征;然后结合图像的纹理信息和形状特征进行面部对齐及特征提取;最后计算出测试样本与各个训练样本之间的相似度,利用稀疏表示分类器完成人脸的识别。在AR、CAS-PEAL及扩展YaleB人脸数据库上的实验验证了算法的有效性及鲁棒性。实验结果表明,约束采样和面部对齐的组合大大提高了人脸识别率,相比几种较为先进的鲁棒人脸识别算法,该算法取得了更好的识别效果。 错构瘤性息肉病变的CT小肠造影表现及病理基础 "目的:探讨Peut-Jeghers综合征(PJS)、Cronkhite-Canada综合征(CCS)和孤立性错构瘤性息肉的CT表现及影像病理联系。方法:回顾性分析经手术病理证实的27例胃肠错构瘤性息肉病(包括11例PJS,3例CCS和13例孤立性息肉)的MSCT表现,并与病理进行对照分析。结果:PJS和CCS息肉均为多发,累及消化道多个部位。孤立性息肉数量为1~4个,多累及结肠。息肉可有蒂或无蒂,小息肉表面多光整,密度均匀。大息肉表面不光整,呈分叶状,密度欠均匀。增强扫描息肉强化明显,出现沙砾状、点状低密度区5例,""树枝状轴心""7例,供血动脉增粗并进入息肉内5例,合并肠套叠PJS10例,孤立性错构瘤2例。PJS肠套叠的发生率高于CCS和孤立性息肉。结论:胃肠道错构瘤性息肉病的CT表现具有一定特征性,结合临床病史,有助于提高诊断准确率。" 基于Petri网的移动IPSec快速切换的建模与分析 使用IPSec对移动网络中的数据进行封装是保证无线网络传输安全的有效方法.这里提出了一种移动IPSec的快速切换模型,该模型通过减少移动节点在链路切换时重建IPSec隧道的过程消耗来降低IPSec与移动IP通信切换的复杂度.使用Petri网对该模型建模,利用Petri网分析器验证了模型的可行性,同时分析了移动节点在进行链路切换时易于遭受的两种攻击:拒绝服务攻击和重放攻击,并分别对攻击过程建模,验证了移动IPSec的快速切换模型对这两种攻击的防御能力. GCC中内嵌函数实现剖析 GNU编译器集合(GCC)具有支持多种高级语言和多种目标处理器平台、文档及源代码开放等的特点,在工业界和学术界被广泛使用。GCC支持非常多的内嵌函数,内嵌函数是GCC编译器中非常重要的一部分实现。首先分析GCC中多种内嵌函数的目的和作用;之后结合实际工作,以使用向量扩展指令的内嵌函数实现为例,剖析了平台相关的内嵌函数的实现过程。本工作对深入理解GCC编译器中的内嵌函数实现机制,对基于GCC的研究和开发有较强的参考意义。 基于过程集成优化的复杂曲面数控铣削性能分析 针对复杂自由曲面变曲率、大扭曲的特征及其铣削性能难预测的问题,提出加工过程的集成优化的切削性能分析方法,在曲面多轴铣削工作流程中综合评价和提高切削加工效率和质量。建立了自由曲面体零件多轴加工集成优化铣削模型,集成切削加工刀位轨迹计算、切削仿真与机床运动仿真、切削力预测、工艺参数优化工作流程及其输入输出文件,实时从输出文件中解析提取计算结果参数,有效分析预测切削参数与切削力对加工效率和质量的影响,实现复杂自由曲面铣削过程的集成与全局优化。将该方法应用于大型混流式水轮机叶片的数控铣削性能分析,并与生产数据进行对比,进一步验证了所提加工过程集成优化方法可有效分析和预测大型自由曲面的数控铣削性能。 基于谱线插值FFT谐波电流检测的研究 提出了新型谱线插值FFT的谐波电流检测新方法,用于改善有源电力滤波器(APF)谐波检测的实时性和可靠性。新型谱线插值FFT主要是对检测波进行加余弦偶次幂窗,利用抽取幅值最大的频率附近的3根离散频谱确定谐波谱线的准确位置,可以得到谐波的幅值、相位和频率。基于该算法,通过多项式拟合的方式,提出了谐波修正的实用公式。仿真结果表明,该方法能够很好地抑制频谱泄露和栅栏效应,跟踪基波频率的变动,提高谐波的检测准确度。 车位图像畸变的矫正与拼接研究 为了实现停车场空车位的快速检测和查询,提出一种车位图像畸变的矫正与拼接方法,将相邻摄像头拍摄的具有一定重叠区域的视频图像拼接为一幅能够全面描述停车场车位信息的大视场图像,从而为停车场空车位的快速检测做准备。由于停车场摄像头安装的视角偏移和透视效应,造成拍摄的图片存在纵向和横向畸变,为了直观地显示整个停车场各个车位的占用、空闲信息,同时也为了方便车辆管理,先将各个车位逐一矫正成同视角图片,然后再进行拼接,从而便于用户直接观察停车场中的空车位,也有利于需要自动检测车位时进一步进行图像处理。 REAL:面向可靠性评估的软件架构描述语言 为提高软件质量减少软件开发成本,从软件可靠性评估的角度出发,对软件架构描述语言进行了研究,提出了一种基于XML和软件可靠性评估的软件架构描述语言(reliability evaluation oriented architecture description language,REAL),主要目的是用于描述软件架构以便进行给予架构的软件可靠性评估。REAL重点关注软件架构的构成关系及各组件、子架构的可靠性描述和计算。同时,实现了基于REAL语言的编译系统,并通过实例进行了测试。 问答类社区用户持续知识贡献模型构建 "作为以知识分享为主要目的的社交网虚拟社群,问答类社区面临的最大挑战是用户是否愿意持续回答问题并贡献知识。基于""认知—情感—意向—行为""框架,以社会交换理论为基础,构建了问答类社区用户持续知识贡献行为的理论模型。利用结构方程模型对问答类社区中有回答他人问题经历的用户进行了实证分析,结果表明,用户在问答类社区中的持续知识贡献行为遵循了从认知到产生情感,然后萌生意向,再到最后采取实际行为的过程;回答问题的习惯在持续知识贡献意向与行为之间起到了明显的调节作用。研究结果丰富了虚拟社区用户行为理论的研究,对问答类社区的可持续发展具有重要意义。" PKI技术在空间信息网中的应用 介绍了空间信息网的结构,分析了其特点以及面临的安全威胁,提出了要达到的安全目标。将分层式PKI技术应用到空间信息网中,构建了一种多层次的CA模型,其达到了机密性、认证性、完整性、不可否认性等安全目标。 基于MSP430F149的弹匣计数器设计 针对当前现役步枪无法准确直观掌握弹匣内剩余弹药量问题,设计一种以MSP430F149单片机为核心,检测弹匣内剩余子弹数量的设备。弹匣内子弹的增减引起弹簧位移的变化产生电信号到MSP430F单片机,单片机对信号进行处理并实时传送到显示屏进行显示。试验表明,该设备检测精度高、操作方便、不影响枪械正常功能且制作费用低。 基于ZigBee的修正加权质心定位算法研究与实现 通过研究无线传感器网络中RSSI(Received Signal Strength Indicator)测距误差与距离的关系,对加权质心算法存在的不合理因素进行改进,提出修正方法。改进后的算法使加权因子的选择更加合理,RSSI信号易受环境(障碍物,多径等)的影响,所以针对具体实验环境建立信号传播模型函数使测距更加准确,利用CC2430搭建实验平台验证算法的可行性。用Matlab对实验数据进行质心算法,加权质心算法和修正加权质心算法误差分析,数据仿真显示,修正后的加权质心算法算法提高了定位精度,能满足基本的定位要求。 无线传感器网络中top-k连接查询处理 无线传感器网络是物联网核心组成部分之一,数据查询处理是无线传感器网络中很重要的一个研究领域.连接查询能在不同的位置监视相似的网络环境,top-k连接查询能进一步得出组合得分最大(或最小)的k个相似网络环境.top-k连接查询根据得分函数计算匹配结果的组合得分,并报告组合得分结果最大(或最小)的k个匹配节点对.文中提出了基本top-k连接算法BTJQ.该算法首先按照得分属性值从大到小对所有元组排序,然后依次取出元组,产生连接结果.对每个连接结果按照得分函数计算组合得分,如果满足停止条件,则停止取元组,并输出连接结果.在BTJQ基础上,作者提出了集中式top-k连接算法CTJQ和优化的集中式top-k连接算法OCTJQ.针对特定应用场景,作者进一步提出了分布式top-k连接算法DTJQ.最后,在真实数据集上验证了各算法.实验结果表明,文中算法好于经典连接算法SENS-Join. 基于嵌入式Linux的数控机床远程监控 为实现数控机床的网络化管理,针对目前一些老式机床无远程监控平台的问题,提出了基于嵌入式Linux的数控机床远程监控系统的设计与实现方案。该方案采用嵌入式Linux与ARM处理器为平台,软硬件结合,完成车间级监控主机与局域网内各机床之间的通信,并利用嵌入式数据库对机床状态信息进行实时备份,达到对局域网内多台机床进行集中监控管理的目的。该方案的智能控制模块可根据接收到的机床报警信息,向机床发送相应的控制命令,保护机床正常运行;车间级监控主机还可直接接入Internet,以便将机床的数据发送到远程进行故障诊断。实验结果表明,该方案可以有效的对老式机床进行集中监控,实现了数控机床的网络化管理。 基于Camera Link的串行图像采集系统设计 在设计测试系统时,要求高速、可靠地传输大量的图像信息至上位机进行存储和处理。采用LVDS或HOTLink信号格式,将远程CCD采集的图像信号进行串行传输,由FPGA乒乓操作进行缓存,通过CameraLink接口,将图像数据以串行方式高速传输至图像采集板卡PXI-1428。实验中以150fps的速度连续采集128×130大小的串行LVDS图像或500fps的HOTLink图像,最大传输速率达到320Mbps。实验结果表明,CameraLink是实现高速串行图像传输的便捷途径。 物联网体系结构与实现方法的比较研究 "构建物联网设计与实现的统一方法是目前物联网研究领域亟待解决的问题之一.物联网体系结构是设计与实现物联网系统的首要基础.文中从功能角度将目前已经提出的物联网体系结构分为""后端集中式""和""前端分布式""两种类型,并以水平性、可扩展性、环境感知性、环境交互性和自适应性等为指标对这两类体系结构进行了比较分析,指出了USN和IoT-A具有更多符合物联网特征的属性,对未来物联网的设计与实现具有更好的参考价值.文中还从物品连接模式、通信协议和服务机制3个方面对这两类物联网体系结构指导下的物联网实现方法进行了分层归纳,总结了目前建立后端集中式和前端分布式物联网系统的常用方法.最后还指出,为形成物联网设计与实现的统一方法,未来还需要在物联网体系结构的形式化说明与验证、物联网服务机制的实现方面,深入开展一些研究工作." 小波融合的乳腺图像增强方法 针对医学乳腺图像特殊的结构特性和密度特性以及单一的图像增强方法局部和细微细节增强能力不足的缺点,提出了一种既能保留边缘细节信息,同时也能增强乳腺组织和钙化点的新方法。该方法先对原始图像分别进行直方图均衡化增强和高通滤波增强,然后再将这两个增强的图像进行小波分解,最后融合成一幅图像。实验显示,融合后的乳腺图像大大改善了图像的视觉效果,说明了该方法的有效性。 基于模糊蚁群收敛控制的通信网络路径优化 对通信网络路径进行优化,在提高通信网络利用率方面具有重要意义。传统的通信网络路径进行优化时,采用蜂群信息素释放追踪方法,随着蜂群个体中的扰动的变化,确定通信路径,导致路径选取精度差,效率低的问题。为此提出基于模糊蚁群收敛控制的通信网络路径优化算法。构建蚁群算法数学模型,采用重采样测量方法在通信网络路径规划过程中获取信息素浓度差异特征,引入基本蚁群个体滤波算法进行邻域变化调整,在通信网络动态决策范围内,得到每个个体避障的目标函数,实现模糊蚁群收敛控制,优化通信网络路径。仿真结果表明,采用改进的法进行通信网络路径优化,具有较好的通信性能,收敛性较好,误差降低,应用价值较高。 制造物联的内涵、体系结构和关键技术 为了探讨当前制造物联的定义、体系结构和关键技术等问题,通过分析现有制造模式,给出了制造物联的定义与特征;探讨了制造物联体系结构和关键技术,分析了制造物联与智能制造和云制造的关系,并展望了制造物联未来的发展前景。 基于直觉模糊熵的粒子群多目标优化 针对现有多目标算法存在的收敛性不强、分散性不高等问题,提出了一种基于直觉模糊熵的粒子群多目标优化算法(IFEMOPSO)。首先,计算出种群的直觉模糊熵(IFE),作为衡量种群在多目标空间下多样性的测度;其次,设计基于IFE的惯性权重动态变化、外部档案调用以及变异操作等3种增强算法探索力度的策略,建立了直觉模糊多目标规划模型,有效地提高了群体进化过程中的多样性,防止了算法陷入局部收敛;最后,仿真结果表明,所提算法很好地提高了所得非劣解集的收敛性和分散性,有效地解决了多目标优化问题。 基于遗传搜索算法热轧合同编制优化仿真研究 为解决钢铁企业多品种、小批量的热轧合同编制优化问题,针对规模大、约束复杂难以建模及求解等难点,以半旬为基本时间单位,在考虑各钢种炼钢能力、轧制能力等约束条件的基础上,建立以合同的提前期、拖期惩罚最小,各工序产能利用均衡,相邻排产合同的工艺约束惩罚费用最小以及各半旬的炼钢余材最少为优化目标的0-1非线性整数规划模型。由于所建模型具有多旅行商问题结构的特征及模型中约束条件复杂、数据规模较大,采用分段整数编码和启发式修复策略的遗传搜索算法进行求解。通过对实际生产数据进行仿真,验证了所提模型和算法的有效性,为科学合理地编制热轧合同计划提供了有效的解决方法。 基于质量引导的InSAR快速相位解缠方法 针对处理大型In SAR相位数据,由于传统质量引导的相位解缠方法在解缠过程中要进行大量的排序操作,其解缠效率非常低,提出一种索引分段堆排序相位解缠方法。通过结合传统质量图的优点,将QPDVC作为质量图,并利用索引分段堆排序法将大型相位数据分成多个小堆,从而节省了堆排序过程中调整为最小堆的时间。与传统方法相比,提高了解缠精度和效率。最后,通过相关实验数据仿真证明了该方法的高效性和可行性。 高效节能的能量异构传感器网络数据聚合协议 介绍了一种有效地用于能量异构传感网络的数据采集协议EDGA。EDGA在能量最小化的网内通信和均衡能量负荷等性能上有很好的表现。它通过基于权重选择概率选取的簇头获得了更好的处理能量异构环境的能力,并且利用一种简单但有效的方法解决了簇内的面积覆盖问题。仿真结果表明,该EDGA方法在能量异构无线传感网络的数据采集应用中比LEACH和HEED等方法有明显的优势。 堆栈型虚拟机代码入口点定位方法研究 在采用虚拟化技术保护的程序中定位x86代码和虚拟机代码的临界点是实现被虚拟机保护代码自动化提取和还原的前提,目前尚不存在能有效实现虚拟机代码入口点定位的方法。针对该问题,构建一个程序状态转移模型,并在此模型的基础上,提出基于栈监控的自建栈型虚拟机代码入口点定位方法和基于寄存器值聚类分析的复用栈型虚拟机代码入口点定位方法,有效解决了虚拟机代码入口点的定位问题。实验结果表明,该方法能有效实现堆栈型虚拟机代码入口点的准确定位。 浏览器缓存污染防御策略 浏览器缓存主要用于提高用户对网络资源的请求速度,然而攻击者可以通过中间人攻击等方式实施缓存污染攻击。传统的缓存污染防御策略无法全面覆盖各种攻击方式,为此提出一种可调控的浏览器缓存污染防御策略。这种策略部署于用户与服务器之间,对用户所请求的缓存资源进行随机数判断、请求相应延时判断、资源代表性判断、哈希验证和众包策略,可以有效防御浏览器缓存污染问题。实验选取200个JavaScript资源文件作为实验样本,利用中间人攻击的方式污染其中100个样本,在访问这些资源的同时启用防御脚本,分析污染样本的检测率和正常样本的误判率。实验结果表明,在松弛条件下,污染样本的命中率达到87%,正常样本误判率为0%;而在严格条件下,污染样本的命中率达到95%,正常样本误判率为4%。同时所有实验样本的请求响应时间差分别为5277ms和6013ms,均小于全部重新加载资源的时间差,在防御了绝大部分的受污染资源的同时还缩短了用户访问的时间。该策略简化了缓存污染攻击防御的流程并可以通过不同的参数在用户体验性和安全性中取得平衡。 基于Raptor码的视频多播跨层优化算法 在第4代移动通信中,人们更加关注移动宽带网络传输的服务质量(QoS),尤其是多输入多输出(MultipleInput-Multiple-Output,MIMO)系统下的视频多播方面。提出了一种基于Raptor码的视频多播跨层优化算法,通过Raptor码和其他纠错码的包误差率的对比分析,选择合适的Raptor码率和调解编码方案(MCS)模式进行优化组合,在增大系统吞吐量的同时可以提高信道资源(时隙)利用率。仿真实验表明,该算法在下行链路的吞吐量至少增加了28%,节约了至少18%的信道资源;在SNR=11.5时,BUS序列的客观重建质量(PSNR)的性能增益可达到4dB以上。 Vague集在多传感器信息融合中的应用 采用Vague集来表达传感器的模糊测量信息,提出了一种基于Vague集的多传感器信息融合方法。该方法利用Vague值的记分函数,构造传感器测量值的有序加权平均对,再结合诱导有序加权平均算子确定传感器的权重,根据综合记分函数给出了信息融合方法。仿真实例验证了方法的有效性和具有较高的可信度。 基于视频深度学习的时空双流人物动作识别模型 深度学习在人物动作识别方面已取得较好的成效,但当前仍然需要充分利用视频中人物的外形信息和运动信息。为利用视频中的空间信息和时间信息来识别人物行为动作,提出一种时空双流视频人物动作识别模型。该模型首先利用两个卷积神经网络分别抽取视频动作片段空间和时间特征,接着融合这两个卷积神经网络并提取中层时空特征,最后将提取的中层特征输入到3D卷积神经网络来完成视频中人物动作的识别。在数据集UCF101和HMDB51上,进行视频人物动作识别实验。实验结果表明,所提出的基于时空双流的3D卷积神经网络模型能够有效地识别视频人物动作。 基于Nios Ⅱ的光纤捷联惯导系统数据采集模块设计 针对光纤捷联惯导系统中采用传统技术的导航计算机需重复设计且效率低的现状,提出了一种基于NiosⅡ的光纤捷联惯导数据采集及预处理实现方案;运用verilog HDL语言完成了对光纤捷联惯导系统中挠性加速度计和光纤陀螺仪的数据采集功能IP核设计,采用SOPC(可编程片上系统)技术定制了Nios II软核处理器,并实现16阶FIR低通滤波器的设计;通过对捷联惯导系统的静态采集实验,验证了数据采集功能IP核的准确性和FIR低通滤波器滤除部分噪声的有效性。 基于ZigBee的文件传输研究 为了实现成本更低、功耗更小、不受环境限制、可以自组网实现数据传输的短距离无线通信技术,利用Zig Bee技术的低成本、低功耗、低速率、短距离的特性,文中提出了一种基于Zig Bee的文件传输技术,实现了两台计算机间文件传输的功能。介绍了系统的整体结构以及各个硬件节点的设计和各个模块软件程序的设计,以Zig Bee无线网络作为连接枢纽,将发送端计算机和接收端计算机连接起来,当数据量大时就要通过压缩、分包、合并、解压和停止等待协议等来确保数据的正确传输。通过实验测试,该系统可以可靠地传输文件,很好地满足了当数据传输量不是特别大时,追求成本最小化的应用需求。文中也对文件传输的关键技术进行了详细的介绍,当数据量不是很大时,对实现数据通过Zig Bee网络传输,具有一定的研究意义和实用价值。 业务对象平台无关模型建模方法及其完备性研究 为支持业务对象平台无关模型的设计,以支撑模型驱动的企业应用软件开发,扩展了业务对象概念的语义范畴和粒度,在可互操作可配置可执行的模型驱动体系结构研究的基础上,给出了业务对象形式化定义和业务对象的平台无关模型。从基本构成要素(数据集、操作集、状态集及其间关系)的角度,给出支持业务对象模型的语义完备性约束。实践表明,研究成果可为大粒度业务对象平台无关建模提供基础的理论支撑。 以Affordance和功能为共同基础的功能结构图方法拓展 "当前功能结构图方法仅以意图域概念""功能""为基础,但同时暗含从现实域出发的考虑,这造成了复杂度耦合,使得绘制过程难以下笔。为解决这一问题,吸收现实域的Affordance概念与功能概念共同作为方法基础,并基于这两个概念将功能结构图方法的绘制分解为:从功能维度逆向思考""人想要发生什么""的倒逼功能结构图,以及从Affordance维度顺向思考""在其环境下可能发生什么""的Affordance功能结构图,从而完成复杂度解耦,得到改良功能结构图方法。该方法解除了绘制过程中的思维混乱,降低了绘制门槛,提高了实用性。" 基于TextRank的文本情感摘要提取方法 为了能够快速准确把握评论文本的核心情感内容,提出一种融合多特征的TextRank中文文本情感摘要方法。通过构建TextRank文本网络图,迭代计算得到句子权重;应用句子的情感特征和自身特征修正句子权重,生成粗文摘;提取情感摘要。实验结果表明,该方法能够有效地识别文本中的情感主题句,在ROUGE-1、ROUGE-2和ROUGE-W指标上均有不错的效果。 基于遗传算法的最优城市垃圾收运路线探究 通过对垃圾收运路线的分析,可归结为组合规划问题。针对目前垃圾收运路线多采用TSP模型,建立了改进的遗传算法的垃圾收运优化模型,并运用计算机编程和搜索技术进行研究,并结合实例给出参数对运输路线进行计算机仿真。分别以垃圾收运车程最短和垃圾收运时间最少建立目标函数,并挖掘出约束条件,建立垃圾收运路线的数学模型。对传统的遗传算法进行改进,采用赌论策略选择个体进行遗传交叉操作,来求得目标函数的近似全局最优解。经实例仿真证明,建立的模型是合理的,表明赌论策略的遗传算法适合于复杂的垃圾收运路线的优化,运行收敛速度快,为优化路线提供了参考。 基于WebGIS的食品安全监管系统设计与实现 针对近年来食品安全事故频繁发生,食品安全问题日益突出,现有的食品安全监督管理方式日益不能满足社会大众要求的问题,本文提出将地理信息系统应用到食品安全领域,结合Web技术设计实现南昌市食品安全监督管理系统,解决目前执法人员少而被监管企业多的问题,提高食品安全监管效率,实际运行效果令人满意。 椭圆曲线窗口标量乘法的研究与Delphi实现 椭圆曲线加密算法是一种非常流行的方法,影响椭圆曲线算法执行效率的因素有很多,标量乘法就是一个重要因素,椭圆曲线标量乘法的方法很多,文中主要研究了NAF和NAFw的基本原理和算法,最后在VB环境下实现了椭圆曲线窗口标量乘法。 飞行器转向时的航迹起始算法 在飞行器转向优化控制的研究中,传统的逻辑法在飞行器高速机动转向时因外推点与真实量测点距离偏大且跟踪波门偏小而丢失航迹真实量测点,从而导致雷达对观测目标航迹起始的失败。为了解决传统航迹起始算法所存在的问题,提出了采用修正外推点的方位角和放大的跟踪波门的改进的航迹起始算法。与传统算法相比,改进算法能够使目标的真实量测点更准确地落在雷达跟踪波门内。仿真比较和性能分析表明,上述算法对于提高飞行器等高速目标转向时航迹起始的正确率是有效和可行的。 DBA~2COR:一种适合协同网络QoS路由算法 协同网络QoS路由是当前研究的一个热点.针对启发式算法在路由选择过程中,由于算法收敛和资源更新较慢,容易造成单一节点负载不均衡,不能有效提供QoS路由,提出一种适合协同网络QoS路由算法(DBA2COR),该路由算法在应用层和传输层之间设置智能服务路由节点,并在节点上部署启发式算法,自主构建路由选择模型,从负载均衡角度解决启发式算法收敛较慢而导致负载不均衡问题.实验表明,本路由算法自适应性和扩展性较好,在负载均衡方面,DBA2COR使得系统资源分布更加均衡;同时在路由选择方面,DBA2COR相比传统的路由算法,能提供更好QoS路由. 超声波泥水密度检测影响因素的研究 针对于优化超声波泥水密度检测准确性的问题,研究了泥水密度检测过程中信号参数和过程参数对检测的影响,信号参数包括超声波发射频率和幅值,过程参数包括泥水的温度和压力。根据超声波与泥水密度之间存在的函数关系,通过研究各因素对超声波衰减率的影响,来间接得知它们对检测的影响。利用有限元分析软件ANSYS仿真了超声波在检测时不同频率、幅值、温度和压力情况下穿过泥水的衰减率,分析了在不同参数的情况下超声波衰减率的变化,并拟合出相应的曲线。仿真结果表明:超声波衰减率与超声波的发射幅值无关,而与超声波发射频率、温度和压力有关,并在实验上验证了温度对超声波衰减率的影响,为水泥密度准确检测提供了依据。 基于视觉技术的三维指尖跟踪算法 基于手势的实时人机交互(HCI)在虚拟现实领域有着重要的理论和应用价值.通过双目摄像头,使用立体视觉技术可以实现指尖在三维空间的跟踪定位,进而实现指尖和虚拟空间三维物体的实时交互.这种技术可以实现三维鼠标以及用于虚实交互的三维游戏中.提出一种阈值结合混合多高斯的BGS算法,用它来得到手的区域,然后通过手轮廓K向量和手中心到指尖的距离判定指尖位置,再利用标记对摄像机进行标定,根据标定参数和两个图像中得到的指尖位置,重建指尖点三维坐标,最后在三维空间实施Kalman滤波来平滑指尖点轨迹并预测前景分割的范围.实验结果表明算法是有效的. 移动容迟传感网络拓扑覆盖建模与统计特征 移动容迟传感网络的拓扑覆盖研究对于相关工程系统设计具有重要意义。针对当前研究没有很好地刻画区域动态覆盖特征的问题,提出基于排队网络的建模方法。进而利用随机几何学和排队论方法,以定理形式提出关于二维平面上区域覆盖的首达时间、覆盖度分布等统计特征的理论结果。结合典型移动场景,仿真验证了上述结果的合理性和应用价值。 基于SC的多核处理器并行仿真机制的研究 针对SystemC(SC)原有串行仿真内核无法充分利用多核处理器的处理能力问题,提出了一种基本SC的多核处理器并行仿真方案.新方案充分利用多线程操作系统及线程池技术的并行处理能力,通过改进SC原有串行内核的线程调度方式,对其底层仿真过程进行改进,使改进后的SC能够更好地利用多核处理器的处理能力加速仿真模拟过程.此外,新方案还对原有SC仿真过程及框架进行了分层处理,从而简化了仿真系统内部的模块相互之间的连接及其数据传输,缩短了仿真系统的建模及处理时间,大幅提高系统的仿真效率. 头颈联合CT血管造影在头颈动脉狭窄中的应用 目的:探讨标准化头颈联合CTA检查在头颈动脉狭窄中的应用价值。方法:应用64层螺旋CT,对拟诊颅、颈动脉疾病的35例患者进行头颈联合CTA检查,均以九位法及自动旋转法来显示动脉的位置,对两种方法显示头颈动脉狭窄的能力进行对比分析。以常规DSA检查作为标准,评价CTA诊断头颈血管性病变的敏感性、特异性及准确性。结果:图像质量评分分别为2.81±0.32和2.83±0.36。九分法与自动旋转法对头颈动脉的显示差异有统计学意义(P<0.05)。头颈联合CTA总体诊断的敏感性、特异性及准确性分别为83.1%、99.4%、97.5%。结论:智能触发增强扫描技术结合头颈动脉九位法显示,不受个体差异影响,可以实施标准化头颈联合CTA检查,对头颈动脉狭窄的诊断及手术方案的制订具有很高的临床应用价值。 fGn模型在结肠癌基因表达数据集去噪中的应用 基因表达数据集获取过程中容易掺杂噪声成分,噪声会干扰数据的正确表达从而影响其后期的分析与研究。基于中值计算法估计噪声标准差的经验模态分解(EMD)去噪存在一定的不足,从而影响去噪效果。分数阶高斯噪声(fGn)模型可提供EMD下较为准确的噪声标准差估计方法,在该模型下去噪可减少白色及有色噪声,进而增强去噪效果。因此在中值计算EMD去噪基础上,提出一种基于fGn模型的去噪方案,并对结肠癌基因表达数据集做去噪分析。实验结果表明,相比中值计算EMD去噪方法,改进方法的信噪比、噪声抑制比、t检验等值具有一定的优势,可作为基因表达数据集去噪的一种参考方案。 基于Louvain算法的图数据三维树形可视化 提出一种图数据的三维树形可视化方法,基于Louvain算法对图数据中的复杂的网络关系进行层次聚类,利用三维树形映射表达聚类结果,直观展示隐含于图数据中的结构关系,通过在三维场景中旋转、缩放、移动、拾取高亮等交互操作多视角地展示数据。集成开源图数据库Neo4j研发原型系统,并开展案例数据实验。实验结果表明,该方法不仅能够简洁灵活地展示图数据的总体层次结构,还能够多样化地表达数据细节,为利用虚拟现实技术探索图数据的潜在信息提供有效的技术支持。 基于动目标检测的视频监控智能节点设计 针对现有视频监控系统无效信息多造成存储资源严重浪费的现象,提出了基于动目标检测的视频监控智能节点设计方案;利用微波移动传感器检测运动目标多普勒信号,通过微控器采集调理后的多普勒信号完成运动目标识别,并控制传输设备将含有动目标的视频监控信息和多普勒频率数据传输到监控中心进行实时处理;实验结果表明,视频监控智能节点最大探测距离可达15m,该设计有效提高了视频监控的有效信息,减少了存储资源,具有广泛的应用前景。 基于结构的利用分布式计算的虚拟筛选(英文) 药物虚拟筛选的方法被广泛应用于从百万数量级的类药分子数据库中挑选出潜在的活性候选化合物,相比较于传统的流程可以显著降低研发成本和时间。为此,我们建立了一个大型分布式计算阵列,本论文详细说明了阵列系统的建立方法和对应的虚拟筛选流程。通过对以极光激酶A为药物筛选靶点的测试,我们从数据库中得到了包含已知的高活性分子等多个候选化合物,验证了系统的可行性。 Logistic回归分析在超声造影诊断乳腺浸润性导管癌中的应用价值 目的:探讨Logistic回归分析在超声造影多参数诊断乳腺浸润性导管癌的应用价值。方法:以手术病理结果为金标准,对术前行超声造影检查的35例乳腺浸润性导管癌患者及63例乳腺良性肿块患者进行Logistic回归分析,筛选出与乳腺浸润性导管癌相关度较高的造影参数,并建立Logistic回归方程。结果:Logistic回归分析显示最后进入模型的5个参数分别为造影剂增强速度、增强不均匀、造影剂渡越时间、造影剂消退速度、肿块增强程度,与乳腺浸润性导管癌有较高相关性(P<0.05)。结论:超声造影多参数Logistic回归分析对诊断乳腺浸润性导管癌有重要价值。 适用于遮挡车辆检测的子块带权模板匹配方法 针对多车辆跟踪过程中的遮挡问题,提出一种基于梯度方向直方图的子块带权模板匹配方法。该方法先对目标分块,并提取每块的梯度方向直方图,然后利用核函数为各块赋予不同权值,并采用子块带权特征匹配度度量方法计算目标模型与搜索窗的匹配度,进而获取最佳匹配。最后将该方法应用于多车辆跟踪过程中的遮挡车辆跟踪与检测。实验表明,该方法具有良好的精确度和鲁棒性。 一类带形状参数的类四次三角Bézier曲线 本文给出了带形状参数的类四次三角多项式Bézier曲线。由五个控制顶点生成的曲线不仅具有类似于四次Bézier曲线的诸多性质,而且其形状可由一个参数进行调节,使得该曲线具有更强的表现能力。参数有明确的几何意义:参数越大,曲线越逼近控制多边形,具有比四次Bézier曲线更好的逼近性。曲线无需有理形式即可精确表示圆、椭圆、抛物线等二次曲线弧。为便于自由曲线的设计,还讨论了两段曲线的拼接性,并给出了曲线G2和C3连续的拼接条件。应用实例表明,该曲线在计算机辅助几何设计中具有较高的应用价值。 基于Stuttering等价技术的有界模型检测的优化 在讨论有界模型检测及其转换公式的基础上,对有界模型检测转换公式[[M,f]]k中的[[M]]k进行优化。通过在LTL有界模型检测中引入Stuttering等价技术,对状态转换路径优化,避免了SAT求解工具在有界Stuttering等价路径中的重复搜索。以安全系统验证为例,通过两个重要的模态算子G(p)和G(p→F(q)),验证优化方法,实验结果表明,该方法有效地提高了对安全系统保密性和认证性验证的效率。 基于图像质量等级的自动目标识别效果评估 通过对图像质量度量指标类型进行统计分析,提出一种简单的图像质量等级划分方法,在此基础上利用支持向量机对各图像子集进行目标识别,分析图像质量对目标识别效果的影响,结合传统的目标识别效果评估方法,给出一种基于图像质量等级的目标识别效果评估方法。实验结果证明,与传统方法相比,该方法的评估结果更准确。 关于湖泊轮廓变化趋势图像预测仿真研究 通过对图像变化的预测分析,研究了湖泊边缘的演变,预测得到下一步的湖泊轮廓图。针对影响湖泊演变的因素较多、众多影响因素与湖泊轮廓演变存在非线性关系、传统方法仅依赖于数字对湖泊演变进行预测的问题,提出了更加直观化的基于灰色预测的图像变化预测方法。以我国章江头木错湖为例,对其不同时期的Landsat MSS/TM/ETM+,CBERS CCD遥感影像进行边界信息提取,获得湖泊轮廓图谱。在研究区域中间选择一个原点,并以原点做射线,得到预测所需的原始数据。建立灰色预测模型,对所得数据进行预测分析,得到湖泊轮廓变化的预测图,并对预测结果进行验证,最终结果表明,改进方法对湖泊轮廓变化趋势的预测是可行的并且有较高的预测精度。 基于朴素贝叶斯Web新闻内容的抽取方法 针对网页信息自动抽取问题,提出一种将网页按标记分块并根据朴素贝叶斯理论从中识别新闻正文的方法。该方法将各分块的标记信息、文本相似度以及字长特征作为机器学习的特征属性。为提高标记属性的表征作用,减少相关标记之间的干扰,算法采用χ2检验法来检验标记属性之间以及标记属性与类别之间的相关性并实现属性约减。新闻正文抽取过程中同时考虑正文与非正文分块的后验概率,以提高抽取准确率。实验结果表明,选取适当的参数值,抽取新闻正文的准确率达到85%。 嵌入式系统板级支持包的研究与构建 针对嵌入式系统构建中应用环境差异性问题,采用层次化、模块化的设计思想,设计了一个适应性较强的板级支持包BSP(board support package)框架。介绍了BSP的原理,描述了BSP框架内部应遵循的组织、规范、约定以及外部关联结构,并具体分析了BSP与Bootloader之间的关系。在多个嵌入式开发平台的应用实践表明,该BSP框架的实现可支持不同应用环境中嵌入式系统的快速构建,有效缩短嵌入式产品的开发周期。 基于ELM-AE的迁移学习算法 极速学习机自动编码器(ELM-AE)可以提取数据特征,基于ELM-AE设计了一种新的迁移学习算法,并获得了更好的分类准确率。通过ELM-AE学习源域和目标域的特征空间,经过子空间对齐来完成迁移学习算法的设计。对of-fice[1]/caltech256[2]数据的实验结果表明,该算法明显优于传统的迁移学习算法。 基于数据驱动与GML的WebGIS数据传输效率优化算法研究 介绍影响WebGIS传输性能的众多因素,特别是在网络带宽不高或服务请求数较多时,GIS数据的网络传输延时会急剧增大。针对GML(Geography Markup Language)地图格式文件,以提高地图数据传输效率为优化目标,提出一种数据驱动的分类GML压缩方法 CGDC(Classified GML Data Compression),用以区分结构数据和值数据。通过实际数据的实验设计与对比,验证了提出算法的可行性与高效性。 HVPP算法在指针表盘视觉检测中的研究 选矿厂球磨机润滑油压力是一个至关重要的实时监测参数。基于FPGA采用了双线性插值法、Do G滤波器(高斯差分滤波器)、Marr-Hildreth边缘检测器及分水岭算法,并提出一种新算法——横竖端点寻点法(HVPP),对压力表图像进行分割、提取及数字识别,从而实现了对指针表盘图像的智能读数。实验结果表明采用基于逻辑电路的快速算法实现表盘图像的机器识别具有实时性好、识别率较高的优点。 基于变粒度的大规模真值表快速知识约简 在大规模逻辑电路的分析与设计中,直接由大规模真值表得到最简逻辑函数表达式的过程往往比较复杂。针对此问题,提出了一种基于变粒度的大规模真值表快速知识约简算法。随着真值表的输入逻辑变量的粒度变化,通过引入标记矩阵和启发式算子,对大规模真值表进行知识约简,从而得到最简逻辑函数表达式。最后,通过实例分析并详述算法过程,且通过数据集进行对比实验,验证了该算法的快速性与有效性。 一种基于服务簇网元模型的Web服务发现方法 定义了服务簇的网元模型,提出了一种基于服务簇网元模型的Web服务发现方法。首先,通过计算Web服务的功能描述及参数的语义相似度,对服务库中的服务进行聚类;其次,对服务参数进行统一标注,建立服务簇的网元模型,并对服务簇参数矩阵进行规范化处理;最后,基于服务簇参数矩阵,实现服务快速发现。基于Petri网,首次提出了服务簇的形式化模型,并在此基础上进行了服务快速发现。结果表明,利用网元模型建模服务簇是有效的、合理的,并且与传统的基于参数匹配的服务发现相比,所提方法有效地减少了参数匹配次数,提高了服务发现效率。 面向用例安全关键系统开发方法研究 面向用例模型的安全性分析方法是从系统需求文档中提取用例模型,给出其安全性分析规范,并将用例模型集成为合成使用模型。利用umlsec构造型描述安全性,并实现相应的安全性验证工具进行验证,从而避免后期考虑安全性的风险与成本,提高了系统开发的质量和效率。 基于多Agent学习机制的服务组合 服务Agent能够利用本身的功能以及来自外部的多个软件服务,向外界提供更为高层的服务。为了向用户提供综合的功能,服务Agent之间必须通过相互协同实现服务组合。提出了一种依靠多Agent学习机制进行服务组合的方法,其独特性在于通过学习,这些Agent能够对类似的输入迅速得到优化解。对基于多Agent协同进行服务组合的框架进行了介绍,在此基础上详细说明了如何利用多Agent学习机制进行服务组合,并对相关的实验进行了介绍。 一种个性化推荐方法 提出了一种新的个性化推荐方法。该方法来源于对个性化推荐技术本质的研究。产出的方法包括一种用正态分布卷积性质所得到的离线相似度计算方法;一种通过计算物品与物品之间无差别的相似性操作次数得到离线相似度的方法;一种用类似于贝叶斯的方法来综合不同的相似度结果的方法。另外还提到一些用于工程实施的方法和技巧。所提方法已经在数据挖掘领域得到了成功的应用。 基于MapReduce数据密集型负载调度策略研究 针对云计算环境中大规模数据集的处理,MapReduce集群已成为一个强大的处理平台。文中提出了一种基于虚拟化平台动态资源重配置的资源评价和动态资源重新配置调度算法。该算法动态地评估作业在截止时间内完成所需要的Map和Reduce计算资源数量,并在不违反用户设定的时间目标的情况下,通过动态地增加或减少独立虚拟机的方式来调整CPU资源,以实现提高数据本地性,同时提高系统在运行作业时的资源利用率。仿真实验结果表明,该算法可以使集群上的MapReduce作业的吞吐率有明显的提高。 基于ABCshift算法的目标检测与跟踪 针对Camshift算法需要人为定位的问题,利用帧间差分法检测运动目标的初始位置,采用ABCshift算法连续更新背景模型,自动降低类目标色在颜色概率分布图中的密度值,从而实现准确的目标检测与跟踪。实验结果表明,该方法可以解决Camshift算法进入大面积类目标色背景下目标跟踪效果不理想的问题,在复杂背景下具有较好的适应性。 知识包含度及其在粗糙集理论中的应用 提出一种新的集合包含度,并用新的集合包含度定义粗糙集理论中的知识包含度。研究了知识包含度的几个基本性质,给出了粗糙集理论中基于知识包含度的属性约简算法,通过一个汽车性能决策表的实例来表明算法的有效性。 一种子载波配对和功率分配联合最优算法 在基于正交频分复用的多载波两跳中继系统中有两个关键的问题亟需解决:子载波间的功率分配和子载波配对.子载波功率分配和配对之间存在复杂的耦合关系,通过现有的方法对子载波功率分配和配对进行联合优化比较困难.在深入研究这种耦合关系的基础上,针对解码转发,利用凸优化思想,提出一种功率受限下的子载波配对和功率分配联合最优算法.仿真结果表明,本算法可以有效提升中继系统的系统容量. 多端口自适应UDP通信协议的设计与实现 为解决嵌入式机载设备在UDP协议重建链路过程中丢失实时数据的问题,提出一种多端口自适应UDP通信协议MP-UDP。通过建链、数据确认、重传等机制进行正常通信,在当前链路重传3次失败需要重建时,利用RMP(Rank_Move_Program)算法以自适应的方式迁移到新的端口链路上进行通信。在Simulink中建立客户端和服务端模型,以图形化的方法实现MP-UDP协议。实验结果表明,与UDP协议相比,MP-UDP协议在链路重建时能自动进行链路迁移,丢包率远小于UDP协议,能够保证实时数据不丢失。 采用听觉外周模型改进电子耳蜗CIS方案 提出了一种改进的CIS电子耳蜗的脉冲刺激方案。利用听觉外周模型产生一种符合听神经发放机制的刺激脉冲序列来刺激电极,代替传统的均匀交替刺激方案,不仅可以通过包络而且可以通过脉冲间隔来传递语音信息,确保了语音的空间编码和时间编码。听觉仿真实验表明,相对于其他两种方案,方案可以有效地传递更多更丰富的语音信息,在汉语声调识别实验中至少提高19.2%识别率,在可懂度的对比实验中具有最高可懂度。 基于模糊三角数模糊神经网络的软件质量评价方法 用户对软件质量的评价与其体验密切相关,但由于软件产品的抽象性、复杂性以及用户需求的模糊性,目前软件质量评价方法都缺乏对该方面内容的关注,忽略了用户需求在软件质量评价中的作用。针对于此,考虑用户需求对软件质量的影响,将用户需求作为一种特殊的软件特性,构建了基于模糊三角数的模糊神经网络来处理软件开发过程中用户需求同软件特性之间的非线性关系,符合软件产品复杂性的特点,使软件质量评价结果更客观、全面。结果表明,基于模糊三角数模糊神经网络能够更好地反映用户需求同软件特性之间的非线性关系,是一种研究软件综合质量评价的有效方法。 双模态驱动的汉语语音与口型匹配控制模型 构建一种基于文本和朗读语音共同驱动的双模态语音与口型匹配控制模型,充分利用文本拼音提供正确的口型视位,利用朗读语音为口型视位提供正确的时序,在此基础上对口型几何参数和时间参数进行离散化,根据汉语语音发音机理,合理控制语音的口型动作过程。 改进的混合蛙跳算法 为提高混合蛙跳算法在优化问题求解中的性能,提出了一种改进混合蛙跳算法。改进算法在原算法基础上加入了变异算子,并根据算法进化过程的不同阶段和进化过程中候选解分布情况,利用模糊控制器对变异算子的变异尺度进行调整,实现了变异算子在解空间中搜索范围的动态调整。通过对优化问题中4个典型测试函数的仿真实验表明,与基本蛙跳算法和已有改进算法相比,改进算法在寻优精度、收敛速度和求解成功率上均有一倍以上的提高,尤其在高维复杂优化问题求解中体现出较强的寻优能力。 太阳黑子活动周期特征的神经网络和小波分析 太阳黑子数是描述太阳活动水平的主要指标,太阳活动直接影响日地环境。依据前人对太阳黑子数的观测资料,采用BP神经网络及小波分析和自相关相结合的方法,分析了1770-1869年的太阳黑子数年均值,得出了太阳黑子存在11-12年周期的结论,并对该算法及噪声鲁棒性进行了仿真。实验结果表明,该算法对研究太阳活动的本质规律是有效的。两种方法与其他方法,如自相关法、功率谱法等,进行了相比,不仅得出与实际一致的结论,而且对噪声有较强的鲁棒性,这对含噪信号的分析研究是很有意义的。 移动端人脸图像无参考质量快速评估方法 人工智能系统能够准确进行人脸识别的重要前提是,采集到的人脸图像数据足够清晰.正确的对人脸图像质量进行评估,能够为后期的人脸识别提供指导性的意见.本文针对移动端进行人脸识别时,由于人脸采集过程中常常会出现人脸图像模糊、姿态不正、昏暗等情况,从而导致人脸识别错误的问题,提出了一种基于深度学习的移动端人脸图像无参考质量评估方法.由于目前没有公开的用于评估人脸图像质量的数据集,本文采用自建数据集的形式进行实验.首先对数据集中的人脸图像进行局部归一化预处理,然后利用该数据集通过迁移学习的方式对轻量级网络MobileNet重新训练,并将训练得到的最终模型移植到手机上.为了证明本文提出算法的优异性,对算法准确率、运行时间和CPU占用率进行测量并和现有的优异算法DIIVINE和BRISQUE进行比较,实验结果证明本文提出的方法能够快速准确的对人脸图像进行评估同时CPU占用率较低,本文提出的方法有重要的应用价值. 基于XML的信息安全风险评估系统研究与开发 针对典型的信息安全风险评估要求,提出了综合的评估流程,完成了系统的设计和实现。系统信息库设计时除去了大量繁冗的信息;评估识别时,对资产、威胁和脆弱点的内容进行了详细地分类;评估赋值时,在单一的系统赋值基础上扩展了用户和专家同时参与的功能。同时,在风险矩阵计算的前期工作中加入了对系统、用户和专家赋值综合考量的处理思想。基于JavaEE及XML等上乘主流技术开发方案为该系统的安全性、多平台适应性提供了良好的条件。 基于安全云平台和移动互联网的交通烟雾检测预警系统 为了提高交通火灾的控制及预防能力,有效减少交通火灾带来的不良影响,本文在交通领域内现有监控系统的基础上,设计一种基于安全云平台和移动互联网的交通烟雾预警系统。该系统主要由3个子系统构成:交通场景内摄像监控系统、云端烟雾检测系统和基于移动互联网的烟雾预警系统。1)交通场景摄像监控系统主要采集烟雾发生的事故现场视频,并将视频上传至云端的存储资源池,方便事后事故分析调查和追责;2)云端烟雾检测系统利用云端计算资源池强大的计算能力快速地运行视频烟雾检测算法,实现火灾的早期识别,防止火灾的进一步扩大;3)移动互联网预警系统及时地将火情信息推送给火灾发生地附近的相关人员和机构,尽可能地减小火灾带来的不良影响。本系统在各种环境下进行了大量的实验,其结果如下:烟雾的预警率高达93.75%,虚警率低至5.33%,平均预警时间为7.55 s。实验结果表明,该系统具有较好的实时性、准确率及鲁棒性。 基于模式融合的中继通信无人机定向天线对准 研究无人机中继通信系统中定向天线的实时高精度对准问题。为提高地面通信站的安全性,并克服传统对准算法难以实时确定高空高速无人机的精确位置而造成定向天线难对准的问题,提出了用于定向天线对准的基于异步双模式融合算法。上述算法按照不同的触发方式采用不同步长实现定向天线对准,首先利用基于目标的运动补偿预测对准算法实现天线对准角度的概略确定,之后采用基于信道增益的波束引导对准算法,进一步降低通信延迟对天线对准的影响,有效提高对准精度和可靠性。仿真验证表明,该融合算法通过综合两种算法的优点,能显著提高定向天线的对准精度和系统可靠性。改进算法能够满足实时确定无人机的精确位置,实现定向天线的高精度对准和高可靠通信。 流体模拟动画技术新进展 自然场景的模拟一直是计算机图形学研究的热点,随着动画影视、虚拟现实以及计算机游戏的飞速发展,对流体模拟技术的绘制速度与场景细节真实感的要求也在不断提高。通过描述最近几年来流体动画模拟领域的新技术,对流体模拟计算仿真的主要步骤细节技术进展进行分析讨论,并指出流体动画模拟技术进一步的发展方向。 一种Colored WF_logic Net的工作流过程建模 结合着色Petri网和WL_net相关理论,提出有色工作流逻辑网(CWL_net)这一概念来实现工作流的过程建模。最后以保险索赔业务过程为例,采用绘制可达树的方法分析了业务流程的合理性。利用CWL_net可以准确描述业务流程的工作流逻辑,且这种逻辑结构可以区分工作流具体流程中不同变迁产生的任务完成信息,避免了某些问题。 基于ZigBee的仓库温湿度监控系统设计 论文针对现有仓库温湿度检测存在的问题,结合ZigBee技术,使用超低功耗单片机与无线传感器,设计了一套根据库内外温湿度变化的实用仓库监控系统,并从无线通讯网络设计、系统的硬件及软件设计详细叙述了系统组成与设计原理。 异构平台上X86仿真的I/O框架 针对异构处理器平台进行X86体系结构仿真的问题,提出一种I/O框架,介绍该框架中的3个主要模块:总线与接口函数的注册与映射,桥芯片中数据结构的设计与函数体的布局,中断信号选择与传递的实现技术。根据不同框架结构,通过运行SPEC2000测试集,证明该I/O框架与其他同类框架相比,性能可提升10%~20%。 基于GPU的元胞自动机熔岩流动模拟 为解决基于元胞自动机进行熔岩流动模拟的计算效率问题,提出一种应用在元胞自动机上的GPU并行计算方法。将元胞自动机中每一个方形网格映射到GPU的一个逻辑计算单元上,通过并行计算,提高模拟的效率,解决传统串行计算方法的不足,使模拟达到实时性。模拟结果表明,在元胞自动机的物理模型理论基础上,用GPU并行计算进行加速,在模拟效果和时间效率上均取得了良好的效果。 移动支付领域安全标准的适用性研究 介绍移动支付业务的发展现状和移动支付领域可参考的安全标准,研究相关安全标准在移动支付领域的适用程度。重点以信息安全等级保护安全标准、PCI系列安全标准和移动支付安全规范这三个安全标准作为移动支付领域参考的安全标准,分析研究这三个标准在移动支付领域的适用性。在此基础上得出移动支付系统各个层次可参考的标准适用图,为我国移动支付安全标准的制定提供了一定的参考价值。 基于STL文件的Laplacian网格优化算法 针对直接重构得到且以STL文件格式存储的网格模型质量不高的问题,提出了一种基于Laplacian坐标的网格模型全局优化算法。该算法在提高三角面片质量的同时可以很好地保持原网格模型的局部几何特征,其核心思想是通过在最小二乘意义下求解由权重控制的包含顶点位置和拉普拉斯坐标双重约束的线性系统来对网格顶点进行重新定位。从实验结果可以看出,该算法较以往的Lapacian优化算法在对网格细节特征的保持上有一定优势。 基于时间Petri网的嵌入式系统中断建模与验证 嵌入式系统为中断驱动系统,但中断触发的随机性和不确定性导致中断缺陷很难被追踪发现,并且一旦发生中断故障,往往会使整个嵌入式系统陷入崩溃。因此必须保证中断系统软件的可信性,但是目前缺乏有效的中断系统资源冲突检测方法。针对上述问题,文中首先提出了一种基于时间Petri网的中断系统建模方法,其能够对中断的并发性和时间序列进行有效建模。然后,为方便后续形式化验证,将时间Petri网模型转化为与之等价的时间自动机模型,并提出一种符号编码方法对时间自动机进行形式化编码,将系统模型与所需验证性质编码为一阶谓词逻辑公式,从而能够通过SMT对时间自动机的不变属性进行BMC验证。最后,通过SMT求解器Z3进行实验,实验结果证明了所提方法的有效性。 量子粒子群优化智能算法的高精度分子对接方法研究 分子对接是药物发现与设计的重要方法,采用计算机优化和模式识别方法在三维结构数据库中搜索几何、化学特性与特定药物结合位点相匹配分子的计算机辅助药物筛选是当前分子对接的研究热点,这种问题可以归为参数优化问题。本文提出了一种基于改进的量子粒子群(quantum-behaved particle swarm optimization,QPSO)算法的分子对接方法,用于处理大自由度的分子对接计算,并与基于标准QPSO算法和经典拉马克遗传算法的分子对接方法进行了比较,实验结果表明新方法无论是在对接能量还是对接准确性上,明显优于其它2种方法,尤其是在配体复杂性不断增加的情况下,非常适用于高柔性分子对接问题。 基于认知流量的中继协作MAC机制 为了解决现有中继节点选取方法中,以信道状态信息作为中继节点的选取标准,忽略了节点业务的影响。在此基础上,提出了一种基于节点空闲时间的认知流量中继协作MAC(ITTC-MAC)机制。ITTC-MAC以节点等效数据传输速率作为中继节点的选取标准,建立和维护相关信息,动态选取最优的中继节点参与中继协作通信。NS2仿真结果表明,该机制可以有效提高网络的吞吐量。 基于部分微粒更新改进的具有非线性动态惯性因子的微粒群新算法 微粒群算法是基于群体智能的全局优化算法,在许多领域得到广泛的应用。该算法具有简单易于实现的优点,但是容易陷入局部极值尤其是采用动态惯性因子。采用动态惯性因子有利于提高微粒群算法的收敛速度,但降低了其全局搜索能力。针对具有惯性因子微粒群算法在进化过程中微粒群多样性减弱容易陷入局部最优值的问题,以非线性动态惯性因子的微粒群算法为基础,提出1种基于部分微粒更新的微粒群算法,以提高微粒群的多样性,进而提高了算法的全局搜索能力。新算法利用Sphere、Rastrigin、Rosenbrock、Schaffer、Freudenstein-Roth、Goldstern-Price 6个经典测试函数进行测试,并与基本微粒群算法和具有线性动态惯性因子微粒群算法比较。通过模拟优化比较,新算法寻优效率高、全局性能好、优化结果稳定,新算法能有效提高微粒群的多样性,具有较好的收敛性能和全局优化能力,尤其适合多峰函数的优化。 一种多接口多信道VANET动态信道分配算法研究 为了合理地实现多接口多信道车载自组织网络(VANET)车辆节点间通信信道的动态分配,提出了一种基于通信双方车辆节点信道切换队列的动态信道分配算法。定义了车辆节点的射频接口状态,给出了信道的性能因子以及信道切换队列的生成方法,通过综合考虑通信双方车辆节点的射频接口状态和信道切换队列,快速自主地建立通信链路,从而解决了信道公平接入和分配不合理的问题。通过软件仿真比较可以看出,该算法实现了信道的动态分配,减小了平均端到端时延,增加了网络平均吞吐量,显著提高了多接口多信道VANET的网络性能。 以培养计算思维为导向的大学计算机基础课程教学改革与实践 "为培养大学生的计算机能力,计算机基础课程教育有必要改""知识传授为导向""为""计算思维能力培养为导向"",重新构建凸显""面向应用、突出实践、着眼能力""特点的大学计算机基础课程体系和以信息素养和应用能力培养为主线的""模块化、多层次""的实验教学体系,对""大学计算机基础""课程和课程体系中第二层次的重点核心课程进行全面改革,在课堂教学中运用与专业相融合的多元化教学模式,并在建设多元化教学资源平台基础上将能力培养渗透整个专业学科课程教育中,全面提升大学计算机基础课程教育质量,对大学计算机基础课程的教学改革进行了初步的研究与实践。" 基于DES和RSA加密的邮件系统 随着网络技术的发展,电子邮件的使用越来越多,其安全性也得到越来越多的关注。论文设计的安全电子邮件系统是以对电子邮件内容加以保护的方式,即采用DES加密算法,保证邮件内容在被发送到互联网上之前被加密成为密文,并将公开密钥技术RSA用在电子邮件系统中加密DES密钥,从而有效地实现了电子邮件内容的安全性和保密性以及密钥分配问题。 改进的暗原色先验去雾算法 为了更好的消除图像中部分雾气,解决传统暗原色先验去雾算法的不足,提出了一种改进图像去雾算法.采用自适应取界获取暗原色区域块,对大气光强进行区间估计,改进了透射率修复方法,通过引入一种容错方法,使算法能更好地处理不满足暗原色先验的强光区域.实验结果表明,改进算法能有效去除图像的雾气和强光区域. 基于多目标优化的机场行李运输车辆调度问题研究 行李装卸是指将旅客的托运行李装上飞机或从飞机上卸载下来的一种机场地面保障服务,行李运输车是民航使用的在机场地面运输旅客托运行李的特种车辆。目前机场普遍采用的单车服务单航班的车辆调度方式,车辆的使用成本高、效率较低,且无法保证任务量的均衡。在建立的机场行李运输车辆调度模型的基础上,首先利用最邻近算法构建由一个出港航班和一个到港航班组成的车辆行驶总路程最短的子路径集合;然后依据子路径间的时间衔接关系对子路经进行优化组合,将所有子路径任务合理分配给行李运输车,实现所需车辆数最少和车辆任务量均衡的目标;最后,应用机场实际算例进行仿真试验,通过对试验结果的分析,验证了所建模型及求解算法的合理性和有效性。 基于改进萤火虫算法PID控制器参数优化研究 PID控制器仍是现今应用最广的控制器。但由于其被控对象具有高阶非线性等特点,传统的PID参数整定方法使系统易出现超调、震荡,控制系统性能变差等问题。为改善系统性能,提出一种萤火虫算法的智能优化策略,引入非均匀变异算子和自适应步长策略改善全局搜索能力和局部求精能力。将PID的三个参数作为萤火虫,以误差的时间积分函数绝对值的倒数作为适应度,进行PID参数整定。利用MATLAB仿真软件进行仿真,并与传统的PID参数整定方法(Z-N法)进行比较。结果表明,萤火虫算法的PID参数整定方法可以提高系统的性能,实现最优整定。 基于HTML5大文件断点续传的实现方案 在Web应用中,文件上传是一个常用的功能,而目前的文件上传方式在处理大文件上传方面不尽人意,常常因为文件过大或者网络中断导致上传失败,不得不重新上传。随着HTML5相关技术的发展,出现了一系列对文件操作的API,如File List、Blob、File、FileReader等接口,使得Web端能够使用Java Script对本地文件进行分片操作进而实现文件断点续传功能。本文在此基础上解决了服务器端文件合并过程中用户等待超时问题以及如何保证合并文件正确性的问题。 基于自重构粒子滤波算法的目标跟踪 该文提出了一种对粒子滤波跟踪器进行分裂和合并的自重构算法.该算法能够通过分裂跟踪器以应对复杂多变的跟踪环境,同时,合并过程能够从多个跟踪器中选出最优跟踪器,合并冗余的跟踪器以达到减少计算量的效果.通过使用分裂和合并,能够在使用较少粒子的情况下达到很好的跟踪效果,在一定程度上解决了粒子滤波跟踪中计算量大的问题.分裂出来的多个跟踪器能够同时从多个位置多个方向跟踪目标,降低了复杂环境下目标跟踪丢失的概率,避免了粒子滤波中跟踪丢失时需重新选定目标的问题.通过和其他算法对比,文中提出的算法在跟踪准确性和跟踪效率两个方面表现优秀. 扩展粒子系统实时绘制逼真的龙卷风 龙卷风模拟一直是计算机图形学的热点之一,龙卷风的漩涡控制以及交互性尤其具体有挑战性.首先,为使粒子系统的粒子旋转起来,引入漩涡场,使得粒子在漩涡场作用下产生旋转效果;其次,在龙卷风的最外层添加一层高速旋转的透明的刚体,借助碰撞检测算法,将龙卷风与大物体的交互转化为刚体和物体的交互;然后,在光线投射算法生成纹理数据之后,给纹理数据进行光晕、太阳光等处理,采用GPU并行加速机制提高模拟实时性,模拟出逼真绚丽实时的龙卷风;最后,设计多组龙卷风以及龙卷风的交互实验实例,完成不同形态龙卷风及其交互效果验证. 基于频率的Snort规则集构造方法 为提高Snort入侵检测系统的规则匹配效率,提出一种基于频率的Snort规则集构造方法。Snort系统使用规则集对网络数据包进行匹配分析,发现入侵行为。通过计算数据包样本中各选项的频率,在构造规则树时,采用频率小先匹配的原则,减少匹配次数,提高系统效率。实验结果表明,与Snort2方法相比,该方法配合参数集合匹配的匹配效率较高。 面向分布式流体系结构的多副本积极容错技术 随着互联网环境下计算系统规模的不断扩大,分布式流体系结构的可靠性问题面临着严峻的挑战。以多模冗余容错技术为基础,针对软错误提出了一种面向分布式流体系结构的多副本积极容错技术TREFT,利用三个程序副本进行高效的检错与纠错。在分布式流体系结构原型系统上的实验结果表明,该技术能有效提高系统的可靠性,具有较低的容错成本,平均增加10.77%的容错开销。 在中国计算机自动测量与控制技术协会第五次会员代表大会上讲话 [正文]各位领导,各位代表,同志们,中国计算机自动测量与控制技术协会第五次代表大会,回顾总结了协会五年来的工作,特别是总结了第四届理事会的工作,确定了协会今后的工作目标和任务,选举产生了新一届理事会,我代表协会挂靠单位,中国航天科工集团公司,对大会成功召开表示热烈祝贺,对新一届理事会理事的当选表示热烈祝贺!我本人这次荣幸地在本次代表大会上,当选为理事会的理事长,过去对协会的建设贡献不多,一方面感到惭愧,另一方面也感到责任也很重,在此,我诚挚的感谢理事会成员,对 异构网中具有服务质量约束的高能效微基站部署方法 针对异构密集网络中基站密度增大带来的网络能耗过高问题,提出一种异构网络中高能效的微基站部署方法。首先,考虑微基站候选位置可行性以减轻环境条件的影响;其次,在不同的用户分布状态下对优化目依概率进行加权,增强了对不同用户分布场景的适应性;最后,通过对微基站部署数目、位置和功率配置的联合优化来提升系统能效,并提出了一种高能效的微基站部署算法。仿真表明,与仅优化微基站数量和部署位置的方法相比,所提方法提升能效最高达26%。实验结果表明,相对于不考虑功率的部署方法,所提出的联合优化方法能够有效提升系统能效,同时验证了微基站功率对异构网络能效的影响。 一种采用双层校验的RFID离线匿名群证明协议 随着越来越多的物品被贴上RFID标签,用于证明若干具有一定关系的物品作为一个群组在同一时间、同一地点出现的群证明技术的应用日趋广泛.在RFID群证明技术中,如何在确保标签信息安全与隐私的同时,生成可靠的群证明,并提升协议的执行效率是当前的研究热点.为确保标签信息的安全与隐私,离线群证明协议往往仅由Verifier完成验证,Reader仅负责群证明信息的收集,降低了协议对于非法群证明的响应速度,为提高系统的群证明效率,抵御拒绝服务(deny of proof,DoP)攻击,提出了一种采用双层校验的RFID离线匿名群证明协议AGPDL,使用椭圆曲线加密,通过二次校验的方法,授权Reader在标签匿名的情况下预先进行群证明的有效性验证,然后再由Verifier完成最终的群证明校验,并确认标签身份.通过安全性分析与性能分析可知:AGPDL能够较好地保护标签信息的安全与隐私,抵御冒充攻击与重放攻击,并且防止Reader提交无效群证明带来的系统开销,具有较好的可扩展性. 基于加速稳健特征匹配和凸集投影算法的超分辨率重建 针对不理想的配准结果会导致超分辨率重建失败的问题,提出了一种基于加速健壮特征(SURF)匹配和凸集投影(POCS)的超分辨率重建算法。该算法首先采用SURF算法进行连续帧图像的配准,估计图像序列的运动位移;然后根据运动估计结果,在POCS理论框架下进行图像重建。实验结果表明,该方法能够较明显地改善图像的视觉效果,获得较丰富的细节信息,且具有较好的噪声抑制能力。 面向开源设计演化过程的产品—社区共生模型 为了探究开源设计的演化过程,对该过程的复杂性进行分析;建立了基于智能主体的产品—社区共生模型,该模型由多主体系统模型和产品关系模型组成,反映了人员、产品、社区的演化过程;最后,运用动态仿真技术对开源设计过程中的人员知识增长、产品进化周期、社区演化等方面进行了分析和评价。仿真实验结果证明了运用多主体建模与仿真方法对开源设计演化过程分析的有效性,为该过程的预测、管理和优化奠定了基础。 嵌入式GPRS系统的设计与实现 文中介绍设计的系统以Xscale270和嵌入式Linux为平台,基于BenQ M22模块2.5代标准的GPRS无线通讯系统的硬件和软件方面的研究与设计。系统采取B/S结构,HTML及JAVASCRIPT等网页脚本语言的应用,应用嵌入式Web服务器BOA和数据库SQLite技术,实现登录WEB网页,远程控制短信发送与接收,实现通讯录、短信录入数据库。系统能够实现短信收发、电子交易,远程无人值守系统的测控。 基于MEL的纠删码容错能力分析 最小删除错误列表(Minimal Erasure List,MEL)是最近提出的一种衡量纠删码容错能力的新方法。MEL方法不但能够反映码字的汉明距离,而且还能充分描述其他各种情况下码字的容错能力。因此,MEL可以作为各种码字容错能力的一个度量标准。将MEL方法用于阵列码的分析与比较,详细分析了常见阵列码(例如EVENODD)的MEL性质,显示了阵列码构造参数与容错能力之间的关系。改进了MEL的构造算法。 一种面向光收发器的ps级光脉冲产生器 由于高速光开关在光互连通信中越来越广泛的应用,光开关的开关速度直接影响了整个光链路的传输速率,因此对驱动光开关产生长周期窄脉冲光信号的驱动电路的性能及集成度有了更高要求。基于光电集成工艺和高速光脉冲队列技术的发展,提出了一种应用于光SerDes收发器的集成ps级窄脉冲光信号产生器。该产生器为CMOS电路产生脉宽精确可调的长周期窄脉冲,在SMIC 0.13μm CMOS工艺下可获得窄至25ps的脉冲输出,其电源电压范围宽达1.4V~2.5V,时钟频率也可由数kHz到4GHz,同时可移植到不同的CMOS工艺平台。 6LoWPAN中分布式TCP缓存队列策略 在多TCP连接的6Lo WPAN(IPv6 over Low-Power Wireless Personal Area Networks)网络中,针对丢包严重时存在频繁的端到端重传问题,提出分布式TCP缓存队列策略。该策略能够使中间节点合理地缓存不同TCP连接在链路层传输中丢失的分段,从而保证每个TCP连接的性能,减少网络能耗。另外,采用ARQ机制进行链路层数据帧传输时,由于中间节点判断丢包的准确率较低,导致缓存队列中存在一些实际未丢失的分段。对这些分段的重传会消耗额外的能量,降低了缓存队列的利用率。因此缓存管理采用询问邻居节点的方式检查分段是否丢失,及时地删除无效的缓存分段。实验结果表明,采用分布式TCP缓存队列策略可以使得多个TCP连接的网络性能以及缓存队列利用率得到了很大提高。 采用轮廓向量特征的嵌入式图像匹配方法 为实现工业流水线快速、准确目标定位,提出一种采用轮廓向量特征的实时图像匹配方法。以X、Y方向向量为描述的关键轮廓点集为匹配特征,根据模板具体信息,计算最佳金字塔分层数、模板旋转角度步长和缩放步长,图像金字塔最高层则利用二级筛选策略,依据待测图优先剔除大量目标非潜在位置区域,仅对剩余少量区域进行计算,非最高层则进行同步局部搜索图像区域构建及匹配。实际测试表明:对目标遮挡,光照变化,聚焦不准,对比度低等鲁棒性强,耗时为毫秒级,识别率达97%以上,可实现任意坐标、角度和缩放情况下的目标定位,可满足工业现场要求。 小波函数在识别PCB串扰信号频率中的应用 提出了一种新的PCB串扰信号频率识别方法,以帮助PCB设计工程师根据串扰信号的频率特征查找串扰信号来源.原理是应用小波函数的奇异性识别能力从被干扰信号来识别串扰信号的上升或下降沿,从而根据它们直接确定串扰信号的频率.仿真结果表明,此方法确定的串扰信号频率与实际结果是一致的. 基于Harris角点检测的图像旋转测量 为实现图像处理的高效性,避免由摄像机旋转而引起的图像旋转为采集的图像产生影响,以至于使后续的图像处理算法失效,提出了一种以Harris角点为核心的,基于Harris角点检测的图像旋转测量的方法;首先对原始图像进行Harris角点检测,然后对其进行角点匹配;最后,在各自图像内部进行角点连线,并与对应角点连线的斜率作比较,判断斜率变化,从而判断图像是否发生旋转。 基于FPGA的双机热备外设容错系统仿真设计 "针对传统的继电保护冗余系统缺乏对敏感外设容错处理、切换速度慢等问题,提出了基于FPGA的双机热备外设容错系统。该系统由FPGA控制器、DSP控制器、双A/D模块、双继电器模块等外设组成,FPGA控制器完成双机外设模块的故障检测、双机实时切换,为DSP控制器提供A/D实时采样数据、继电器信号接口,DSP控制器对采样数据进行计算与分析,产生继电保护信号,FPGA控制器和DSP控制器通过""心跳""信号互相检测。FPGA控制器时序仿真波形表明:双机外设模块可以实现周期故障自检、双机快速切换。" 面向不平衡数据的模糊支持向量机 对于不平衡数据集,传统模糊支持向量机存在分类敏感等问题,且确定样本隶属度时大多只考虑距离因素,不能精准地反映样本点的重要程度,容易造成分类结果的偏差。文中提出一种改进的模糊支持向量机,在确定样本隶属度时,根据样本密度区分出不同类别的样本点,并分别赋予不同的隶属度值,提高了支持向量点的权重,降低了噪声点和孤立点对分类性能的影响。同时,进一步引入了不平衡类调节因子,以提高不平衡数据集的分类精度。实验结果表明,相比已有模糊支持向量机,该方法对于包含较多孤立点和噪声点的不平衡数据集具有更好的分类效果。 《计算机技术与发展》投稿须知 [正文]1《计算机技术与发展》是中国计算机学会会刊,中国科技核心期刊、中国科技论文统计源期刊、RCCSE核心期刊。主要报导国内外权威专家、学者对计算机科学技术和发展方向的评述,刊载国内外计算机科学研究和技术创新的最新成果、计算机软件研制和应用开发的成功经验以及各大专院校和国家重点研究院所的博士、硕士论文。为广大计算机专业和应用人员提供一个良好的讲坛和经验交流园地。2来稿要求2.1文稿具有创新性,内容科学可信,结构严谨,图表清晰,文稿应在4页以上(A4纸,word03默认 堆场龙门吊调度问题研究 在集装箱码头作业中,龙门吊是非常重要的码头资源,如何更合理地调度龙门吊对减少船舶在港时间,提高码头效率有重要意义。在综合考虑龙门吊在时间和空间上的不可跨越性以及其他约束条件的基础上,建立了龙门吊调度问题的混合整数规划模型,目标是使得集卡的等待时间最短。由于问题计算的复杂性,引进遗传算法来求解模型;算例验证了算法的有效性,与已有的模型进行比较,证明了该模型的优越性。 基于神经网络的需求预测模型 零售业的销售过程中积累了大量数据,如何从这些海量数据中提取知识、建立有效的需求预测模型,为零售商提供市场和趋势分析、降低库存成本是零售行业亟待解决的问题。在传统的零售业需求预测模型——Holt-Winter模型中应用神经网络方法,使得需求预测不依赖于数学模型的精度,预测模型中的季节性影响因子等参数能够根据预测误差作相应调整,避免了传统算法中误差的累积,大大提高了预测精度。利用Excel内嵌的VBA实现了该算法,使需求预测能够根据用户需要实现,并提供可视化的结果。 双目标优化的RDF图分割算法 分布式存储是解决大规模数据存储的一种比较有效的方法,而数据分割是实现分布式存储的前提。面对不断增长的RDF数据,提出一种基于双目标优化的RDF图分割算法(RDF Graph Partitioning algorithm based on Double Objective Optimization,RGPDOO)。RGPDOO将边割和分割平衡两项图分割指标融合到一个目标函数,并依据此目标函数,实现了RDF图的静态和动态分割。其中静态图分割通过对图进行初始划分,将图中顶点分成内核顶点、交叉顶点和自由顶点三类。然后通过计算目标函数增益对交叉和自由顶点进行分配。动态图分割部分,针对RDF元组的插入和删除给出相应的解决方案。同时,为了满足图分割目标,算法每隔一段时间T会根据子图的平衡性和紧密性进行一次动态调整。实验选择合成和真实数据集进行测试,并分别与几种通用的静态和动态图分割算法进行比较。实验结果表明提出的算法能够有效地实现RDF图的静态和动态分割。 基于混沌杜鹃搜索算法的高光谱影像波段选择 针对高光谱遥感影像的波段降维问题,结合杜鹃搜索算法良好的全局寻优能力和混沌映射局部寻优能力强的特点,提出一种基于混沌杜鹃搜索算法的高光谱影像波段选择方法,并对HYDICE影像进行仿真实验.同时进行该算法与遗传算法、粒子群算法和基本杜鹃搜索算法的对比实验,实验结果表明该算法搜索能力更强,最终所选波段子集的分类精度更高. 基于博弈论的无线网络功率优化模型 针对无线网络功率分配优化需求,将功率分配问题转换为信干噪比收益,利用非合作博弈原理,通过分析得到节点功率的迭代,与传统的功率分配方法进行对比,说明提出的方案要明显优于SINR平衡算法、Koskie-Gajic算法,从而为无线网络中的功率分配优化提供了理论支持。 基于概念格的多值属性关联规则可视化 针对传统关联规则可视化方法无法展现数据间的频繁模式和关联关系,表示形式比较单一,缺乏多模式展现形式等问题,提出了一种新的多值属性关联规则可视化表示算法。该算法运用概念格理论对多值属性数据进行了重新定义和分类,将频繁项集和关联规则中的多值数据项分别以概念格结构进行表示,实现了频繁项集可视化展示和一对一、一对多、多对一、多对多及概念分层的多模式关联规则可视化展示。最后,以某省全员人口数据为基础对算法进行了具体实现和分析,同时实现了对人口数据的源数据、频繁模式以及关联关系的可视化展示。实验结果表明,所提出的可视化形式和已有成果相比具有良好的频繁项集与多模式关联规则展现效果。 无线Mesh网络上下行带宽公平性定义的研究 为了解决无线Mesh网络(WMN)无法自发在Mesh网关处确保上下行带宽公平分配的问题,提出了基于时间窗口的无线Mesh网络的上下行带宽公平性定义。这些定义是在运用NS2进行仿真实验的基础上,充分考虑了无线Mesh网络的上下行方向动态变化的TCP流数负载和各条流不同的需求带宽等QoS指标的基础上提出来的,描述了无线Mesh网络作为Internet接入网络提供公平服务时应满足的基本条件,为后续研究高效的优化公平效率模型和实现上下行公平性的机制或算法打下了坚实的基础。 连续不确定XML数据查询处理算法 针对目前连续不确定XML数据同步多区间的查询处理算法易造成较大时间开销的问题,提出一种基于蒙特卡洛最小二乘思想的小枝模式查询处理算法QueryLSMC。算法根据查询请求依节点遍历序列顺序处理路径栈中节点,利用链表匹配并存储中间结果,通过构造随机样本集线性拟合目标节点中的连续分布函数,避免了对大量矩形分段的处理,有效地减少了计算量。实验结果表明,在取得理想精度的同时,该算法具有高效性。 基于多尺度分割的二维条码定位算法 为正确定位二维条码在图像中的位置,提出一种多尺度分割定位算法。在最初分割中,图像被分成若干分块。根据分块的黑白像素比、高频子带系数方差等特征值,将分块分割为条码和非条码区域。随着尺度的增加,利用多尺度分割模型对下一尺度的分割结果进行修正。以此类推,直至最精细尺度,得到条码在图像中的定位。实验结果表明该算法能较好地分割出图像的条码区域。特别在含有大量文字等复杂背景的情况下,该方法具有一定优势。 CART:组合自适应随机测试方法 "自适应随机测试方法将测试用例均匀分布于整个输入空间,以提高测试效率.然而,当被测软件的输入参数存在错误相关性,使失效区域形状成为""片状""时,传统的自适应随机测试方法效率将急剧下降.针对""片状""失效区域的特点,本文提出了一种新的自适应随机测试方法:组合自适应随机测试方法.该方法将输入参数划分为多个不同的组;每一组被视作一个独立的输入空间并通过自适应随机测试方法生成""准""测试用例序列;最终的测试用例将由各组""准""测试用例组合而成.实验表明,组合自适应随机测试方法能大幅度提高测试用例发现错误的效率." 一种有效抑制噪音的模糊C均值图像分割算法 提出一种能够有效抑制噪音的模糊C均值聚类算法,通过构造基于灰度-中值的空间信息和塔形结构减少噪音对聚类中心的影响,塔形结构的引入缩短了运算时间,通过自适应地选取隶属度阈值避免人为设定阈值的不灵活性,在图像分割时用中值图像代替源图像消除噪声点。仿真实验表明,该方法更加适合处理受噪音污染的图像,分割结果更加精确。 基于滑动概率P_w的802.11DCF改进算法 通过分析IEEE802.11DCF的性能及存在问题,在此基础上提出一种改进的基于滑动概率pw的分布式协调功能asyn-DCF。该机制采用一种异步退避算法,通过加入滑动概率使得退避计数器在信道空闲的情况下以一定的概率来退避,从而达到减少冲突的目的。该算法采用二维Markov chain模型对改进机制的性能进行理论分析,结果表明了该算法能够有效地提高饱和吞吐量并且减少包碰撞概率。 基于Unicode编码文本水印的分密级公文系统 介绍公文系统基本安全管理方法,对传统的文本数字水印技术进行分析,提出一种基于Unicode编码的文本数字水印算法,采用密钥对水印信息加密后通过异或运算嵌入到文本Unicode编码中,同时附加密级编码、奇偶校验码和纠错码构建水印文本编码,实现公文分密级和全过程的安全管理,在定性分析中发现算法具有广泛适用性和较强安全性. 逆商空间的一致性研究 粒计算是当前计算智能研究领域中模拟人类思维和解决复杂问题的新方法。商空间理论是粒度计算的三种主要方法之一。在商空间理论的基础上,分析逆商空间的相关性质,并对商空间的逆商空间与其原空间的一致性进行分析,证明了满足一定条件的原空间导出商空间后,求逆商得到的逆商空间与原空间具有一致性。原空间到其商空间的映射关系确定后,其拓扑的可逆性也随之确定,在未知拓扑结构时可以构造拓扑基生成具有可逆性质的拓扑。 基于Azure的云安全研究 随着云计算的进一步推进和发展,云计算面临的安全问题变得越来越突出,特别是在云计算带来的诸多利益下,如何满足用户在云计算环境下对用户数据的机密性、完整性等相关性能的需求,已成为云计算安全的首要难题。文中以微软Azure平台为基础,从云安全分析入手,针对Windows Azure云安全进行分析研究。在Azure架构下,探讨Azure云安全解决方案,分别从IaaS、PaaS、SaaS三个角度对微软Azure云平台安全方案给出应对措施,为进一步对微软Azure云平台进行更深层次的测评分析提供技术支持。 基于优先级的CHOKe算法仿真与性能分析 为将区分服务(DiffServ)网络架构中的优先级服务与网络拥塞控制相结合,提出一种应用于DiffServ架构核心路由器的CHOKe算法(CHOKeW)。针对不同优先级别的数据流进行带宽分区,为高优先级的数据流分配高带宽,并且当发生网络拥塞时通过限制高速非响应流占用的带宽,达到保护响应流的同时兼顾相同优先级数据流公平性的目的。实验使用NS-2建立仿真模型,分析CHOKeW算法、加权公平队列算法、RIO算法的不同优先级混合流的带宽公平分配情况,结果表明,CHOKeW算法能够有效提高网络性能,保证网络服务质量,并且较好地解决基于公平性和优先级的带宽分配问题 基于DEM数据库的可视域算法 可视性分析在军事和工程领域有着广泛的应用,可视域计算是可视性分析的重要因子.首先讨论了可视域计算的常用算法,分析了各个常用算法的优劣.并针对大数据量的DEM数据库,在Xdraw算法的基础上提出了一种改进的Xdraw算法,大大减少了访问数据库的次数.然后在实验的基础上从正确性和效率两个方面对该算法进行了分析,并给出了继续研究的方向. 基于LSTM-CRF命名实体识别技术的研究与应用 随着深度神经网络的发展,深度学习不仅占据了模式识别等领域的统治地位,而且已应用到自然语言处理的各个方面,如中文命名实体识别。对电子病历中的命名实体进行识别时,构建了内嵌条件随机场的长短时神经网络模型,使用长短时神经网络隐含层的上下文向量作为输出层标注的特征,使用内嵌的条件随机场模型表示标注之间的约束关系。该模型识别出了电子病历中的身体部位、疾病名称、检查、症状和治疗五类实体,准确率达到96.29%,精确率达到了91. 61%,召回率96.22%,F值93.85,其中症状这一实体类别,精确率达到96.08%,召回率98.98%,F值97.51。实验结果表明,内嵌条件随机场的长短时记忆神经网络模型在识别中文命名实体方面是有效的,有助于自动抽取中文电子病历中实体之间的关系、构建医疗知识图谱。 基于iSCSI的软件定义存储局域网研究 iSCSI是基于TCP/IP的存储局域网协议,具有易于搭建、扩充性强和突破距离限制等优点,但在实际应用中需要使用较为昂贵的专用硬件如iSCSI HBA以满足带宽要求。基于目前高性能通用计算、存储和网络技术的发展,设计一种针对iSCSI的软件定义存储局域网(iSCSI-Software Defined Storage Area Network,iSCSI-SDSAN)来提高iSCSI存储局域网访问性能,替代由原来依靠特定硬件来提高存储性能的架构。文中将设计SDSAN体系结构、组成模块和算法流程。最后在Ubuntu系统下,采用java对其进行了实现,实验结果分析表明,iSCSI-SDSAN性能在存储访问尤其是写操作上具有显著提高,写带宽平均提高了30%。 自适应控制迭代的随机值脉冲噪声滤波 定义了一种更接近实际噪声情况的随机值脉冲噪声模型,针对随机值脉冲噪声的滤除提出一种自适应控制迭代次数的滤波算法。算法包括噪声检测、噪声滤除、误检像素修正和迭代控制四个步骤,对固定值脉冲噪声和随机值脉冲噪声均能有效滤除。与中值滤波算法进行实验比较,在滤除随机值脉冲噪声时,该算法滤波后图像细节信息保护较好,且滤波过程无需设定迭代参数,自适应性强。 基于网络技术的食品生产企业监督管理系统的设计与实现 基于网络技术的食品生产企业监督管理系统,运用现代化的网络技术、数据库技术和计算机信息处理技术,通过政府网或公共网,将分布在各地的食品生产企业各类信息迅速、方便地传送到食品安全监管中心,从而使食品安全监管人员全面掌握食品企业的生产状况,监督食品的安全生产。该系统以SQL Server为数据库后台,通过面向对象语言编程,已经在现场稳定运行了较长时间,大大提高了食品生产企业的行政监管水平,为食品的安全生产提供了行之有效的监督指导。 基于FDA-KDE间歇过程在线监控 针对基于传统的多向主元分析(Multiway Principal Component Analysis,MPCA)方法用于间歇过程在线监控时需要对新批次未反应完的数据进行预估,从而易导致误诊断,且统计量控制限的确定是以主元得分呈正态分布为假设前提的缺陷,结合Fisher判别分析(Fisher Discriminant Analysis,FDA)在数据分类及非参数统计方法核密度估计(Kernel Density Estimation,KDE)在计算概率密度函数方面的优势,提出了一种FDA-KDE的间歇过程监控方法。该方法首先利用FDA求取正常工况数据和故障数据的Fisher特征向量和判别向量,获得Fisher特征向量的相似度:然后在提出偏平均集成平方误差(Biased Mean Integrated Squared Error,BMISE)交叉验证法确定KDE的带宽从而获得相似度统计量控制限的基础上,利用已获得的数据测量值对过程进行监控,避免了基于MPCA方法对未来测量值的预估;最后采用基于Fisher判别向量权重的贡献图方法来进行故障诊断。通过对青霉素发酵间歇过程应用表明,所提出的方法比传统的MPCA方法能更及时地监测出过程异常情况,更准确地判断异常发生的原因。 基于流行度预测的互联网+电视节目缓存调度算法 "针对互联网+电视平台为提高热点节目命中率而过渡消耗存储空间的问题,提出一种基于流行度预测的节目缓存调度算法PPRA(popularity prediction replication algorithm).首先,在对实际测量数据进行统计与分析的基础上,使用随机森林(random forests,RF)算法构建节目流行度预测模型.同时,针对所选特征存在的""维数灾难""问题,利用主成分分析法(principal component analysis,PCA)实施特征降维处理,以实现视频流行度预测值的快速计算.然后基于节目流行度预测数据调度缓存中的节目.最后以某广电运营商130万用户120d的收视数据为例,对PPRA算法进行实验.实验结果表明,在保证一定缓存命中率前提下,与LRU,LFU算法相比,PPRA算法仅需30%的存储空间,可有效降低互联网+电视平台的建设成本." 运动员消极情绪与比赛成绩相关性分析仿真 对运动员消极情绪与比赛成绩相关性进行准确分析,可以有针对性的对运动员进行疏导,提高运动员的比赛成绩。消极情绪与比赛成绩相关性分析时,主要应对赛前运动员情绪和比赛成绩的相关性进行分析,但是传统方法只分析了赛后情绪与比赛成绩进行了分析,忽略了赛前运动员的消极情绪对比赛成绩的影响,导致分析误差大的问题。提出一种支持向量机的运动员消极情绪与比赛成绩相关性分析模型。首先利用消极情绪信息增益表示运动员当前状态特征与运动行为类别特征的相关性度量,依据该度量的降序排序选取相关性较强的一组消极情绪特征,并引入支持向量机理论,对运动员消极情绪特征进行分类,获得因潜在威胁情景产生的担忧倾向中的消极情绪,计算运动员消极情绪强度,最终建立运动员消极情绪与比赛成绩相关性分析模型。仿真结果表明,所提模型能够有效分析运动员消极情绪与比赛成绩之间的相关性。 高超声速飞行器飞行轨迹的模糊控制设计 针对高超声速飞行器飞行过程中因干扰造成的飞行轨迹散布问题,提出了采用飞行器飞行轨迹的模糊控制设计方法。方法以高超声速飞行器飞行轨迹线偏差和线偏差变化率作为模糊控制器输入,采用模糊推理设计飞行控制系统。在完成高超声速飞行轨迹控制系统数学建模的基础上,结合自动驾驶仪特点对飞行轨迹模糊控制系统进行了设计。结论通过仿真表明所设计的飞行控制系统满足飞行轨迹及攻角性能要求,验证了方法的正确性。 三维地层Tin模型剖切的改进算法 地层Tin(Triangulated Irregular Network)剖切是实现三维地质建模和分析的关键算法。通过分析实际地层剖切分析的特点,采用虚拟现实中碰撞检测的层次包围盒方法改进原来三角网格检测的算法。首先建立剖切面和Tin的矩形包围盒树,通过坐标轴投影法快速实现矩形包围盒的碰撞检测,然后对检测到可能发生相交的三角形进行交点计算,详细分析所得交点的不同位置的情况,对Tin进行裁剪分边和重构得到剖切后的模型,最后阐述算法实现的主要数据结构和展示剖切的效果。 基于DBSCAN聚类算法的闪电临近预报模型 针对闪电定位仪中庞大而杂乱的定位数据,提出一种基于改进DBSCAN聚类算法(IDBSCAN)进行闪电聚类分析的方法。该方法依据闪电定位系统中的实时监控数据,搜索闪电密度大于阈值范围的地闪点,建立密度可达最大值的地闪聚类簇,并找到该簇类中的核心地闪点。同时,应用邻接表结构对DBSCAN算法进行改进,使得初始地闪数据的搜索集的建立时间和空间得到大大减少。在聚类分析结果基础上,对核心地闪点的移动路径进行拟合,从而预报下一时刻的核心地闪点位置。实验证明,将IDBSCAN算法应用在闪电临近预报中是有效的。 基于Vega-MultiGen实验中心虚拟漫游系统及GIS研究 为方便校内外学生、访问者更好地了解国家级实验教学中心,发挥实验教学中心的示范辐射作用,文中研究开发了一个基于Vega Prime 2.0的虚拟实验中心漫游系统,给使用者带来身临其境的临场感。运用LOD、DOF、纹理映射等关键技术,基于Vega-MultiGen开发了西南交通大学新校区及西南交通大学机械基础国家级实验教学示范中心的虚拟漫游系统,实现了自由漫游及目标对象的自动导航,将虚拟现实技术与地理信息系统相结合,实现了GIS属性查询等功能。为数字化实验示范中心、数字化实验室的建设探索了一条可行的技术路线。 基于NTP的同步采集系统研制 在分布式系统中,信号采集的同步一致性和精确性是非常重要的;文中采用了GPS与NTP相结合的时钟同步方式,为系统研制提供了一个高性能的时间同步方案;研制的该NTP时间同步系统是一个局域网型的系统,NTP在系统中的应用,可使整个系统的时间同步精度达到微秒级;通过基于NTP解决系统的时间同步服务、同步数据采集和控制等关键技术问题,完成了数据同步采集系统研制,获得了准确的试验数据,实现了所有数据的同步测量和远程实时分析。 多方法结合的人脸图像光照补偿算法 将基于Retinex理论的光照补偿算法分别与直方图均衡化、gamma灰度变换两种常见的、简单的预处理算法结合,得到两种新的光照补偿预处理算法。从实验结果看,结合算法明显改善了Retinex理论光照补偿算法对侧光纠正不均匀的现象,可大幅度提高复杂光照环境下的人脸识别率。同时,由于是两种简单预处理算法的结合,保证了预处理效率。 一种动态场景下运动对象分割新算法 视频运动对象分割是计算机视觉和视频处理的基本问题。在摄像机存在全局运动的动态场景下,准确分割运动对象依然是难点和热点问题。本文提出一种基于全局运动补偿和核密度检测的动态场景下视频运动对象分割算法。首先,提出匹配加权的全局运动估计补偿算法,消除动态场景下背景运动对运动对象分割的影响;其次,采用非参数核密度估计方法分别估计各像素属于前景与背景的概率密度,通过比较属于前景和属于背景的概率及形态学处理得到运动对象分割结果。实验结果证明,该方法实现简单,有效地提高了动态场景下运动对象分割的准确性。 固体火箭发动机高空模拟试验的流场仿真 针对固体火箭发动机被动引射式高模试验,在发动机点火及熄火过程中存在的回火,给发动机喷管及对布置在高空舱内的测试装置和线路构成了严重威胁的问题。利用Navier-Stokes方程(N-S)和Spalart-Allmaras模型对发动机被动引射高空模拟试车全程进行流场瞬态仿真:对试验过程中发动机建压、稳压、减压及高空舱补气等阶段的流场结构进行仿真,同时对扩压器及高空舱内的流场进行仿真,分析高模试验各阶段的流场结构。结果显示:测点的实测数据与仿真曲线大致重合,只在补气的最初阶段略有差别,实测数据与仿真数据的整体吻合度较高。建压和减压过程,应采取绝热措施防止高温燃气对发动机,特别是喷管和后封头处破环,此外应对喷管外形面进行合理设计,以控制回火气体的分离点,有利于减小回火造成的影响。稳压段高空舱内流场结构稳定,不会对发动机造成影响。 有向图上的广义可达性查询处理方法 随着社会网络、生物信息学、本体等应用的迅速发展,如何在图上进行高效的信息检索成为一个亟待解决的问题。两点间可达性查询是一种常见的查询方式,目前针对此类查询已经提出了许多算法。但是在一些应用中,这种查询语义并不能满足用户需求。基于此,提出了两种广义可达性查询语义。研究了如何在大图上进行高效的广义可达性查询的问题,依据Path-tree编码的特性提出了一种新的二级索引机制——RB+索引。基于RB+索引,针对不同类型查询提出了两种高效的查询处理方法。该方法充分利用Path-tree编码的特性,有效地处理广义可达性查询。通过实验对提出的索引和查询算法进行了验证。 基于图像序列的油质颗粒检测系统研究与实现 研究以设计实现一套能对油质颗粒进行便捷、快速和稳定检测的系统为基本目标;首先,给出系统的基本构成和实现的基本原理;然后,在现有数字图像处理技术基础上,重点针对油质颗粒图像处理和颗粒识别提出了适合系统需求的分块阈值处理算法和隔行隔列杂质颗粒识别算法;实验表明,采用分块阈值处理算法不仅能够提高系统处理速度,而且能够很好地消除油质颗粒受光照的影响,提高颗粒的检测精度;隔行隔列颗粒识别算法同样可以提高系统的检测速度。 基于双位置环的立体仓库堆垛机高精度定位 立体仓库堆垛机定位控制中由于测量产生的误差,如编码器存在累积误差的缺陷,激光测距仪因震荡等不确定因素产生误差,严重影响堆垛机的定位精度;针对堆垛机此类定位控制精度问题,采用双位置环控制方法;第一次定位与第二次定位结合使用,在低速段用第二次定位反馈,提高定位精度;最后对堆垛机定位误差进行了分析,结果满足控制系统要求;实验证明,该方法很好的实现了立库堆垛机运行的精确定位问题,定位精度在3mm范围内,符合定位要求。 供应链订单分配优化模型及其模拟退火算法 受4M1E(人、机、料、法、环)因素的随机波动影响,产品的制造过程通常是不完美的,从而产生不良产品。针对已有研究多忽略不良产品的特点,建立了更加符合实际需求的订单分配多目标混合整数规划模型,其优化目标为最小化交易成本、采购成本、不良产品数量、产品延迟交付数量,以及最大化供应商信誉评价。考虑到模型求解的复杂度,设计了一种模拟退火算法,并结合启发式规则避免了大量非法初始解与邻点解的出现。实验算例表明所建立的模型能够反映订单分配过程中的产品缺陷现象,其算法能够在允许的运算时间内获得稳定的满意解,并且随着算例规模的增大,其计算时间与优化结果均优于LINGO软件。 改进的判别割及其在图像分割中的应用 谱聚类算法能在任意形状的样本空间上聚类且收敛于全局最优解,但判别割(Dcut)算法在计算正则化相似度矩阵及其特征向量时比较耗时,而基于子空间的Dcut(SDcut)算法则不稳定,为此,提出基于主成分分析(PCA)的Dcut算法(PCA-Dcut)。PCA-Dcut算法采用PCA算法计算相似度矩阵的前m个大的特征值对应的特征向量构造一个新的矩阵,然后采用构造的矩阵与相似度矩阵和拉普拉斯矩阵分别进行矩阵运算;接着通过计算获得一个m阶正则化相似度矩阵,并计算该矩阵的k个最大特征向量;最后使用构造的矩阵与这k个特征向量相乘获得最终用于分类的特征向量。PCA-Dcut算法能降低Dcut算法的计算复杂度。通过对人工合成数据集、UCI数据集和真实图像的仿真实验表明,PCA-Dcut算法的聚类准确率与Dcut等谱聚类算法相当,同时在分割图像时的运算速度约为Dcut的5.4倍,并具有比SDcut更快的速度和更好的性能。 基于纳什议价的P2P社会网络资源共享 针对peer-to-peer(P2P)社会网络中存在的自由下载问题,提出了一种基于纳什议价的节点资源共享博弈。将节点共享资源分为公共品资源和俱乐部资源两类;将社会网络中的节点关系集合分为朋友集合(FS)和普通集合(SS)。节点在FS中共享的资源作为公共品资源,在SS中的作为俱乐部资源。采用纳什议价的方法证明存在节点共享能力、保证不同集合中节点共享资源的最小服务质量以及最大化节点共享资源效用函数的条件下,共享资源节点根据纳什议价权力对不同集合进行资源共享,达到最优的资源共享,并分析了基于纳什议价节点共享资源的公平性。通过仿真验证表明,节点共享资源的收益和资源共享量与不同集合议价权力都正相关,保证最小服务质量的资源共享其公平性因子高于不存在服务质量保证的资源共享公平性因子。仿真结果验证了理论分析结果。 视觉跟踪技术研究现状及其展望 对运动目标进行视觉跟踪是机器人技术、计算机视觉等领域研究的热点之一。首先就跟踪技术的研究历史和现状进行回顾和分析,论述按照基于目标区域的跟踪、基于目标特征的跟踪、基于变形模板的跟踪和基于目标模型的跟踪四个分支分别展开;其次,对人们在该领域研究所面临的难点进行了归纳和总结;最后对分形理论和生物视觉在跟踪策略中的应用前景进行了展望。 一种微型大气数据计算机的研究与设计 根据现代化飞机对微型大气数据计算机的需求,介绍了一种基于数字式MEMS传感器的微型大气数据计算机的实现方法;系统通过数字式MEMS传感器采集数据,经过误差补偿、数据解算输出气压高度值、空速值;根据设计要求,采用数字式MEMS传感器、SPI通讯隔离、电源单独供电等硬件设计增加抗干扰能力,软件上对传感器数据进行温度补偿,并采用线性插值算法提高计算性能;经过测试,该系统高度误差小于0.5m,空速误差小于1.5m/s,满足测量精度要求;该系统具有体积小、可靠性高、抗干扰能力强、速度快等优点,满足系统设计要求。 MVC软件构架在城市安全生产监管系统中的设计与应用 随着信息技术及各类应用系统的快速发展,通过信息技术手段更有效地实现城市安全生产监管,已成为各级政府部门高度关注的计算机应用项目之一。基于B/S构架模式,设计和描述了MVC(Model-View-Controller)软件构架在城市安全生产监管系统开发中的应用方法。该系统采用ASP.NET和Entity Framework技术进行开发,其实现的功能不仅改进了现有的监管机制,而且有效提高了城市安全生产监管信息的实时性、准确性、共享性和可使用性。 基于LabWindows/CVI的楼宇供水防泄漏自动测控系统的设计 针对智能楼宇供水管网的泄漏测控问题,提出了一种基于LabWindows/CVI虚拟仪器的新型测控系统设计方案;系统在结构上分为测控节点、楼层节点和中心节点三部分,基于ZigBee无线传感网络实现硬件互联;测控节点采用光电传感电路检测泄漏,发生泄漏时自动切断对应支路水源,并将报警信息经楼层节点发往管理中心,中心节点基于LabWindows/CVI虚拟仪器程序接收并处理数据,实现泄漏定位、示警及相关数据库操作;测试表明,系统的平均泄漏检出率达99.8%、判定准确率达99.9%,测控精度高、可靠性强,能够很好地满足智能楼宇供水泄漏的高精度自动测控需求、保障建筑楼体及内部财物免受漏水威胁。 基于LabVIEW的高速加载板自动校准技术研究 加载板是集成电路测试系统进行测试的必要组部分,当传输速率高于200Mbps或者信号上升时间小于1ns时,加载板的性能对信号完整性产生较大的影响,需要定期对加载板进行校准。论文提出了基于LabVIEW的高速加载板自动校准方法和具体实施方案。 基于电感感测的自动循迹小车的设计 介绍了采用电感感测路径的自动循迹小车控制系统。自动寻迹小车控制系统以STC12C5A60S2为核心控制单元,采用LDC1000电感数字转换器完成道路检测,采用两个步进电机完成小车驱动。道路检测算法,采用基于滑窗滤波算法的扇形扫描法判断小车的偏移方向。搭建实验平台,小车能够完成自动偱迹功能,达到第五届TI杯电子设计大赛要求,该系统设计可为相关的货运机器人等提供了参考。 面向Java锁机制的字节码自动重构框架 Java语言提供了同步锁、可重入锁和读写锁等几种锁机制,在并行程序设计中不同的数据结构使用这几种锁机制时获得的性能通常是不同的。为了在不同的锁机制之间进行自动转换,进而帮助程序员了解程序的性能,提出了一种面向Java锁机制的字节码自动重构框架,并基于该框架实现了字节码重构工具Lock2Lock。Lock2Lock在Quad中间表示的基础上对字节码进行静态分析,并对分析的结果进行一致性验证,通过Javassist完成字节码的重构。使用红黑树、消费者生产者程序以及SPECjbb2005 3个测试程序对Lock2Lock重构工具进行了测试,结果表明,Lock2Lock可以成功地实现从同步锁到可重入锁或读写锁的重构。 基于三角形理论的无线传感器网络定位算法 针对基于垂直平分线的区域定位算法(MBLA)存在定位精度低、迭代次数多的缺点,提出了基于三角形理论的区域定位算法(TBLA)。该算法以参与定位的两个锚节点连线作为一条边,以待定位节点与这两个锚节点的RSSI测距值作为另两条边构造三角形,然后根据三角形的形状进行定位。仿真结果表明,在相同通信半径下,TBLA定位误差只是MBLA的1/5,迭代次数减少了2/3以上,具有较高的应用价值。 非结构化道路中基于均质雾天的摄像机动态标定算法 现有的交通摄像机标定算法大多基于车道线长度、车辆尺寸等先验信息,由于在非结构化道路中往往不存在车道线,使得标定算法具有局限性.为了改进摄像机标定在非结构化道路中的适用性,结合摄像机线性模型与均质雾天,提出一种只包含路面以及运动车辆的摄像机动态标定算法.首先生成并更新背景和场景活动图,提取路面、天空的纹理特征,利用区域搜索算法得到感兴趣区域,并根据感兴趣区域的像素变化规律判断当前天气是否为均质雾天;其次根据暗原色先验原理计算场景透射率,将结果映射到[0,255]作为图像显示;最后结合均质雾天光线传输模型、摄像机线性模型和暗原色先验原理导出标定方程,选取路面上具有特定透射率的8个点生成2个一次方程、1个二次方程和1个三角方程,依次标定摄像机参数,将视频多帧图像标定的参数值平均得到准确值.与角点检测法、摄像机6点标定法以及基于消失点的标定算法进行对比的实验结果表明,该算法是有效的且满足视频的实时性处理要求. CT-RBAC:一种云计算环境下的访问控制模型 云计算的开放性、共享性等特点,使云计算环境下的访问控制比传统环境下的访问控制更加复杂.为了保证访问过程中云用户以及云服务器上数据的安全性和可靠性,提出了一种云计算环境下的访问控制模型(CTRBAC).划分了云服务器上数据和云用户的安全级别,引入了任务情景集,从5个角度来实现访问控制策略,最后给出了云用户访问云端数据的实现流程.分析结果表明CT-RBAC具有明显的优点.该方案提高了客体拥有者对云服务器的监控能力,保证了云环境下数据的安全性和可靠性,并且具有较好的灵活性. 修正局部极小值的局部灰度差异分割模型 针对现有局部模型在分割灰度不均匀图像时容易陷入局部极小值,导致演化曲线停留在背景处或目标内部无法继续演化从而造成分割失败的现象,提出本模型。该模型在能量泛函中增加局部灰度差异项,通过最大化演化曲线上所有点的邻域内目标和背景的差异来驱动演化曲线越过图像背景处或目标内部,直到准确地停留在目标边缘。实验结果表明提出的模型可以有效地解决局部模型因陷入局部极小值而导致的误分割问题,同时提高对分割灰度不均匀等复杂图像的准确性,并减小对初始轮廓的敏感性。 支持QoS和用户需求的Web服务选择模糊算法 基于QoS的Web服务选择能为用户提供更好的服务质量,而基于用户对QoS的需求和偏好的模糊性来进行Web服务选择是其中的一种方法。针对如何通过这种方法合理地获得最优候选服务集,是Web服务架构待解决的一个问题,提出了一种支持QoS和用户需求的Web服务选择模糊算法。该算法将用户对QoS的需求及偏好的语言描述进行去模糊化,然后选择出最符合用户需求的服务集。通过对模拟的服务质量数据进行实验,验证了该算法的优越性和有效性。 一个数字内容交易系统的设计与实现 在当前内容数字化、信息网络化的背景下,以进行数字内容采集、交易、分发为核心的数字内容产业迅猛发展。产业的发展向各种支撑技术提出了新的问题与需求,需要一个完善的解决方案。在阐述数字内容产业相关概念及其特点的基础上,分析了数字内容交易系统所面临的主要问题及其解决方案,提出了系统架构与模块划分,并在所承担的项目中给出了具体实现。 基于形状上下文的复杂验证码识别算法 使用改进的形状上下文方法对复杂验证码进行识别,采用整体识别方法,不对图片进行切割,使用半极坐标圆进行建模的方式,解决2个字符连接处像素点建模互相干扰的问题。设计并实现复杂验证码识别算法,并与简单验证码进行比较。实验结果证明,复杂验证码识别算法能对字符粘连的复杂验证码进行识别。 基于情绪和兴趣的用户访问行为预测 微博用户行为预测旨在研究用户的行为习惯,本文主要从用户属性、用户兴趣和用户情绪三个方面,对影响微博用户行为的因素进行研究分析,提取影响用户行为的特征,训练预测模型.实验中还将情感和兴趣特征在预测模型中的作用进行了对比,结果显示预测模型在转发行为预测的平均准确率能够达到82.56%,在评论行为预测的平均准确率能够达到84.59%,在点赞行为预测的平均准确率能够达到79.35%,表明了用户兴趣和情感特征对于微博用户行为预测结果提升中的有效性. 一种k跳分簇AdHoc网络协作框架 "基于k跳簇的特殊结构,提出了一种k跳分簇Ad Hoc网络协作框架。簇首根据启发式规则选择合适的簇间协作网关节点,自适应地管理簇间协作关系。相邻的协作""网关节点""与簇首协作,管理簇内节点、网关节点的移动。当簇首发生移动时,选择合适的节点完成簇首信息的交接。移动代理在簇首节点间漫游,实现全网络协作,扩大k跳簇首的知识范围。仿真结果表明,协作框架能够以较低的控制负载高效地管理k跳分簇Ad Hoc网络中的移动节点,并提供网络级的协作。" 基于键规则的XML实体抽取方法 XML上实体抽取问题的任务是要从XML数据中抽取出描述现实世界某个物理实体的数据实体.利用XML查询提供实体的表示方法,基于键规则中有关实体的语义信息,给出了求解XML上实体抽取问题的基于键规则的实体抽取(key-based entity extraction,KEE)方法.KEE方法利用查询松弛技术,自动地生成抽取实体的候选查询集合,基于相似性测度,从候选查询中选取适用于抽取实体的查询集合.作为KEE方法的一个具体实现,SharingEE算法利用标准化的查询松弛技术,减少了候选查询中的冗余,利用基于自动机的查询处理技术,在多个候选查询之间共享中间结果,从而减少计算开销.在真实和模拟数据上运行的实验验证了算法的效率和有效性.实验结果表明,KEE方法可以很好地解决实体抽取问题,并可以扩展到大规模数据上. 原发腹膜后副神经节瘤影像诊断 目的:分析腹膜后副神经节瘤的CT和MRI表现,提高对该病影像学表现的认识和诊断水平。方法:搜集经手术病理证实的腹膜后副神经节瘤19例,回顾性分析其临床、影像表现及病理改变特点。结果:病灶多表现为腹膜后类圆形软组织肿块,最长径为4~23cm,其中5例长径>8cm。19例病灶均呈囊实性,其中13例囊性为主,6例实性为主;4例MRI检查,12例CT检查,3例同时MRI与CT检查。15例CT平扫实性成分CT值平均42.3HU,增强动脉期平均52.1HU,静脉期增加平均约20.3HU,延迟期持续增加平均约11.3HU。4例血管CTA示肿瘤瘤体内或周围粗大的血管影。7例MRI示病灶实性成份呈T1WI等信号,T2WI高信号,DWI明显高信号;术后随访7例,其中5例腹腔转移,2例肝脏转移,最终诊断为恶性腹膜后副神经节瘤;病理上瘤细胞呈簇状或巢状排列,一些呈条索状或腺泡样排列。18例免疫组化检测中,6例Ki67阳性,4例CD56阳性,10例神经元特异性烯醇化酶(NSE)阳性,12例突触素(Syn)阳性,13例嗜铬粒蛋白(Cg A)阳性。结论:腹膜后副神经节瘤的临床和影像学表现具有一定的特异性,有助于诊断。 基于WindowsCE的嵌入式多生理参数采集显示系统 实现了一种能对多种生理参数进行实时检测、存储、分析、显示及报警的嵌入式系统,采用S3C2440作为核心控制器,使用CAN总线实时传递生理参数信号,用WindowsCE作为操作系统协调软件界面与硬件的运作;图形用户软件界面考虑到生理参数数据量大,实时性要求高的特点,利用自定义的消息方式和多线程技术充分提高程序的实时性和代码的执行效率;程序运用面向对象的思想,便于后续功能的开发;通过和高实时性的PC测试程序波形比较表明,本系统具有很强的实时性和稳定性,可以实现预期的监测功能。 针对技能型员工项目调度的GA进化策略研究 为解决技能型员工具有异质效率、最小化总误工时间的项目调度优化问题,建立了0-1型整数规划模型,并设计了一种遗传算法,采用插入分区基因进行染色体编码,应用改进的前向递归算法求解适应值,并提出了概率进化策略和精英进化策略。数值实验表明,在相同运行时间内,概率进化策略的寻优能力优于精英进化策略。 一种考虑地图分布信息的分层路径搜索算法 目前存在大量的路径搜索算法,但大多数如传统的A*,Dijkstra等算法没有考虑地图中障碍物的分布信息,造成不必要的存储和时间耗费.实际上,搜索空间的分布在很大程度上影响着算法的性能,因此提出一种结合障碍物分布信息和抽象图思想的分层路径搜索算法CDHPA*.该算法首先依据障碍物的分布将地图划分为不均等的子区域,划分区域的数目由可调阈值确定;然后将子区域边界上的非障碍点作为抽象节点来构成完整的抽象图.根据障碍分布,抽象节点之间的最短路径采用曼哈顿距离或自底向上融合算法来计算;最后在抽象图上找到抽象路径并进行细化,得到实际路径.CDHPA*在同一幅地图上进行多次寻路时仅需一次预处理,在线寻路相比同类方法 M-A*、HPA*更快,并且得出的路径为最优路径. 国画风格水动画建模 国画是中国重要的传统艺术形式之一,国画风格水在其中有着重要地位,然而对国画风格的水动画模拟工作还很少,为此提出一个国画风格水动画模型.首先利用Bézier曲线构造一组基本水波形状单元,然后对它们进行组合生成水波纹,最后将水波纹叠加到水面网格上.在动画生成阶段,先在水面上确定水波纹的位置,再控制水波纹沿某方向运动,且其形状和大小随时间变化.为了表现水面纵深感,水波纹颜色以及线条宽度随场景深度变化.实验结果表明,该模型能根据用户需要高效地生成多种国画风格的水动画. 基于叙词表的林业信息语义检索模型 随着互联网的快速发展,基于关键词字面匹配的信息检索方式已不能满足人们的需求。叙词表中所包含的语义关系是提高查全率和查准率的重要途径,如果将叙词表控制机制引入当前网络信息检索工具中,必然能在一定程度上提高信息检索的效率。利用叙词表中的词间关系,提出了一种计算叙词间语义相似度的方法,借助查询扩展的思想,设计了一种基于叙词表的林业信息语义检索模型。最后,以林业汉英拉叙词表中两个类目范畴作为实验对象,分别同百度搜索引擎、农业叙词表中所使用的检索方法进行了比较,实验结果表明,提出的检索模型可以更好地利用叙词表来改进传统的基于关键字的检索方式,此外,所提模型是通用的,为叙词表在网络信息系统中的应用提供了一种新的思路。 一种基于终端行为信誉度的网络访问管理方案及其实现 基于信誉度模型对网络访问管理问题进行研究,通过评估用户网络行为对网络安全的影响程度控制其访问。在给出了基于信誉度的网络访问管理方案基础上,提出了针对用户行为对网络安全的影响进行信誉度评估的方法,以及信誉度的分级计算的依据;然后给出了基于信誉度的网络访问管理系统模型设计方案;最后针对提出的网络访问管理方案,对模型进行了总体设计。论文提出的基于信誉度的网络访问管理方案,增加了对用户行为的管理,可使网络安全管理变得动态、实时,网络运行更加安全、稳定。 一种基于电子病历应用水平要求的医院信息化管理系统 从“十二五”规划开始,国家开始把医疗改革放到政府重点工作的议程上来,把加快推进医疗卫生信息化当成必须要做的工作。以上海市某医院为例,通过分析我国现在医院信息化现状以及电子病历应用水平的划分,结合该院的电子病历现状,着重讲解对医院信息化管理系统的构建。从应用系统组成、应用水平以及系统构建成功之后的多维度评估,完善地讲解电子病例系统的构建。 基于Kinect手势识别的应用研究 论文针对人机交互领域中动态手势识别问题,利用Kinect传感器获取手势的深度图像,进而追踪人体手部骨骼的关键节点。利用多项式时间算法减少了DTW算法中最佳匹配过程中的冗余计算,然后将提取的手部特征序列模板与参考序列模板进行最佳匹配,得出两序列模板之间的最优规整路径(即两序列的相似度),进而得到有效的识别结果。最后将手势识别方法运用到视力检测中,实现了基于手势的视力测试平台,改变了传统视力测试的方式,提高了人机交互的体验。 基于粒子群与支持向量机的隧道变形预测模型 针对粒子群算法易早熟且在算法后期易在全局最优解附近产生振荡现象,提出一种自适应调整惯性权重的优化粒子群算法。该算法引入双曲线正切函数的非线性变化思想,使惯性权重随着迭代次数的增加产生自适应调整,有利于增强粒子搜索能力及收敛速度,不易陷入局部极值点。将该算法应用于基于支持向量机的隧道变形预测模型中,对预测模型的超参数进行优化,并利用稳态与非稳态两组实测工况数据对组合算法进行工程测试,结果表明采用SaωPSO+SVM算法可有效提高预测模型的计算精度,增强其鲁棒性,有助于隧道变形的工程建模。 多媒体课室智能电控系统设计 多媒体教室的推广和应用,使得学校的教学环境得到较大的改善,也带来了新的问题和挑战。为了有效减少人为因素引起的问题,杜绝日常用电浪费现象,本文以多媒体课室的设备用电管理为切入点,针对课室空调的使用管理,设计并实施智能化的电控管理系统,有效地解决课室管理中出现的问题。 基于加权数据通路的RTL级低功耗SoC设计 低功耗是SoC设计与评估的重要技术指标之一,现利用加权数据通路,提出一种新的低功耗SoC设计方法。该算法首先利用程序切片技术提取RTL级数据通路,然后采用贝叶斯网络训练获得各数据通路的权重(使用频率),以形成加权数据通路,最后根据各路径权值控制门控信号的产生,对权值小的通路优先插入门控逻辑或合并门控逻辑,从而有效降低系统功耗。实验结果表明,该算法与已有ODC低功耗算法相比功耗平均下降8.38%,面积开销平均减少6.8%,同时数据通路的简化也使得算法计算负荷大幅下降。 混合共享认知无线网络信道分配算法 混合频谱共享是适应认知用户不同地理分布的有效频谱共享模式。信道分配是无线通信网络中关键的问题之一,近年来得到了广泛研究。集中式信道分配算法是最常用的算法形式,但在认知无线电网络这种分布式系统中,集中式算法不易实现。将混合共享认知无线网络的信道分配问题构建为一对一的匹配博弈,提出了分布式用户-信道匹配算法。该算法数学复杂度低,且能够达到稳定匹配。仿真结果表明,算法收敛时间短,稳定匹配状态下的平均传输速率与使用匈牙利算法的最优分配算法所获得传输速率相接近,远优于随机分配算法的传输速率。 P2P存储系统副本一致性维护策略 副本策略是提高P2P存储系统的可扩展性、容错性、可用性的有效手段。随着新的应用发展,P2P中副本应用从只读静态文件共享转变为读写动态文件交互,副本的一致性维护变得越来越重要。提出一种副本信息传播树的维护策略,该策略把文件副本的相关信息保存在二叉树上,通过订阅和取消订阅算法维护副本的一致。实验证明该策略能有效减少维护开销,避免相关问题的出现。 基于RSS空时处理的指纹定位算法 为提高移动台定位算法的精度,研究了基于接收信号强度(received signal strength,RSS)空时处理的指纹定位算法。该算法包含指纹数据库的建立和指纹比对,在指纹数据库建立过程中,利用空时处理的方法克服无线信号的衍射﹑散射等影响;指纹比对时,研究了相同邻小区个数的选择对定位误差的影响,得出相同邻小区个数的最优选择。仿真结果表明,算法减小了RSS的波动性,具有低运算复杂度和高精度。 泵试验台过程控制及数据采集设计 为了准确快速的测试泵的水力性能,对新建的泵试验台的过程控制和数据采集提出了严苛的要求;根据这些要求,引入了PLC控制系统、变频器电机拖动系统、数据采集系统及组态界面,并采用以太网网络将各个分散的部分联结成一个整体;经过一年多的运行表明该试验台操作简便,过程控制可靠,数据采集稳定准确,能够快速准确地测试出泵的水力性能并记录打印出来,完全实现了最初的设计要求。 大数据通信中带宽优化技术仿真 在宽带通信中,采用点对点信道传递技术,在传递大数据时,服务器只起到链接作用,无调控功能,造成信道很容易被海量数据占用,带宽占用率过高。传统的带宽调整策略都是在应用到对等网络中时,以服务器流量分流控制为基础,对等网络中,服务器不再起调控作用,因此,传统的带宽优化通信技术效果较差。提出基于均衡遗传算法的对等网P2P大数据通信中带宽减压方法。采用均衡技术,使种群中的所有个体均衡分布在指定空间中,针对所有的种群个体进行染色体编码,建立所有染色体构成的初始种群,对种群个体进行选择、交叉和变异运算,获取通信中带宽数据传输的合理调度方式,实现对等网P2P大数据通信中带宽减压。实验结果表明,利用改进算法能够在短时间内传递大量的通信数据,提高了带宽效率,满足了大数据通信的实际需求。 一种具有记忆特征的改进蝙蝠算法 蝙蝠算法(BA)是一种新的群智能优化算法。然而,BA算法的优化性能还不是十分完善,存在易陷入局部最优、早熟收敛等问题。针对BA算法的不足,提出一种具有记忆特征的改进蝙蝠算法,并考虑了由于时变或时滞引起的扰动问题。该算法中蝙蝠的前期搜索经验对后期搜索提供支持。实验结果表明,该算法具有较好的全局搜索能力和较快的收敛速度,能有效地克服早熟收敛问题。 一种基于最短路径介数的重要节点发现算法 网络中重要节点的发现是研究网络特性的重要方面之一,在复杂网络、系统科学、社会网分析和互联网搜索等领域中具有广泛的应用价值。为提高全网范围内重要节点发现的效率和有效性,提出了一种基于最短路径介数及节点中心接近度的重要节点发现算法,通过最短路径介数的方法确定全网内的重要节点,利用中心接近度分析重要节点的重要性。测试结果表明,与同类的系统比较起来,该方法具有比较好的性能。 基于自适应块聚类的医学图像超分辨重建 医学图像在病人的诊疗过程中具有重要的参考意义。然而,受设备分辨率和放射剂量的影响,现有设备获得的医学图像分辨率较低,容易对最终诊疗结果产生不利影响。针对这个问题,提出了一种自适应块聚类的医学图像超分辨重建算法。首先,该算法对图像进行四叉树分解,自适应地获得不同尺度的图像块;然后,通过图像块特征提取和聚类处理得到各个不同尺度图像块的聚类中心;最后,利用聚类中心和相应的回归系数重建出高分辨率图像。实验结果表明,所提方法在医学图像重建效果和峰值信噪比、结构相似性对比等方面能够取得更好的效果。 考虑作业空间约束的并行拆卸序列规划算法 为获取多人同时进行不同拆卸任务的并行拆卸序列,提出考虑拆卸作业空间约束的并行拆卸序列规划方法.首先从零件几何可行性、拆卸时间以及拆卸作业空间约束3个方面构建拆卸序列规划问题模型:为避免产生不可行序列,提出拆卸作业空间的快速提取和干涉检查方法;针对回收产品拆卸时间不确定的特点,引入区间数模型描述拆卸时间,从拆卸基本时间、拆卸工具准备时间和拆卸工位改变时间3个方面构建拆卸时间模型.然后基于协同工作原则设计蚁群搜索的等待机制,以求解并行的拆卸序列;为进一步提高算法求解复杂产品并行拆卸序列的质量和效率,采用具有自适应能力的信息素更新方式和蚂蚁选择策略对基本蚁群算法加以改进.通过一种锥齿轮减速器装配体实例对关键参数的取值进行讨论分析,并验证了该算法各项约束措施的有效性. 基于零中频接收技术的RFID阅读器射频电路单元的设计 射频识别(RFID)是一种利用射频电磁波信号,通过电磁耦合原理对目标实现远距离自动识别的技术,该技术的优点在于不需要与被识别目标进行近距离的直接接触;一套射频自动识别系统一般由天线(可能不止一副)、阅读器和电子标签3部分构成;对RFID阅读器中基于多通道零中频解调技术的射频电路单元进行了分析和介绍,并设计制作了工作频率为915MHz、射频输出功率为30dBm的实际射频电路,电路的性能指标完全符合设计的要求,工作良好。 基于私有云计算技术的强震预警系统应用研究 通过分析研究地震行业目前数据处理与分析的现状,展望云计算技术在地震行业的应用前景。针对山东强震预警系统在研发与试验阶段,各子系统之间存在计算效率低、资源占用不均衡等问题,提出基于云端分布式计算技术的大数据分析处理平台体系架构。通过对软硬件资源进行统一管理,实现根据子系统资源需求的虚拟机智能部署方案,提高平台的高可用性及扩展性。实验结果表明,强震预警系统的计算效率及资源利用率得到有效的提高,平台体系架构得到初步应用。 基于微信硬件云的智能车位锁系统设计 为了方便快捷实现车位锁的远程控制,设计了基于微信硬件云的智能车位锁系统。该系统主要由WiFi通讯模块、电机驱动模块、红外传感器模块、温湿度传感器模块、微信硬件云、设备应用层和微信客户端组成。文中给出了系统的硬件电路设计方案、软件设计流程图以及整个系统的工作流程图。经测试,该系统功耗低、操作简便、实时性好。 基于物联网的机场集成行李处理系统及其应用研究 针对传统的机场候机厅集成行李处理方案进行了分析,给出了一种基于RFID和Internet技术的行李分拣、定位、跟踪、监控的物联网设计方案。重点分析了该物联网中的RFID系统、中间件和手机或无线PDA等应用部件;最后分析了该网络在Internet基础上实现上海浦东国际机场候机厅集成行李处理系统的实现方法。应用结果表明,对提高机场行李分拣效率、降低行李丢失或者拿错有较明显的效果。 网络环境下基于可信的软件评估方法的研究 提出一种在网络环境下评估软件的方法,该方法以信任为基础,以软件用户所提供的软件历史使用记录为可信评估数据,把用户的软件需求分为功能和非功能两方面,并设计相应的算法计算出这两方面的可信值。该算法简单、实用,最终利用功能和非功能两方面的可信值得出软件的可信值。实验结果表明,在复杂的网络环境下,该软件可信评估方法是一种有效的方法。 新型燃气分析仪的研究 空燃比不合理会造成热损失增加,热效率降低,环境污染加重。鉴于现有燃气热值分析仪存在的缺陷,设计出一款新型燃气分析仪。新燃气分析仪由煤气流量、空燃比-残氧双闭环及燃烧器构成。首先,通过监测燃烧器烟道中的残氧,调节空燃比,将残氧稳定在1%2%范围内,保证燃烧器中的煤气燃烧充分;其次,将此分析仪的空燃比在线实时传输给燃气设备,用于其燃烧过程优化控制。根据某钢厂热风炉现场数据,建立BP神经网络温度预测模型。结果表明:热风炉拱顶温度上升速率提高,蓄热期温度降低,废气温度升高,单位能耗降低,送风效果提高,该热值仪具有实际应用价值。 基于EKF的导弹制导系统故障检测 制导系统是影响地空导弹制导精度和杀伤概率的关键环节,系统复杂,执行器和传感器故障多发,严重影响整个武器系统的可靠性,为了对制导系统故障诊断的准确性进行优化,在考虑外界扰动的条件下,针对制导系统中非线性闭环系统的执行器与传感器故障,提出了一种采用扩展卡尔曼滤波的故障检测方法和x~2检验的故障评价方法。首先,对带有扰动项的制导系统非线性故障模型进行欧拉离散化,然后对离散化后的故障模型设计扩展卡尔曼滤波器的残差产生器,并在忽略泰勒展开高次项的情况下,利用x2检验对残差进行评价,实现了对导弹制导系统的故障准确检测。最后,对升降舵故障进行了仿真,结果证明了改进方法的有效性。 IEEE 802.11p MAC协议碰撞概率研究与分析 车载网络MAC层采用的是IEEE 802.11p/1609.x协议,现有的无线通信MAC协议无法满足交通信息化产业的需求。目前针对IEEE 802.11p MAC层的研究主要是通过设计马尔可夫模型进行的,但该模型建模复杂,计算难度大。文中设计了一个简化直观的数学模型对该协议进行研究与分析,阐述了IEEE 802.11p MAC层中的EDCA信道接入规范,根据EDCA的信道接入规范设计一个简化的数学分析模型。在不考虑仲裁帧间隙(AIFS)的前提下,运用该模型对EDCA竞争传输机制中四个不同优先级发生第一次传输情况下的碰撞概率进行研究。主要分析了不同优先级的不同竞争窗参数以及节点数目对于系统碰撞概率的影响。根据各个优先级的节点数目情况,运用该模型合理设计相应竞争窗的取值,显著提高了系统的性能。分析结果表明:该模型在研究IEEE 802.11p MAC层性能中有较好的表现。 DISP:物联网开放式数据服务系统 为了使物联网中的人、机、物之间能互享数据和服务,设计并实现了DISP数据服务系统。它可以实时获取并处理数据、部署并控制设备;通过高效的稳定数据流,把系统和服务开放给所有用户,供其发布数据和共享资源,从而自由定制高级的数据组件。细述了DISP系统的架构部署、设计原理、实现机制,详细分析了实现DISP数据服务系统开放性、实时性、灵活性的关键技术,并通过实验系统验证了DISP系统的工作流程和运行效果。 快速稀疏表示分类的人脸识别算法 经典的稀疏表示分类(Sparse Representation for Classification,SRC)算法是一种基于L1范数最小化问题,它在很多应用场合都能取得很好的分类效果,是目前备受关注的一类识别算法。然而,传统的SRC算法在求解L1范数最小化问题时,往往计算效率比较低。为有效解决这个问题,提出了一种快速有效的分类算法,它利用坐标下降方法来实现SRC算法。该方法既可以显著地提高计算效率,又可取得较好的分类结果。在不同人脸库上的实验表明,所提的算法具有良好的应用前景。 不确定规划中可达关系的快速求解算法 在不确定规划领域中,通常需要在同一个不确定状态转移系统中解决多个规划问题,如果能得到不确定规划中状态之间的可达关系即可方便求解该规划问题,然而现有矩阵乘法求解可达关系时存在算法复杂度高的问题。为此,设计一种快速求解不确定规划中状态之间可达关系的算法,将确定动作和不确定动作区分处理,先求解所有确定动作的可达关系,再采用链表和队列求解不确定动作的可达关系。实验结果表明,与矩阵乘法相比,该算法能得到更全面的可达关系,且求解效率更高。 基于灰色预测的直升机齿轮箱状态预测方法 作为直升机上重要的关键部件,直升机齿轮箱能够将动力转换为动力输出形式,从而满足不同形式下动力的需要;针对直升机齿轮箱状态无法准确预测的技术难题,将灰色系统理论中的灰色预测方法运用到直升机齿轮箱中,有效解决了齿轮箱使用状态难以准确预测的技术难题;首先对采集到的直升机齿轮箱的不同的振动信号进行特征提取,然后采用信息融合技术,将不同振动信号的特征值进行融合,最后运用灰色预测方法对直升机齿轮箱的使用状态进行预测;文中对所提出的方法进行了试验验证,结果表明,所提出的基于灰色预测的直升机齿轮箱状态预测方法能够实现对直升机齿轮箱的状态准确预测的效能,并对其他航空设备以及机械设备的状态预测具有一定的借鉴意义。 一种改进的虚假数据过滤方法 传统的虚假数据过滤方法存在无法均衡节点开销和过滤概率低下问题。为此,提出一种改进的虚假数据过滤方法。依据网络中节点能量的不均衡性构造成簇,通过节点的负载计算和密钥分发实现虚假数据过滤。仿真实验结果表明,该方法能均衡网络中节点的开销,提高虚假数据过滤概率。 COX:高压缩率的中文XML文档压缩技术 针对当前常用的XML压缩算法没有考虑中文特点的情况,结合中文与XML的特点,提出一种高压缩率的适合中文XML文档的压缩算法COX。利用中文分词技术对XML文档进行分词处理,通过统计词频后获得排序的词典,利用Huffman编码思想对高频及长词汇进行压缩编码;解析XML文档后,把文档元素进行分类,同一类型的元素放入同一容器之中;算法还特别针对数字类型的数据进行了特殊处理。实验结果显示,相对于通用的压缩软件,COX具有更好的压缩效果,但压缩和解压缩时间要慢一些。 基于Selenium的电力营销系统用户权限自动配置 企业人岗优化工作不断深化,使得信息系统权限调整工作变得频繁。在基于角色分配的电力营销系统中,目前针对用户权限调整的申请,一方面需要加工用户的描述信息,另一方面需要在系统上进行大量人工操作。整个过程效率低、易出错、时效性差,很难满足企业精益管理的要求。通过梳理系统菜单角色、流程角色,规范了用户申请阶段的填写,并在Selenium的基础上通过模拟人与浏览器交互行为,实现配置过程的自动化。实验表明,该方法能极大提升工作效率和可靠性,具有较高应用价值。 基于多时间窗的油料保障模型 针对军用油料(POL)调拨运输优化问题,通过引入保障时间窗,考虑了油料保障过程中复杂的时间窗约束和运力约束,提出了基于多时间窗的油料调拨运输的约束满足问题(CSP)模型及其求解算法。首先,对油料保障点、油料需求点、保障时间窗、油料保障需求及油料保障任务等要素进行了形式化描述;在此基础上,建立了油料保障CSP模型,并采用理想点法,将模型中的多目标转化为单目标规划问题;设计了基于粒子群优化(PSO)算法的模型求解方法和步骤,并通过算例介绍了模型的具体运用。算例中,将利用所提模型求解得到的优化方案与最大化油料保障量为单一目标的模型优化方案进行比较,两种方案下的运力安排已达最大,但对各油料需求保障时间的安排,所提模型求解方案中每个油料需求的开始保障时间都不晚于单目标模型求解方案中的保障时间。通过对不同优化方案的比较,表明所提模型和算法能够有效解决多目标油料保障优化问题。 基于相关向量机的导弹贮存可靠性预测方法研究 开展导弹贮存可靠性预测,不仅有利于部队及时掌握导弹的性能,同时能够为导弹的维修、延寿提供依据;针对导弹贮存可靠性预测存在的非线性、小样本、非概率问题,提出了一种基于相关向量机的导弹贮存可靠性预测方法;以环境信息作为输入样本、导弹贮存可靠度作为输出样本,建立了相关向量机回归模型;在此基础上,利用模型预测均值和方差计算得到了预测置信区间;最后,通过空空导弹贮存可靠性预测实例验证了方法的有效性;实例计算结果表明:该方法在具有较高预测精度的同时,能够输出预测结果的概率分布,具有很高的工程实用价值。 基于增强学习与QoE需求函数的自适应无线网络择取优化机制 为了使网络择取机制能在未知的网络信息条件下仍可适应复杂多变的网络;并可迎合客户动态变化的QoE需求,且能对其进行优化,提出了增强学习与QoE需求函数相融合的自适应网络择取优化机制。从各类用户出发,划分不同性质的业务,并引入随机离散原则,建立QoE需求函数;根据QoE反馈信号,兼顾切换决策的主观与客观性,嵌入最小二乘法,构建网络切换决策优化模型,获得决策最优值;计算切换开销与客户需求的概率中间值,并定义了最优网络切换规则,耦合增强学习对网络进行择取/切换;设计增强学习参数更新法,完成会话业务发送。在MATLAB仿真平台上对该机制以及其他几种无线网络择取方法进行了测试,实验结果显示:随着网络切换开销权重的不断增大以及转移概率矩阵的实时变化,所提出的机制的性能最好,而且最稳定。 基于有序差别集和属性重要性的属性约简 针对粗糙集理论的属性约简问题,提出新的差别矩阵简化算法,该算法在无需排序和较少遍历次数的情况下简化了差别矩阵,明显提高了简化速度并最终得到简化的有序差别集。实验验证了该算法的高效性;给出度量属性重要性的新标准,即根据属性所在差别矩阵元素的权重、在差别集中出现的频数和吸收能力3方面来度量其重要性;在上述两者基础上,提出一种基于有序差别集和属性重要性的属性约简新方法,理论分析证明新方法的最坏时间复杂度低于其它基于差别矩阵的属性约简算法。大量实验结果也表明,新方法的有效性甚至可以在很大程度上得到最小属性约简。 基于自调节粒子群算法的盲检测 以往基于粒子群优化的盲算法能成功实现信号盲检测,但具有算法收敛速度慢、容易陷入局部最小的缺点。文中通过分析粒子群算法的机能及参数的设置,提出一种改进的基于自调节粒子群优化的盲检测算法。算法构成思想是:基于MIMO系统的盲检测系统模型将盲检测问题转化为二次优化问题,利用改进的自调节粒子群优化算法对此优化问题进行寻优。仿真表明,改进算法具有全局收敛性好、收敛速度快、误码率低的优点,能够更好地解决盲检测问题。 基于本体的高血压诊疗系统推理模型研究 为了使用计算机辅助诊断和治疗高血压,提出了基于本体和案例推理的高血压诊疗系统的模型。构建高血压领域本体及推理规则,使用Jess推理机进行推理操作,用推理得到的新知识丰富知识库,提取部分本体实例作为小型案例库,使用Jena实现对本体库和案例库并行的查询,将查询和推理结果返回,给出一个适合病人情况的药物治疗和非药物治疗处方。实验结果表明,该方法具有可行性,对高血压诊疗系统的研究有较大的价值。 基于麦克风阵列的实时声源定位 由于噪音和多路的存在,声源定位总是很难达到一个很高的精度。针对这个问题提出了一个在智能教室环境下使用麦克风阵列对声源位置进行实时估计的算法,该算法分为三个阶段——去除噪音、延时估计和空间定位,即首先通过一个滤波器来对语音信号中噪音进行预处理,然后通过一个改进的GCC-PHAT算法来对多路语音信号的时延进行估计,最后通过构建几何关系来估计声源的位置。实验结果表明,在有一定噪音的自然教室环境下,算法的平均定位精度能够控制在5 cm以内,具有很强的应用性。 基于IWT和广义差值扩展的可逆水印算法 针对传统差值扩展可逆水印算法的缺陷,提出了一种基于整数小波变换(IWT)和广义差值扩展的可逆水印算法。它将原始图像划分成4×4大小的互不重叠的像素块;计算各分块的近似平滑度值,该值在水印嵌入前后保持不变;将所有分块按平滑度值进行排序;根据水印信息量,依序选取适当数目的分块;对所选取分块进行整数小波变换,在变换后的低频部分利用广义差值扩展法嵌入水印信息,在高频部分通过数据压缩嵌入水印辅助信息。实验结果表明,该算法在减小图像失真的同时,提高了信息嵌入量,另外在同等水印嵌入量下,该算法的PNSR高于常见的一些算法。 基于联合估计的Wyner-Ziv系统边信息重建 在不显著增加解码复杂度的前提下,为提高Wyner-Ziv视频编码系统的边信息(SI)重建质量,提出一种基于SI信度的整像素/半像素联合估计算法。该算法引入一个SI质量判定因子判断边信息的重建质量,根据SI置信度是否超过设定的判别阈值进行整像素和半像素边信息重建方法的自适应切换。实验结果表明,在保持甚至超过传统亚像素边信息重建算法性能的基础上,该算法明显降低了系统解码复杂度。 基于移动智能终端的老年人跌倒检测方法研究 将智能手机应用到跌倒检测中能为老年人监护工作、护理人员提供方便和帮助。基于智能手机的疑似跌倒检测可以更加准确地检测出老年人跌倒事件,并且有效降低误报警给老年人带来的不适。利用智能手机采集跌倒行为的实时三轴加速度信号数据,并且分析合成加速度的时域频域曲线分布图,提取波形曲线图中较大差异曲线段数据进行决策树模型的构建训练,利用该模型将跌倒行为和疑似跌倒行为进行区分。实验结果表明:该模型方法的跌倒检测准确率达到90%,是一种有效的老年人跌倒检测方法。 基于ICA和聚类的EEG脑源定位研究 将独立成分分析(ICA)与聚类Cluster相结合应用到脑电的多偶极子源定位,先采用快速独立成分分析(fast ICA)得到多个独立成分(ICs),然后通过聚类方法对得到的ICs进行特征提取和有效归类。该方法在去除脑电伪迹和噪声干扰的同时解决了ICA分解后独立成分的选取依赖于经验的局限性。以上消除了伪迹干扰和ICs的不确定性选择对源定位性能的影响,为源定位创造了有利条件。对ICs进行定位也使得整个定位过程像单偶极子定位一样稳定简单。仿真实验中源定位效果得到改善,表明了该方法的有效性。 一种保边缘的图像去噪方法 提出一种保边缘的去噪方法。该方法利用4个方向核卷积检测图像中的噪声点和非噪声点,对非噪声点不进行处理。在噪声点的3×3邻域中选择距离最小的非噪声点,若无非噪声点则邻域扩大为5×5,若有非噪声点则用这些点的中值替换噪声点,否则用邻域中距离最远像素点的均值替换噪声点。实验结果表明,该方法不仅能有效去除图像的噪声,且能较好地保护图像边缘。 高精度火工品控制电路测试方案的设计与实现 为了实现发火试验时火工品回路及控制系统电路的精确测试,通过在火工品控制电路中并联测试电路,在不破坏火工品电路真实状态下,通过高精度存储测试仪测试,在发火试验时实现对火工品的起爆时序、起爆电压脉宽及系统间产生干扰的精确测试;试验结果表明:对火工品时序和脉宽测试可达到0.001 s精度,可精确捕捉到火工品起爆瞬间对其它控制电路的干扰脉冲,提高了火工品控制电路的测试精度和可靠性;另外,简化了火工品装入航天器后的测试难度,提高了火工品控制电路测试的安全性。 人脸图像虚假皮沟特征剔除算法的仿真分析 由于人脸皮肤沟壑细微褶皱和断纹分布复杂,光照不均匀、纹路较浅和皮肤的多颗粒特征,会使得皮肤的老化沟壑出现很多虚假特征,对正常纹理特征造成干扰,造成人脸细微的皮沟特征发生形变。传统的高斯滤波和Mean Shift提取算法在虚假特征的干扰下,对细微皮沟特征的老化表达出现偏差,造成发生形变的皮沟特征分割结果不准。为优化分割,提出一种人脸虚假皮沟特征剔除分割新算法。首先采用wold人脸纹理模型,利用真实皮沟纹理确定性随机场和虚假皮沟特征不确定性随机场的谱属性不同的特征,将它们分离开。然后利用Harmonic滤波器,允许随机成分通过,滤掉虚假皮沟的不确定性信息。仿真结果证明,对不同粒度的皮肤图像,提出的方法能够较好过滤虚假信息,完成高精度人脸皮沟特征分割。 云计算环境下的弹性结构程序研究 针对云计算环境下云程序的弹性结构进行研究,结合Anytime算法、弹性计价模型和软件即服务层中的SLA计费架构,将总业务费用分为接入费用、使用费用和补偿费用进行计算,设计基于图像小波变换的弹性结构程序,给出程序的执行步骤和状态转换图。分析结果表明,该弹性结构程序能对程序迭代次数进行控制,较好满足用户需求。 一种基于改进型Logistic映射的混沌信号估算跟踪方法 虽然无先导卡尔曼滤波(UKF)技术在性能上要优于一阶线性化的扩展卡尔曼滤波(EKF)技术,但是对于改进型Logistic混沌映射的扩频通信系统,UKF运算时间长,算法复杂。针对上述缺点以及改进型Logistic映射的泰勒展开式最高项为二阶的特点,提出将二阶EKF运用到接收系统中,该接收系统能精确到泰勒展开式的二阶,达到与UKF相同的性能。相比UKF的复杂算法更加简单,运算速度也更快。仿真实验表明,虽然二阶EKF与UKF的误码率相同,但在运算速度与复杂度方面均优于UKF。 图像分解模型在医学图像增强中的应用 医学图像增强是医学图像处理中的重要环节。通过分析小波去噪和ROF模型的缺陷,先利用ROF分解模型将医学图像分解成为轮廓部分和细节及噪声部分,然后对轮廓部分进行保留,接着考虑到小波系数的非高斯性,对细节和噪声进行了小波去噪,并从中提取了图像的细节部分,最后将之前的轮廓部分与之后的细节部分进行叠加。实验结果表明,本文的算法具有较高的峰值信噪比和较高的边缘保持度。 基于粒子群优化小波神经网络的光伏组件故障诊断方法 针对光伏组件故障监测在线诊断中的传统BP神经网络和小波神经网络全局搜索能力差以及小波神经网络算法高失误率的问题,引入了粒子群优化小波神经网络算法,利用测试获得的光伏组件参数,分别从运算成功率、精度和速度3个角度实现了对光伏组件故障的诊断。仿真结果表明,引入的优化算法在提高全局搜索能力和降低小波神经网络失误率方面优于传统神经网络算法,运算成功率能够达到94%,而同样条件下其他故障算法的还不到90%。 动车组故障检测研究 为了保障动车组的安全运行,需要在动车组运行时进行实时在线故障检测,以便及时、准确地测量出动车组逻辑控制电路的各种故障,特别是随机、瞬时出现的故障;论文特别针对动车组可能发生的随机性、瞬时性故障,研究了该类故障检测的特点以及捕捉快速脉冲信号的方法,设计了应用于单片计算机模数转换电路前端的高速信号采样保持电路,研制了专用的动车组随车故障检测装置;在现场测试过程中,将动车组随车故障检测装置与多通道示波记录仪配合使用,对动车组的逻辑控制电路关键节点的控制信号进行了现场随车实时测试,并对现场随车测试结果进行了分析研究;论文的现场实验为建立高速动车组逻辑控制电路的抗电磁干扰技术标准、改善高速动车组的电磁环境做了初步的探索并提供了有益的参考。 Android应用性能数据采集探针研究 从社交到电商、旅游、医疗、教育、餐饮和出行,移动应用逐渐成为人们日常生活的一部分。移动应用开发商之间的竞争越来越激烈,移动应用想要提升用户体验和增加用户数,从人们真实使用的手机上采集性能数据、崩溃日志至关重要。针对通过修改源代码埋桩方式采集移动应用性能数据有诸多缺陷,提出使用面向切面编程对Android源代码进行预编译处理,能够在不需要手工修改代码的前提下自动插入性能数据采集探针代码。该方法已经在多个项目中实际使用,使用该方法处理过的Android应用能够远程上报符合要求的应用性能和用户使用习惯数据。证明该方法在实际使用中具有可行性,为Android应用性能数据采集提供了新的思路。 基于可信推荐节点集合的P2P信誉模型 针对现有模型在节点行为变化时对诚实推荐节点的误判问题,提出一种基于可信推荐节点集合的P2P信誉模型。在该模型中,节点依据其推荐性能被划分为2个集合:可信推荐节点集合及考察节点集合。计算节点信誉值时仅使用前者的推荐信息,对后者中的节点进行实时考察以纠正被误判的诚实节点。网络节点依据其推荐性能的变化在2个集合内动态转化。仿真实验结果验证了该模型的有效性。 基于能耗的虚拟路由器转发实例动态迁移机制研究 虚拟路由器平台是支撑网络虚拟化技术的关键网络设备。传统的虚拟路由器平台存在着物理资源利用率低,能耗损失严重等问题。现有的节能机制大多是通过虚拟路由器平台间的虚拟路由器实例动态迁移来降低能耗,并未有学者研究平台内部虚拟路由器实例动态迁移对能耗的影响。提出一种基于能耗的虚拟路由器转发实例动态迁移算法。该算法将转发实例动态迁移到更少的物理服务器,调整平台内部的转发实例与物理服务器之间的映射关系,关闭空闲服务器。实验表明,该算法能够提高虚拟路由器平台的物理资源利用率,降低平台总能耗。 可伸缩视频编码在移动互联网中的应用与实现 为了应对移动互联网中终端和网络的异构性,尝试将H.264/SVC应用到移动视频点播服务中,实现基于SVC的码流自适应播放系统.借助JSVM研究并实现了不同空间、时间,以及质量层的可伸缩视频的生成;基于VS模拟了可伸缩视频在传输和播放过程中码率的动态切换;通过分析子层码流证实了可伸缩视频具有码率跨度大及解码质量好等特点.最终验证了H.264/SVC在移动互联网视频服务中所具有的优势,以及应用的可行性. 基于残差帧的室内监控视频压缩算法 该文提出了一种基于残差帧的室内监控视频压缩算法。该算法是提取监控视频中相邻帧之间的残差帧,将残差矩阵对角化,传输运动数据,并在接受端根据接受到的背景参数和和残差帧运动参数,恢复出原图像帧,进而得到原监控视频文件;实验证明,该算法易于实现,且计算量小,适宜室内监控视频的压缩应用。 不同形状邻域空间信息的模糊聚类图像分割 在经典的融合空间信息的模糊聚类图像分割方法中,图像像素的空间信息大,都采用正方形的邻域窗来获取。为了更好地分割出图像中的边界及细节信息,对不同形状邻域空间信息的模糊聚类图像分割进行了研究。在该方法中,首先采用圆形、三角形和菱形邻域窗获得图像像素的空间信息,然后分别将这三种空间信息引入到融合空间信息的模糊聚类图像分割中。Berkeley图像上的分割实验表明分别采用圆形、三角形和菱形邻域窗获得图像像素空间信息的模糊聚类图像分割方法在分割性能上要优于融合正方形邻域窗空间信息的方法。 基于模糊C均值聚类有效性的协同过滤算法 针对电子商务系统中传统协同过滤算法普遍存在的稀疏性和扩展性问题,文中提出了基于模糊C均值聚类有效性的协同过滤算法。首先依据四种不同的聚类有效性函数确定合理的聚类数区间,并在合理聚类数区间中根据Xie-Beni方法搜寻得到最佳的聚类数,然后使用最佳聚类数对项目进行模糊C均值聚类,将用户对单个项目的偏好转化为对相似群组的偏好,将稀疏的用户-项目偏好信息构造成密集的用户-模糊类的偏好信息,最后在项目所属类别中寻找目标用户的最近邻并产生推荐。在数据集Movie Lens上与传统推荐算法相比的实验结果表明,新算法在平均绝对偏差、召回率、准确覆盖率等方面都有了较大改善,提高了推荐质量。 质量嵌入的大数据产品生产系统超图模型及其生产线决策研究 大数据产品(Big Data Product,BDP)在原材料、用户需求、加工工艺等方面具有不同于实体产品的特征,而现有BDP生产系统的研究仍停留在概念模型阶段。为了解决该问题,提出BDP生产线的概念,基于生产线特征研究了生产线决策要素,强调了质量作为关键决策要素在BDP生产中的作用机理;采用超图理论建立了嵌入质量、质量传递函数和质量聚集函数的BDP生产系统模型,设计了BDP生产线决策流程;提出了供给侧稳定和需求侧稳定的BDP生产线决策模式。实例验证结果表明,所提出的模型和决策方法能够满足用户对BDP质量的要求。 一种基于可重用激励发生机制的SoC验证平台 在系统芯片的设计中,传统的激励发生机制耗费人工多且难以重用,严重影响了仿真验证的效率。针对此问题,构建了一种基于可重用激励发生机制的虚拟SoC验证平台。该平台利用可重用的激励发生模块调用端口激励文件,仿真时将端口激励文件转换成对应于验证电路端口的时序信号。通过对通用同步/异步串行接收/发送器、中断及定时器等功能模块的验证,证明了激励发生机制具有较强的可观察性、可控制性及可重用性。验证结果分析表明,在验证不同的功能点时仅需修改固件及端口激励文件,使验证平台在重用时减少代码修改量,提高了灵活性和验证效率,缩短了系统芯片的验证时间。 基于网络的FPGA测试服务系统的设计 主要介绍了一种新型的基于网络的FPGA远程测试服务系统的原理及其实现方法。该系统建立在以逻辑分析仪及动态探头的综合应用为核心的仪器测控网络的基础上,实现了较为完善的FPGA远程测试功能。该系统的应用,可以使用户通过网络远程对被测的FPGA设备进行数据测试、数据分析及更新程序重新装载,从而实现远程快速FPGA程序的验证及修正工作。 运动背景下高精度视频稳定算法仿真研究 针对传统视频稳定算法存在的前景局部运动影响全局运动估计精度的难点问题,提出了一种可靠特征集合匹配的高精度视频稳定算法。算法首先利用距离筛选法和金子塔模型提高特征匹配精度,然后利用MLESAC算法剔除运动物体上的无效特征,最后将保留下来的精确匹配特征带入仿射运动模型求出全局运动矢量,并据此对视频帧进行运动补偿以实现稳定视频的目的。实验结果表明,相比于传统基于特征匹配的视频稳定算法,改进全局运动估计算法提高了视频稳定的精度。 不确定环境下回收发动机拆卸调度方法 针对再制造系统中回收发动机的不确定因素,研究面向再制造拆卸的调度问题。首先为了减少再制造拆解过程中不确定因素的影响,采用模糊综合评价法进行了回收质量等级划分;然后采用双重模糊变量描述了回收发动机质量状况差异及拆解时间的不确定性,建立了基于双重模糊机会约束的再制造拆解车间生产调度问题模型;应用双重模糊模拟技术产生输入和输出数据,利用神经网络逼近模型的不确定函数,将训练后的神经网络嵌入遗传算法求出优化结果。通过仿真实例验证了该混合智能优化算法解决双重不确定拆卸调度问题的有效性和合理性。 基于ARM和GPRS的多功能智能表数据采集器 为满足供应部门对用户资源使用量的实时检测要求,设计并实现了一种基于ARM和GPRS的多功能智能表数据采集器;该采集器以S3C2416微处理器为控制核心,通过RS485总线完成水、电、燃气、热能等多种类型智能表的监测和用户使用量数据采集;利用覆盖范围广泛且技术成熟的GPRS网络完成采集器与集抄中心和用户之间数据、控制命令的交互;试验证明,采集器可以成功完成对多种不同类型智能表数据采集、监测和用户短信查询使用量等功能,并且快速连接GPRS网络,成功完成与集抄中心的交互。 VANET中安全信息的快速可靠广播路由算法 为了满足VANET中交通安全信息实时可靠传输的需求,文中提出了一种基于自适应认证重传机制的多跳广播路由算法(ACR-BA).首先,为了节省网络资源,ACR-BA算法设计了两种短控制包,有效地抑制了传输冗余;其次,为了降低竞争信道的碰撞概率,基于地理位置划分了候选节点集,并结合控制包认证机制在一个候选节点集中仅确定出唯一的转发节点;然后,根据网络负载状况设计了一种基于单位基准时隙的自适应机制,设置尽量小的退避等待时间,提高信息广播的实时性;最后,设置了针对短控制包和数据包的超时重传保障机制,在保证算法实时性的基础上最大限度地提高可靠性.理论分析和仿真结果表明,与传统洪泛算法和时隙1坚持算法相比,ACR-BA算法有效地降低了网络中的冗余数据量,在实时性和可靠性两方面均有较大的性能提高. 时态RDF扩展及其SPARQL查询语言 随着语义网的快速发展以及RDF(资源描述框架)的普遍应用,对含有时间信息的数据处理的需求越来越多,经典RDF模型因其本身结构特点缺乏表示和处理时间信息的能力,而且针对时态RDF的研究罕有得见。通过对经典RDF模型添加时间戳表示其时间维度,并对时态RDF图中的蕴涵问题进行了讨论,根据SPARQL查询语言提出了完备的时态RDF查询语言T-SPARQL,并对提出的查询语言进行了可行性以及性能的实验仿真。利用该时态RDF模型表示如股票、天气、新闻等具有时态特性的资源有优势,T-SPARQL对时态RDF进行查询具有良好的表达力和兼容性。 基于事件树的可视化实时动态原油混输调度系统 为解决现有的方法普遍存在的建模过程复杂,模型修改和维护困难等缺点,本文开发了图形化原油混输调度系统。该系统在解决了基于事件树的建模和求解算法的基础上,使原油混输调度模型与可视、直观的图形界面实现相互映射。在图形化界面上绘制原油混输调度的流程图,通过流程图上功能键的操作,可快速获得最优调度方案。调度结果可直接在界面上以数字形式显示,也可以通过甘特图、文本文件及实时动态模拟显示出来。模型的修改和维护都比较简单,实例表明,该系统可以简单快捷的对原油混输调度问题进行建模和求解。 基于BIM管网漏水事故处理的本体建模与推理 建筑行业经历了由纸质的图纸到二维的CAD制图,再到以构件为基础的BIM建筑信息模型的变迁,信息化革新提高了建筑项目的效率和质量,并缩短了建筑施工周期。然而,当前建筑信息集成的方式主要基于BIM模型库和关系型数据库,无法实现柔性地基于用户需求的信息组织与传递,极大地增加了信息传递中的承载量,造成系统响应速度慢和效率低下。通过分析建筑工程信息集成与交互的现状,提出基于本体的建筑信息组织与交互模型,并建立建筑信息对本体的映射机制和推理方式。最后以建筑项目运维阶段的管网漏水为实例,分别使用CPN Tools和Jena工具建立本体构架和推理规则,实现漏水区段的定位、关联原因分析及解决方案确认。 基于OSGI的完全分布式EAI实践框架 针对企业应用系统集成Web service与ESB方案中存在的单向、基础平台等问题,利用OSGI框架在模块化、生命周期、组件执行环境以及基础服务方面的优势,在OSGI框架基础上,利用Java技术实现对现有应用的封装搭建服务程序,搭建专门用于通信管理的服务管理器程序,约定服务程序和服务管理器及服务管理器之间的通信与管理内容,构建一个完全统一、高效、高质、具有良好扩展性与可维护性的完全分布式企业集成框架OD-EAI。给出一个应用案例来说明该框架的使用方法。 颜色和形状特征分离和局部整合的研究 特征绑定是特征分离和整合的过程。为探究视觉系统处理图像颜色和形状特征的动态绑定过程,提出基于任务的独立成分分析(T_ICA)和最短距离聚类相结合的方法,并将其应用于任务态下采集的脑功能(fMRI)数据,实现颜色和形状处理功能的分离和局部整合。结果表明,T_ICA将f MRI数据分离成彼此独立的特征成分,其中包含有目标特征成分和其他成分;聚类方法实现了颜色功能和形状功能的局部整合,形成了两个分别处理颜色和形状的基本感知系统。通过研究人脑视觉特征绑定机制为计算机视觉捆绑的研究与应用提供一定的参考价值。 基于RSSI与移动锚节点运动轨迹的定位算法 无线传感器网络获取消息节点的位置需进行实时定位,但由于传感器节点存在能量有限、可靠性差等不足,考虑到能耗和硬件的限制,提出一种基于接收信号强度指示(RSSI)的定位算法。利用未知节点从锚节点处接收到的RSSI值序列估计未知节点的位置,锚节点在监测区域中按照特定的轨迹运动,以覆盖区域内所有的点。仿真结果表明,该算法是可行的,且具有较高的定位精度。 应用多项式插值的流水线ADC后台数字校正方法 为了降低传统流水线型模数转换器(ADC)中的采样,保持运算放大器和级间的余量放大器功耗,将级间余量放大器由闭环工作方式改为开环,对于使用开环放大器引入的非线性误差,提出一种新颖的后台校正方式.首先对开环放大器建立传输函数模型;然后利用信号传输的统计规律、采用多项式插值法来估计校正误差,将所得的误差值补偿给实际的传输函数值来实现后台校正.以一个12位、40MHz的数字辅助流水线ADC为原型进行了仿真验证,结果表明,当输入信号频率为117.2kHz时,采用数字校正后,ADC系统的微分非线性由(-0.5,0.5)提高到(-0.25,0.25),积分非线性由(-18,0.5)提高到(-0.5,0.25),无杂散动态范围和信噪失真比分别由48.5dB和42.6dB提高为78.9dB和72.3dB,校正后ADC的有效位数达到11.7位. 基于智能优化算法的测试数据生成综述 软件测试是一种极为有效的软件质量保证手段。测试数据生成是软件测试的关键。基于智能优化算法的测试数据生成方法为自动化的测试数据生成提供了解决问题的一个有效手段。首先重点总结归纳了在基于智能优化算法的测试数据生成中使用最为频繁的两种算法:遗传算法和粒子群优化算法的研究成果,分析了研究现状,接着简单介绍了基于智能优化算法的测试数据生成工具:AUSTIN和Evo Suite,最后对存在的问题及未来的研究内容进行了尝试性的探讨。 基于前景理论的居民出行方式选择 对于实际出行中居民心理因素对出行方式选择的影响问题,构建基于前景理论的居民出行方式选择模型,提出了一种更加符合人类思维习惯的出行方式选择方法。综合考虑居民对行程时间及出行费用两种指标的心理参照点,得出相对最能使居民满意的出行方式选择结果;通过不同参照点下出行方式的综合前景值的变化分析了参照点对出行方式的选择的影响;最后通过实例说明了此方法在出行方式选择中的应用。实验结果表明:行程时间参照点要求较低的小范围内居民倾向于公交出行,尽管出租车与私家车出行方式综合前景值趋势变化一致,但更大范围内的居民倾向于私家车出行,这与实际相符。所提方法为预测居民出行方式提供了一种新的途径。 银金钯铂组成对三元金属团簇结构的影响 纳米合金团簇的结构研究为具有特殊的光、电、磁和催化材料设计奠定了重要的理论依据。优化了含有Ag、Au、Pd和Pt原子的三元金属团簇稳定结构,并分析了不同类型原子组成对三元团簇结构的影响。采用Gupta势函数描述由这4种金属原子构成的相同类型原子间及异种类型原子间相互作用。使用自适应免疫优化算法优化Ag26、Au26、Pd26、Pt26团簇以及Ag10AunPd16-n、Ag10AunPt16-n、Ag10PdnPt16-n与Au10PdnPt16-n(n=0-16)金属团簇稳定结构。并通过势能量二阶有限差分值分析这些团簇结构的相对稳定性。 基于小波分解的客运列车车号定位及阈值分割 针对客运列车车号特征,提出一种基于小波分解的车号定位及阈值分割方法。首先对灰度图像进行中值滤波和拉普拉斯锐化处理,然后采用小波分解和改进的Canny边缘检测算法,并结合形态学处理和车号先验知识对车号精确定位,最后,结合Niblack算法,提出一种连通域个数指导阈值分割的方法。实验结果表明,该算法能适应不同光照条件下多型车厢的车号定位,二值化效果好,具有较好的鲁棒性。 不同PKG环境下AKE协议的安全性分析 介绍不同PKG环境下的AKE协议,分别对M-B协议及不同PKG环境下的AKE协议进行安全性分析,并指出其存在的安全缺陷。对后者进行改进,提出一个新的在不同PKG环境下的AKE协议。通过启发式分析证明改进协议可以抵抗已知所有攻击,同时通过与其他相关协议的比较可以发现,改进协议具有更好的安全属性。 大数据查询综述 大数据具有传统数据所不具有的数量大、种类多、速度快、真实性等特点,传统的数据查询技术满足不了日益增长的大数据查询需求,大数据查询技术应运而生并迅速发展。从大数据查询的角度出发,着重分析大数据存储技术、大数据处理平台以及大数据查询引擎等。分别对比介绍传统关系型数据库、NoSQL、NewSQL和它们在大数据查询处理上的应用,介绍当前流行的大数据处理平台以及在这些平台上运行的大数据查询引擎,对其优缺点进行了综合阐述。 分散式多工厂资源调度中的一种理性策略 为提高多工厂资源调度的效率,更好地解决特殊产业面临的生产资源和维护资源匮乏的问题,提出了理性的GD2报价策略。将其应用于连续双向拍卖机制,用分散式的方法实现了多工厂资源的高效调度。GD2策略是一种包含价格和数量的二维报价策略,Agent通过建立自信函数计算最大期望利润调整报价。实验结果表明,在多工厂资源调度中GD2策略可以实现较高的资源调度效率,整体平均效率达到92%。 优势关系下属性值粗化细化时近似集分析 基于优势关系粗糙集模型反映属性间的偏好情况,实际上多数数据库中的数据是动态变化的。如何利用已有的信息更新近似集对于提高知识发现效率有重要意义。提出不完备信息系统在优势关系下属性值粗化细化的定义,讨论优势关系下不完备信息系统中属性值粗化细化时近似集的变化情况,对比分析优势关系下属性值粗化细化前后的粗糙近似精度和粗糙近似质量。通过实例分析验证了该方法的有效性。 网络化人体运动跟踪系统研究 针对目前基于惯性传感的动作捕捉系统存在的姿态漂移、实时性不强和价格较高的问题,设计了一种低功耗、低成本,能够有效克服姿态数据漂移的人体实时动作捕捉系统。首先通过人体运动学原理,构建分布式关节运动捕捉节点,各捕捉节点采用低功耗模式,当节点采集数据低于预定阈值时,自动进入休眠模式,降低系统功耗;结合惯性导航和Kalman滤波算法对人体运动姿态进行实时的解算,以降低传统的算法存在的数据漂移问题;基于Wi-Fi模块,采用TCP-IP协议对姿态数据进行转发,实现对模型的实时驱动。选取多轴电机测试平台对算法的精度进行了评估,并对比了系统对真实人体的跟踪效果。实验结果表明,改进算法与传统的互补滤波算法相比具有更高的精度,基本能将角度漂移控制在1°以内;且算法的时延相对于互补滤波没有明显的滞后,基本能够实现对人体运动的准确跟踪。 非均匀业务流下的片上网络缓冲区分配算法 针对片上网络的非均匀业务流,提出一种基于模拟退火遗传算法的缓冲区资源分配算法,对系统的有限缓冲区资源的分配问题进行了研究。该算法建立在二维Mesh结构的片上网络通信模型基础上,根据各节点间的业务流特征,估计出节点中各输入通道的负载大小,再根据其负载情况采用模拟退火遗传算法进行缓冲区资源的分配,从而使整个网络的平均延时性能最优。实验中设置了不同的热点位置和热度,结果表明,该算法可以更合理地分配缓冲区资源,有效降低数据包的传输延时。在单热点通信流量下,热度为100%和300%时,可分别降低传输延时32.58%~65.29%和35.54%~70.38%;在双热点通信流量下,可降低传输延时52.02%~70.43%。同时,该算法具有良好的收敛性。 RTSP流媒体服务器性能测试工具 基于RTSP协议的流媒体应用日益增加,如何评测RTSP流媒体服务器的服务性能成为一个亟待解决的实际问题。本文在分析流媒体业务性能指标的基础上,设计和实现了一种RTSP协议流媒体服务器性能的测试工具。该工具通过读取测试用例脚本设定参数建立测试场景,利用多线程机制生成多实例伪终端向流媒体服务器发送RTSP信令、接收和解析RTP包,但不解码,最终测定服务器的相关性能指标值。验证实验表明,该测试工具实用高效,能准确反映被测系统的整体性能。 改进粒子群优化算法在同步发电机励磁参数整定中的应用 为了优化传统励磁控制系统中比例—积分—微分控制参数,文章在介绍了PSO算法的基础上,一方面引入自适应惯性权重,另一方面通过添加扰动项改进速度更新方程,提出了一种改进粒子群优化算法,并改进了适应度函数,将其应用于励磁控制系统中;在建立非线性励磁控制系统模型的基础上,设计了一种改进PSO-PID控制器,对励磁控制系统的PID参数进行整定;激励信号分别施加零起升压和负载电压扰动,新方法适应度函数为0.013,超调量为0.03%,计算时间为30.2s,均为最小,相对于一般的粒子群优化方法具有更好的收敛速度和精度,且鲁棒性更好,能有效改善励磁控制系统空载起励动态性能。 田径短跑成绩变化趋势动态预测仿真研究 对田径短跑成绩的变化趋势进行分析,在提高田径运动成绩方面具有重要意义。由于田径短跑成绩容易受到周围环境,运动员体质等多方面的因素影响,使得成绩变化特征容易发生动态变化。传统的预测方法主要通过以往的田径短跑成绩特征对成绩变化趋势进行预测,忽略了周围环境及运动员体质不同带来的干扰,导致预测不准确,误差大的问题。提出基于改进支持向量机的奥运会田径短跑成绩变化趋势的动态分析方法。上述方法先采用统计学的原理对田径短跑成绩变化时间序列进行预测,并对相邻时间内奥运会成绩变化时间的相空间重构,将支持向量机和区间相空间原理相融合,给出成绩变化趋势回归方程,组建了奥运会田径短跑成绩变化趋势的动态分析模型,完成了对奥运会田径短跑成绩变化趋势的动态分析。仿真证明,所提算法为田径运动的发展提供参考。 WEB应用测试执行引擎的设计与实现 基于模型的测试以系统的抽象模型为依据,自动生成可执行的测试用例,自动地执行测试并自动评价测试结果,实现测试过程的自动化。论文主要研究测试执行自动化技术,设计实现一个自动化测试执行工具。工具的核心是设计基于XML的自动化测试脚本并实现了一个测试执行引擎。脚本拥有良好的结构定义,便于编辑和扩展,由自动化测试工具自动生成。引擎使用多线程技术模拟多用户的并行使用,解决了数据驱动测试执行方式和线程并行操作时的同步问题。 样本自适应的不平衡分类器 大数据时代,不平衡数据分类在实际应用场景中频繁出现。以二分类为例,传统分类器由于较难学习少数类数据集内部的本质结构,容易将少数类样本错误分类。针对这一问题,一种有效的解决方法是在传统的方法中引入代价敏感机制,为少数类样本赋予更高的误分代价以提升其预测精度。这类方法同等对待了同类样本集中的数据,然而同一类内的不同样本可能对训练过程有不同程度的贡献。为了提升代价敏感机制的有效性,样本自适应的代价敏感策略为不同的样本赋予不同的权重。首先,通过考察样本局部的类分布情况,判断其距离两类样本边界的远近;然后,根据边界分布理论,即距离决策面越近的样本对决策面位置的影响越大,为距离两类样本边界越近的样本赋予越高的权重。实验过程中,通过将样本自适应代价敏感策略应用于LDM,并在标准数据集上进行一系列对比实验,验证了样本自适应代价敏感策略在处理不平衡数据分类问题上的有效性。 一种基于标签传播算法的关键链路探测方法 随着网络脆弱性逐渐引起人们的关注,对于一个复杂网络,对其关键链路的探测已经越来越重要。根据网络所具有的社团结构特征,立足于网络的社团划分,结合GN算法思想,把标签传播算法引入关键链路探测中。针对原有算法在迭代过程中出现的每个顶点都会得到一个标签而造成的资源浪费和随机迭代出现结果不稳定的问题,采用一次传播标签把结构较紧密的顶点绑定在一起和依据度顺序来更新标签的方法。通过实验验证,该算法能快速、稳定、高效地查找复杂网络中的关键链路。 航天器燃料箱液位优化测量仿真研究 燃料箱液位的实时、精确测量对提高航天器的有效运载能力和效率有着重大的现实意义。传统的液位测量模型存在装配及加工误差,毛细现象引起迟滞等问题。首次利用计算电容原理将双极筒的传感器结构改进为轻量化单管式。采用有限元法和ANSYS分析软件对结构参数进行了仿真分析,使灵敏度与极板间隙张角和石英管厚度呈正相关,与石英管内半径、屏蔽层与极板间距呈负相关。正交优化实验表明,极板间隙张角2°,石英管内半径11.5mm,石英管厚度1.6mm,屏蔽层与极板间距3mm时的灵敏度最优,相比原来提高了13%,为航天器燃料箱液位优化测量提供了可行方法。 内嵌时空信息的照片数据中的游览模式探索 随着人们越来越多地在社交网上分享他们的照片,研究者可以获得更多内嵌时空信息的照片数据对用户行为进行挖掘.本文根据在Flickr上获得的用户在北京地区拍摄的照片,发现在该地拍摄照片的用户的停留时间和旅游模式,在此基础上提出一种针对连续拍摄照片的时间分割准则,并基于密度峰值聚类定义拍摄兴趣区和游览路径(关键径).为了更准确地表达用户游览兴趣区的偏好,还定义了紧邻后向频率,紧邻前向频率,全局后向频率和平均全局后向频率.基于在北京地区拍摄的20万张照片的时空信息,使用提出的方法对游客游览北京的模式进行了分析,验证了该方法的可行性与合理性. 基于混合核函数PSO-SVM的模拟电路故障诊断 针对模拟电路故障诊断中应用传统支持向量机算法存在的问题,提出由粒子群算法优化混合核函数支持向量机模型对模拟电路进行故障诊断的新方法。首先,对待诊断电路进行瞬态分析,记录输出点的电压值,采用小波包技术对输出值进行特征提取;其次,由粒子群算法优化混合核函数支持向量机的核函数权重和结构参数,用训练好的模型进行故障诊断,该方法不仅降低参数选择时的随机性,而且故障诊断的精确度提升了5%左右。在对某高通滤波器模拟电路进行的故障诊断中,验证了该方法的有效性。 基于交替方向乘子法的非光滑损失坐标优化算法 交替方向乘子法(ADMM)在机器学习问题中已有一些实际应用。针对大规模数据的处理和非光滑损失凸优化问题,将镜面下降方法引入原ADMM批处理算法,得到了一种新的改进算法,并在此基础上提出了一种求解非光滑损失凸优化问题的坐标优化算法。该算法具有操作简单、计算高效的特点。通过详尽的理论分析,证明了新算法的收敛性,在一般凸条件下其具有目前最优的收敛速度。最后与相关算法进行了对比,实验结果表明该算法在保证解稀疏性的同时拥有更快的收敛速度。 工艺语义驱动的铣削类零件加工特征识别技术 为满足企业对三维工序模型的需求,提出在工艺语义的驱动下,运用证据理论实现二维工序图的特征识别。将识别过程分为搜索潜在特征和对潜在特征进行验证两个阶段。根据当前工艺语义的加工特征的特点,在工序图中获得潜在特征。运用证据理论对潜在特征进行验证,当潜在特征对当前工艺语义特征的信任度最高时,表明该特征即为当前加工特征,对特征相交的情况通过启发式算法来确定其特征基面。以某一零件加工工艺为例,验证了该方法的可行性和有效性。 自适应模糊C均值聚类的数据融合算法 针对基于改进模糊聚类的数据融合算法存在融合不精确、融合可信度较低等不足,为了解决多个同质传感器在无先验知识的情况下对同一个目标的某一特征进行测量的数据融合问题,提出了一种自适应模糊C均值聚类的数据融合算法,主要是把自适应模糊C均值聚类应用到数据融合中。该算法首先在改进的模糊聚类中通过引入自适应系数以发现不同形状和大小的聚类子集,使得融合结果更精确;其次将卡尔曼滤波原理和基于多层感知机的神经网络预测法应用到误差协方差估计中,提高了融合可信度。实验结果表明,与7种经典数据融合算法进行对比,该算法在4个模拟数据集与真实数据集上融合结果较好,特别在判别函数与融合误差方面优势更为明显。 支持k近邻查询的X*树索引结构 通过分析已有的索引结构在进行k近邻查询时效率上的不足,提出了适合进行k近邻查询的X*树索引结构,采用了新的结点分裂算法,同时不需要额外存储结点分裂的历史信息。实验结果表明它比X树的时间和空间性能更好,更适合k近邻查询的应用。 基于句群的自动文摘方法 针对目前多数基于句子或段落作为处理单元的自动文摘方法,提出一种基于句群的自动文摘方法。该方法引用了一种基于多元判别分析(MDA)的汉语句群自动划分理论,通过获得句间语义更好的句群作为自动文摘的处理粒度,在此基础上使用潜在狄利克雷分配(LDA)主题模型将文本表示成向量矩阵,再使用k-means算法对向量进行聚类,然后按照一定比例从聚类后的类别中抽取生成文摘,最后采用Kappa检验和肯德尔相关系数评价摘要的质量。实验结果表明该方法得到的整体Kappa值达到了0.7、肯德尔相关系大于0.8,两个评价指标结果都高于各自较好等级的评价值,因此以句群作为处理粒度的自动文摘方法较传统的以句子作为处理粒度的方法能生成质量更好的文摘。 构建基于Dubbo框架的Spring Boot微服务 随着微服务理念逐渐深入人心和相关技术的不断成熟,越来越多的企业选择用微服务架构来构建自己的应用系统,这很好地克服了应用系统在后期版本升级、系统扩展等传统软件生产模式中存在的问题,实现了高效可重复的标准化微服务生产的目标。论文提出了一种Dubbo+Spring Boot构建微服务的方法,利用Dubbo高性能和透明化的远程服务调用,以Spring Boot的形式标准化开发,并且运用Maven来自动化管理项目。实验测试表明,开发基于Dubbo框架的Spring Boot微服务具有简单、高效、标准化的特点,为海量微服务的开发和运维提供重要的参考价值。 Linux环境下普适性零拷贝平台的研究与实现 综合分析了现有的多种零拷贝平台的实现机制及优缺点,实现了一种具有普适意义的零拷贝捕包平台(packet capture platform based on universal zero-copy,PCPUZ)。通过直接对Linux内核中套接字缓冲区的内存分配和释放机制的修改,实现用户程序对数据报文的直接访问,并且克服了普通零拷贝技术应用范围单一、移植性差等缺点,面对不同型号网卡、不同版本网卡驱动,均可直接使用该平台。通过性能测试和分析表明,在低端配置的情况下,对64 Byte与1 500 Byte的报文捕获能力分别达到62万pps(320 Mbps)和7.4万pps(889 Mbps)。在高端配置下,可分别达到110万pps(563 Mbps)和8.1万pps(975 Mbps)。与传统的报文捕获机制相比,报文捕获能力有了非常显著的提高。与常见的专有零拷贝平台相比,性能也有一定的提升,并大大提高了平台的移植性和实用性。 基于词干提取的维吾尔语事件类时间短语识别 针对维吾尔语事件类时间短语没有明显时间词特征词而引起的识别困难和边界定位不准确等问题,提出了一种统计结合词干提取的针对黏着性语言的事件类时间短语的识别方法。根据维吾尔语典型的黏着性语言形态特点,对时间短语构成进行分析和分类,采用机器学习的方法将难于识别的事件类隐性时间短语识别问题转换为基于统计方法的序列标注;通过对维吾尔语事件类时间要素分析及维吾尔语构词的研究,引入黏着语特有的词干特征,选定实验特征集合,对比分析不同的特征集合的自动识别准确率的平均值。实验结果表明,该方法对维吾尔语事件类时间短语识别的F-值达到85.37%。这一结果对其它黏着性语言的研究具有参考意义。 基于三维实体特征的机械零件搜索引擎设计 随着各种三维CAD系统在产品设计和制造领域的普及应用,基于内容的三维模型检索技术应运而生。介绍了三维模型搜索引擎的发展概况,三维模型搜索引擎的关键技术。着重介绍三维实体模型中的归一化,特征提取,相似性比较的算法。 复杂网络中随机图模型研究 随着复杂网络研究的兴起,随机图成为一种重要复杂网络模型。基于完全图的生成子图的思想,得到了生成随机图的一种新算法,即用去边的方法生成随机图的算法,并用数值实验验证了加边和去边生成的随机图的统计特性(最大度、最小度、聚集系数、平均最短路径和平均度)是相近的,用去边的方法得到的图的度分布曲线在其平均度处达到峰值,随后呈指数下降,这与随机图的度分布是相同的。为了得到稀疏连通的随机图,又提出了一个不去割边的近似随机图生成算法,并从理论上说明了该算法生成的图是连通的,同时通过数值实验验证了图的连通性,并与加边随机图的统计特性进行了比较。 核主元回归模型间歇过程加权迭代学习的控制 间歇过程在生产中起到重要作用。针对间歇过程的控制提出了很多方法,迭代学习控制是其中一种。迭代学习控制需要合理的模型,目前数据驱动的建模方法受到重视。由于间歇过程通常为复杂的非线性过程,过程数据具有非线性相关性的特点。为了消除数据的非线性相关性,本文采用核主元回归方法对间歇过程进行建模,即在间歇过程的控制变量和终点质量之间建立间歇过程的模型。在此模型上,通过围绕标称轨迹线性化核主元回归模型,并最小化与终点质量相关的二次型目标函数,导出迭代学习控制算法从而计算控制策略。为了克服过程变化和扰动的不利影响,本文提出在批次间将最早的数据从训练数据集移除并加入最新的数据对核主元回归模型进行更新。由于迭代学习律中的增益矩阵反映的是过程的梯度信息,易使迭代学习控制过早收敛或偏离实际工况,为了获得更好的收敛效果,可对学习增益矩阵进行加权。通过对一个间歇聚合反应仿真过程的应用,加权迭代学习控制有良好的控制性能并显示出对过程变化和扰动的适应能力。该方法比基于主元回归模型的迭代学习控制方法具有更好的性能,因此基于核主元回归模型的加权迭代学习控制是一种有效的间歇过程控制方法。 空间植物培养装置控制系统设计 研制一套空间植物培养装置控制系统,能根据植物在不同的生长阶段,通过上位机软件设置,自动实现对空间培养装置内的参数进行调节。使用半导体加热片控制植物培养装置箱体内温度、相对湿度,同时闭环控制CO2、乙烯,供给栽培基质合适的水量,提供合适的光照强度和光照时间,还可采集图像信息。系统运行测试表明,控制系统性能良好,控制精度能满足植物生长要求,温度、湿度、乙烯、CO2等参数波动均在植物生长需求范围之内。 城市绿地景观生态平衡性优化设计仿真 对城市绿地景观生态平衡性的优化设计,对后续的城市景观设计与虚拟重建具有重要的意义。对绿地景观生态平衡性优化设计,需要对景观数据特征进行提取,计算各个景观数据点的局部属性,完成对景观生态平衡性的优化设计。传统方法对城市绿地景观点云分割,推导出城市绿地景观坐标变换矩阵,但忽略了计算各个景观数据点的局部属性,导致设计效果不理想。提出基于多尺度非均匀滤波的城市绿地景观数据特征提取方法。采用多尺度非均匀滤波将城市绿地景观数据含有噪声与不含有噪声的像素点的灰度值,以达到城市绿地景观数据去噪效果,计算各个景观数据点的局部属性,利用统计优化方法对原始形状中含有的边界曲线进行优化,将特征判别参数大于给定阈值的点定义为特征点。实验结果表明,所提方法能够有效提取尖锐特征点,且提取精度较高。 基于.Net框架的远程异步调试器的设计 结合具体工程实践,提出一种新的.NET框架下远程异步调试器的总体思路和设计框架。回顾了调试器的基本原理和演变,简要介绍远程调试的发展动态,分析了通用远程调试器在分布式体系架构应用中的不足之处;介绍了该调试器中异步机制和远程机制的具体实现方法,并对实际研制过程中碰到的难点问题进行了相关阐述,重点介绍了远程调试器整体架构中.NET对象移动现象的产生机理、影响和解决方法。 基于禁忌搜索的三维装载车辆路径问题研究 针对三维装载约束下的车辆路径问题(VRP),在考虑车辆容量、三维装载、物品装卸顺序、最小支持面和物品是否易碎等约束的情况下,提出一种求解该问题的禁忌搜索算法,其中包括2种三维装载算法、2种初始解构建算法、禁忌搜索邻域结构以及导向禁忌搜索机制。实验结果表明,该算法能够有效求解三维装载约束的VRP,且求解精度较高。 增加检测器覆盖非自体区域的简单方法 免疫启发式否定选择算法应用于数据集中的异常检测。这种检测基于自体/非自体的识别,他的特征是具有通过自体或规则样本信息检测非自体样本的能力。所以,问题空间被分成两个不相交的子空间:一个子空间包含自体样本,另一个子空间包含促使通过否定选择算法生成检测器的样本。因此,否定选择算法的效率与检测器覆盖非自体空间范围成正比。在文章中,提出了一种扩大检测器覆盖范围的简单方法。 基于可信度的认知无线电协同频谱检测 认知无线电中随着参与协同检测的认知用户数目的增大,频谱检测性能逐渐增强。但是过多的认知用户参与协同检测,会使整个认知无线电网络的灵敏度降低,同时也会造成巨大的系统开销。针对上述问题,提出了一种新的基于可信度的协同频谱检测方法。在满足目标错误概率的条件下,该算法只选择可信度较高的一些认知用户参与协同频谱检测。仿真结果表明当认知用户中存在恶意节点或者故障节点时,该算法同传统算法相比较,频谱检测性能更好,具有更强的健壮性。 基于典型相关的脑功能网络构建方法 人脑是自然界中最复杂的系统之一,在该系统中,各个脑区之间相互作用构成脑网络.为方便科学研究,学者们通过不同的方法构建脑功能网络.本文基于自动结构标签模板和典型相关来构建脑功能网络,并研究了所构建网络的某些关键的拓扑性质,如小世界性,全局效率,局部效率等.与此同时,比较了正常被试与心理异常海员脑功能网络的这些性质,结果表明在统计意义上正常被试和心理异常海员某些性质存在显著性差异,说明此种脑功能网络构建方法是可行的. 基于PLC的水温控制系统的设计与实现 主要以三菱PLC(FXON-60MR)作为控制器,采用PID控制技术设计了一个温度控制系统,其误差上下不超过设定值2度。并给出了硬件设计方案。简单介绍了控制系统的软件设计。我们对整个系统进行了连接调试,验证了硬件电路可行性,实测了几组试验参数,对系统进行了详细的分析。实践证明该系统具有很强的稳定性及抗干扰性能,具有一定的实际应用价值。 灰度图像对比度提高方法及其评价 为了解决低照度图像的对比度提高问题,依据基于像素的图像处理理论,研究应用非线性变换提高灰度图像对比度的方法。在分析对数变换效果的基础上,提出了基于幂函数的非线性变换的灰度图像对比度提高算法,并通过大量的实验数据说明了算法的参数范围。为了客观地评价灰度图像对比度提高的质量,定义了度量增强后图像的对比度与原图对比度的比例关系的对比度增量参数,给出了亮度、标准差、对比度增量等参数的主要计算公式。实验中实现了三种典型的基于幂函数的非线性变换的对比度提高算法,与低照度的原图像相比较,结果图像更有利于人眼观察,且对比度提高程度明显,与对数变换相比较具有算法执行速度快、易于实现和适用性强的优点。 认知无线电中基于灰色系统的频谱预测机制研究 由于认知无线电网络中的频谱是动态变化的,这就使得次用户必须频繁地进行退避,这不仅会在短时间内对主用户造成干扰,而且会影响次用户的QoS。提出了基于灰色系统的预测机制可提高频谱分配的效率减少次用户切换次数。仿真结果证明了认知用户的服务质量即切换次数有显著改善。 IEEE802.11i密钥管理方案的研究与改进 802.11i的密钥管理方案需要经过四次握手产生PTK,然后经过组播握手产生GTK,加重了STA的计算负担,影响了无线漫游的效率,为此提出一种改进的密钥产生机制。改进后的方案采用EAPOL-Key来传输消息,不修改其帧结构,保持了对原802.11i协议的兼容,同时将四次握手加组密钥握手完成PTK和GTK分发变成只需四次握手就可以同时实现PTK和GTK分发。该方案缩短了密钥分发的过程,减少了分发环节,从而使得漫游环境下的密钥分发效率大大提高,减少了用户接入WLAN的延迟。 基于词性标注序列特征提取的微博情感分类 传统的n-gram文本特征提取方法会产生高维度的特征向量,高维数据不但增大了分类的难度,同时也会增加分类的时间。针对这一问题,提出了一种基于词性(POS)标注序列的特征提取方法,根据词性序列能够代表一类文本的这一个特点,利用词性序列组作为文本的特征以达到降低特征维度的效果。在实验中,词性序列特征提取方法比n-gram特征提取方法至少提高了9%的分类精度,降低4816个维度。实验结果表明,该方法能够适用于微博情感分类。 数字资源跨平台整合系统的设计与实现 为进一步提高图书馆大量数字资源的整体使用效率,提高数字资源和馆藏文献的检准率和检全率,解决现有馆藏检索系统难于跨平台运行的问题,提出了一种新的图书馆数字资源参数模型:在研究都柏林核心元数据DC字段和常用的MARC数据格式之间的映射关系基础上,给出了数据库概念模型的设计方法,实现了整合图书馆馆藏文献和本地其他信息资源的跨平台原型系统DRMS,并从开发目标、框架设计、开发平台等方面概述了系统的设计思想。最后,以C++和Qt应用程序框架作为系统开发语言,完成了DRMS的数据管理模型设计、软件开发和系统功能,为用户提供了多平台操作系统下的统一人机交互界面和检索方法。 基于复杂信息的教学质量评价集对态势模型及应用 针对教学质量评价系统中的复杂信息,构建评价指标体系,基于集对分析方法建立教学评价数学模型,以合理地评价教师教学质量,并研究发展态势,给出改进建议。 一种改进的基于角色的授权委托模型 委托是访问控制模型中非常重要的组成部分,已成为分布式计算环境下重要的访问控制管理机制。提出了一种改进的基于角色的授权委托模型,此模型对用户的角色划分不仅仅基于用户的身份,还要考虑用户的信任度、能力等属性,通过综合多种因素对用户进行属性级别划分,不同的属性级别对应不同的角色从而对应不同的访问权限,以达到对用户进行访问控制的目的,是一种基于属性的角色授权委托模型。与传统基于身份划分的角色委托模型相比,此模型具有更细的访问控制粒度和更高的安全性。 基于kinect骨骼追踪技术的机器人运动系统的设计与实现 为了实现自然人机交互,提高用户体验,尝试引入微软公司的一款体感周边外设Kinect设备,利用Kinect传感器获取的人体骨骼追踪识别点,结合Opencv图像处理算法,画出左右手六种手势状态,然后利用通过Windows Socket网络编程的TCP/IP协议把相应的运动指令传输给机器人,实现与系统的交互控制,结果表明,通过此方式能较好地实现人机交互。 基于UML的EtherCAT矿井电力监控系统实时性研究 针对矿井电力监控系统中存在的实时性差、可靠性不足的问题,提出了采用以太网控制自动化技术EtherCAT为通信网络,搭建煤矿井下供电系统,并利用统一建模语言UML建立电力监控系统模型;实验室条件下,依据模型搭建系统实验平台,对EtherCAT矿井电力监控系统实时性进行分析;结果表明,系统网络报文传输时延极小,且故障切除实时性高,能够为后续EtherCAT矿井电力监控系统开发提供技术支持。 基于特征图像的数字版权保护系统 数字版权保护(DRM)已经成为网络环境下数字内容交易和传播的一种关键保障技术。鉴于我国数字内容市场的需求和目前DRM技术的不足,提出一种在图像中动态提取密钥的方法,使得密钥的选择与更新更加灵活,并建立一个三重加密机制,增强了DRM系统的安全性。同时利用遍历加密的方法将内容许可证与用户的多个终端设备进行绑定,建立起用户域,使用户能在多台设备上共享内容。对方案的安全性进行了证明,给出了运行效率的仿真结果和与目前几个主流DRM方案的比较结果。 一种新型隐私数据库秘密同态检索协议 针对隐私数据查询中的隐私泄露威胁模型,提出了一种新型隐私数据库秘密同态检索协议。该协议基于Some What部分同态算法进行设计,通过使用密文同态运算性质较好地解决了该威胁模型中涉及到的隐私泄露问题,同时在证明该协议正确性和安全性的基础上,通过适当的参数选择,对该协议的同态算法进行了测试,给出了算法的运行效率。 氧化铝生产的蒸发过程故障检测仿真研究 研究氧化铝蒸发过程故障检测问题。氧化铝蒸发过程是一个非线性,时变的过程,结构错综复杂,样本数据少和难以建立精确模型进行实时检测问题。为此,提出了一种采用贝叶斯网络的小数据集蒸发过程故障检测方法。首先,在贝叶斯理论基础上,提出了一种结合领域知识的贪婪结构学习算法,由领域知识引导加边、减边和转边算子搜索,加速找到评分最高的网络结构。然后,小数据集通过Bootstrap抽样法获得大样本数据,经由改进的结构学习算法得到贝叶斯故障检测网络,检测网络包含变量的拓扑结构图及其条件概率表。用已知数据对检测网络进行验证,结果表明上述网络是有效的,同时亦能锁定故障所在位置,为蒸发过程实时优化控制开拓了一种新思路。 高空无人机联合通信故障诊断模型仿真 高空多飞机的联合通信系统的安全性,关系到空中安全。由于双机、多机的高空联合通信过程都以地面服务器为基础,通信系统采用多区域、多服务器联合工作的模式,通信设备以节点的形式,被人为分成若干个固定数据块储存区,对不同的无人机来说,所属的不同区域的故障特征表达方式不同。传统的故障数据检测方法进行无人机通信故障检测时,很难对地空区域进行准确的故障区域划分,也没有考虑不同区域不同故障特征的表达,故障反推对应过程容易出现混乱,导致故障检测的准确率降低。提出一种基于神经网络算法的云计算环境下高空双机通信中的单方故障挖掘方法。对数据储存节点进行分类,利用神经网络建立故障数据子数据块节点挖掘模型,从而完成了故障数据的挖掘。实验结果表明,利用改进算法进行云计算环境下高空双机通信中的单方故障挖掘,能够有效提高挖掘的准确率和挖掘效率。 融合可行基规则的粒子群优化算法及其应用 基本粒子群优化算法对于离散的优化问题处理不佳,容易陷入局部最优。针对基本粒子群优化算法处理离散型优化问题时的缺陷,提出了一种融合可行基规则的改进型粒子群优化算法,并用该算法求解车辆路径问题。实验结果表明,该算法的优化性能和求解精度均优于其他文献算法,在求解车辆路径问题中具有较高的应用价值。 基于APP搜索系统的PageRank改进算法 为了将PageRank算法更好地应用于APP推荐系统,对PageRank算法在APP搜索系统中的应用模型进行研究,发现PageRank算法运用于APP搜索系统中的一种缺点,即同类APP的独立性较弱,相似性较强。因此针对该缺点本文进行算法改进。最后对Time-PageRank算法得到的TPR值与传统PageRank算法的PR值进行了对比,得出Time-PageRank算法在APP搜索系统中可行性的结论。 自适应卡尔曼滤波在组合导航中的应用研究 对惯性导航系统(INS)与全球导航系统(GPS)分别进行了具体探讨,对比了两者的优缺点,针对INS/GPS组合导航系统中由于模型不准或因量测噪声的复杂多变造成的发散问题,引入了一种基于输出相关法的自适应卡尔曼滤波技术。通过在自适应滤波算法中推算最优稳态增益来调整量测噪声,抑制滤波器的发散,为GPS/INS组合导航系统实现高精度导航提供了有效的途径。仿真结果表明该算法能很好地对系统状态进行最优估计并适应系统噪声的变化,具有比常规卡尔曼滤波更高的导航精度。 海量图片文件存储去重技术研究 提出一种基于分布式数据库与分布式文件系统相结合的海量图片文件存储去重技术。该技术通过提取图片文件二进制流的特征段计算文件MD5码签名,依据签名对图片文件进行存储去重。结合实验数据分析验证该技术不仅能够准确地去重图片,有较高的删除率,且经对比得到该技术在计算签名时间、上传速度等方面均优于文件级去重和块级去重技术,是对海量图片数据存储的一种优化。同时针对该技术的不足提出了改进方案。 光吞噬损耗的化工污染检测方法研究与仿真 研究利用细菌生物荧光性进行化工污染检测的问题。化工污染物中不可避免存在一定的光吞噬物,对发光生物细菌的光强度进行了吞噬,吞食量与发光强度间无法形成关联,传统的光强度测量方法都是假设这种吞噬不会影响最终测量结果,或者采用固定补偿的方式解决,但是在高精度测量中,这种粗放式的补偿无法满足高精度的要求,造成污染检测效果不准。提出了一种基于粗糙集模糊神经网络的生物荧光化工污染优化检测方法。在粗糙集模糊神经网络状态参数更新时,把光损失作为逆向传递参数,建立细化补偿模型对发光量进行量化,根据光吞噬参数建立检测模型,优化污染检测过程。仿真结果表明,改进方法能够极大地提高检测的准确性。 基于边权值的网络抗毁性评估方法 根据有权网络中边的权值计算节点的边权值,并依据边的权值计算全网平均最短路径得到节点重要性。通过节点的边权值和节点的重要性,建立一个抗毁度评估方法,计算节点的抗毁度并得到全网的抗毁度。实例证明该方法的有效性。 保护区移动巡护系统 目前很多自然保护区的巡护工作仍然使用传统的巡护方式,严重影响了巡护工作效率.本文针对自然保护区的实际巡护工作需求,基于Android移动开发、Java Web开发、KML、位置服务(Location Based Service,LBS)等主要技术来构建保护区移动巡护系统,以取代传统的巡护方式.系统包括移动端采集系统和Web端管理系统.巡护人员使用移动端采集系统提高巡护信息采集的效率;保护区的管理人员使用Web系统对巡护数据进行高效管理,并及时了解巡护人员的工作情况和实时位置.系统已在多个保护区得到实际使用,并且取得了较好的应用效果. 基于二阶总广义变差的欠采样图像重构方法 针对欠采样图像重构的凸优化问题,提出一种基于二阶总广义变差(TGV)范数最小化的算法。利用图像的二阶TGV半范作为正则约束项,自动地平衡一、二阶导数项,使得该算法可以更好地恢复图像边缘,有利于平滑噪声,避免阶梯效应。为了有效地计算该模型,通过正交投影和调整权重阈值对每一步迭代结果进行修正,最终获得更准确的重构结果。实验结果表明,与正交匹配追踪(OMP)模型和全变差(TV)模型比对,该算法重构的图像其峰值信噪比(PSNR)及结构相似度(SSIM)都有明显的提高,重构效果较好。 基于网络入侵检测系统的改进BM模式匹配算法研究 对目前常见的网络入侵检测系统中模式匹配算法进行研究总结,针对著名的Snort中的模式匹配算法,进行了详细分析和对比。以求取优化检测效率、降低算法的时间和空间复杂度为目标,设计基于网络入侵检测系统的改进BM模式匹配算法。将该改进BM模式匹配算法应用到实际网络入侵检测过程中,针对处理结果进行科学评价。通过实例的应用,验证了提出算法的可行性和高效性。 基于粗概念格模型的电子商务领域本体的构建研究 以构建电子商务系统中的本体为出发点,分析现有的本体构建技术中存在的缺陷。针对这些不足,综合考虑变精度粗糙集模型和形式概念分析的相关理论,提出基于粗概念格模型来构建本体。将变精度粗糙集的β选取算法和可辨识矩阵属性约简算法进行了改进,使β-上、下分布的约简方法适用于形式背景的约简,从而提出基于变精度粗糙集的概念格约减算法;然后计算语义概念相似度,并以联合国标准产品与服务分类代码的本体元模型为核心本体,结合领域专家知识,建立电子商务领域本体模型。实验表明了粗概念格构建本体的高效性。 一种改进的矢量数据渐进传输 针对现有的矢量数据渐进传输校验空间对象拓扑数量大,提出一种改进的矢量数据渐进传输。根据定义的算法删除有效性最低的点,并检测生成的空间拓扑是否自相交,优化需要检验的拓扑图形的数量。通过设计的一个在客户端服务器架构基础上的原型,采用真实数据集进行测试。实验结果表明,传输矢量生成算法保持空间对象的拓扑和防止不同图层的自相交,降低了客户端的响应时间。 EAST等离子体剖面数据可视化 EAST已经初步建立较为完善的分析数据数据库,相较于存储原始采集信号的一级数据库,科研人员称这个包含EAST各个子系统(诊断、加热、加料等)具体物理信息的数据库为EAST二级数据库.为了便于科研人员从EAST二级库中查看和分析等离子体剖面数据,采用Python及Py Qt开发了数据可视化图形用户软件.它可以分析比较来自不同诊断系统的剖面数据,具有将剖面数据用图形表示出来并改变图形属性等功能.同时在等离子体放电实验期间,提供了炮间分析等离子体剖面数据可视化的功能.本文介绍了EAST剖面数据可视化系统的设计方案与实现方法,同时也给出了系统的初步测试结果. 基于图像块迭代和稀疏表示的超分辨率图像重建算法 针对待复原图像内容间差异和重建速度缓慢的问题,提出基于图像块迭代分类和稀疏表示的超分辨率图像重建算法。首先,根据阈值把图像迭代分块为三种不同形态。然后,对三种形态分别处理:在重建时,对4N×4N块利用双三次插值(BI)算法重建;对2N×2N块由K-奇异值分解(K-SVD)算法得到对应的高、低分辨率字典,通过正交匹配追踪(OMP)算法重建;对N×N块用形态成分分析(MCA)法分解为平滑层和纹理层,然后由各层相应的字典对通过OMP算法重建。将所提方法与基于稀疏基的方法、基于MCA的方法和基于两级与分频带字典的方法相比,所提算法在主观视觉效果、评测指标和重建速度上都有明显的改善。实验结果表明,该方法在图像的边缘块和不规则区域获得了更为精细的细节,重建效果更明显。 比特流协议分类模型 针对比特流协议分类困难的问题,提出一种比特流协议分类模型,该模型只利用比特流的物理取值和统计特性,不考虑协议中各个部分的语法、语义等信息来进行协议的分类。将比特流协议进行进制转换、数据单元切分、对数据单元进行词频统计,得到对应比特流流协议的数据单元频率统计图;使用基于贝叶斯理论设计的机器学算法对其进行学习,得到分类模型,将分类模型用于实际的协议分类。基于林肯实验室公布的数据集测试结果表明,该模型能较好地对比特流协议进行分类,正确率高,运行稳定、速度快。 基于区域划分的角点检测 本文主要研究角点检测中全局/局部的搜索算法,针对该算法效率较低的情况提出了改进的角点检测算法.该算法采用相似金字塔计算原理构造多层图像,同时采用多尺度Harris算子分层搜索并提取图像特征角点,经过分层图像、分区域图像的特征角点进行融合计算,实现了目标特征点寻找.该算法主要在角点检测上考虑不同层次的图像和单张图像区域关系,并且通过特征点周围像素的变化参数来实现目标的定位.实验结果表明,本文提出的改进算法提高了总体定位的速度,降低了误定位的概率. 一种低功耗的无线传感器网络节点设计方法 提出一种低功耗的无线传感器网络节点设计方法,采用CC2530芯片,基于ZigBee技术实现无线传感器网络的自组和监测数据的自动汇聚。给出节点设计的整体框图以及硬件设计模块与软件设计流程。以温度采集系统为对象进行实验,结果证明,以该方法设计的节点具有低功耗、高精度的特点。 基于Smali Code的移动应用行为模型的自动构建方法 移动应用数量的快速增长,以及移动应用开发周期短、迭代速度快等特点,使得移动应用的测试面临挑战,许多应用没有经过充分测试就被投放到市场,其中可能包含程序缺陷,从而影响用户体验。模型驱动的测试方法是最有效的测试方法之一,在功能、性能、可用性、安全等测试方面均有应用,能在一定程度上提高测试的自动化程度。移动应用领域与传统研究领域的模型驱动测试方法的最大区别在于模型构建方法的不同,因为移动应用是事件驱动的。提出了一种构建移动应用行为状态机模型的方法。首先通过逆向工程的方法得到移动应用的中间码;然后在中间码上通过动静态结合的方法生成事件表;最后,建模时通过在系统层扫描屏幕变化来判断是否出现新状态,并定义所有出现的状态,从而组成最终的模型。该方法一方面避免了源代码的限制,另一方面也提高了模型的覆盖度。实验结果表明,所提方法可以准确有效地构建移动应用的行为状态机模型,解决现有移动应用测试中模型构建存在的部分问题。 一个完全基于混沌的数字图像认证方案 基于混沌特性提出了一个新颖的数字图像认证方案.首先利用分段线性混沌映射,基于图像的特征信息,生成查询表和二维猫映射的参数;再利用猫映射对原始水印图像进行置乱预处理;最后根据查询表和置乱后的水印完成水印嵌入工作.而水印的提取和认证操作极为简便.理论分析和仿真试验表明该方案安全高效、定位精确,且具有简单,可行性好的特点. 服务机器人通用控制软件的研究与实现 不同的服务机器人在功能以及硬件组成上的差异使得软件结构也缺乏统一的规范,没有通用性;以正在研究的服务机器人实验平台为研究对象,分析并提出了一般的服务机器人软件实现结构的特点,通过一般的服务机器人软件体系和LSSA分层模型之间的比较,指出了LSSA软件层次划分的优点,确定了基于决策层,执行控制层和功能层的三层体系结构,并探讨了以指令的形式完成机器人的基本功能,以此开发出一套服务机器人软件,最终实现了软件的通用性。 基于加权小波的DCT人脸识别算法研究 针对图像维数过高,计算复杂的问题,提出一种基于加权小波分析和DCT的人脸识别方法,通过对人脸图像进行小波分解,提取低频和加权高频分量的DCT变换系数作为识别特征向量,采用加权距离进行分类识别。该方法在ORL和YALE人脸库上进行了测试比较,结果表明,无论训练时间还是识别率,都优于传统的PCA方法,和小波结合PCA的方法相比较,识别率也明显提高。 基于光滑粒子流体动力学方法的空间液桥实验实时模拟 针对微重力环境下流体动态模拟的逼真度和效率问题,提出一种基于光滑粒子流体动力学(SPH)方法的空间液桥实验实时模拟方法。首先,借助计算机图形学和计算几何学的理论基础,结合空间液桥实验装置的三维模型,渲染了虚拟液桥实验的三维场景;其次,基于SPH方法建立液桥无网格的粒子模型,分析粒子在微重力条件下受力的特殊性,结合实验液体的密度、粘滞度等物理特性以及在微重力条件下的动态特性,模拟了空间中随实验操作的液桥形态变化过程;最后,仿真结果表明,该方法实现了微重力条件下液桥实验的实时动态模拟,并可应用于其他空间流体的动态仿真。 军事阵地的计算机辅助生成方法研究 为更好地在众多备选目标中选择价值最优的军事阵地,论文从影响遂行任务自身价值属性的相关因素出发,结合适合构建军事阵地的先验知识,给出了军事阵地的建模方法及质量评估模型,改进传统遗传算法以解决大范围地理空间中最优阵地的快速识别问题,通过两组实验分析验证方法的有效性及搜索性能。 基于Gabor小波变换与分块PCA的人脸识别 由于Gabor小波描述的人脸特征维数太高,直接将Gabor小波提取的特征进行识别时出现计算量大、实时性差的问题,提出了基于Gabor小波变换与分块主分量分析的人脸识别新算法。首先对人脸图像进行Gabor小波变换得到人脸图像特征,然后用分块主分量分析方法对其进行降维、提取特征向量,最后用最近邻分类器分类识别。在ORL和NUST603人脸库上进行实验,结果表明,该方法的识别率优于传统PCA、分块PCA、Gabor小波变换与PCA结合的方法。 多红外火焰探测中基于决策树的火灾识别 在多红外火焰探测系统中,提出了一种基于决策树的火灾识别算法。按照特种火灾探测器的国家标准实验的要求,获取实验数据。该算法首先对五个红外火焰探测器获得的数据进行多窗口重叠交叉预处理,然后提取六个火灾特征作为决策树的分类属性,对决策树进行训练、剪枝,最后得到火灾识别的最优决策树模型。将该识别模型应用于在线火灾识别,实验结果表明该决策树分类算法的准确率可以达到95.2%,识别速度在2s以内,较其他的分类识别算法有更高的准确率和更快的识别速度,具有很好的实用性。 基于MySQL的诗歌翻译语料库系统数据库设计 诗歌翻译语料库用于存储诗歌翻译的精华,为研究诗歌翻译、传播诗歌意境提供了新途径。针对诗歌翻译语料库构建的难点问题,文中基于MySQL进行数据库设计。按照新奥尔良法的设计步骤进行了概念结构设计、逻辑结构设计和完整性设计。概念结构设计通过E-R图描述了6个实体以及实体间的7个一对多联系;逻辑结构设计运用MySQL的数据类型设计了数据存储表的逻辑结构;完整性设计通过对主键和外键的阐释设计了实体完整性和参照完整性的实现方法。实际应用时要根据需要采用灵活的存储结构和存取方法来提高数据库性能。 多输入多输出信道估计算法的仿真研究 研究无线通信,提高数据传输率,是无线通信领域关心的问题。信道估计精度对系统性能有着直接的影响。由于无线通信信道具有时变性,传统信道估计算法很难对时变信道进行准确估计,为了提高多输入多输出信道估计精度,提出一种采用基于粒子滤波的M IMO-OFDM时变信道估计方法。算法首先采用时变信道频率响应的状态空间方程将时变信道估计问题转化为序贯状态估计问题,然后通过粒子滤波算法对序贯状态估计问题进行求解,得到当前时刻的信道状态。仿真结果表明,与传统信道估计方法比较,不仅降低估计均方误差和误码率,估计精度更高,而且很好地提高了信道通信性能。 基于多分辨率的动车车轴图像快速配准算法 为降低高分辨率图像配准的高耗时,优化动车组运行故障动态图像检测系统(trouble of moving emu detection system,TEDS),设计一种基于多分辨率图像的动车车轴图像快速配准算法。利用SURF(speeded up robust features)算子的旋转缩放不变性在低分辨率图像中进行粗配准,对经典的HOG(histogram of oriented gradient)算法进行优化,在高分辨率图像中利用优化了的HOG算法进行筛选及精确配准。实验结果表明,该算法提高了配准性能,加快了配准的速度。 近邻策略Hopfield神经网络的TSP求解 为解决Hopfield神经网络求解旅行商问题(TSP)时易出现无效解和收敛性能差的问题,设计基于环路改进的近邻策略Hopfield神经网络算法。给出近邻矩阵概念,初始化TSP的解空间,在此基础上通过Hopfield神经网络能量函数的演化寻找最优解。与经典Hopfield神经网络TSP求解方法进行比较,结果表明,近邻策略Hopfield算法可避免无效解,收敛速度较快。 基于前景分割的行人检测方法 针对背景复杂情况下行人检测误检率较大的问题,提出一种新的基于前景分割的行人检测方法.本方法在样本训练过程中,通过对图像的初始轮廓线进行有向分水岭转换,然后由超度量轮廓图算法得到图像内一个个封闭的区域,把得到的封闭区域与设定框进行比较,区分封闭区域属于前景还是背景,进而把前景目标分割出来并进行训练;测试时,把待检测图像中的检测区域进行前景分割,求出前景的HOG特征并用SVM分类,确定检测区域内是否有行人.这样保证了在训练阶段和检测阶段都去除了背景噪声的影响,实验结果表明,提出的方法能有效的提高检测精度. 支持向量分类机在入侵检测中的应用研究 为解决入侵检测系统的泛化能力问题,分析了多类分类器的理论框架,并综合考虑训练集数据的预处理、交叉验证时间和入侵检测模型准确率三个因素,提出了一种改进的粗细网格参数优化算法。在基于支持向量机的入侵检测模型中,将KDD数据集映射到高维空间,并采用不同的算法对核函数相关参数进行优化。实例仿真计算表明,通过改进的网格搜索法所获得的参数相对来说有明显的时间优势,分类精度和效率得到了提高。 基于OpenCV的人脸检测与跟踪 随着社会公共安全体系的逐步完善,基于人脸的智能视频监控技术在安全监控、视频分析以及人机交互等场合发挥出越来越重要的作用。传统的Camshift算法虽然能快速地跟踪运动目标,但它不仅需要手动设定跟踪的对象,而且当跟踪对象遇到遮挡和相同颜色障碍物干扰时很容易丢失目标。针对上述问题,在OpenCV的基础上,采用Adaboost,Camshift和Kalman滤波相融合的方法,实现了快速、自动和准确的人脸检测与跟踪。 基于飞参数据的发动机碰摩故障检测模型仿真 在飞行实时状态监控过程中,强震动环境下的发动机碰摩故障检测的准确性,关系到飞行的安全。在强震动环境下,发动机转静容易发生碰摩故障,多个碰摩故障往往是同时发生的,但是,故障的振动信号之间不存在之间关联。碰摩故障特征彼此独立,故障特征数据之间缺少可直接建立的关联。传统的故障挖掘方法在发动机转静碰摩故障检测的过程中,只能根据振动信号对这种故障进行检测,振动信号本身存在很多干扰,也很难包括所有的碰摩故障特征,导致故障检测不准确。为解决上述问题,提出采用改进关联规则挖掘的强震动环境下的发动机碰摩故障检测方法。针对飞机发动机碰摩数据进行关联聚类处理,获取样本空间的分类矩阵,并对发动机碰摩数据进行更新。根据更新结果,计算发动机碰摩数据关联概率值,得到概率决策,针对飞行器碰摩数据进行关联规则挖掘,实现发动机碰摩数据故障优化检测。实验结果表明,利用改进关联规则算法进行强震动环境下的发动机转静碰摩故障检测,极大的缩短了检测时间,降低了漏检率,提高了检测精确度。 基于嵌入式的猪肉检测手持终端的研究与实现 食品安全广泛引起社会的关注,快速、便携的猪肉检测设备是当下主要亟待解决的问题;文中对基于嵌入式Raspberry Pi的手持式终端系统进行了研究;其利用计算机视觉和人工嗅觉,分别采集猪肉的颜色特征值和挥发气体浓度,采集的数据信息经过GPRS模块上传至服务器,并返回现场检测结果,实现对猪肉的新鲜度的快速、便携地检测;实验表明:新鲜猪肉的颜色特征值随宰杀后时间的延长而变化,猪肉的反光度增强;猪肉的氨气、硫化氢浓度随变质时间呈线性增加,线性系数分别为0.621和0.563,实验验证了该检测终端的准确度为99.1%,拥有很好的精确度。 基于协方差矩阵降维稀疏表示的二维波达方向估计 针对稀疏重构下二维波达方向(2D-DOA)估计存在计算量大的问题,提出一种基于协方差矩阵降维稀疏表示的二维波达方向估计方法。首先引入空间角构造流形矢量矩阵冗余字典,将方位角和俯仰角组合从二维空间映射到一维空间,降低了字典的长度和求解复杂度,并且能自动实现俯仰角和方位角配对;其次改进了样本协方差矩阵的稀疏表示模型,对该模型进行了降维处理;然后由协方差矩阵稀疏重构的残差约束特性得到约束残差项置信区间,避免采用正则化方法导致参数选取困难;最后通过凸优化包实现了二维波达方向的估计。仿真实验表明,待选取的协方差矩阵列数达到某个阈值(在只有两个入射信号情况下该值为3)时,可准确实现入射信号角的估计;当信噪比(SNR)较小(<5 d B)时,该方法估计精度优于基于空间角的特征矢量算法;低快拍数(<100)下该方法估计精度略低于特征矢量法,但小间隔角度下估计精度与后者相当。 格基规约攻击中格构造方法研究 为了更好地将格基规约应用于密码分析领域,在对RSA小指数格基规约攻击和NTRU格基规约攻击研究分析的基础上,提出了基本的格构造方法,该方法主要针对于求解高次方程的解以及含有向量或者多项式的密码体制。将提出的格构造方法应用于求解Regev密码体制中,给出了具体的格构造方法,通过该应用体现了本方法的有效性。 一种云存储环境下的安全存储系统 如今,数据越来越多地被选择存放在云存储环境,而非个人电脑中.这使得用户失去了对数据的完全控制,从而难以保证数据的安全性.为了解决此问题,文中提出了一种新的安全云存储系统架构.基于这套架构,文中设计并实现了一个安全云存储系统——Corslet.Corslet可以直接架在已有的云存储系统之上而无需对其进行任何改变,同时提供端到端的数据私密性保护、完整性保护以及访问权限控制等功能.Corslet使用简单,用户只需在客户端存放他们的身份证书即可.对Corslet的测试结果显示,Corslet架在NFSv4集群之上I/O性能下降不到5%,证明Corslet在提高用户数据安全性的同时,其性能也是可以接受的. 基于采样窗的非均匀路面图像校正算法 针对传统的灰度校正方法不能有效地校正全局光照不均匀的路面图像,提出基于采样窗的路面图像光照不均匀校正算法.算法根据路面图像相邻像素的相关性以及非均匀成像原理,利用图像的均值和残差计算某点的背景灰度因子,利用像素点的线性变换方法求出校正后的图像.仿真实验证实,该算法能有效地减少图像的光照不均匀,达到校正图像的目的. 基于关键字的云加密数据隐私保护检索 云计算技术的蓬勃发展,使得越来越多的企业和个人将数据外包存储到云端并通过云服务提供对数据的管理。查询是用户访问云端数据获取信息的必不可少的操作。这样,保护用户查询隐私和云端数据隐私以及快速提供满足用户需求的查询结果成为了关键问题。私有信息检索可使查询用户和数据库持有者在双方私有信息互不泄露的情况下完成查询操作。针对现有私有信息检索方案在云环境、大容量数据中的局限性,提出一个基于同态密码体制以及MapReduce的计算安全信息检索协议并使用批量查询方法降低协议通信复杂度。将提出的协议作为构建块,利用完美哈希函数工具构建基于关键字的云加密数据检索方案,方案兼具隐私性、查询的高效率以及基于关键字的实用性。 基于无线传感网的人工气候室数据采集系统 随着我国现代农业科技的发展,人工气候室作为一种可控环境实验室,越来越多地应用于作物培育、良种选育等领域,而对小气候要素的高精度、实时数据采集也显得越来越重要。在传统的人工气候室数据采集传输系统中,由于节点数量大且密集,给系统的布线和维护带来很大的困难。针对这些问题,文中提出了一种基于无线传感网的人工气候室数据采集系统实现方案,该系统可以非常方便地实现温度、湿度、光照、风速、雨量等气候要素的无线监测。 隧道人员定位系统中RFID防碰撞算法的研究 为防止隧道人员定位系统中多个射频标签同时向阅读器发送识别信息时产生的碰撞问题,在二进制搜索算法的基础上进行改进。改进算法采取后退策略,减少了碰撞发生次数;去除寻呼过程中的信息冗余位,缩短了数据传输的时间。通过对改进二进制树防碰撞算法搜索次数以及传输时延的分析表明,碰撞概率较二进制搜索算法及动态二进制算法大大降低,传输时延也减小,可以有效解决多目标识别的防碰撞问题。 响应面法与遗传算法优化解淀粉芽孢杆菌Q-426发酵的k_La 为了实现解淀粉芽孢杆菌Q-426发酵过程溶氧的优化控制,将响应面法与遗传算法结合,研究了搅拌速度x1及通气速率x2对分批发酵的体积溶氧传递系数kLa的影响。响应面分析表明:当搅拌速度>680 r·min-1及通气速率>140 L·h-1时,kLa有最大值。将二阶回归方程作为遗传算法优化的目标函数,经过51次迭代,获得了最优值,kLa最大值为:7.3801×10-5s-1(x1=755.311 r·min-1,x2=171.362 L·h-1)。 态势感知中的数据融合和决策方法综述 在赛博空间态势感知的相关研究中,处理不确定、不精确的多源异构信息是态势认识过程中需要解决的一个重要问题。为正确处理这些信息,提高对态势的认识,使得到的态势更具有正确性、时效性和全局性,研究数据融合方式和决策方式等现存的处理技术并进行综述。数据融合包含贝叶斯网络、D-S证据理论、粗糙集理论、神经网络、隐马尔科夫模型及马尔科夫博弈论等方式,决策方式涵盖认知心理学、逻辑学、风险管理等。研究结果表明,目前的技术焦点呈现多样性,但在态势生成应用及验证方面仍有较大的改进空间。 态势图形处理在灭火救援系统中的应用与实践 复杂多样的现代火灾救援对消防信息化建设提出了更高的要求,逐渐暴露现有系统的弊端和不足:顶层设计缺乏,资源共享困难,系统间互连互通不畅。在一体化消防业务信息系统建设中,重点研究了态势图形处理如何贯穿在整个灭火救援指挥业务链中,在数字化预案制作、灭火救援指挥调度以及灾后战评总结中实现一张态势图跨系统间的综合运用。支持多源、多尺度背景的消防力量部署图交互式标绘技术,基于时间轴的态势演播技术的运用丰富了跨区域灭火救援指挥调度手段,针对高楼灭火的特点,提出了高层灭火态势的立体展现技术,能够从不同角度直观反应救援现场的各种态势,为指挥员的决策分析提供辅助支持。 系统可靠性结构识别方法 针对综合保障工作中,绘制系统可靠性框图时面临着系统组成单元数量多、要求综合保障人员掌握系统原理的程度高以及收集到的系统工作数据往往不全等情况,提出一种利用系统级的工作信息和单元级的可靠性信息识别系统可靠性结构的方法。该方法利用系统级工作信息对系统可靠度进行估计,利用系统组成单元的可靠性信息,遍历所有的可靠性结构形式并计算其理论可靠度,计算系统可靠度估计值与所有可靠度理论值之间的误差,对误差进行排序后,以误差最小的前N位可靠性结构形式作为识别结果输出。仿真结果表明:对以表决为基本可靠性结构的复合系统进行识别时,该方法能以约80%的可能性将系统可选的可靠性结构形式集从全集缩小到数量仅为全集3%的子集内。 一种宽电源电压的高精度带隙基准电路的设计 设计了一种宽电源电压的高精度带隙基准电路.在综合考虑精度、电源抑制比(PSRR)、宽电源电压要求和功耗等因素的基础上,采用了一种由基准电压偏置的,增益和电源抑制比大小相近的运算放大器解决方案.设计采用CSMC0.5μm CMOS工艺,电源为3.3V.Cadence Spectre仿真表明,当温度在-40℃~125℃,电源电压在2.56V~8V时,输出基准电压平均值为1.290V,变化0.793mV,有效温度系数为3.72ppm/℃;室温下,在低频时具有-97dB的PSRR,在100kHz时为-69dB,功耗为180μW. 基于国产CPU的立方星星载计算机系统设计 翱翔一号立方星是欧盟第七框架协议QB50立方星网络大气探测项目50颗立方星中的一员。通过对翱翔一号立方星的任务及运行环境进行分析,基于软硬件协同设计方法,设计面向多任务的立方星星载计算机(OBC)系统。该系统以基于SPARCV8架构的国产高性能处理器平台BM3109IB作为核心处理模块,采用集中式数据处理与星务管理方式,同时引入嵌入式多任务实时操作系统进行立方星任务调度,实现立方星的姿态确定与控制、数据处理与存储、操作模式管理以及日常工作管理等功能。翱翔一号立方星OBC系统在功耗、体积、性能等方面达到平衡,满足QB50飞行应用的需求。 基于Visual C++6.0的Windows应用程序定时器研究 Visual C++6.0是Window平台下最为流行的编程工具之一。在测控系统中,PC机与各种嵌入式系统之间的接口控制也通常采用VC6.0完成。然而嵌入式系统通常对时序有较高的要求,Windows系统则并非实时操作系统。因此如何在VC6.0中实现各种不同精度的定时功能就成为许多面向嵌入式系统的VC6.0开发关键环节。文中研究和比较了VC6.0平台下各种定时器的用法和性能。根据试验结果,并针对某实际工程需要,采用时间戳计数器实现了一种微秒级定时器。通过测试,该定时器可以实现微秒级高精度定时,与某嵌入式系统平台配合良好,并通过了设备验收,证明了其设计有效性。 生物发酵过程的在线神经网络逆解耦控制 生物发酵过程具有非线性、多变量耦合的特点。为提高发酵过程解耦控制的性能,提出了一种基于参考模型的在线神经网络逆解耦控制方法。根据发酵过程的特点,给出发酵过程的数学模型和可逆性分析。进一步构造神经网络逆解耦器与被控系统串联,由参考模型输出与被控系统输出的误差设计神经网络连接权值参数的在线学习方法,将被控系统解耦成伪线性系统,最后设计线性闭环控制器获得高性能跟踪控制。仿真结果表明,所提出的方法很好的实现了多变量生物发酵过程的解耦控制,鲁棒性强且结构简单易于工程实现。 基于语句压缩的中文语义依存分析 针对当前中文语义依存分析中耗时长、准确率低的问题,提出了一种基于语句压缩进行中文语义依存分析的方法。在此方案中,首先通过开源工具CRF++训练得到特定的序列化标签压缩模型,通过此模型得到任意输入句子的主干信息,并为下一步提供候选集;其次,选取原句和压缩后句子中的词性、上下文等特征,使用条件随机场对其中的语义依存关系进行识别;最后进行谓语消歧和句子回溯。实验以Co NLL 2009公开任务中的公有语料作为数据集,与传统的直接使用基于图的语义依存分析方法相比,本方案的处理时间缩短了80%,精确率提高了3.48%,综合指标提高了2.11%。 结构稀疏表示分类目标跟踪算法 为提高目标跟踪算法在复杂条件下的鲁棒性和准确性,研究了一种基于贝叶斯分类的结构稀疏表示目标跟踪算法。首先通过首帧图像获得含有目标与背景模板的稀疏字典和正负样本;然后采用结构稀疏表示的思想对样本进行线性重构,获得其稀疏系数;进而设计一款贝叶斯分类器,分类器通过正负样本的稀疏系数进行训练,并对每个候选目标进行分类,获得其相似度信息;最后采用稀疏表示与增量学习结合的方法对稀疏字典进行更新。将该算法与其他4种先进算法在6组测试视频中进行比较,实验证明了该算法具有更好的性能。 互联网金融信息安全评估指标体系研究 针对互联网金融安全保障体系中的信息安全评估问题,基于《互联网金融网络与信息安全技术指引》的具体要求,参考P2DR2安全模型的构成要素,提出适用于互联网金融信息安全评估的指标体系。引入层次分析法确定指标结构和权重,并结合模糊综合评价法给出互联网金融信息的总体评价结果。实例应用结果表明,该指标体系可以有效地评估互联网金融的信息安全水平,具有较强的实用性。 基于退火遗传算法的多路径测试用例生成 针对遗传算法在多路径测试用例自动生成中的不足,提出基于退火遗传算法的生成方法。对遗传算法的适应度函数进行优化设计,以满足多路径测试用例生成。同时在算法中引入路径存储机制,从而增强测试用例自动生成的功效。在提高算法的局部搜索能力方面,对遗传算法的两点交叉算子进行改进,并引入模拟退火算法到变异操作。三角形判断程序的测试用例生成实验结果,验证了该方法的有效性。 基于改进ViBe算法的园林游客检测研究 传统的视觉背景提取算法中存在阴影敏感、前景点误判、前景空洞等问题。为了更好地提取园林游客的前景,在研究分析多种背景建模方法的基础上,提出一种Lab颜色空间下改进的ViBe游客检测算法,对算法的准确性和鲁棒性进行了测试。实验结果表明,该算法通过建立实时更新的背景模型,提高了游客检测的准确率,能够有效地适应光照变化并且能够去除阴影。针对园林内不同地点的复杂场景,改进的ViBe算法具有更好的检测效果。 基于矩形安全邻域的智能车移动仿真研究 为了利用仿真技术研究智能车在行进时的实时测控过程,先由法线法构建近似等距曲线作为仿真道路的边界;再利用非线性规划给出了道路内满意矩形安全邻域的寻找方法;通过道路边界变异,构建了有障碍道路的仿真模型。整个过程形成了一套基于矩形安全邻域的智能车移动仿真方法,并对智能车实时搜索矩形安全邻域及据之决策行进的过程进行了仿真。结果表明,该方法可以实时规划智能车的行驶路线,有效地应对突发行驶障碍等问题。 基于磁盘块保护的优化缓存管理机制 为了解决基于磁盘块保护的可靠数据的要求,提出了基于磁盘块保护的优化缓存管理机制.深刻地研究和分析了优化缓存管理机制,并给出了可靠数据分析.基于理论分析基础上,进行了功能测试,大量实验数据证明:优化缓存管理机制能够保证客户端磁盘块数据及时保护,避免了数据流失.从而保证客户端业务连续性,满足了对磁盘块保护可靠和安全数据的服务要求. 基于本体的概念体系结构导出的一致性验证 软件产品线工程方法中利用需求特征模型自动导出概念体系结构,然而手工检测概念体系结构对需求的表达是否一致是降低导出效率的主要因素。鉴于此,本文提出一种基于本体的概念体系结构导出的一致性验证方法,首先建立概念体系结构元模型,然后在此基础上构建概念体系结构本体并提出相应的推理规则;在产品特征模型到概念体系结构映射过程中,使用该本体对映射后的概念体系结构进行本体描述;利用SWRL规则描述语言将自然语言描述的推理规则转换成本体推理机能够识别的数据格式;将本体模型和规则文件作为推理机的输入进行推理,通过检验模型满足规则的程度完成模型的一致性验证。通过一个VOIP系统案例对上述步骤进行详细描述,以此验证该方法的可行性,并且在理论上与其他常见的一致性验证方法进行对比来评估其优缺点。 侵蚀坡面数字高程模型重构算法研究 构建具有微地貌的数字高程模型是坡面水蚀模拟的基础工作。针对定距邻域内插法的不足,提出了由点云构建侵蚀坡面的Delaunay三角内插法。该算法包括投影、三角化、分割和插值等步骤,利用主元分析法计算坡面点云的主轴和次轴并将其与坐标轴对齐,再由Delaunay三角化生成不规则三角网,将其分割为指定分辨率的规则格网,由三角线性插值得到格网单元的高程。试验结果表明,当邻域搜索半径与格网单元边长之比约为1.414时,定距邻域内插法的性能达到最优;当点云含有较多侵蚀坑等微地貌信息时,Delaunay三角内插法优于定距邻域内插法。 基于描述逻辑的元数据存储库系统的结构完整性检测 存储库系统的元数据组织方式呈现出分层、多级并且动态变化的复杂结构;存储库系统标准对确保结构完整性规定得并不充分,上述两个原因使得基于元对象设施(MOF)标准建立元数据结构的过程中经常会发生结构完整性被违背的现象,从而严重影响存储库系统的稳定性.然而MOF是以图形的方式表示元数据,缺乏精确的语义,所以如何对基于MOF的存储库系统进行结构完整性检测成为一个棘手的问题.本文采用了描述逻辑的方法来解决该问题.该方法是基于描述逻辑家族的一种特殊的形式逻辑,我们研究了如何将MOF框架的不同层次形式化进描述逻辑知识库,以及如何利用描述逻辑提供的查询推理能力自动检测冲突.对利用该方法实现的结构完整性检测原型系统进行性能评测,取得了令人满意的结果. 基于秘密共享的(t,m,n)-AS组认证方案 为解决现有组认证方案难以确定非法参与者的问题,基于Shamir秘密共享机制提出一种集中式(t,m,n)-AS组认证方案。通过整体认证方法在O(1)时间内认证所有m个参与者中是否存在非法参与者。在存在非法参与者的情况下,对所有参与者进行单一认证,实现在O(m)时间内确定所有非法参与者而无需额外通信。分析结果表明,该方案可以抵御(t-1)个成员攻击者联合伪造令牌攻击,并且能够阻止非成员攻击者在截取多达(m-1)个参与者令牌的情况下通过认证。此外,其不依赖于任何数学难题,在理论上是安全的。 多代表点的子空间分类算法 多代表点近邻分类克服了传统近邻分类算法的缺点,使用以代表点为中心的模型簇构造分类模型并自动确定近邻数目。此类算法在不同类别的样本存在大量重叠时将导致模型簇数量增大,造成预测精度下降。提出了一种多代表点的子空间分类算法,将不同类别的训练样本投影到多个不同的子空间,使用子空间模型簇构造分类模型,有效分隔了不同类别样本在全空间中重叠的区域,以提高分类性能。与传统的kNN(k nearest neighbor)、kNNModel、SVM(support vector machine)等分类算法的实验对比结果表明,新方法可以对复杂类别结构数据进行有效分类,且较好地提高了分类精度。 基于自由权矩阵的时变时延NCSs稳定性和鲁棒性分析 研究一类时变时延网络控制系统的稳定性和鲁棒性问题。针对线性定常对象,传感器节点采用时钟驱动方式、控制器节点和执行器节点采用事件驱动方式的状态反馈网络控制系统,详细推导系统的时滞数学模型。并在充分考虑系统状态的相关性和参数的不确定性基础上,采用Lyapunov-Krasovskii泛函和松弛矩阵变量技术以及自由权矩阵方法,探讨其渐近稳定性和鲁棒稳定性条件。最后,通过仿真实例验证所提理论的有效性和可行性。 基于JSON的Ajax数据通信快速算法 针对Ajax采用XML作为数据载体存在标签大量冗余、基于DOM解析复杂导致数据传输量大、服务器与客户端解析效率低的问题,提出一种基于JSON的Ajax数据通信快速算法。分析服务器端应答Ajax引擎请求数据的结构,设计有限状态机模型实现应答数据集转换为JSON格式的方法,提高了Ajax数据传输和解析的效率。实验结果表明,该算法较以XML为数据载体的通信速度更快,解析效率更高,具有更好的用户体验度。 一种高效的无证书聚合签名方案 现有聚合签名方案的签名效率较低。为此,提出一种基于双线性对的无证书聚合签名方案。采用绑定技术,使用哈希函数将用户公钥绑定在部分私钥的生成及签名算法中,以解决无证书密码体制中存在的公钥替换攻击问题。分析证明,该方案能够预防适应性选择消息攻击下的存在性伪造,与已有方案相比,其有更低的计算代价。 面向嵌入式多核系统的可共享多通道便签存储器设计与实现 嵌入式多核系统性能提高的关键在于灵活的存储体系.文中提出并设计实现了一种可共享多通道便签存储器,所设计存储器可作为嵌入式多核系统中的共享存储器使用.该存储器采用模块化设计方法,利用多体交叉的连接方式提高存储器带宽并提供两种访问模式.其中私有访问模式对非本地SPM通道的写禁止降低了存储一致性的维护开销.实验结果表明,与Cache存储器相比,该存储器应用于嵌入式多核系统可以提高程序运行性能约6%,节省约48%的功耗. 双线性数据校正的多组分过程容错模型研究 可靠的测量数据是化工过程建模的关键。流程工业中的变量测量值不可避免带有误差。如果这些带有噪声误差的测量数据直接作为模型辨识的样本数据,由此得到的模型势必和真实流程模型大相径庭。本文提出了一种基于双线性数据校正的多组分过程容错建模方法,利用双线性数据校正方法来对测量数据进行预处理,然后再将协调结果和其他的测量数据一起作为模型辨识的输入,从而减少测量数据误差对于过程建模的影响。等离子裂解煤制乙炔气体分离工段的仿真结果证明了该方法的优越性。 超大规模车间作业调度优化方法研究与仿真 研究超大规模车间作业的高效调度问题。超大规模的生产作业中,由于调度规模较大,一些非主要联系的生产调度之间存在可能诱发主要调度联系冲突的可能。传统的基于遗传算法的车间作业调度方法在应用到超大规模车间作业调度环境下时,由于冲突的存在很难建立准确的调度模型,使得模型陷入收敛效率过低,早熟等缺陷,调度效率降低。为解决上述问题,提出一种最优家族遗传算法的超大规模车间生产调度方式。通过在种群优良个体附近构造最优家族,在相应的调度家族微空间中进行精确搜索,从而缩小了搜索范围。仿真结果表明,改进算法对大规模的车间调度具有搜索速度快、稳定性强的特点,提高了调度的效率。 基于MapX的短波通信覆盖能力显示方法 为了在地图上直观地实时显示出短波通信的覆盖能力,论文利用GIS控件MapX对地理对象的显示和分析功能,在VC中调用短波计算软件计算并得到覆盖分布数据,将得到的数据利用改进的Delaunay三角形剖分算法生成三角形网格,并利用等值线追踪算法绘成等值线,在等值线之间填充相应颜色,最终在MapX中显示出通信覆盖范围。 基于改进蚁群算法的用户有效浏览兴趣路径挖掘 从Web日志中挖掘用户浏览兴趣路径,对于网站重构和产品推荐等商业用途具有重要意义。传统的挖掘算法一般基于用户访问频度,并不能真正体现用户的兴趣点。访问时间是一个能反应用户浏览兴趣的重要因素,用预设的访问时间阈值剔除无效数据,得出有效访问时间。本文对蚁群算法加以改进,用浏览频度和权值因子作为兴趣函数,有效访问时间因子作为信息素函数,提出有效-兴趣度的概念。对算法进行具体模拟,结果表明,本文提出的挖掘算法比传统的算法更能体现用户的浏览兴趣。 基于测地线投票的CT图像肺裂检测 在医学图像中,某些重要的CT图像中肺裂的检测在早期疾病检查和后期治疗中起着关键作用。然而,在2D断面方向上肺裂表现为细薄的曲线,对比度较低,密度值分布不均匀;肺部血管、支气管的存在也会干扰肺裂的检测。针对这些问题,提出了一种新的基于测地线投票的区域迭代回溯方法。该方法的主要处理流程为:首先采用快速行进方法构造测地线距离场,用直方图峰值查找方法定位肺裂分支,然后用区域迭代回溯方法搜索肺裂分支;结合测地线投票,从而在抑制噪声干扰的同时保持分支拓扑结构。实验结果表明该方法对噪声不敏感,具有良好的抗噪容错性能,较传统的全局回溯方法,区域回溯提高了检测的准确率和计算效率。 基于视频压缩标准的自适应信息隐藏算法 为了使信息隐藏算法在经受有损压缩后仍能可靠地提取嵌入的信息,提出一种信息隐藏和MPEG-2压缩编解码器一体化的方法。根据压缩程度和宿主内容,结合HVS约束、隐藏容量的需求及有损压缩失真影响等因素,利用MPEG-2压缩的控制参数调整信息隐藏的强度和数据量,从而自适应实现视觉质量、内嵌容量和鲁棒性等参数的折中。实验结果表明,该算法除了能抵抗较强的有损压缩外,对常见攻击,如低通滤波、噪声干扰、帧丢失以及转码等也具有鲁棒性,是一种在MPEG-2有损压缩框架下,用灵活的折中机制来隐藏和准确提取信息的有效的鲁棒信息隐藏算法。 基于载荷特征的加密流量快速识别方法 针对加密流量难以识别的问题,提出一种快速的网络流量识别方法。该方法无需对数据包载荷进行深入分析,使用256维向量描述数据包负载中256个ASCII字节发生的频率,根据载荷特征量化后的均值和方差进行数据特征提取,采用决策树算法对加密流量进行分类识别。实验结果表明,该方法可以对常见的加密网络流量进行准确识别,并能检测部分恶意攻击产生的流量。 用图割构造长景物图像 多视点长景物图像指的是由一系列沿某一景物连续拍摄的图像拼接而成的长景物图像。提出了一种基于图割的由多视点构造长景物图像的新方法,该方法将由摄像机拍摄的原始图像投影到将要形成的长景物图像主平面上;再根据长景物图像形成的几个条件构建能量函数,将视点选择问题转变为能量函数最小化问题;通过Boykov等人提出的快速近似能量函数最小化的方法使其最小化,从而得到满足条件的长景物图像。实验结果显示,用该方法拼接而成的长景物图像在拼接处显得相当自然,真正做到了无缝光滑过渡。 基于离散粒子群优化的车辆品牌和型号识别 针对道路视频监控中局部特征车辆品牌和型号识别率低的问题,提出了一种离散粒子群优化的识别算法。用形态学定位法提取视频中车前脸区域,能够快速获得识别的感兴趣区域。提取车前脸的SURF特征作为识别局部特征,对视角变化和光线变化有较好的鲁棒性。在离散环境下定义粒子的位置和速度,设计粒子的更新规则,利用离散粒子群优化获得待识别图像特征点在标准图像中的最佳覆盖,提高特征点匹配的正确率,从而提高车型识别的正确率。最后利用具有对应关系的特征的相似度进行对比识别。建立了15种车系76种车型的车前脸图像库进行实验,实验结果表明改进方法的车型正确识别率为93.6%。 肺原位腺癌的超高分辨率CT表现 目的:探讨肺原位腺癌在超高分辨率CT上的影像学特征,主要分析病灶的大小和密度。方法:将56例手术病理为肺AIS的患者纳入本研究,其中女性38例,男性18例。年龄范围24~78岁,平均51.8±12.3岁,中位年龄54.5岁。术前全部完成超高分辨率CT扫描。结果:纯磨玻璃结节41例(73.2%,41/56),其中23例(56.1%,23/41)密度不均匀;混合磨玻璃结节15例(26.8%,15/56),其中9例以磨玻璃为主伴内部结节状实性成分,6例实性成分呈条片状与磨玻璃混合,实性最大径4.2±1.4mm。本组病例边界均清楚,4例见胸膜凹陷征,未见毛刺征。结论:肺原位腺癌大多表现为类圆形、边界清楚的纯磨玻璃结节,且密度多不均匀;部分为混合磨玻璃结节,其中实性成分最大径不足5mm,少数可伴发胸膜凹陷征。 机会社会网络中基于社区的消息传输算法 针对机会网络中的主流转发协议未考虑到节点移动社会性的问题,提出一种基于副本限制和人类社会特性的路由算法。该算法根据节点间的社会关系强度,动态自适应地将节点划分为不同的社区,通过限制消息副本数来减少网络中消息的冗余,并利用活跃性高的节点带动消息的转发和传递。仿真结果表明,该协议能够保证较高的消息传输成功率和相对较低的传输时延,降低网络的资源消耗。 基于混沌的DCT域关系数据库水印算法 借鉴多媒体数字水印技术,提出了一种基于混沌的DCT域关系数据库水印算法,运用混沌序列对版权图像加密生成水印信息,并嵌入到候选属性的DCT中频系数中。利用DCT在频域中实现水印与载体的融合,隐蔽性更强,弥补了空域水印算法的不足;混沌序列的伪随机性和初值敏感性为数字水印的安全性提供了保证。实验表明,该算法具有较强的鲁棒性和良好的不可见性。 云计算环境下多DAG调度的资源分配进化算法 现有多DAG调度研究主要在多个DAG共享资源调度的时间最小化、公平性最大化、吞吐量最大化等问题方面提出了相关的解决方案,然而,现有的方法还不能很好地解决云计算环境下多DAG共享资源调度的资源分配优化问题。为此,首先分析讨论了一组多DAG共享云计算资源调度中的多DAG数量、属性结构分布特点与资源需求量之间的关系,并在此基础上提出了基于资源需求强度预测变异方法的进化算法EFRD,有效地解决了云计算环境下多DAG共享资源调度的资源分配优化问题,既保证了多DAG的调度执行时间最小化,也避免了资源的浪费。实验表明,EFRD算法能够有效地收敛到最优解。 广播电台数字音频资料库的自动标注及检索技术研究 随着广播事业的发展,电台拥有音频数据量急剧增长,电台在节目内容管理自动化及有效检索方面的需求日益增加。在分析广播电台数字音频资料库建设及在内容管理方面问题的基础上,重点介绍音频资料元数据项自动标注及检索涉及的几项关键技术,期望将来能直接应用到广播节目的内容管理上,提高工作效率。 前缘点曲率可控的曲率连续前缘几何设计 传统的圆形和椭圆形前缘通常在前缘与叶型拼接处仅能达到1阶连续,曲率不连续对压气机叶型的损失有较大影响.为解决此问题,提出了一种可指定前缘点曲率的曲率连续前缘设计方法.首先从几何插值的角度对前缘设计进行分析;然后根据叶盆、叶背曲线的定义方式获得构造前缘的端点插值条件;再指定前缘点的位置、切矢和曲率;最后利用经典的2阶几何插值算法分2段构造前缘,将叶背曲线起始点到前缘点作为1段,叶盆曲线起始点到前缘点作为1段.文中方法不仅保证前缘与叶盆、叶背曲线的曲率连续拼接,而且可指定前缘点曲率.设计实例和分析结果表明,该方法能够改善压气机叶型的气动性能. 中国民航新一代云计算智能数据中心研究及实现 中国民航新一代云计算智能数据中心建设的总体目标是构建面向新一代民航旅客服务系统的数据可视化、资源供给自动化、监控运维规范化的云计算平台。本文分析该云计算平台的产生背景以及国内外云计算平台的发展现状,介绍中国民航新一代云计算智能数据中心的业务架构和技术架构,最后总结了该平台落地的重大意义。 三维动作识别时空特征提取方法 针对传统的彩色视频中动作识别算法成本高,且二维信息不足导致动作识别效果不佳的问题,提出一种新的基于三维深度图像序列的动作识别方法。该算法在时间维度上提出了时间深度模型(TDM)来描述动作。在三个正交的笛卡尔平面上,将深度图像序列分成几个子动作,对所有子动作作帧间差分并累积能量,形成深度运动图来描述动作的动态特征。在空间维度上,用空间金字塔方向梯度直方图(SPHOG)对时间深度模型进行编码得到了最终的描述符。最后用支持向量机(SVM)进行动作的分类。在两个权威数据库MSR Action3D和MSRGesture3D上进行实验验证,该方法识别率分别达到了94.90%(交叉测试组)和94.86%。实验结果表明,该方法能够快速对深度图像序列进行计算并取得较高的识别率,并基本满足深度视频序列的实时性要求。 MN-HDRM:长短兴趣多神经网络混合动态推荐模型 动态推荐系统通过学习动态变化的兴趣特征来考虑推荐系统中的动态因素,实现推荐任务随着时间变化而实时更新.该文提出一种携带历史元素的循环神经网络(Recurrent Neural Networks,简称RNN)推荐模型负责用户短期动态兴趣建模,而利用基于前馈神经网络(Feedforward Neural Networks,简称FNN)的推荐模型对用户长期兴趣建模.通过两种神经网络的融合,该文构建了一个兼顾用户短期动态兴趣和稳定长期兴趣的多神经网络混合动态推荐模型(Hybrid Dynamic Recommendation Model based on Multiple Neural Networks,简称MN-HDRM).实验结果表明相对于目前比较流行的多种动态推荐算法:TimeSVD++、基于HMM(Hidden Markov Model)的推荐模型、基于RNN(Recurrent Neural Networks)的推荐模型、基于LSTM(Long Short-Term Memory)的推荐模型和STG(Session-based Temporal Graph)推荐模型,MN-HDRM在精确率、召回率和平均倒数排名等多项评价指标上展现出更加优越的性能. 离散型细菌觅食算法求解TSP 旅行商问题(TSP)是组合优化问题的典型代表,针对TSP的求解提出一种离散型细菌觅食(DBFO)算法。该算法通过结合2-opt算法设计了一种适合处理离散型变量的趋化算子,将细菌觅食算法推广到了离散情形。同时,结合TSP的特点,在迁徙算子中引入基因库的思想来指导新个体的生成,提高了算法的搜索效率。通过对TSPLIB标准库中22个实例进行仿真实验。实验结果表明,该算法能够有效求解城市规模500以下的TSP,与混合蚁群算法和离散型萤火虫群算法相比,具有更好的全局收敛性和稳定性。 结构化数据核函数综述 核函数是处理非线性问题的新方法,而对于字符串、图像和蛋白质等无法直接输入且具有一定结构信息的数据,则需用结构化数据核函数解决。基于此,对核函数进行初步探讨,描述了句法驱动核、模型驱动核和组合核3种基本的结构化数据核函数,对结构化核函数的应用进行分析归纳总结。 基于顾客战略行为与改进设计的销售商竞争 考虑顾客战略行为,研究了快速时尚改进设计在销售商竞争中的价值。通过分析传统销售商与改进设计销售商之间的非对称竞争,以及销售商和战略顾客间的博弈,得出销售商的均衡价格,从而研究存在顾客战略行为的情况下,改进设计对销售商库存和利润的影响。研究结果表明,改进设计增量成本较小时,顾客战略行为会放大改进设计的价值,反之会缩小改进设计的价值;在存在顾客战略行为的情况下,当市场需求波动较大时,销售商以低成本引入改进设计,可使其利润得到最大提升。 内逆P-信息智能融合与它的属性析取特征-应用 逆P-集合(inverse packet set)是由内逆P-集合珡XF(internal inverse packet set)与外逆P-集合珡X珚F(outer inverse packet set)构成的元素集合对;或者,(珡XF,珡X珚F)是逆P-集合;逆P-集合具有动态特性。利用内逆P-集合与内逆P-推理(internal inverse packet reasoning)、内逆P-信息智能融合生成、内逆P-信息智能融合补充生成与内逆P-信息智能融合度量,给出内逆P-信息智能融合定理、内逆P-信息智能融合依赖定理与内逆P-信息智能融合还原定理。给出内逆P-信息智能融合的属性析取特征与属性析取扩展定理,以及属性析取扩展-未知内逆P-信息智能融合发现原理;给出这些理论结果的应用。逆P-集合是研究另一类动态信息应用的新理论、新方法;另一类动态信息具有属性析取特征。 带反脉冲的同质脉冲神经膜系统 脉冲神经膜系统是一种膜系统中吸收了脉冲神经网络特点的新型生物计算装置,具有强大的计算能力.带反脉冲的同质脉冲神经膜系统是使用了两种对象(称为脉冲和反脉冲)、且其中每个神经元具有相同规则集合的一种脉冲神经膜系统的变体.本文研究了无延迟规则和突触权值情况下的带反脉冲同质脉冲神经膜系统的计算通用性问题,证明了这种P系统无论是工作在产生模式,还是接收模式下都是计算通用的.本文解答了曾湘祥等人提出的关于是否存在无延迟规则的同质脉冲神经膜系统和如何移除突触权值的两个公开问题. 基于SPIN的LTL属性分解方法研究 提出一种基于模型检测工具SPIN的LTL属性分解方法以解决状态空间爆炸问题。根据逻辑和时序操作符常见的组合情况,讨论不同的属性分解模式,根据子属性构建的切片准则进行程序切片,利用SPIN对切片后的等价简化模型进行检测,从而将对原模型上属性的检测转化成对复杂度较低的子模型上各子属性的分别检测。实验结果表明,该方法具有一定的有效性。 基于元胞自动机的自行车流模型 研究交通系统中自行车流模型问题,为克服传统交通流模型难以表达自行车不遵循车道随机蛇行和穿插特性,能获得精确自行车交通流参数的问题,提出一个新的基于元胞自动机理论的自行车流模型。在对自行车元胞空间结构及状态值等参数进行重新定义的基础上,模型中创新地引入随机偏移概率来描述自行车骑行时的随机蛇行与穿插行为。在模型中引入不同随机偏移概率值来仿真,对所获得交通流参数分析,认为蛇行及穿插行为使自行车流容易发生阻塞并降低了车道通行能力,结果表明,符合自行车流的观测统计特征和客观现象,说明引入随机偏移概率的合理性。模型为进一步研究混合交通流阻塞形成机理提供了一种可靠方法。 用于软组织变形仿真的层状菱形链连接模型 为提高软组织变形仿真的精度与实时性,提出一种新颖的、基于物理意义的层状菱形链连接模型.该模型中每层各个链结构单元相对位移的叠加对外等效为物体表面的变形,与之相连的弹簧弹性力的合力等效为物体表面接触力.利用6-DOFDelta手控器构建了力触觉交互实验系统,使用虚拟软组织模型进行按压、拉拽等交互操作,并通过反馈力产生触觉感.实验结果表明,该模型不仅计算简单,而且能够保证触觉接触力和变形计算具有较高精度;同时在交互过程中,力触觉感觉平稳、模拟效果逼真、满足虚拟现实系统对精细作业和实时性的要求. 基于差分进化算法的K-Means算法改进 针对现如今传统的K-Means聚类算法所普遍存在的对初始聚类中心选择敏感且易陷入局部最优解的问题,文中将全局寻优能力较强的差分进化算法引入该算法中,其中通过采用选择结构的多模式进化方案、自适应调整的控制参数,从而提出了一种性能优良的改进的差分进化算法。同时进一步将改进的差分进化算法和K-Means聚类算法相结合,得以较好地解决了K-Means聚类算法中初始聚类中心的优化问题。通过在三种国际通用数据集上进行实验测试,最终的实验结果表明,该方法可以明显加快算法收敛速度,增强全局优化能力,并且有效提高了聚类结果的质量和稳定性。 一种改进的双转式永磁无刷直流电动机PSO优化控制方法 研究了使用改进的PSO(Particle swarm optimization,粒子群优化)算法与PID控制器相结合实现对双转式永磁无刷直流电动机(PMBLDCM)进行控制的方法;针对传统PID调节器控制精度不高和鲁棒性差的缺点,提出了一种结合PSO优化算法和传统PID控制的新控制器;首先建立PMBLDCM的动力学模型,通过引入改进的PSO优化算法,提出了一种使用PSO优化PID控制器参数的模型,并定义了使用PSO优化PID控制器3个比例参数的具体算法;最后,使用Matlab/Simulink对PMBLDCM控制实例进行了仿真;空载和负载两种情况下的仿真结果表明:新的控制方法克服了PID控制器的不足,具有控制精度高、响应速度快、速度跟随准确等优点。 复杂网络中选举免疫策略研究 受现代社会选举制度启发,提出了一种新的节点免疫策略,提出的选举免疫策略按节点得票数量多少选择节点并免疫。基于消息传递方法,给出了大规模配置模型网络上SIR传染病模型的选举免疫策略的数学解析,并比较了选举免疫、随机免疫、目标免疫和熟人免疫策略的效果。数学解析结果和仿真结果表明,选举免疫能够有效控制疾病在无标度网络上的传播。 SAR图像传输误码模拟器设计与实现 针对SAR(Synthetic Aperture Radar)图像传输的需求,设计了一个SAR图像传输误码模拟器;该模拟器基于高斯分布的噪声模型,通过线性同余算法和序列变换得到符合高斯分布的随机数;再利用随机数产生差错图案,并以帧为单位对数据源进行误码插入;还介绍了误码模拟器的软硬件实现,通过误码模拟器的测试和分析证明所产生的误码图像接近实际传输中的高斯噪声模型,传输码率达到2Mb/s,误码率能够在10-3-10-6范围间实现,实时性强,能够满足SAR系统测试的需求,具有较高的工程应用价值。 基于MIEKF的移动机器人同时定位与地图构建研究 由于移动机器人处在未知且不确定的环境中,主要采用基于概率的方法对同时定位与地图构建(SLAM)进行描述。建立了SLAM问题的概率表示模型,并对在解决SLAM问题中最常用的扩展卡尔曼滤波(EKF)算法以及迭代扩展卡尔曼滤波(IEKF)算法进行了描述。针对两种算法的缺陷和不足,将应用于跟踪领域的修正迭代扩展卡尔曼滤波算法(MIEKF)与SLAM思想结合,提出了一种新的基于MIEKF的SLAM算法,该算法能减小线性化误差并且不需要很高的观测精度。最后用上述算法进行了基于点特征的SLAM实验,验证了该算法的有效性。 中医临床数据中亚健康信息症状检测仿真 对中医临床数据中亚健康信息症状的检测,能够有效提升人体健康的识别率。对亚健康信息症状的检测,首先需要构造新的亚健康输出核函数,进而设定人体亚健康的信息症状的检测阈值,完成对亚健康信息症状的检测。传统方法将亚健康数据转化为单标记数据,构造新的特征输出核函数,但忽略了设定亚健康信息症状的检测阈值,导致检测精度偏低。提出基于多标记信息症状的中医临床数据中亚健康信息症状检测方法。利用信息熵理论将每一个亚健康证素定义为一个类别,将灵敏度定义为临床数据与亚健康特征数据之间的关联程度,计算出每个亚健康类别的样本值和参考值之间的差值,构造新的输出核函数,设定人体亚健康的信息症状的检测阈值,完成对中医临床数据中亚健康信息症状的检测。仿真证明,所提方法检测精度较高,可以提高亚健康预测的准确率、相关性和剩余方差等性能。 基于ASP.NET 4.0物流货运管理信息平台设计与实现 介绍利用Ajax技术,以ASP.NET4.0作为开发工具和以SQL Server 2005作为数据库来构建物流货运管理信息平台的方法,详细介绍物流货运管理信息平台的功能实现。研究表明,该平台具有敏捷处理全局信息的能力、响应迅速、可重构性及可扩展性,有实践意义。 一种三维快速傅里叶变换并行算法 三维快速傅里叶变换在物理计算领域中被广泛地使用.传统并行算法所使用的面划分和块划分方法并不适合稀疏三维向量的傅里叶变换.提出了一种新三维快速傅里叶变换的并行算法,针对稀疏三维向量的傅里叶变换,新算法通过重新调整x,y,z三个方向的计算顺序,能最大限度地减少计算量以及进程间的通信量,从而减少计算时间,提高并行加速比.详尽的理论分析以及多个高性能计算平台上的实验结果证明:在对稀疏三维向量作傅里叶变换时,新算法优于传统算法. 基于三维区域增长的脑部MR图像分割方法研究与实现 三维脑部MR图像分割是医学图像处理中的一项重要技术,在医学临床诊疗方面有较强的学术价值和很好的应用前景,良好的分割结果可为医生的诊断和治疗提供可靠的依据。针对三维脑部MR图像的特点,首先对其进行预处理,用高斯滤波去噪得到较平滑的图像;其次,利用阈值分割和三维区域增长分割分别对三维脑部MR图像进行粗分割和精确分割提取脑组织;最后,通过形态学运算进行处理,抑制干扰性分割,同时填充目标区域内部孔洞,显著地提高了分割效果。实验结果表明,该方法能有效地对三维脑部MR图像进行分割处理,较好地提取脑组织。 基于深度学习的PD致病基因活性预测 帕金森病PD(Parkinson’s disease)是一种神经性系统疾病,多发于中老年人。目前,该病情的病因和发病机制尚不明确,但根据多国临床试验数据统计与分析,PINKs基因是影响整个PD发病的重要原因之一。针对该基因的活性结构数据进行研究,提出基于深度学习的深度信念网络(DBN)与稀疏自编码(SAE)预测方法。该算法能通过深层网络特征单元自动学习到适合分类器分类的高层非线性组合特征,并将这些高层次特征输入到分类器中进行数据分析。实验结果表明,DBN算法的平均预测精度较SVM与ANN分别提高了28.04%、18.84%;SAE算法的平均预测精度较SVM与ANN分别提高了23.51%、14.31%。所以,提出的基于深度学习的PINKs活性预测方法具有较高的预测精度和稳定性,与理论分布也较为相吻合,适用于该基因活性的研究与探讨。 基于模型的发动机仿真与优化 利用Matlab软件中的MBC(model-based calibration)工具箱和仿真软件Ricardo Wave对汽油发动机的动力性进行了基于模型的标定和优化;在标定流程中,首先利用Wave建立了发动机仿真模型,并通过验证;接着,运用实验设计(DoE)方法确定了发动机的运行工况点,并用仿真模型计算出发动机在这些工况点处的参数和性能(扭矩、油耗、功率和缸内最高压力等);最后,建立发动机数学统计模型和标定优化;得到了发动机点火提前角、空燃比MAP图和优化后转矩的三维图;研究结果表明,该方法结合现代DoE试验设计理论和自动标定技术,不仅使发动机的扭矩从198Nm提升到215Nm,还能减少试验时间,提高标定效率。 分布式粒子滤波实现无线传感器网络目标跟踪 为了延长无线传感器网络寿命,减小通信代价,提出一种层次型网络结构下基于粒子滤波的分布式跟踪算法.层次型网络由簇头节点和普通传感节点组成,簇头节点采用粒子滤波获得目标运动状态,联合当前时刻目标的本地估计位置、预测速度预测下一时刻的目标位置.并根据目标的预测位置判断是否转移簇首.目标离开节点探测范围后,节点进入休眠状态.实验表明,该方法能满足目标跟踪精度,并可有效的减少网络能耗,提高无线传感器网络使用寿命. 量子扩展蚁群连续优化改进算法 针对扩展蚁群算法收敛精度不高、容易陷入局部最优和出现收敛停滞等缺点,提出量子扩展蚁群连续优化改进算法。分析扩展蚁群算法可行解的更新与产生机制;在此基础上,引入量子比特作为蚂蚁位置信息的载体,增加解的多样性;采用云模型自适应产生高斯核函数采样的标准差,优化高斯采样结果,加速优化进程和最优解的搜索;根据优化进程自适应调整采样函数的选择概率,丰富采样的样本;结合云模型控制的变异策略及量子非门等局部寻优手段,有效避免种群早熟。 随钻中子地层孔隙度测量装置设计 随钻中子孔隙度测量可以测量岩层的孔隙度参数,用于寻找油(水)贮层,推断气层;还可以帮助确定其他如岩性,黏土含量,含水饱和度等地层参数,能在石油的勘探和开发过程中发挥重要作用;由于在高孔隙度地层含氢原子物质容易渗透,可通过向地层中发射中子,并测量地层产生的热中子方法来反应地层孔隙度,为此设计了一套随钻中子孔隙度测井装置和相关电路,通过下井实验,该装置可进行地层孔隙度的测量。 基于条件型游走二部图协同过滤算法 针对拥有少量评分的新用户采用传统方法很难找到目标用户的最近邻居集的问题,提出了一种条件型游走二部图协同过滤算法。首先根据复杂网络理论的二部图网络,将用户—项目评分矩阵转换为用户—项目二部图,采用条件型游走计算目标用户与其他用户之间的相似性;然后根据协同过滤算法预测未评分项目,产生推荐。研究结果表明,在同样的数据稀疏性情况下,基于条件型游走二部图协同过滤算法在MAE和准确率都要优于其他两种传统的协同过滤算法,从而提高了算法的推荐精度;而且当训练值的比例很低时,即数据稀疏程度越大时,算法推荐质量的提高程度越大。 结合加权核范数与全变分的图像二级去噪 为提升图像去噪后的视觉感受,提出一种加权核范数最小化(WNNM)结合全变分(TV)的二级图像降噪方法。首先对含噪图像进行TV基础去噪,其次用噪声图像与基础去噪结果图做差分运算,并对差分后的结果自适应维纳滤波,然后将滤波后图像与基础TV降噪图像叠加,利用块匹配做相似补丁收集,最后运用加权核范数最小化进行二次去噪,得到最终降噪图像。通过与原WNNM、三维块匹配去噪(BM3D)、漏斗自相似非局部去噪(FNLM)方法对比,该方法不仅对平滑区域有较优的降噪效果,同时处理了漏斗自相似非局部去噪与BM3D在高噪声情况下带来花斑与假条纹状况,并且使结构纹理信息最大化相似。 基于语义Web的搜索引擎研究 传统搜索引擎是基于关键字的检索,然而文档的关键字未必和文档有关,而相关的文档也未必显式地包含此关键字。基于语义Web的搜索引擎利用本体技术,可以很好地对关键字进行语义描述。当收到用户提交的搜索请求时,先在已经建立好的本体库的基础上对该请求进行概念推理,然后将推理结果提交给传统的搜索引擎,最终将搜索结果返回给用户。相对于传统的搜索引擎,基于语义Web的搜索引擎有效地提高了搜索的查全率和查准率。 一种简历语义搜索系统的实现方法 "政府与企事业单位的人事部门通常面临着如何从大量简历中筛选出合适人选的问题。一些业务部门对人才的需求通常只能表达为标签,比如""具有丰富搜索引擎开发经验""、""985高校毕业""等,这些需求不能通过SQL查询或关键词搜索来实现。为了解决这个问题,提出一种基于语义网的简历搜索方法。通过领域知识库辅助信息提取的方法,对简历信息进行语义分析和标签的自动生成。此外,在大规模人员情况下,提出了多层次缓存的方法,极大提高了性能。将该方法应用于某机构一万人员简历的筛选,实验结果表明了其有效性。" 集成HOG步态模板 步态模板在提升步态识别的实时性能中扮演了关键角色。由于缺乏时间信息和不能充分提取步态中的统计特征,其识别性能会受到一定的损害。以步态能量图(GEI)为模板,并使用基于时间保持的步态能量图(CGI),从这两个模板中进一步提取空间特征。在此基础上,构造了集成HOG步态模板。这一模板能较好地保持时间信息和有效地提取空间结构特征。在USF步态数据集的实验表明,与其他已知步态识别方法相比,提出的模板实现了好的识别性能。 网络垃圾信息ILDR传播模型 针对网络垃圾信息传播研究多采用定性分析方法,难以揭示垃圾信息内在传播规律的问题,基于病毒传播的建模思想,考虑不同输入率和移出率等现实因素,提出了垃圾信息的ILDR传播模型。首先,计算了平衡点和传播阈值,并给出了平衡点的稳定性条件。其次,利用Routh-Hurwitz准则证明了无垃圾信息和垃圾信息的局部稳定性,并采用La Slle的不变性原理证明了无垃圾信息全局稳定性,根据Bendixson判据证明了垃圾信息的全局稳定性。理论研究表明:当传播阈值小于1时,无垃圾信息平衡点全局渐进稳定;当传播阈值大于1时,垃圾信息平衡点全局渐进稳定。根据数值模拟可知:减小潜伏者到传播者的转化率,增加无知者到移出者的转化率和潜伏者到移出者的转化率,可以减小传播阈值的值;减小无知者到潜伏者的比例系数,增加传播者到移出者的转化率和系统的移出率,可以使传播者的取值减小。 结合透射率和大气光改进的暗原色先验去雾算法 针对暗原色先验透射率在明亮区域估计不足以及大气光误差问题,提出一种结合透射率和大气光改进的去雾算法。在分析高斯函数特点的基础上,依据有雾图像暗原色的高斯函数初步估计透射率,利用最大最小操作消除块状效应;然后,通过晕光算子与形态学膨胀操作获取大气光描述区域来获取大气光值;最后根据大气散射模型复原清晰图像。实验结果表明,所提算法能够有效去除图像中的雾气,浓雾图像恢复效果相比暗原色先验等算法更佳,且处理速度较快,便于实时应用。 基于构件的安全协议数据通路择优方法 为提高可重构安全协议自适应性、解决安全协议重构过程中最优通路选择问题,运用构件化的思想对安全协议进行建模,形式化地定义协议重构通路及建模方法,在满足约束的条件下,提出基于改进的蚁群算法与模拟退火算法相结合的优化方法。实验数据表明,优化解与最优解的平均偏差不超过1.6%,在安全协议重构最优数据通路选择方面,该算法比原始蚁群算法具有更好的求解质量和求解效率。 自动导引车云导引平台的研究与设计 针对传统自动导引车(AGV)导引方式存在抗干扰弱、灵活性差、造价高等问题,提出一种基于云端的导引方式,设计并实现了该导引方式的云导引平台(CBGP)。该平台系统中CBGP服务器提供平台接入、通信和任务执行管理等功能,MapReduce计算框架和HDFS文件系统提供分布式路径计算和存储。实验结果表明,CBGP平台能够较好地应用并行路径规划算法,并提供合适的路径完成云端导引AGV的任务。 一种面向节能的虚拟机在线迁移解决方案 在云计算环境中,针对由于负载的动态变化导致某些节点负载过低,造成资源闲置和能源消耗的问题,提出一种面向节能的虚拟机在线迁移解决方案。综合考虑多种性能参数,提出一种节点负载率的计算方式,并通过云平台实时监测各个节点的负载情况。按照以节能为目标的资源调度规则,选择需要迁移的虚拟机,并为所有待迁移的虚拟机匹配合适的节点。通过基于内存页混合拷贝方式的虚拟机在线迁移技术,将所有待迁移的虚拟机迁移到其对应的目标节点上,并进一步降低迁移过程对服务质量的影响。迁移完成之后,关闭零负载节点,从而达到节能的目的。实验结果表明,该方案可以实现虚拟机迁移过程中的透明服务,并大大降低能耗,实现节能的优化目标。 嵌入式VxWorks系统下的CPCI设备驱动程序开发 具体分析了VxWorks下PCI总线的配置空间结构和地址映射,并采用CPCI9054芯片,结合一个信号产生、数据采集处理系统的工程实例,详细阐述了VxWorks下CPCI设备驱动程序的开发实现方法和具体步骤,并给出了部分关键代码。应用结果表明,该方法可移植性较高,可操作性强,对于了解和开发CPCI驱动程序具有一定的参考价值。 选择性集成开发平台的设计与实现 集成学习/选择性集成是当前机器学习领域的研究热点,但是大部分发表的相关数据都是基于未公开的个人实验,这种模式一方面由于大量的重复工作而降低了研究工作的效率,另一方面也对集成学习走向实用化造成负面影响.本文从减轻研究工作中实验部分工作量、提升实验的可重复性、减少不同实验的结论差异和推动选择性集成技术走向实用化的角度出发,阐述了设计一个选择性集成研究和开发平台所需要考虑的问题以及系统的结构组成,并以EPP(Ensemble Pruning Platform)为例介绍了利用C++语言实现一个选择性集成开发平台的方法和关键流程. 多现场总线融合的实时中间件的设计与实现 为了能够实现不同现场总线设备之间的互联和互操作,简化基于现场总线的系统开发过程,同时又能保证系统的实时性能,研究并提出了一种新的嵌入式系统下多现场总线融合的实时中间件实现方案。该方案参照Minimum CORBA和Real-tim eCORBA中间件的规范,实现了基于RTLinuxPro实时操作系统的嵌入式实时中间件,同时借鉴了软插件的设计思想,不仅使该实时中间件系统的实时性能显著提高,而且使得该系统具备了良好的可扩展性,实现现场总线的热插拔。 Ad Hoc网络中基于能量综合权值的EIW-DSR路由算法 "针对Ad Hoc网络中DSR路由算法没有考虑能量消耗而造成的网络""热点""问题,本文提出一种基于能量综合权值的路由算法—EIW-DSR。该算法利用权值综合了路径节点上的能量消耗、剩余能量及其方差、枢纽性等参数,具有能耗低、负载均衡、可靠性强等优点。仿真结果表明,与DSR路由算法和WBDSR路由算法相比,该算法在节省能量消耗、均衡负载、消除网络""热点""、延长网络生命周期方面均得到了较大提高。" 基于.NET技术和MVC的新架构模式 在分析了目前浏览器与服务器模式下基于.NET技术的N层架构与MVC模式的基础上,提出了一种新的架构模式,该架构模式基于.NET技术和MVC模式,融合了两者的优点,平衡了层间耦合,提高了该类系统的可扩展性、可维护性以及代码的复用性。并且在此模式指导下,设计了样本厅选货系统的架构,为类似该种架构的系统设计和研发提供了一定的参考价值。 基于马尔可夫随机场的无缝纹理映射 在马尔可夫随机场纹理映射技术中,偏向于选择分辨率较低的模糊视图,并且纹理映射存在缝隙。为此,对多角度拍摄产生的图像序列建立三维几何模型,提出一种具有真实感的无缝纹理映射算法。利用能量优化方法为三维模型每个三角面片选择最佳的纹理图像,对其纹理数据进行精简,节约其存储空间,使用全局一致性颜色调整和局部泊松编辑消除纹理缝隙,得到高质量的无缝纹理映射结果。实验结果表明,与传统的马尔可夫随机场纹理映射算法相比,该算法在胡人俑和大型建筑物上得到了更好的纹理映射效果。 一种有效的隐式约束动力学布料动画方法 "布料动画中,通常采用施加约束的方式限制布料的过度拉伸.已有的研究工作没有充分考虑拉伸约束与弯曲形变之间的关系,构造的动画模型并不完善,无法模拟布料无拉伸而多褶皱的""刚柔""相混的复杂变形效果.针对此问题,提出一种基于隐式约束力的布料动画方法.首先,建立有效的布料弯曲受力模型,并提出一种动态适应性约束方法,能够同时处理布料运动过程中结构和剪切2种不同类型的拉伸,避免单一结构拉伸约束导致的""过剪切""变形或者过约束导致的""刚性""失真效果;建立碰撞约束,避免局部调整穿透质点引起的过度拉伸问题.其次,将各种约束以隐式约束力的形式增加到动力学系统中,采用约束型迭代精化的方法进行求解.实验结果表明,该方法稳定有效,能够在保持布料弯曲形变的前提下,将拉伸控制在合理范围内,真实地刻画布料""易弯抗拉""的变形特征,实现逼真的布料变形动画模拟." 码头桥车自动导引多目标协调优化调度仿真 针对自动化集装箱码头岸桥和AGV多目标协调建模的复杂性,在考虑集装箱工作优先约束,岸桥距离约束等实际约束的基础上,建立岸桥和AGV双向作业协调调度混合整数模型,目标是最小化岸桥操作的延迟时间和自动导引车的总行驶时间,来提高码头的装卸效率。提出改进的遗传算法(IGA)在合理的计算时间求解建立的模型。通过两组不同规模的算例确定IGA的控制参数及验证IGA的有效性。结果表明,选择合适的交叉和突变率可以获得最优解,IGA可以快速有效地解决岸桥和AGV的协调调度问题。 广义动态模糊神经网络用于股市预测 提出一种用广义动态模糊神经网络预测股票价格的方法,网络结构可随模糊规则在学习过程中逐渐增长而自动调节,以达到预测最优化。通过选用实用的技术参数指标作为网络的输入变量对上证指数的收盘价进行预测,取得了较为理想的效果。 嵌入式Linux平台内存管理方案 不同的动态内存分配算法往往应用于不同场景、面向于不同业务,因此衡量指标不一,性能各异.针对多模式、多业务的数字电视终端,本文提出了一种嵌入式L inux平台内存管理方案,深入剖析了共享内存的原理及方法,采用共享内存技术支撑多业务开展,同时实现了一种使用二级索引策略的动态内存分配算法,复杂度为O(1),相比其他经典算法,实时性高而碎片率低,仿真性能良好,并在嵌入式平台上实施,取得了不错的效果. 基于布尔可满足性的伪码捕获方法 为了提高扩频通信系统中伪码序列的检测概率,同时降低捕获时间,提出了一种基于布尔可满足性(SAT)的伪码捕获算法,首先将扩频通信中的捕获算法通过面向模块级的布尔可满足性合取范式进行建模,然后利用先进的SAT求解技术对模型进行求解,从而达到对伪码序列捕获的目的。理论方法和仿真结果表明,该方法能够有效提高捕获过程的检测概率,并降低捕获时间。 嵌入式多轴运动控制器编程系统设计 针对传统机器人运动控制器成本高、体积大等不足,在工业机器人控制原理基础上,提出一种采用ARM系列嵌入式系统的多轴运动控制器上位机编译控制方案。该方案采用了Linux操作系统,以Qt/Embedded为框架设计了用户操作界面,提供了强大的触摸编辑能力,以逆运动学解算为原理VAL语言为基础设计了一套机器人控制语言,基于Lex和Yacc开发了从机器人语言到机器码的编译解释程序,提供了常用的端点示教和单轴示教功能。该系统成功应用于am3517平台,实际应用表明,该系统运行可靠,易操作,界面友好。 基于OBDII&EOBD的机动车监测系统分析与设计 针对国内个人用户、行业用户对实时性车辆状态、驾驶习惯和故障检修的需求,设计了一套机动车实时监测系统。基于OBDII&EOBD协议,车载OBD终端设备获取车辆故障码、地理位置、行车速度等数据信息,通过GPRS与基于J2EE架构的网站服务端建立通信。服务端对数据进行分析,从而对车辆的故障状态进行诊断,统计归纳驾驶员的驾驶习惯和行车状况,最终将车辆检测和统计分析的结果展现在网页客户端,或者i OS/Android移动手持设备上。视车辆的故障情况,驾驶员可以选择通过本系统与汽车维修厂建立联系,为驾驶员的行车安全、出行便利,以及交通管理和保险业提供参考建议和数据支持。 云环境下基于节能和负载均衡的混沌粒子群资源优化调度 针对传统云计算资源调度方法仅关注任务的最大完成时间,没有考虑到节能和资源负载均衡的问题,提出了一种基于混沌粒子群算法实现云资源优化调度的方法;首先,定义了以节能和负载均衡为目标的多目标数学模型,然后设计了一组靠近最优Pareto前沿的解作为初始种群,采用改进的粒子群算法来搜索最优调度方案,当最优解连续两代未发生变化时,通过混沌遍历法对粒子进行局部寻优,以加快获取全局最优解;在CloudSim仿真环境下结合Matlab工具进行实验,结果表明:文中方法负载均衡离差平均值为0.156,且较其它方法,具有较好的负载均衡能力和较低的能耗,具有很强的可行性。 基于关键帧标识的视频人脸识别技术研究 针对人脸检测与跟踪问题提出在监控视频中面向复杂背景、多姿态的快速人脸检测。使用了基于Haar特征,并结合有效的预处理手段跟搜索策略,另外在检测算法的基础上,考虑到监控视频的序列的特点,结合背景差、肤色、前帧参考等因素,提出一套基于帧间差分法来识别视频中人脸内容变动并标识此关键帧的方法,并以视频日志的形式记录下来。实验证明,此方法可以在针对检测特定目标提高监控视频人脸识别的正确率和检测速度。 基于重心坐标乘积量化的图像检索方法 为减少在大范围图像检索过程中因硬编码导致的量化误差,提出一种基于重心坐标的软编码方法,利用乘积量化将高维特征空间分解成低维特征子空间的笛卡尔积的形式,分别进行量化,以重心坐标的形式进行稀疏表示,最小化产生的量化误差,使结果表示更接近于实际中的原始数据。通过在3个公开可得的图像数据集上的实验,验证了提出方法可有效提高ANN查询的精度。 基于软件仿真技术的外源性故障注入方法研究 针对当前复杂系统测试性试验中存在的无法模拟外部条件性存在的设备故障、外部输入输出故障模式单一等故障注入问题,定义了外源性故障的概念;针对外源性故障具有的功能逻辑和运行场景特性、故障源繁杂特性、模拟难度大成本高特性、总线交联特性,总结了外源性故障注入的基本要求;提出了一种面向外总线复杂应用数据仿真的外源性故障注入方法,面向总线应用层数据,从复杂系统的高级应用逻辑出发,模拟复杂系统交联环境、使用方式等故障行为,建立自动化的实时仿真故障注入环境,通过全数字仿真模型模拟交联环境的行为,进一步通过模型输入、输出或模型参数的改变,实施总线高级行为的故障注入;最后阐述了测试性试验中的外源性故障注入实施方案,分析了该方法的关键技术和环境构建思路,制定了外源性故障注入试验的实施流程。 模糊遗传算法在敏感词分类优化中的应用 针对以往敏感词分类优化的不足,提出一种基于模糊遗传算法的敏感词分类优化方法,该方法把模糊逻辑理论用于遗传算法,模拟生物进化过程和机制来求解实际的敏感词定性结构优化问题。研究表明,对于敏感词词性以及结构的变化有很好的分类优化效果,从而保证了整体的分类质量、快速的分类效率、鲁棒和可靠的分类性能。 基于概率博弈的无线自组网信任推荐激励策略的研究 无线自组网中信任推荐依赖于节点间协作,而信任系统自身无法为该行为提供信任评价。为分析节点间信任推荐交互过程建立了重复概率博弈模型,在此基础上分析了TFT,GTFT,OT,GT 4种激励策略对提升节点间信任推荐协作的影响以及各激励策略的均衡边界条件成立的差异。仿真表明,在高突发自私行为比率情况下,GTFT激励策略能保持较高的有效推荐率,提升自私节点的信任推荐协作性,进而帮助信任系统在联合攻击情况下做出及时准确的信任评价。 LDPC码的编译码设计与研究 LDPC码以其低复杂度的迭代译码算法和可逼近信道容量限而成为目前最佳的编码技术之一,首先介绍了LDPC码的性能和特点,并使用simulink建立仿真回路,分析不同类型校验矩阵的使用效果,得出使用非规则校验矩阵比规则校验矩阵拥有更低的误码率和更多的译码时间;然后,将LDPC编码译码技术应用到导航电文的设计中,通过仿真系统验证了其比传统导航电文更好的抗干扰能力;最后提出了针对导航电文设计的最佳编码长度,为导航电文的设计提供参考。 一种多标签随机均衡采样算法 为解决多标签学习中数据不平衡、传统重采样过程标签样本集相互影响以及弱势类信息大量重复和强势类信息大量丢失的问题,提出多标签随机均衡采样算法。该算法在多标签的条件下提出随机均衡采样思想,充分利用强势类和弱势类信息来平衡数据冗余和损失;优化样本复制和删除策略,保证不同标签重采样过程的独立性;提出平均样本数,保持数据的原始分布。实验在三个数据集下对比了三种多标签重采样算法的性能,结果表明,0.2和0.25是所提算法的最佳重采样率,且该算法尤其适用于不平衡度较高的数据集,与其他方法相比具有最好的性能。 基于Arena的应急预案优化结构设计与实现 针对应急飞行保障仿真研究中仿真模型与应急预案相互独立,不便于从模型中获取应急预案所需信息,进而直接对预案进行评估和优化的问题,提出了一种在仿真环境下,将仿真模型与应急预案集成的结构。在该结构下制定应急预案,可以直接调用模型运行中的有关数据,也可以直接通过利用仿真模型的优化结构实现应急预案的优化。提出应急预案的模块化功能结构划分并分析了各模块参数与Arena模型的映射关系,使用Arena仿真软件建立应急飞行保障资源调度模型,通过Arena调用VBA事件的过程,实现应急预案的模块化结构,并对应急预案的资源配置和调度进行优化。 采用有向梯度与RANSAC的虹膜定位算法 针对虹膜图像中有较多光斑的情况,提出一种基于有向梯度和随机抽样一致性(RANSAC)相结合的虹膜定位算法。该算法根据瞳孔内某点利用有向梯度提取内缘像素点,采用RANSAC定位虹膜内缘;下采样虹膜图像,利用圆差分算子在瞳孔左右两侧拟合出两个圆,进而合并为一个圆;根据圆的参数在虹膜图像中快速精确定位外缘。实验结果表明:该算法在正确率、定位速度和鲁棒性方面均优于传统的虹膜定位算法。 基于正则表示的SQL注入过滤模块设计 研究SQL注入攻击行为及语法特征,采用正则表达式对攻击特征进行描述,在此基础上设计Web服务端SQL注入攻击过滤模块,使Http请求被提交至系统模块处理前实现注入攻击检查。测试结果表明,与单纯基于关键字的过滤相比,基于正则表示的过滤具有更高的识别率和较低的误报率,加载了过滤模块的Web服务器能较好地拦截多种SQL注入攻击,并且服务延迟较小。 基于UIO的安全关键实时通信协议NOP的设计与实现 NOP(Node Order Protocol)作为一种全新的安全关键实时通信协议,它在IEC61508框架下以节点顺序的理念构建分布式系统,消除通信协议对全局时钟的依赖,建立依赖于节点顺序的以事件为触发模式实现的时间触发协议的传输语义。同时NOP在保证时间触发协议安全性的同时,提高了协议的灵活性和资源利用率。对于NOP协议的实现,结合用户空间接口UIO(Userspace I/O),将NOP作为一个独立于内核之外的单独模块来完成协议的控制和通信,有效地减少由于系统内核崩溃等问题而产生的安全威胁,提高了NOP协议的安全性和可靠性。 双层模糊系统融合中心约束型最小包含球 与传统的TSK模糊系统相比,改进的双层TSK模糊系统CTSK(Central TSK Fuzzy System)有如下优点:良好的可解释性、更好的鲁棒性、较强的逼近能力。但对于大样本或超大样本数据集,其时间复杂度和空间复杂度的开销都极大地限制了它的实用性。针对此不足,通过模糊系统融合中心约束型最小包含球(CCMEB)理论提出了CCMEB-CTSK(CCMEB-based CTSK)算法。该算法在继承CTSK优点的同时,又较好地实现了处理大样本和超大样本数据集的有效性和快速性。仿真实验研究分析了采用不同模糊规则数的CCMEB-CTSK的性能指标和运行时间的比较,以及训练样本不加噪声和加入噪声情况下CCMEB-CTSK泛化能力和鲁棒性能的测试。 云计算架构下的动态副本管理策略 为有效管理云计算环境中的数据副本,减少系统带宽消耗、最小化响应时间和平衡负载,提出了一种动态副本管理策略。通过建立文件可用性和副本数量间的关系模型来计算系统应维持的最小副本数量;基于数据被请求访问的次数和传输花费进行副本放置;数据被请求时结合节点间带宽和节点效用选择副本。实验结果表明了该策略的正确性有效性。 基于极化相似性特征的极化SAR图像的谱分类 针对极化SAR图像分类存在的问题,提出了基于SAR目标的极化特征的二维谱聚类方法。该方法可以充分考虑目标的极化相似性特征,利用二维的谱聚类方法实现极化SAR图像的分类。它以两目标散射的极化相似性参数图像作为输入特征,用二维图权函数代替一维图权函数求权值,使采样点分类和特征矢量分类相一致,从而实现极化SAR图像的分类。实验结果表明,该方法具有更好的分类结果,明显优于K均值分类。 分支覆盖测试路径集生成系统设计与实现 给出一种生成分支覆盖测试路径集的改进算法,降低了不可行路径出现的概率,并在此基础上设计实现了一个面向分支覆盖的测试路径自动生成系统AGTP。通过实例验证了算法及系统的有效性,测试结果表明该系统能精确有效地生成分支测试路径集。 基于USB2.0数据采集系统的实现 针对常用数据采集板卡的不足,提出了一种基于USB 2.0的数据采集系统的实现方法。在分析Cypress FX2系列芯片CY7C68013主要性能的基础上,给出了具体硬件设计方案,重点介绍了基于GPIF Master的控制方式及其实现数据采集的软件设计方法。该系统可用于销盘式摩擦实验机中测量材料的摩擦系数。 基于近似串匹配的地名数据库建设探析 地址编码数据库在城市信息化建设中具有极其重要的地位.本文从绍兴市地名实际出发,就地址编码数据库的关键技术:地址标准化和地址匹配及数据库设计等方面进行了探讨,并重点介绍了多模式近似串匹配算法在地址匹配阶段的应用.在地址标准化中,讨论了规范化地址内容的表达形式,把标准地址表达为行政区划名、主地址、子地址三部分构成,建立层级地址数据模型和地址输入模型,基于行政区划代码进行地址代码编制;讨论了地址标准化的过程,给出了标准化示例.最后还给出了近似串匹配算法在地址匹配阶段应用的伪代码. MAS技术在土壤重金属污染评价及预警中的应用 研究城市环境评价方法及预测预警技术,是合理利用资源、保护城市生态环境的需要,能为制定环境保护政策提供依据。综合运用地理信息系统(GIS)技术和多智能体系统(MAS)技术,建立基于MAS的土壤重金属污染评价及安全预警系统,支持在可视化环境中对土壤的重金属污染情况进行分析和评价。着重分析使用GIS技术支持污染评价空间分析的方法,并研究实现评价及预警的多智能体的分工及协作规则,为解决土壤重金属污染的评价和安全预警提供基础平台。 频率可调带宽可选的VLBI多相数字基带转换器 VLBI数据采集终端是VLBI台站的重要设备,近年来随着数字硬件设备例如ADC、DSP、FPGA的快速发展,数字基带转化器代替模拟基带转换器成为现实。现有采用多相滤波器实现DBBC的方法不可避免存在盲区,且频率、带宽均不可调。提出一种通用的基于多相结构的宽带数字下变频方法,将多速率信号处理和并行处理方法应用在其中,成功实现了VLBI多个通道的单边带数字基带转换,且能实现中频中心频率可调、带宽可选。硬件测试结果表明VLBI数字基带转换器频率设置正确,带宽选择正确,且数字基带转换器带通特性好于模拟基带转换器。 基于FPGA的软硬件协同仿真平台的数据通路设计 针对当前SoC设计过程中仿真速度过慢的问题,基于PLI机制,设计了一种能够有效支持基于FPGA的软硬件协同仿真平台的数据通路.其中PC端利用仿真工具和winsock API构建了激励产生和传输的下行通路,在FPGA端,利用Microblaze组成的SoC建立仿真数据加载和结果反馈的上行通路,同时两端通过以太网实现物理传输.最后,上述方案在Xilinx开发板实现,实验结果表明,该设计能够有效提高仿真效率并且能够支持大规模SoC的软硬件协同仿真,同时具有硬件开销小、通用性强等优点. 一种能量高效的WSN跨层设计MAC协议 融合MAC和路由功能的跨层协议设计方案是高效的无线传感器网络协议的重要解决思路。在深入研究AIMRP协议的基础上,提出一种增强型的协议E-AIMRP。它针对事件检测与快速报告型应用,跨层融合设计MAC和路由功能,并解决了AIMRP中由于多节点检测和报告而造成的能量浪费问题,同时引进一种高效的能量节省模型,使其在事件增加或节点增加的情况下,能量消耗维持在一个常数。仿真结果表明,相对于AIMRP,E-AIMRP增强了协议的扩展性,进一步提高了能量效率和减少了时延。 色彩渐进插值的矿井预警数据集三维可视化算法 针对矿井预警数据信息表达不完全、基于视觉的统计分析工作繁重、预警数据集庞杂等问题,提出了一种基于色彩渐进插值的矿井预警数据集三维可视化算法。在该算法中,首先根据矿井预警数据集的测点位置和测量值信息进行三维空间模型构造;然后根据灰度级与彩色空间系统的映射关系对矿井预警数据集与彩色空间模型进行颜色映射及三维空间层次分割,对每个层片依据伪图像编码算法及颜色聚类参数特征进行矿井预警数据集的三维可视化伪图像编码;最后根据色彩渐进插值算法对伪图像中相邻层片进行平滑过渡处理。实验证明,该算法处理的矿井预警数据集伪图像色彩渲染层次感强,色彩过渡平滑,有利于矿井预警数据集的信息表达。 微服务架构下的电力信息系统交互方式 传统的电力信息系统基于独立单元架构搭建应用程序,每个程序功能都包含在一个或数个可执行文件或活动代码库中,形成程序紧密集成、模块耦合性强的特点,导致这些应用程序与资源紧密结合,大大局限了电力信息系统交互方式的可维护性、可扩展性以及性能表现。针对上述问题,论文提出基于微服务架构的全新电力信息系统交互方式。该交互方式基于虚拟化的微服务组件、统一的微系统平台以及自定义系统内核,实现了系统功能的逻辑独立、运行平台透明虚拟化以及操作系统的精简化。通过应用实践表明,微服务架构下的电力信息系统交互方式具有在高压力环境下交互性能表现好,可维护性和可扩展性能够在良好的开发效率的基础上得到充分保障的特点。 医学体数据中四面体化方法的研究进展 四面体化方法一直是网格生成研究的热点,然而将其应用于医学体数据的器官几何建模仍存在诸多难点。根据医学体数据的结构特点,首先阐述了Marching cubes重构器官表面的原理和研究新进展,然后以有限元方法为应用背景,按照体数据的两种处理方式,从基于表面建模和基于体素建模两方面进行讨论,分别研究与比较三种四面体化方法在不同输入情况下构建器官几何模型的特点和适用范围,通过改进与实现几个不同种类的算法,分析各自的优缺点以及仍然存在的问题,探讨相应的改进措施。其中重点归纳了基于Delaunay准则的不同算法构建器官四面体网格模型的新思路以及在保持边界和Sliver去除方面的改进措施。最后探讨了四面体化方法在该类几何建模中的研究热点和研究方向。 基于单片机的步进电机虚拟细分技术的研究 "步进电机由于具有控制简单,步距误差不长期积累和可靠性高等特点而被广泛地应用于数控和机器人等领域;步进电机的控制,目前主要是采用细分驱动技术,这种控制方式在细分数较低或低频阶段仍然存在明显的""步进""感;采用一种虚拟细分技术,在通常的一个细分步距角中,插入若干个虚拟细分点,使步进电机的电流更接近于正弦波,从而在电机内部产生更趋于圆形的旋转磁场,使步进电机能够低频阶段平稳运行,消除低频振荡;实验结果表明,采用虚拟细分技术能使步进电机运行更加稳定,更接近于伺服电机的性能。" 基于差分进化算法的智能组卷方法 如何从庞大试题库中自动生成符合教学和考试要求的一套试卷是目前我国利用计算机进行辅助教学的一个重要研究内容。通过分析用户对组卷的要求和试题结构特征,构建了一个智能优化组卷新模型,同时给出了求解的差分进化算法,数值试验结果表明,所给的方法在组卷效率和质量方面具有更好的性能。 用于生物分子网络比对的自适应匈牙利贪心混合算法的并行化 生物分子网络比对是生物信息学中一个重要领域,是研究生物现象和生命机理的有效手段,而自适应匈牙利贪心混合算法(AHGA)是其中一个有效的生物分子网络比对算法。但是生物分子网络数据的规模都比较大,而且由于其拥有生物背景,生物分子网络数据具有一些特殊性。为了能够在可以接受的时间范围内获得大规模生物分子网络的比对结果,使用MPI和统一计算架构(CUDA)对自适应混合算法进行了并行化,在比对中充分考虑生物分子网络的生物学意义,对两种方式进行了对比分析,以寻找更合适生物分子网络的比对方法。 电磁辐射引起的眼球温升效应仿真研究 温升效应是电磁辐射的一个重要生物效应,引起眼球的温升效应最明显。针对目前无法对人类眼球温升进行无损活体测量的难题,提出了基于时域有限差分算法和生物热方程的数值仿真方法。利用EMPro电磁仿真软件对人类头部建模并对眼球吸收的电磁辐射能量进行仿真;再利用Matlab PDE工具箱对眼球建模并对眼球温升进行仿真。研究发现:眼球内10g平均SAR峰值和眼球最大温升的比值R约为0.165。R值的意义在于可根据眼球吸收的电磁辐射能量对眼球最大温升进行估算,为研究电子磁辐射对人眼损伤提供依据。 震后应急物资供应点的多目标动态定位-分配模型 为提高救灾效率,需要研究震后应急物资供应点的定位-分配问题。因此,以需求效用最大化和物资分配公平性为目标,基于混合整数规划方法建立震后应急物资供应点多目标定位-分配模型。根据所建模型的特点,设计基于矩阵编码与小生境技术的非支配排序多目标遗传算法,对定位-分配问题进行求解。算例结果表明,该算法能够有效获得Pareto前沿,决策者可根据偏好与实际需要权衡多个目标,在Pareto前沿面上选择合适的决策方案。 基于改进细胞链表算法的分子动力学模拟性能优化模型 在改进的细胞链表算法中,细胞大小的减少会降低该算法的通信量和粒子之间距离计算的次数,同时会增加邻居细胞的数量。多细胞分子动力学算法是分子动力学模拟中普遍使用的并行算法。将改进细胞链表算法的基本思想应用到多细胞分子动力学算法中,推导出了一个分子动力学模拟性能评价模型,并据此提出一个优化模型来加速分子动力学模拟。实验结果表明,根据该优化模型确定的细胞大小可以提高分子动力学模拟程序的性能。 遥感卫星相机电子学系统鲁棒控制研究 研究遥感卫星相机电子学系统调制传递函数(MTF)鲁棒性问题。由于外部干扰信号和系统内部摄动影响在轨自主遥感卫星相机电子学系统稳定性,导致系统不稳定且MTF幅值下降,影响成像性能。针对相机电子学系统MTF的鲁棒性问题,根据Youla参数化理论和混合灵敏度干扰抑制方法进行了鲁棒H∞输出反馈控制器的设计。控制器保证了在外部幅值有限的扰动信号和内部摄动共同作用下相机电子学系统的稳定性,并在一定程度上提升MTF的幅值。在MATLAB环境下进行闭环系统性能仿真,结果证明控制器具有良好的干扰抑制能力和鲁棒性,为控制系统设计提供科学依据。 面向多核处理器的共享Cache冲突预测模型 多核处理器的出现给实时系统的设计带来了新挑战,如并发任务通过共享Cache相互干扰的现象严重降低了实时系统的实时性,已有的Cache冲突评价模型没有针对多核处理器体系结构,多角度评价共享Cache对多个并发任务的影响.本文基于广泛应用的LRU Cache替换策略,根据任务的Cache静态复用距离,提出一种可以预测并发任务的Cache占用率、失效率和任务间冲突概率的Cache冲突预测模型.分析了在多核背景下共享Cache结构对实时性的影响.实验结果表明本模型不但功能比现有模型全面且精度更高. 物联网动态带宽资源分配算法及其应用 在物联网环境中,网络需要传输的数据和信息量急剧增加,从而造成带宽不足。为此,提出一种采用动态带宽资源分配算法的物联网远程机械控制方案,通过改变控制信号的采样速率,达到优化分配带宽资源的目的。仿真结果表明,在相同网络带宽条件下,该方案可降低重构信号的误差,并有效提高物联网系统智能分配带宽资源的能力。 支持大规模智能电网的数据存储方法 针对大规模智能电网中的监测数据具有海量性、实时性、动态性等特点,提出一种以数据为中心的支持大规模智能电网的数据存储方法:海量动态数据的分层扩展存储机制。首先,采用扩展哈希编码方法动态增加存储节点,避免突发、频发事件数据的丢失,增强系统的可用性;然后,采用多阈值级别方法将数据分散到多个存储节点上,避免出现存储热点问题,实现负载均衡。实验结果表明,分层扩展存储机制能够最大限度地满足海量数据的存储需求,获得较好的负载均衡,并且使总能耗最低,有效地延长了网络的生命周期。 一种基于局部回路的标签传播改进算法 社区发现是复杂网络分析领域的重要研究内容。针对标签传播算法中标签传播的随机性问题,提出一种基于局部回路的标签传播改进算法,即当节点邻居中标签出现频率存在多个最高时,不是随机选择一个邻居的标签作为该节点的标签,而是选择与该节点构成最短局部回路的邻居标签作为该节点标签,避免了标签在社区之间的任意传播。最后,用真实网络进行测试,结果表明,与传统的标签算法相比,提出的改进算法使社区划分结果得到了提高。 一种基于FSM的BGP-4协议模糊测试方法 BGP-4路由协议的安全性对于保障整个网络安全、可靠运行具有重要意义。现有的模糊测试方法在对BGP-4协议测试时存在状态测试困难、测试用例冗余等问题。为了解决这些问题,提出了一种基于有限状态机的BGP-4协议模糊测试方法 BFuzz,设计了测试序列生成算法TSGF(Test Sequences Generation for Fuzzing)和测试用例生成算法TCGVF(Test Cases Generation based on Vulnerable Fields),实现了原型系统BFuzzer。测试结果表明该方法能够有效地挖掘BGP-4协议中的漏洞。 滑翔导弹规避威胁区航迹有效规划仿真 在滑翔导弹航迹规划问题的研究中,在执行作战任务前需要根据所过区域的探测武器和拦截系统等信息以及滑翔导弹自身实际的限制规划飞行航迹,并根据规划出的航迹完成预期飞行任务。针对远距离航迹规划问题,提出了一种基于改进A*算法的滑翔导弹航迹规划方法,将滑翔导弹性能和远距离飞行实际与A*算法相结合,在节点搜索过程中解决了大范围空间离散问题。通过减少数据存储量解决了搜索空间耗时多的问题,使得规划航迹满足滑翔导弹快速规避威胁的要求。仿真结果表明,改进后的算法能够满足滑翔导弹快速规避威胁区的要求,算法精度、效率均较高,为航迹规划提供了科学依据。 无线传感器网络中DV-Hop定位算法的改进 针对无线传感器网络非基于测距的DV-Hop定位算法中,信标节点与未知节点之间平均跳距估计的不足以及三边定位过程中信标节点的选择对定位误差的影响,提出一种改进的DV-Hop定位算法.在改进策略中,对平均跳距采用加权处理进行修正,并有选择性的选取信标节点参与最后的三边定位.仿真结果表明,改进后的DV-Hop算法能够更准确地对平均跳距进行估计,并且有效地降低了未知节点的定位误差. 基于位平面无损压缩的密文域可逆信息隐藏 针对密文域可逆信息隐藏算法中嵌入率不高、可逆性不强、鲁棒性差的问题,提出一种密文图像位平面无损压缩的可逆信息隐藏算法。介绍二进制数据位压缩编码方式,使用该方式将低位平面信息存储于压缩后的高位平面中;而后加密图像,采用比特替换的方式在低位平面嵌入秘密信息,最后按位重组图像。解密时接收方提取秘密信息和恢复图像的操作相互独立。实验证明,该算法具有较高的嵌入率,可逆恢复的载体图像质量较好,能够有效抵抗一定程度的噪声及数据丢失攻击。 标定板圆心序列化自动编码算法的研究 为解决结构光三维测标平板标定过程中圆心自动序列化的问题,给出一种基于选区的自动序列化编码算法。利用最小二乘法提取圆心坐标与半径,运用方向标记点序列化确定参考方向,根据参考方向自动建立基准区域,基于方向的动态排序方法完成基准点的序列化,对空间任意姿态下标定标圆心点自动序列化。实验结果表明,该算法稳定性好,系统操作便捷,可提高相机的标定精度。 2017年国际人工智能领域研究前沿的分析与研究 文献共被引可以为目标领域研究前沿的分析研究提供一种更加客观、全面的研究视角。文中利用文献共被引分析对2017年国际上人工智能领域的131篇ESI高被引论文进行分析,探寻得到了2017年该领域中包含的12个研究前沿和2个重点研究前沿。通过对研究前沿中核心论文的进一步研究发现,在2017年国际人工智能领域的多个研究前沿中,我国的学者已经成长为中坚力量,发挥着重要的作用。相比而言,在深度学习的两个重点研究前沿中,我国还缺乏高质量核心论文的产出者,这也激励着我国学者不断为之努力。 基于摄动理论的弹丸落点预测精度仿真研究 弹道修正技术中,需对弹丸飞行状态实时预测落点,通过比较目标点与预测点形成修正控制指令,传统预测模型计算复杂、预测实时性差。提出了预测精度高、预测模型简单的基于摄动理论的落点预测方法。从数学角度上分析了采用摄动理论进行弹丸落点预测的理论依据,给出了偏导数的数值解法。针对某型弹道修正榴弹,分析了偏导数选取方法。仿真结果表明,选用一阶泰勒展开获得的偏导数用于落点预测精度较高;选用小步长计算获得的偏导数用于落点预测精度较高;弹丸飞行中,选用一次线性拟合插值调用偏导数用于落点预测精度较高,为弹丸落点精度预测优化提供了科学依据。 互信息与模糊C均值聚类集成的特征优选方法 针对大型数据中大量冗余特征的存在可能降低数据分类性能的问题,提出了一种基于互信息(MI)与模糊C均值(FCM)聚类集成的特征自动优选方法 FCC-MI。首先分析了互信息特征及其相关度函数,根据相关度对特征进行排序;然后按照最大相关度对应的特征对数据进行分组,采用FCM聚类方法自动确定最优特征数目;最后基于相关度对特征进行了优选。在UCI机器学习数据库的7个数据集上进行实验,并与相关文献中提出的基于类内方差与相关度结合的特征选择方法(WCMFS)、基于近似Markov blanket和动态互信息的特征选择算法(B-AMBDMI)及基于互信息和遗传算法的两阶段特征选择方法(T-MI-GA)进行对比。理论分析和实验结果表明,FCC-MI不但提高了数据分类的效率,而且在有效保证分类精度的同时能自动确定最优特征子集,减少了数据集的特征数目,适用于海量、数据特征相关性大的特征约简及数据分析。 论文管理信息系统设计中几个关键问题的处理 阐述论文管理信息系统的组成,给出论文管理信息系统设计过程中几个关键问题的处理方法。使用结果表明,本文方法处理关键问题高效、可靠,并且对于其它管理信息系统的实现也具有通用性。 软件SIMD的研究及应用 介绍软件SIMD技术,在不支持SIMD架构的处理器上使用该技术实现寄存器高低字节的并行运算,提高处理器的速度。软件SIMD包括基本的加减法运算、乘法运算和点积运算。在现有研究的基础上,解决包含负数的点积运算、复数运算中应用软件SIMD技术的问题,使其能广泛应用于数字信号处理等领域。 一种基于CORBA的分布式应用模型 在对CORBA通信机理研究的基础上,提出了一种以CORBA技术为基础面向对象的分布式应用模型。该模型通过封装CORBA的复杂性,降低开发分布式应用的难度,提高开发效率,提供分布式对象之间的透明访问。以中间件的形式实现了这个模型并做了测试。 基于行为特征网的流程模型分解挖掘 为了使包含活动数目较多的事件日志有效挖掘流程模型,提出基于行为特征网的流程模型分解挖掘方法,基于活动日志确定各活动间的行为足迹关系,推得相应的行为矩阵;结合行为矩阵计算行为关系图,从而产生活动聚类;通过现存挖掘算法过滤子日志挖掘子网,并对子网添加接口库所形成子网行为特征网;在行为特征网的基础上,运用合成网的观点合成整网,以此挖掘流程模型。最后通过仿真分析验证了该分解挖掘方法的有效性。 《计算机技术与发展》投稿须知 [正文]1.《计算机技术与发展》是中国计算机学会会刊,中国科技核心期刊、中国科技论文统计源期刊、RCCSE核心期刊。2.投稿时请注明是否是中国计算机学会(CCF)会员(高级会员、普通会员、学生会员)。凡作者中有CCF会员(请注明会员号),则享受优先录取、优先出版待遇,第一作者为CCF会员者,将享受85折版面费优惠。 基于模糊隶属度的人脸识别应用 针对人脸图像特征提取,应用主成分分析和二维主成分分析方法,提出用二维特征求解样本的隶属度,用主成分特征进行支持向量机分类的方法。该方法结合了二维主成分特征在选取少量分量时人脸重构图像稳定的优点和主成分特征重构图像局部特征清晰的优点。为了与二维主成分特征分类结果进行比较,通过引入矩阵内积,给出了针对二维特征的三类核函数。实验表明利用两种特征进行分类的方法在人脸识别中具有较高的精度。 发动机装填蜂巢状药型安全力学特性研究 蜂巢状装药由于其药型结构复杂,难以通过实验准确获得其点火过程中力学特性。为准确反映大推力蜂巢装药推进剂在点火过程中对药柱破坏情况,对大推力蜂巢药柱进行装药结构完整性分析,采用含累积损伤的非线性粘弹性本构模型,利用ABAQUS有限元软件二次开发的UMAT子程序,分析研究了蜂巢状装药在点火过程中的安全力学响应,同时分析了蜂巢状药柱六边形内孔几何参数和星尖倒角半径对装药结构完整性的影响规律。结果表明:药柱内孔六边形边长和星尖倒角半径对应力影响很大,边长越小药柱应力越小,圆角半径越大药柱应力集中现象减弱,且随着装药内外压差的增大应力响应越大。研究结果可对蜂巢装药内孔边长和倒角半径等结构参数的设计起到参考作用。 磁共振弥散加权成像结合体积测量在婴幼儿梗阻性肾积水肾功能评估中的应用 目的:探讨磁共振弥散加权成像(DWI)结合体积测量在婴幼儿梗阻性肾积水肾功能评价中的应用价值。方法:对20例健康儿童及80例单侧肾积水患儿行MR检查,测量肾脏的表观弥散系数(ADC)及肾脏体积,记录患儿的血清肌酐值并计算内生肌酐清除率(CCr),并对各参数进行相关性分析。结果:病例组患儿积水侧肾脏ADC值均低于对侧肾脏组及正常对照组(P<0.05),积水肾的ADC值与CCr具有正相关性(r=0.555,P<0.05),经体积校正后积水肾ADC值体积乘积与CCr间正相关性明显提高(r=0.868,P<0.05)。结论:ADC值与肾脏体积乘积值对于评估婴幼儿梗阻性肾积水肾功能有一定临床价值。 基于Spark与粒子滤波算法的公交到站时间预测系统 针对公交车到站时间预测准确性不高的问题,选用具有流式计算特点的粒子滤波(PF)算法,建立了一个公交到站时间预测模型。为更好地解决使用PF算法过程中存在的预测误差及粒子优化选择问题,通过引入上一趟公交车的行驶速度和构造观测值的方法对预测模型进行改进,使之具有更贴近实际路况的公交到站时间预测精度,并且能同时预测多个公交到达时间。基于该模型和Spark平台实现了一套公交到站时间实时预测软件系统,所有到站时间预测结果与实际相比,平峰的最大绝对误差为207 s,平均绝对误差为71. 67 s;高峰的最大绝对误差为270 s,平均绝对误差为87. 61 s,而预测结果的平均绝对误差在2 min以内是公认的理想结果。实验结果表明,所提模型及实现系统能准确预测公交到站时间,满足乘客实际需求。 基于ARM的某型装备电路检测诊断设备设计 针对某型装备无法进行电路模块级故障定位的实际,综合运用计算机测试、虚拟仪器、故障诊断、嵌入式控制等技术,开发了某型装备电路检测诊断设备,成功实现了对电路模块级及主要元器件故障的准确定位。 大流量下一种基于活跃熵的DDoS攻击检测方法 为了提高在大流量背景下DDoS攻击检测的实时性,提出一种在大流量背景下基于活跃熵的DDoS攻击检测方法。在IP流层面通过分析系统活跃熵值来对整个流量进行初探,剔除正常流量。利用多特征广泛权重最小二乘孪生支持向量机算法(WWLSTSVM)对攻击威胁进行攻击确认。通过实验验证方法的可行性,实验表明在合适场景下该方法可以在保证时效性的同时减少系统误报率。大流量背景下该检测方法比一般的机器学习算法具有更好的检测性能。 构建三维头发造型的层次多阶约束域算法 现有头发建模方法通常使用相互孤立的造型约束生成整体发型,当面对较复杂的待建发型时往往无法建立有效的造型约束。针对真实头发造型的层次性和沿发丝逐阶段形变等特点,提出控制显式发丝形变的层次多阶约束域算法,以有效解决采用造型约束交互式构建复杂发型的问题。该方法通过建立分别作用于全局和局部发簇的层次约束域队列,使用多约束域逐阶段控制样例发丝产生复杂形变。此外,结合发丝曲线的最小旋转标架(RMF)和可自定义的空间螺旋线参数方程,为发丝添加细节效果。实验结果表明,与现有方法相比,该方法能够更加有效地构建出丰富、自然的头发造型。 一种无可信中心的群签名方案 针对群签名中的欺骗问题,利用离散对数问题的难解性和零知识证明协议,结合参与者的身份,提出了一种无可信中心的群签名方案。方案中,无可信秘密分发中心,分发者也是参与者,参与者的秘密份额是由参与者通过自己的影子秘密计算到得到,群公钥的恢复是隐形恢复。分析表明,该方案是有效的、安全的。 新型主动转向系统的控制及仿真研究 主动前轮转向系统存在着系统参数变化、路面干扰等不确定性因素;针对这一问题,文章在深入研究新型主动转向工作原理的基础上,设计了新的数字控制器,并进行了控制仿真和实验;实验结果表明:所设计的数字控制器能获得较好的抗干扰性和转向轻便性,同时提高了转向系统的稳定性。 一种考虑节点拥塞情况的DTN概率路由算法 容迟网络是一种新型网络,其概率路由算法根据历史相遇频率对相遇概率进行计算与更新,通过相遇概率判断是否转发报文。当节点缓存受限时,在网络中采用概率路由算法使得节点很容易发生拥塞,对报文的传送产生影响。为了减小拥塞对概率路由算法的影响,提出了一种考虑节点拥塞情况的概率路由算法,将节点相遇的概率和节点拥塞的情况综合起来,得到一个报文的递交概率,降低了由于拥塞对网络性能的影响,提高了报文的递交率,减小了报文在缓存中排队等候的时间。仿真结果表明,与传统的概率路由算法相比,在改进后的概率路由算法中报文递交率显著提高,平均延迟也在降低。 基于HLA的平台级视景仿真系统设计研究 随着计算机仿真技术的发展,在大型分布式仿真系统中,单一的视景仿真系统已经不能满足复杂系统仿真的应用需求,基于高层体系结构(HLA)的视景仿真是主要的解决方案。论文结合视景仿真技术和分布式仿真技术设计并实现了一种分布式视景仿真系统,用于武器平台的训练和试验环节,并结合虚拟仿真平台的战术特点,设计实现了相应的武器平台视景仿真系统,对平台操作训练仿真、战术推演验证仿真系统的设计等都具有指导作用。 一种使用扩展混沌映射的基于生物特征密钥协商协议 认证密钥协商协议是两个或多个用户产生一个共享的安全会话密钥.在开放的网络中,用户可以使用共享的会话密钥加密/解密消息达到安全通信.近来,基于生物特征和口令的远程认证方案得到研究者的广泛关注.受到切比雪夫映射的半群特性和基于混沌映射的密钥协商协议启发,本文提出一种使用扩展混沌映射的基于生物特征密钥协商协议.新协议没有采用模指数运算或者椭圆曲线的点乘运算.安全性分析表明,新协议具有显著特征并且能抵抗各类攻击,包括特权用户攻击,重放攻击,口令猜测攻击等.性能分析表明,与其它相关协议比较,新协议的计算复杂度较低. 基于Vega的无人机雷达载荷视景仿真应用研究 针对实现某型无人机雷达任务载荷的特性分析,为增强雷达侦察能力,利用实时视景仿真软件Vega进行无人机视景仿真的基础上,提出运用依赖物理参数生成真实雷达仿真的RadarWorks模块解决无人机雷达载荷仿真模型问题,采用分布式Vega地面站雷达终端实时显示功能,给出了具体实现方法,并进行仿真。试验结果表明,提出的方法能够生成真实的雷达侦察图像和实时显示于地面站雷达终端,并可以应用于无人机多任务载荷仿真中,在某型无人机的仿真训练中得到了初步应用。 基于匿名广播加密的云存储访问控制方法 针对现有的匿名广播加密方法在加解密性能和安全性方面的不足,提出一种基于拉格朗日插值多项式的匿名广播加密方法。首先定义了可以抵御自适应敌手攻击的匿名广播加密安全模型;然后在合数阶双线性群环境下采用拉格朗日插值多项式对方案进行了构建,在保证用户身份匿名性的同时,实现了高效的加解密;最后基于子群判定假设和合数阶判定双线性Diffie-Hellman假设,在标准模型下证明了方法针对自适应敌手具有密文的机密性和接收者匿名性。实验与性能分析表明,方法具有较低的通信和计算开销,可以有效地解决云存储中密文数据的匿名访问控制问题。 核k均值RBFNN的煤与瓦斯突出预测研究 针对BPNN模型在煤与瓦斯突出预测中存在收敛慢、误差较大等问题,建立了RBFNN模型对煤与瓦斯突出进行预测。采用对样本具有普适性的核k均值聚类算法来确定RBF的中心、梯度下降自适应算法优化网络宽度参数和递推最小二乘法算法调整网络权值。并用国内煤矿的煤与瓦斯突出实测数据对该混合算法及模型进行了验证。实验结果表明,本研究的方法在预测精度和收敛速度上均优于BPNN和基于经典k均值聚类算法的RBFNN,具有良好的实用性和有效性。 具有实际地形的海洋潮流场云计算环境 针对具有实际地形的海洋潮流场的大规模、复杂的、异构资源共享的计算问题,提出了基于云计算的问题求解环境,建立了具有实际地形的海洋潮流场云计算环境。分析了具有实际地形的海洋潮流场的特点,在此基础上提出了具有实际地形的潮流场的云计算环境的应用服务模式,给出了云计算环境的架构,即XaaS服务架构,建立了云计算资源组织和管理的功能抽象模型,模拟5907号海洋风暴潮的演进过程。 无线传感器网络中远程链路传输算法研究 在COSEN算法基础上,提出一种多级分层链路算法。该算法根据各节点与基站的距离排序,固定各层节点数量来进行分层,每层节点形成一个簇链,并以剩余能量为基准由上级簇首在指定范围内选举下级簇首,各簇首负责收集本层数据并融合从下级簇首收到的数据,将融合后的数据发送给上级簇首,逐层传递直至基站。通过NS3平台仿真验证,结果表明,该算法的传输时延和能耗均优于PEGASIS算法及COSEN算法。 多股流板翅式换热器翅片通道中传热的计算流体力学模拟及定性尺寸 板翅式换热器结构紧凑,传热效率高,常用于多个冷热物流之间的换热,但是其通道数量众多,其内部流道中的流场和温度分布很难用实验方法来测定。采用计算流体动力学(CFD)软件ANSYS,针对锯齿形翅片模拟了板翅式换热器进出口的温度场分布,根据热量平衡和热量传递速率方程,对多股流板翅式换热器的各通道的温度分布进行模拟,并分别计算出各个流股在各个通道的二次传热表面最大传热距离,即通道定性尺寸。分别对两流股和三流股的2个板翅式换热器进行通道温度场分布CFD模拟,从而计算得到板翅式换热器的定性尺寸。对于两流股一冷一热交替排列的通道,数值模拟的定性尺寸与简化的经验方法计算结果都为翅片的一半,而对于三流股八通道的定性尺寸,中间的第四通道和第六通道的翅片定性尺寸大于基于传统计算方法得到的定性尺寸,对应的翅片效率小于传统方法得到的结果。基于板翅式换热器传热机理对结果分析表明,数值模拟结果比文献经验计算方法的结果更合理,即为板翅式换热器的设计提供了准确的基础参数,原因是数值模拟结果考虑了相邻多个通道热流量和温度对通道传热的影响,改进了传统方法仅考虑相邻通道热流量和温度的影响。 一种融合Canny和改进ISODATA的砾岩图像分割算法 针对基于边缘流和传统ISODATA(迭代自组织的数据分析算法)相融合的算法对砾岩图像进行分割时存在速度慢、分割不准确的问题,提出了一种融合Canny和改进ISODATA聚类相结合的砾岩图像分割算法。该算法将图像从RGB色彩空间转换到Lab色彩空间,利用Canny算子对砾岩图像的L分量进行边缘提取,得到过分割图像;运用改进的ISODATA聚类算法进行聚类,得到聚类图像,消除了Canny算子的过分割问题。在砾岩图像的分割应用中,该算法取得了较好的分割效果。 基于新的相似性度量的加权粗糙聚类算法 聚类是数据挖掘中重要的研究方向。本文针对现有的聚类算法中相似度量的缺陷,提出了一种新的相似性度量方法。在此基础上,将粗糙集理论中的区分能力引入到聚类算法中,用来度量属性的重要性,进而提出了一种能够处理符号型数据的新的加权粗糙聚类算法。通过对UCI数据的实验表明,本文算法对数据输入顺序不敏感,且不需要预先给定簇的数目,提高了聚类的质量。 基于改进多目标萤火虫算法的模糊聚类 针对传统的模糊聚类算法大都针对单一目标函数的优化,而无法获得更全面、更准确的聚类结果的问题,提出一种基于改进多目标萤火虫优化算法的模糊聚类方法。首先在多目标萤火虫算法中引入一种动态调整的变异机制以获得更加均匀分布的非劣解,其中以动态减小的概率选择个体并采用类似于差分进化算法中变异算子的策略对其进行变异,通过自适应调整收缩因子以提高变异效率。然后当归档集中的最优解集充满时,从中选取一定量的解与当前种群组合进行下一次进化,使得算法具有更高的效率。最后将其运用到模糊聚类问题中,通过同时优化两个模糊聚类指标的目标函数并从最终的归档集中选取一个解确定聚类结果。采用5组数据进行实验的结果表明,相对于单目标聚类方法,所提方法对各种数据集的聚类有效性指标提高了2到8个百分点,具有更高的聚类准确性和更好的综合性能。 基于有色Petri网的工作流故障诊断方法 工作流系统的故障自动诊断和定位是云计算环境提供持续服务的基础;为了提高工作流系统的故障诊断准确性,文章提出了一种基于有色Petri网的故障诊断方法;首先,用开放世系模型对工作流进行建模;然后,提出了一种基于有色Petri网的故障模型;最后,将工作流的开放世系模型转化为有色Petri网故障模型,并提出了相应的多故障诊断方法;实验表明,文章提出的方法不仅故障定位的准确率和执行效率高于相关算法,还能有效的识别系统中的多个故障。 一种离散人工鱼群优化的部分传输序列算法 基于组合优化鱼群算法和PTS方法,提出一种离散鱼群优化的部分传输序列(DAFSA-PTS)算法.该算法通过设计新的鱼群移动行为策略,改善组合优化鱼群算法的寻优特性,通过替换人工鱼当前位置向量与较优位置向量中相应元素实现位置更新,使相位因子序列快速准确的向最优方向收敛,进而求得最低峰均功率比(PAPR).仿真表明:DAFSA-PTS算法的PAPR性能逼近于传统PTS算法,当子块分组数为12时,相差0.4dB,复杂度降低了85.35%;并且在相同复杂度下,优于粒子群优化的PTS算法,精度提高0.2dB. 一种用于实时探测网络虫洞节点检测模型研究 针对传统的检测建模算法在建立网络虫洞节点检测模型时,存在检测时间过长,耗费能源较高的问题。提出采用基于模糊预测的实时探测网络虫洞节点检测建模方法。先利用节点的邻居数查询出可能受虫洞影响的路由节点,得到可能受虫洞影响节点的集合,利用节点发送数据包确定周围的邻居节点数,将收集到的不同位置邻居节点数存储在滑动窗口中,在节点移动到下一位置前预测出其邻居节点数的上限阈值,当节点实时移动到该位置时,检测出邻节点数,与上限值进行比较,当检测的邻居点数大于阈值时,则认为此节点受到虫洞攻击。仿真证明,采用改进的检测建模方法时效性较强,有效地提升网络虫洞节点检测效率。 一种针对软件缺陷自动分派的开发者推荐方法 开源软件的缺陷管理是其软件质量保障的一种重要手段,而缺陷的高效分派是大型开源软件缺陷管理的一个棘手问题.为了提高缺陷分派的效率,本文提出了一种简单易用的针对软件缺陷自动分派的开发者推荐方法,其核心思想是利用LDA主题模型(刻画开发者技能)、开发者合作网络(刻画开发者之间的合作关系)构造(内容+关系)混合策略.针对大型开源软件项目Eclipse和Mozilla的十万级已修复缺陷的实验表明,在选取合适的参数和分派策略情况下,本文所提方法的开发者推荐的准确率分别达到了46.7%和33.4%,比基准的LDA+k NN方法的推荐准确率分别提高了209.3%和131.9%,从而验证了其有效性. 高动态范围成像技术中的鬼影检测与去除方法综述 "由于广泛的实用价值与理论价值,高动态范围成像(HDRI)技术成为图像处理领域的一个研究热点,如何检测及去除成像过程中产生的干扰影像(即""鬼影"")也引起了研究者的广泛关注。将鬼影检测与去除方法按照运动物体是否属于拍摄目标及算法操作域进行系统分类,将鬼影检测算法分为无参考图像、有参考图像两大类并将每一大类分为辐射域检测、图像域检测两类,将鬼影去除算法分为辐射域去除、图像域去除两大类,对其中每一类算法分别全面综述了其特点及最新研究成果,并对不同方法的优缺点进行了比较分析。最后总结了不同方法的适用条件并给出了关于这一课题未来发展的思考。" 优化Hough森林代价损失的目标检测方法 应用Hough森林进行目标检测,并对经典Hough森林存在的不足进行改进.借助Boosting算法的思想,学习图片块样本和目标对象样本的自适应权重分布,并分别优化用于构造随机树和Hough森林的代价损失函数;最后根据图片块样本的权重分布,提出了改进的类标志不确定性度量方法.基于Hough森林的代价损失函数,还提出了随机树权重的学习方法.实验结果表明,本文所建议的方法相比于其他方法具有更高的目标检测性能. SAM:一种容错的末级缓存可扩展地址映射方法 随着半导体工艺进步,多核处理器超过60%的片上面积由片上缓存占据.由于特征尺寸缩小及供电电压下降,片上缓存较以往更容易发生错误.缓存错误包括可恢复的软错误(soft error)及不可恢复的不稳定位(erraticbit)失效.传统容错技术主要研究针对单个缓存模块的保护.当缓存中包含成百上千个模块时,即使单个缓存模块出错的概率很低,系统中有一个或多个缓存出错的概率也相对较高.文中提出可扩展地址映射(SAM)方法,支持对可缓存地址空间灵活高效的映射,提高末级缓存的可靠性.通过对末级缓存地址空间进行重构,只要有末级缓存模块可以工作,SAM就能够保证系统正确运行.SAM可应用于共享或集群缓存组织方式.文中提出的算法能根据末级缓存中出错缓存模块的数目变化,动态调整集群缓存组织方式下的集群大小.实验结果表明,SAM方法可在多种出错环境下保证系统功能正确,且性能平滑下降. 基于边界元的功能梯度材料瞬态热传导仿真 研究复合材料的热传导准确测量问题,由于不均匀参数的存在,对表面热流精确测量困难,传统热传导方程的基本解需要重新推导。首先构造了指数型功能梯度材料模型,推导了三维瞬态热传导问题的基本解,应用拉普拉斯变换消除时间参数,得到了拉普拉斯域的边界积分方程,采用伽辽金法插值求得其数值解。然后根据以上推导结果开发C语言程序包,引入数值算例对其温度分布情况及热流密度情况进行仿真,并与解析解对比分析。结果表明采用边界元法的数值仿真解可以很好地与解析解吻合,证明数值推导的正确性及程序调试的有效性,并且上述方法理论简单,可用于准确测量复合材料表面热流的研究。 基于非下采样轮廓波变换和多核学习的盲图像质量评价 非下采样轮廓波(Contourlet)变换具有多尺度、多方向特性,能够对图像纹理和结构信息进行精确提取,可以很好地模拟人类视觉系统的多分辨率特性,基于此提出一种基于非下采样Contourlet变换的通用型盲(无参考)图像质量评价算法。首先在空间域上对图像进行非下采样Contourlet变换;然后在各方向带中分别提取能有效反映人类视觉失真程度的特征:高频幅值、平均梯度、信息熵作为图像的特征;最后将其输入到高效的分层多核学习机中学习,预测图像的质量得分。在混合失真型数据库和3个单失真型数据库上的交叉实验结果表明,该算法性能优越,能很好地预测失真图像质量,具有很好的主客观一致性。 采用Jess引擎的机械设备故障诊断专家系统设计 介绍一种在J2EE环境下构建机械设备故障诊断专家系统的方案,使用Jess引擎作为核心推理模块,通过WebService和OPC技术等多途径获取事实,采用数据库管理事实和规则,实现基于Web的机械设备故障诊断专家系统,使机械设备故障诊断系统融合领域专家的经验、智慧和方法,这对于保证设备安全运行具有重大意义。 基于RBF神经网络的润滑油自动识别系统设计 目前通过油料常规质量指标和成分结构信息进行油料种类识别的方法因所需仪器设备多,分析测试过程复杂而缺乏实用性和推广价值;在分析油品理化性能指标与其类别间的相关关系及神经网络的特点后,以最简单方式提取尽可能多的特征参数为原则,通过表观特征参数的途径,设计了一种简单小巧的装置,可同时提取油料密度、粘度、吸光度、电导率和介电常数等参数的特征向量,提出了用RBF神经网络进行油料种类识别的方法,并给出了实现算法;实验结果及应用情况表明,该方法识别效果比较理想,为当前油料种类识别问题给出了一种新的解决途径。 模糊PID控制在轮式机器人直立系统中的应用 轮式直立机器人具有结构简单、可控性强、行进速度快、控制灵活、低成本等特点,广泛运用于需要按预定路径进行移动的机器人中。依据对轮式机器人建模得出直立状态下车轮加速度的控制算法,设计模糊PID自整定控制器的隶属度关系及模糊规则表,用Simulink仿真比较,表明模糊后的PID自整定算法明显优于常规的PID算法。将两种算法实际运用于机器人直立控制过程,测量直立状态下的z轴角加速度放大1 000倍后的输出曲线,计算出经过模糊化后的方差缩小了73.4%,极差缩小了67.5%,综合性能提高了3倍。结果表明,模糊自整定后的直立控制效果明显优于常规PID。 计算机硬件技术基础课程研究型教学模式的探索与实践 "研究型教学是培养学生创新能力和计算思维的有效方式,已成为当前高校教学改革的主流方向。针对计算机硬件技术基础课程的特点与现状,探讨了基于""察-思-集-用""研究型教学理念、""问题导向式""教学策略以及比喻教学法在课程实施中的体现,在实际的教学实践中取得了良好的教学效果。" 面向XQuery语言的XML树模式识别方法 XML树模式查询(TPQ)是XML查询的核心操作,也是XML查询相关研究的热点.将XQuery语言描述的查询功能尽可能多地包含在XML树模式查询中,有助于提高XQuery程序的执行效率.面向一种扩展的XML树模式,用于实现XQuery语言的查询计划描述语言FXQL中扩展了XML树模式描述功能.同时,提出一种有效的XML树模式提取算法,能够从XQuery语言的for/let子句和return子句嵌套的FLWOR表达式中收集各种结构约束,并将这些结构约束合并成单个XML树模式,而不是基于传统提取算法的多个树模式.该方法扩大了XML树模式查询技术在XQuery语言实现中的应用范围,其算法实现和实验说明了该方法的有效性和实用性. 基于MOOC数据的学习行为分析与预测 随着近2年慕课(massive open online course,MOOC)的兴起,教育大数据分析正成为一个新兴的研究方向.2013年秋,北京大学在Coursera上开设了6门慕课.通过分析挖掘约8万多人次参与这6门课的海量学习行为数据,力图展现慕课学习活动多个侧面的风貌.同时,首次针对中文慕课中学习行为的特点,将学习者分类,以更加深入地考察学习行为与学习效果之间的关系.在此基础上,通过选择学习者的若干典型行为特征,对他们最后的学习成果进行预测的工作也尚属首次.数据表明:基于学习行为的特征分析能有效地判别一个学习者能否成功完成学习任务获得通过证书,并能找出潜在的认真学习者,这为今后更加精准的慕课教学测评提供了一种依据. 电商配送中的车辆调度问题优化研究 电子商务环境下的物流配送产生了新的特点,在传统方式下建立的物流配送系统已不能完全满足电子商务的需要。针对电商配送终端客户具有配送需求量小、品种多、位置较分散的新特点,研究电子商务环境下的车辆调度问题,用聚类分析法划分配送区域,建立VRPTW模型,采用遗传算法对模型加以求解。通过仿真实验,与传统的VRP模型求解进行比较,发现优化后的成本比未优化的成本低,验证了关于VRPTW优化模型求解方法的有效性。 基于分段Logistic映射的并行Hash函数构造算法 分段后的Logistic映射比原映射具有更好的密码学性能。采用并行处理的思路,基于分段Logistic映射提出一种Hash函数的方法。该方法在初始化阶段,通过混沌映射的迭代实现了消息块之间的相互影响与扩散,可有效防止对并行Hash函数的伪造攻击。对初始化后的消息块,采用并行方式产生中间输出结果,有效提高了算法的运行速度。理论分析和实验仿真的结果表明,该算法很好地利用了混沌映射的特性,具有良好的明文消息敏感性、抗碰撞性,且运行速度快,能够满足实际的信息安全应用需要。 一种基于VCG机制的差分式隐私服务定价机制 大数据环境下,数据具有种类多、数量大、增长速度快及价值密度低等特点,若对所有的隐私数据都提供相同程度的保护必然会造成计算资源的浪费,因此必须对隐私数据施行分级保护。差分隐私是具有严格数学定义的隐私保护模型,其以概率为基础量化了隐私保护程度,可以利用隐私预算ε对隐私保护程度划分等级。假设存在隐私保护等级的前提下,提出了分级隐私保护服务模型,基于VCG机制与最优匹配相结合的方法,为各级隐私保护服务制定合理的价格以引导用户理性地选择隐私保护服务等级。运用该机制为6个等级的隐私保护服务制定了相应的价格。分析表明,该服务模型中的定价机制可以合理地制定每个等级之间的价格,实现了隐私数据分级保护,优化了社会资源的配置。 基于深度学习的脊柱CT图像分割 脊柱CT图像具有组织对比度低,脊柱边界形态不规则,图像中存在噪声等问题。传统分割算法常需人工干预或引进先验信息,分割效率较低,不能很好地满足临床实时性需求。针对以上问题,将深度学习算法应用于脊柱图像分割,提出基于卷积-反卷积神经网络的脊柱CT图像全自动分割方法。在全卷积网络的基础上构建其对称的反卷积网络,卷积网络用于自动提取脊柱图像的深层次特征,反卷积网络将特征图循序渐进地恢复到原图像尺寸。在网络训练阶段,为了加快网络收敛速度、提高训练效率,采用预训练法和改进的随机梯度下降法来训练网络。利用训练好的模型,直接对输入图像每个像素所属类别的概率进行预测,达到分割目的。实验结果表明,运用该法分割脊柱CT图像所获得的准确度和实时性好,DSC指标约89. 63%。 基于VC和OpenGL的三维点云处理软件系统设计 随着计算机图形学技术的不断发展与进步,逆向工程技术在文物保护、模具快速化修复等领域得到了广泛应用,其实质是对所获取的点云数据进行有效处理。在Windows操作系统中,以Visual C++6.0为平台,结合OpenGL编程技术,设计并实现了对点云数据的快速读取与显示和交互控制;实现了对拾取得到的型值点进行NURBS曲线拟合的功能;通过实验验证了系统的可行性和有效性,导入和显示100 000个点对象所需时间为0.810580 s,满足点云处理的实时性要求,为点云的后续处理奠定了平台基础。 面向移动互联网的不良信息监控系统设计 针对传统的不良信息监控系统接收端容易受到吞吐量和传输时延等因素的影响,导致不良信息监控实时性和保真性差;提出基于数据汇聚协议优化调度的移动互联网不良信息监控系统设计方法;综合考虑系统的技术指标、系统性能进行系统的总体设计;功能模块包括信息采集信道设计、收发转换和功率放大模块、模拟信息预处理及不良信息的滤波检测模块等部分;设计基于数据汇聚协议优化调度的移动互联网不良信息特征检测算法,作为软件核心处理程序,在LabWindows/CVI平台上进行系统软件开发,实现系统优化设计;仿真结果表明,采用该系统进行移动互联网不良信息监控识别的准确度较高,可靠性较好。 一种改进的人脸识别CNN结构研究 为了克服人脸识别中存在光照、姿态、颜色等噪声的干扰,融合了卷积神经网络与孪生神经网络的优点,提出了一种改进的CNN网络结构,该结构由两个卷积神经网络组成,且共享网络权值,在该结构的训练中采用了差异深度度量学习(DDML)算法。卷积结构有效地去除外界噪声干扰,且在非线性降维中权值共享结构能够自动提取相同特征,DDML算法增加了提取特征的有效性。在ORL、Yale B和AR人脸数据库上实验结果表明,与PCA、CNN等算法相比,识别稳定度高,识别率提升近5个百分点。 数字语音表决器中数字语音模块的研究与设计 目前语音表决器中的语音模块多采用模拟信号处理,模拟语音信号传输过程中存在干扰、失真和数据信道不易扩展等缺点,同时语音模块与会议系统中其他模块数据格式不统一造成线缆连接复杂、系统集成度低。针对上述问题设计了一种基于TLV320AIC23芯片的数字语音表决系统解决方案。首先,该方案采用16 bit的语音信号采样数据,系统使用的模/数转换器和数/模转换器采用Σ-△过采样技术,抗干扰能力强;其次,对会议系统中的数据进行包装和解析,以便区分语音、表决、控制等不同数据,并采用时分复用方式实现并行传输;最后,根据不同的会议使用场景使用不同的并行传输策略。经验证该数字语音表决器可以克服语音系统中使用模拟信号存在的问题,提高了现有系统的通话质量,在会议系统中有更加广泛的使用场景。 一个P2P IPTV多协议爬行器——TVCrawler P2PIPTV网络测量是研究P2PIPTV行为和特征的重要手段,不仅有利于设计出更符合真实网络环境的系统或协议,也是实现P2PIPTV监测、引导和控制等方面的重要依据和基础。爬行器是P2PIPTV网络的一种主动测量技术,也是目前P2PIPTV测量的主要方式之一。提出了一个P2PIPTV多协议爬行器——TVCrawler,能够对PPLive、PPStream和UUSee三个系统的直播频道进行测量。TVCrawler主要具有三个特点:1)采用基于反馈的引导节点集构造机制;2)采用主从结构,并行爬行获取拓扑数据;3)采用基于拓扑增长系数的自适应爬行时长控制。实验表明,TVCrawler的爬行测量速度达到20~100节点/秒和130~500边/秒。 Asyn-SimRank:一种可异步执行的大规模SimRank算法 SimRank算法利用网络结构来评估网络中任意2点的相似性,它被广泛应用于社交网络和链接预测等诸多领域中.近年来,随着大数据技术的发展,SimRank算法处理的数据不断增大,人们利用MapReduce等分布式计算模型设计实现分布式的大规模SimRank算法来适应大数据处理的需求.但是,由于SimRank算法包含开销较大的迭代过程,每次迭代之后都需要一个全局同步,且每次迭代的计算复杂度高、通信量大,SimRank算法不能在分布式环境下高效地实现.1)提出Asyn-SimRank算法,该算法采用迭代-累积的方式完成迭代计算,异步执行SimRank的核心迭代过程,避免了大规模分布式计算中的大量同步开销,同时有效降低计算量并减少通信开销;2)提出关键点优先调度计算,提升了AsynSimRank算法的全局收敛速度;3)证明了Asyn-SimRank算法的正确性和收敛性以及关键点优先调度计算的有效性;4)支持异步迭代的分布式框架Maiter上实现了Asyn-SimRank算法.实验结果显示,相比较于Hadoop,Spark上实现的SimRank算法和Delta-SimRank算法,Asyn-SimRank算法大大提升了算法的计算效率,加速了算法收敛. 基于Unreal3的虚拟博物馆系统的实现 "随着虚拟博物馆技术研究的日益深入和广泛,对虚拟场景的真实感和沉浸感都提出更高的要求。为解决上述问题,本文提出一种新的虚拟博物馆系统开发解决方案,介绍采用Unreal3引擎构建虚拟博物馆的流程,通过三维建模、UV贴图、法线贴图、虚拟场景构建、实时布料动画、脚本程序设计等手段,实现整个博物馆的自动游览和交互漫游。""淮安市博物馆仿真系统""项目实践证明,本方案达到照片级别的实时渲染效果,并且给用户带来良好的互动体验,具备产业化应用价值。" 单向链表快速排序算法 单向链表广泛应用于动态存储结构,当前单向链表的排序算法普遍效率偏低,而平均效率最高的快速排序算法并不适用于单向链表。基于分治策略,使用递归方法,通过重新链接单向链表节点,提出了用于单向链表的快速排序算法,其平均时间复杂度为O(nlog2n),辅助空间复杂度为O(0),平均递归栈空间复杂度为O(log2n);同时,进行了算法分析和实验测试,其效率较其它单向链表排序算法有较大提高,且较传统基于线性表的快速排序算法也有一定提高。研究结果解决了当前单向链表排序效率较低的 尺度可调的核函数模型在震荡信号表示中的应用 传统固定尺度的核函数模型不适合稀疏地表示震荡信号.为了提高震荡信号表示的稀疏性,提出了一种尺度可调的核函数模型的建立方法.该方法通过正交最小二乘算法进行逐步回归建模,选择每一个回归子时,利用群搜索算法优化残差目标函数,计算相应的核函数的尺度.实验结果表明,可调核函数模型比传统的固定尺度核函数模型具有更强的稀疏性和泛化能力. MSOLA:基于多维分层采样的大数据在线聚集技术 在线聚集通过统计计算估计查询结果,能够在查询完成前给用户反馈,在大数据分析领域具有重要意义。现有研究工作采用统一随机采样策略,当查询出现小分组或低选择率时,导致估计结果不准确及收敛速度缓慢。针对这一问题,提出了结合负载特征和数据分布进行多维分层采样的有偏采样策略,并结合大数据处理平台Storm设计了结果估计和置信区间计算方法。实验证明所提出的方案有效提高了在线聚集估计结果的准确度,并且具有良好的扩展性。 基于SiGe工艺的高增益射频功率放大器 基于0.13μm SiGe HBT工艺,设计应用于无线局域网(WLAN)802.11b/g频段范围内的高增益射频功率放大器.该功放工作在AB类,由三级放大电路级联构成,并带有温度补偿和线性化的偏置电路.仿真结果显示:功率增益高达30dB,1dB压缩点输出功率为24dBm,电路的S参数S11在1.5~4GHz大的频率范围内均小于-17dB,S21大于30dB,输出匹配S22小于-10dB,S12小于-90dB.最高效率可达42.7%,1dB压缩点效率为37%. 基于本体的多维度用户需求获取 为解决需求信息获取欠充分和重用率低的问题,基于用户需求属性、需求本体及认知过程,研究了需求的获取与表达。依据需求的表述—存在状态,提出需求分类获取策略,以更充分地获取用户需求;构建了多维度用户需求本体模型,以规范化表达用户需求并实现需求联想;基于认知过程,将用户需求分为本能、行为、思维三个层次,建立器官、过程和环境拓展模型,挖掘各层次需求。以新型汽车线控转向系统的需求获取为例,验证了基于本体的多维度用户需求获取方法的可行性。 半指导的核聚类检测网络社团方法 近年来,复杂网络中的社团发现越来越受到研究人员的关注并且许多方法被提了出来。在这种背景下,最近李等人提出了一种用来评估社团质量的函数,称之为模块密度函数(即D值)。该函数显示了较高的D值对应于较好的社团结构,然而,优化该函数是一个NP难问题。通过模块密度函数D的半指导聚类优化,论证了模块密度函数的半指导聚类与核k方法的等价性并提出了一种新的半指导核聚类检测复杂网络社团方法。在一个经典的计算机产生的随机网络中检验了该算法,并与基于模块密度的直接核方法做了比较。特别地,当网络中社团结构变得模糊时,实验结果显示这种新的算法在发现复杂网络社团上是有效的。 节点影响力下无标度网络谣言传播研究 针对社交网络中节点对谣言态度的选择受邻居节点影响力的共同作用,考虑不同节点影响力的差异性,提出一种新的S2IR传播模型,研究谣言在聚类系数可变的无标度网络上的传播特性.该模型中节点间传播率的非一致性受节点影响力的权重影响,运用平均场理论,建立谣言传播动力学方程组,并利用聚类系数可变的无标度网络对谣言在该模型上的传播特性进行仿真实验.仿真发现,与经典SIR模型相比,考虑节点影响力的S2IR模型中谣言具有更快的传播速度和更小的传播范围,谣言重要程度以及节点辨识能力对谣言传播范围的影响幅度存在阈值.研究还发现,谣言传播范围随着网络聚类系数的增大而减小. 一种改进的三模冗余任务同步方法 为了实现三模冗余计算机三机之间精确、快速的同步,采用SOPC构建微型化三模冗余计算机平台.在传统任务同步的基础上,利用FPGA纯硬件通路和管脚众多的优势,采用数据并行传输和纯硬件表决的方式,提高了任务同步的精度.通过软硬件协同,大大缩短了三机同步时间开销.同时,完善的故障判决机制能更快定位同步故障,提高了三模冗余系统的可靠性.通过仿真结果,验证了该方法的有效性. 集成电路故障注入攻击仿真方法 故障注入攻击以设备要求低、效果显著逐渐成为芯片攻击的一种有效手段,对集成电路信息安全构成了严重威胁.为了尽早发现集成电路设计中的安全隐患,设计者需要简单有效的方法在设计阶段检测设计的抗故障注入攻击能力.为此,提出一种故障注入攻击软件仿真方法.首先设置3个基本参数生成包含故障数据的控制文件,构建复杂故障模型以模拟各种故障注入攻击技术;然后综合待测电路源码得到网表,在网表中插入采用可综合的硬件描述语言实现的故障注入逻辑得到新网表;再将新网表送入仿真器中仿真,仿真过程中故障注入逻辑自动读取控制文件将故障注入到待测电路中,同时收集待测电路输出;最后评估程序分析收集到的输出数据,给出评估报告.当待测电路提交给仿真系统后,系统自动完成故障注入攻击模拟和安全评估操作,给出待测电路抗故障注入攻击能力评估报告.对AES-128加密电路进行故障注入攻击和差分故障分析的实验结果表明,该方法简单有效;AES-128电路对翻转故障敏感,其输出端口的一些字节更容易出现错误,需要加强防护. 两类重要网络的传输延迟分析 提出了网络平均距离参数概念,用以度量网络的整体传输性能。与平均距离μ不同,网络平均距离μ′具有较强的网络应用背景。针对叉立方体网络的结构特性,给出了在交叉立方体网络中确定任意两个顶点之间最短路的长度和最短路条数的算法。从最短路、直径、平均距离、网络平均距离方面综合分析比较了超立方体网络和交叉立方体网络的信息传输延迟性能。 多功能USB 2.0集线器的设计与实现 在许多数码产品应用中,存在计算机USB接口不足,使用各种数码卡时需配备专用读卡器的问题。为解决这些问题,本文使用FE1.1S和AU6437芯片设计一种多功能USB集线器,可提供一个SD卡和Micro SD卡接口,以及两个标准USB 2.0扩展口。经测试,本设计硬件功能完善,性能稳定,符合USB 2.0标准,为多功能高速读卡器的设计提供了良好的技术参考和依据。 基于资源评价的信任管理模型 针对P2P网络中交易的安全性问题,提出了一种基于资源评价的信任管理模型。首先给出评价节点行为信任的好评度的概念,用模糊综合评判的方法计算节点对交易的单次好评度,每次交易后的交易记录表由提供资源的节点的母节点进行管理和存储;当节点选择提供资源的节点时,不仅考虑对目标节点的直接信任度,还考虑此次交易资源的总好评度,在计算直接信任度时考虑了时效性和交易资源的重要程度两个因素,交易资源的总好评度的计算数据来源于该资源的评价节点给出的以往评价;最后引入了基于虚拟货币的激励机制,以有效地提高节点参与的积极性。仿真实验表明,该模型能有效抵制恶意节点的攻击,提高网络交易的成功率。 一种组合导航终端测试系统的改进设计与仿真 对一种组合导航终端测试系统的设计进行研究。目前的测试环境仿真与实际情况存在较大差距。针对上述问题,为了更真实地模拟实际飞行试验,对GPS/INS组合导航终端整机的动态性能以及定位精度进行全面的考核,提出一种基于可编程GPS模拟器和三轴飞行转台的半实物仿真测试系统设计。简述了系统的组成和工作原理,对统一场景的建立进行了分析,采用网络时间同步协议实现了系统的精确时钟同步。算例仿真结果证明了测试系统设计的正确性和有效性。 基于IEC61499应用模型的形式化定义 为解决模型语义不明确导致系统执行行为和结果不确定等问题,利用数学集合论的描述语言,针对与平台无关由功能块网络组成的应用模型,提出了一种形式化定义的方法。在该方法中,定义了基本功能块和服务功能块的类型与实例,将应用模型形式化定义为一个由功能块类型、实例、应用模型的状态空间和外部输入/输出组成的集合,以此方便定制标准化语义,并为应用模型的形式化验证提供早期的形式化描述。以钻孔检测一体机为例,对工件加工的应用模型进行了形式化定义,为语义的形式化描述提供了数学基础。 基于运动函数的行走识别方法研究 结合人体生理特征与物体运动特点,提出了一种用运动函数表示人体运动的方法,来用于视频图像的运动检测。针对智能监控系统的特点,以人行走视频为例,通过提取实验数据并对其进行分析处理,得到人行走函数方程。在此基础上,进行实验验证人行走函数中各参数的有效性,得到人行走函数关系表达式。 节点关系强度感知的延迟容忍网络路由机制 综合考虑节点关系的准确评估对消息传输性能的影响,以及节点关系变化与节点运动的联系,提出一种基于节点关系强度感知的延迟容忍网络路由机制。利用节点在运动过程中所相遇节点的数量及数量的变化,描述节点关系强度及关系强度的变化,根据节点之间连接状态的信息,估计节点相遇概率,结合节点关系预测节点转发能力,根据节点关系强度和转发能力优化消息转发决策。仿真结果表明,该路由机制增大了消息投递率,降低了传输时延,提升了网络性能。 Web应用自动化测试的研究 "针对目前Web应用""捕捉/回放""式测试脚本复用率不高的问题,根据Web应用的特性给出了一种基于数据驱动的Web测试框架,并设计了基于XML语言的自动化测试脚本。该测试脚本描述了Web应用行为的多请求/响应的迁移模型,并清晰地定义了外部测试数据避免了数据""硬编码""的缺陷,可对Web应用不同方面(例如功能、性能)上进行测试。开发了一个测试执行的原型工具,它以测试脚本为输入并自动化执行测试用例并生成测试结果。" POEMS综合征伴硬化型骨髓瘤的影像学表现 目的:探讨POEMS综合征伴硬化型骨髓瘤骨骼病变的影像学表现。方法 :回顾性分析9例经临床及病理证实的POEMS综合征伴硬化型骨髓瘤患者的临床资料、实验室检查及影像学检查。重点分析其骨骼病变的X线、CT及MRI表现。结果 :POEMS综合征伴硬化型骨髓瘤好发于男性,中位年龄50~60岁。主要临床表现为多发神经病变、脏器肿大、内分泌异常、M蛋白、皮肤改变、血管外容量负荷增加、硬化性骨病。实验室检查:单克隆浆细胞异常增殖(通常是λ型),血VEGF升高。骨损影像学表现:累及部位多为中轴骨,如脊椎、骨盆。骨损大小不一,最大径约7cm,最小径约1~2mm;7例多发,2例单发;7例表现为骨质硬化型,3例表现为混合型(溶骨性骨质破坏伴周边硬化)。结论 :POEMS综合征是一种多脏器损害疾病,临床表现复杂,其伴发硬化型骨髓瘤的影像学表现有一定特征性,对早期诊断有一定帮助。 AUV侧向运动弱关联模型的稳定性分析 通过使用小参数μ刻画AUV纵向运动参数对侧向运动产生的交连耦合作用,建立了带小参数的AUV侧向运动弱关联模型。结合Lyapunov稳定性方法与向量函数理论,通过选择合适的测度对Lyapunov函数及其全导数进行估计,得到了弱关联系统μ稳定的代数条件,并且将其应用于AUV侧向运动弱关联模型,分析了小参数μ对反馈控制参数的影响。最后通过仿真实验,在选择不同反馈控制参数的情况下,验证了结论的正确性。 基于人类听觉系统的多频带语音增强 提出了一种基于人类听觉系统的多频带非线性谱减法来进行语音增强。根据人耳听觉特性,将含噪语音信号分在24个临界频带内,由各频带的不同信噪比来确定对应的谱减参数值。实验结果证明,在相同实验条件下,与功率谱减法(PSS)、非线性谱减法(NSS)和传统多频带谱减法(MBSS)相比,该方法增强后的语音信号具有更高的输出信噪比;能更好地消除背景噪声,抑制残留噪声;增强后的语音具有更好的可懂度和清晰度。 机电系统中实时控制软件状态图模型扩展及实现 单一状态图模型不能完整地表达机电系统实时控制软件中无状态特性的控制任务。为此,结合实时多任务控制的要求和特点,引入异步并发控制任务、周期控制任务和全局实时控制任务来扩展控制软件的状态图模型,从而更清晰地描述机电控制系统的多任务行为。系统实现时采用功能或功能块封装控制任务,利用功能或功能块的输入使能执行调度任务。应用结果表明,该建模扩展和实现方法降低了任务控制模块间的耦合度与控制软件的复杂性,通过任务调度提高机电控制系统的实时性,并且案例控制软件的扫描周期由原有的2 ms~3 ms缩短至1 ms~2 ms。 颜色直方图特征分割方法在火灾探测中的应用 火焰目标区域的分割是火灾探测的重要组成部分。有效的目标区域分割方法可以在早期排除掉背景的干扰,降低后续判别的运算复杂度。火焰的颜色具有区别于其他物体背景的独特的色彩分布规律,因此文中尝试引入一种基于火焰颜色特征的分割方法。先将视频采集的RGB图像转换到HIS空间模式下,提取各分量直方图,在图像色相和亮度分量中采用最大类间方差法选择阈值分割提取火焰区域。在实验应用中的结果表明这种方法实现了对火焰目标的有效提取。 新型总线中并行CRC算法的设计与实现 为了对动态可重构高速串行总线UM-BUS进行差错控制,提出了一种用于新型总线数据校验的四通道并行CRC算法。根据UM-BUS的多通道并发通信方式和通道动态组织特点,采用四体FIFO进行数据缓冲存储,并设计了满足总线特点的四通道并行CRC编解码器。在此基础上,给出了它的FPGA实现方案和仿真结果。该并行CRC编解码器,可实时计算总线通信数据的CRC校验码,已成功的应用于动态可重构高速串行总线系统中,实现对突发错误的实时检测,通信速率达到100Mbps/通道。 基于矩阵方法的量子纠错码构造 根据由简单无向图构造的量子纠错码与量子稳定子码的关系,利用与图对应的对称矩阵直接给出量子稳定子码的稳定子,由此提出一种基于矩阵方法的量子纠错码构造方法,通过将子矩阵变换为循环矩阵,找到满足特殊性质的矩阵,并证明对任意素数p>3,量子MDS码[[9,5,3]]p和[[8,4,3]]p存在,对任意素数p>7,量子MDS码[[9,3,4]]p存在。 云计算环境下格陵兰海盐度数据提取算法研究 海洋盐度与海洋浮游植物和海洋温度具有紧密联系,是研究海洋环流和海洋对气候影响的重要参量。以美国NOAA全球海洋信息数据库为数据来源,以经度65°N-85°N、维度20°W-10°E之间的北极格陵兰海地区为研究区域,详细讨论盐度数据的提取,提出时间复杂度为O(n)的盐度数据分步归并算法。利用微软Azure公有云按需付费、动态扩展的特点,获取廉价、便捷的计算资源,大大提升了计算效率。该算法具有很强的可扩展性,可以根据实际计算需求动态调整所需的计算资源,从而能满足不同计算规模的需求。实验结果表明,该算法可以对海量原始盐度数据进行快速分析和归并,生成经纬度、时间、盐度三个维度上的数据。 基于移动代理的油气田虚拟数据云集成模型研究与应用 针对气田信息分散、异构和跨平台的特点,传统的C/S模式不能满足信息集成和共享的需要,提出了集中和分布信息相结合的虚拟集成云计算模型,并把移动agent技术引入到气田虚拟信息中心,提出了基于移动agent分层模型,并引入了缓冲代理机制和XML交换技术,详细分析了各层涉及的各agent功能和agent的工作流程,利用MVC Struts 2框架结合aglet实现了该模型。该虚拟集成云平台在气田中的应用表明,系统灵活性高、可靠性强,具有较强的适应性,为相关类似行业虚拟云集成提供了新的方案. 一种无线传感器网络节点随机部署策略 针对无线传感器网络节点随机部署的盲目性,提出一种按随机均匀分布规律部署无线传感器网络节点的策略。证明在感知区域内,随机均匀部署的大量相互独立的传感器节点数目服从泊松分布,通过建立无线传感器网络节点分布模型,得到面积覆盖率与目标区域节点分布密度之间的关系,设计在目标区域内传感器节点数量的估计方法。实验结果表明,该策略能保证无线传感器网络的覆盖性和连通性,更有效地控制网络成本。 基于蓝噪声采样的多维标准井筛选可视分析 面向石油矿区大规模的测井数据,有效地抽取少量的钻井(即标准井)进行置信度较高的专家人工匹配,进而对全局钻井进行有监督的自动或半自动匹配,对于石油开采矿区的地质构造精确解释具有重要的意义.然而,标准井筛选是一个复杂而耗时的过程,和钻井的空间分布以及钻井之间的地质特征密切相关.因此,综合考虑钻井的地理空间位置和多维地质属性特征,提出一种基于蓝噪声采样的多维标准井筛选可视分析方法.首先,根据大量钻井的地理空间位置,利用蓝噪声采样算法自适应地确定标准井采样率及其采样范围;然后在标准井的局部采样范围内,设计基于动态规划的地层匹配算法,计算钻井之间的多维属性差异以度量钻井之间的地质特征相似度,进而利用MDS算法对钻井的匹配关系进行降维投影,将钻井的空间分布与多维属性差异协同可视化,支持标准井的自动或交互筛选;进一步设计属性视图和矩阵视图,直观地呈现钻井的原始多维属性数据和匹配关系,引导领域专家对标准井的筛选过程进行探索分析和迭代优化.最后,集成便捷的用户交互模式,开发基于蓝噪声采样的多维标准井筛选可视分析系统,帮助用户交互式地探索和分析多维属性测井数据,在综合考虑钻井空间分布及多维属性特征的基础上,有效筛选具有代表性的标准井,为后续的地质构造解释提供准确而可靠的数据资料和经验支持.大量实验结果进一步验证了文中算法的有效性和实用性. 基于BDF自动生成算法的温度场计算优化方法 船体温度场是液化天然气(LNG)运输船设计的核心内容。针对温度场迭代计算过程中因数据量大、迭代次数多导致的计算时间过长、MSC.Patran异常退出等问题,提出一种高效的温度场迭代计算优化方法。利用Patran命令语言获取载荷数据生成外部文件,采用C++标准模板库编程实现BDF自动生成算法以减少迭代计算时间。对220 000 m~3棱柱型LNG运输船进行船体温度场计算实验,结果表明,该方法在保证计算结果正确的同时,大幅提高了迭代计算效率。 三视图重建实体模型的算法研究与展示 本文通过html5的canvas功能实现在线绘制三视图,完成二维三视图原始数据的在线生成与预处理,继而以边界表示法(BR)等三视图重建实体的算法为基础生成三维实体,提出树形图生成基本环的策略,并且提出了切割点的求解方案,减少反复投影的次数,通过启发式的搜索策略有效的提高了算法的处理速度,以及三维候选元素与二维基元之间的深度信息寻找内虚实环,利用虚线信息以及非流行边基于分治算法和决策链算法提出删除假元新算法,通过对面的标识改进了现有的算法,解决了多解问题,最终生成有效的三维实体.最后利用webgl技术通过浏览器完成对三维实体的管道渲染与展示,让三维实体具有多角度的可视性和3D效果. 信息文档结构信任模式的提取及逻辑描述 信任模式是对事物可信判断的指导,根据信任模式可以高效、快速地建立信任。信息文档也具有信任模式。不同类型的信息文档有着不同的规范和要求。根据这些规范和要求,可以对信息文档结构信任模式进行提取。对信息文档结构信任模式进行提取,提取出的信息文档结构信任模式可用来判断结构的完整性、内容连贯性和格式的规范性。信任模式用ALCCTL逻辑描述,将信息文档进行模型检测验证。如果文档模型满足逻辑公式,那么文档满足这些信任模式;否则,定位出错位置,并输出其不符合的信任模式。根据这些出错信息可对文档进行校正、评审或可信计算。 非同步多点通信网络优化模型仿真分析 研究非同步多点通信网络模型,对保证通信安全具有重要的作用。由于非同步多点通信网络与传统网络不同,是由多个子网络构成,不同网络之间的通信时钟校验规则也不同,无法掌握所有网络通信的时钟校验规范,也难以准确获得数据特征,导致传统的网络模型在进行非同步多点通信网络通信时,无法建立统一的时钟校验标准,存在较为明显的不同网络延迟现象。提出采用多属性时间约束动态模型的非同步多点通信网络优化模型方法。针对非同步多点通信网络,建立多属性约束时间模型,获取非同步多点通信网络的多属性时间约束条件,获取非同步多点通信网络通信过程的通信模型,实现非同步多点通信网络通信过程模型优化。实验结果表明,利用改进算法得到非同步多点通信网络优化模型,能够有效提高通信效率,降低误码率,同时降低通信数据传输的信噪比。 灰洞检测:基于链路质量估计的看门狗算法 传感器网络中的数据传输依靠节点间的合作,但是节点被入侵者俘获而成为恶意节点后会发起灰洞攻击,从而大幅降低网络性能。现有的检测算法主要依靠统计节点的接收转发行为来检测灰洞。但是传感器网络的链路质量会导致节点的自然丢包,现有检测算法难以有效区分。针对此问题本文提出基于链路质量估计的看门狗算法以及最优阈值理论,利用链路质量来修正节点的统计结果,根据网络环境调整参数,最小化误报、漏报概率,提高算法正确率。仿真实验结果表明本文提出的算法能够有效地降低检测误报、漏报率。 基于分布式统计时间序列的网络流量分析 研究网络数据在分布式存储下的相关性,有利于入侵检测整体的学习和指导优化数据的存储。重点研究了网络传输过程中各种类型数据的流量的这种相关性,提出了一种基于分布式统计(DS)的时间序列分析方法:根据网络协议间的关系将数据包分组,分析数量关系并给出报警阈值。仿真实验结果表明,该方法能较好地发现各种网络攻击。 结合背景更新和亮度范围的改进Codebook模型算法 传统的Codebook背景模型算法是在RGB颜色空间下建立的,该算法计算复杂、分割质量不高且抗扰动能力较差。首先利用YUV颜色空间下亮度与色度分离的特性,建立Codebook背景模型,其次针对背景模型的亮度范围定义不合理的问题,采用码本中码字的Y分量均值重新定义亮度范围,最后在运动目标检测过程中使用双层码本与短时滑动窗口相结合的背景更新方法提高抗干扰能力。实验表明,改进算法的亮度范围能够随背景变化不断更新。与传统的Codebook背景模型算法相比,该算法能够有效更新背景,同时提高检测的准确性。 基于降阶的最小生成树快速算法 在分析最小生成树问题数学性质的基础上,给出了一种基于降阶技术的快速最小生成树算法。该算法采用降阶技术,大大加快了算法的求解速度,在最坏情况下算法的时间复杂度为O(m);另一方面,算法易于找到问题的全部最小生成树。 因特网上基于节点角色的计算资源共享平台——RB-CRSP 提出了因特网上基于节点角色的计算资源共享平台——RB-CRSP。设计时充分考虑节点的角色性和功能性,把因特网上的网络资源按照角色划分为服务器端节点、协调节点、工作机节点与客户机节点四类实体,通过配合RB-CRSP的应用编程模式,完成并行分布式计算。分析了RB-CRSP中的自适应资源调度策略,该策略考虑了节点的硬件信息与可信誉机制,实现了平台的负载均衡性;在动态的因特网环境下,利用面向工作机的容错方式保证了平台的可靠性。案例程序选择了典型的并行BenchMark程序:N皇后问题,测试结果表明,RB-CRSP可以方便聚集异构环境下的空闲计算资源,平台的性能与机器硬件条件和可靠性密切相关。 求解旅行商问题的二阶段演化算法 对Inver-over算子进行了改进,提出了1st-Inver-over算子和2nd-Inver-over算子,实现了求解TSP问题的基于改进Inver-over算子的二阶段演化算法(Two-stage Inver-overEA)。在算法前期,只采用1st-Inver-over算子来保证算法的收敛速度;在算法后期,根据种群的多样性自适应地选取1st-Inver-over算子和2nd-Inver-over算子来协调算法的收敛速度和种群的多样性。在TSPLIB(Traveling Salesman Problem Library)中的典型实例上的实验结果表明,Two-stage Inver-over EA比经典的GT算法具有更好的收敛性和搜索效率。 基于Gnutella的P2P网络路由改进算法 洪泛算法是无结构P2P网络的基本路由算法,但产生的巨大冗余信息严重降低了该算法的效率。结合洪泛搜索算法和随机走动算法的优点,在维持了洪泛算法响应时间短、稳定性高、结构简单的基础上大大减少了冗余信息的产生,实现了一种改进的路由搜索算法:跳跃随机式洪泛算法。实验结果显示,在保持理想的节点覆盖率的情况下大大减少了冗余信息,提高了搜索效率,改善了网络运行环境。 一种能量延时优化的无线传感器网络信息分发策略 研究无线传感网络中的信息分发问题,结合复杂动态网络信息传播模型理论,提出一种能量和延时优化的信息分发策略(energy and delay opti mizedinformation dissemination strategy,EDOIDS)。该算法无需节点定位信息和网络拓扑信息,立足信息传播逐步向外扩展的动力学特性,利用接收节点的接收端信号功率强度指示(RSSI)估计接收节点与发送节点的相对距离,建立节点转发优先级,确定MAC层转发时延。该算法在最大化覆盖新区域的同时能够有效降低碰撞,缩短整个系统的传播时延,同时,通过MAC层的监听机制以及复杂网络谣言传播理论,控制节点的转发权,抑制冗余,降低能耗。 面向正负关联规则的方剂配伍规律挖掘算法 针对已有中药数据挖掘中药物间的配伍规律以及传统关联规则挖掘算法在发现方剂药物配伍知识方面存在的诸多不足,提出一种基于正负双支持度的关联规则挖掘算法.在频繁项集发现阶段,引入最大支持度以解决过频繁问题,通过建立负项频繁模式树进行递归挖掘,引入支持度计数矩阵提高了正负频繁项的发现效率.强关联规则发现阶段,通过设置合适的置信度阈值和采用互信息进行相关性分析判定药物项集的关联关系.实验结果验证了所提方法较传统关联规则挖掘算法在中药方剂配伍规律挖掘的时效性和准确性上有较大提高,为中药方剂的配伍规律探索和新药研发提供新思路. 等值线绘制中的多重网格剖分快速搜索算法 提出一种多重网格剖分快速搜索算法,该算法首先将采样数据进行多重网格剖分,建立网格索引;然后通过索引搜索多重网格,合并采样数据;最后利用快速搜索算法得到的采样数据计算出待插值点。实际数据的网格化测试结果表明,该算法有效地提高了散乱采样数据的搜索效率,具有实际应用价值。 飞机外场级平均修复时间计算方法研究 研究飞机外场级平均修复时间计算模型,以某型机当前外场故障数据实际统计情况的分析为例,计算出飞机平均修复时间,为维修性定量指标的计算分析提供了借鉴。 基于谐波加噪声激励模型的改进语音合成算法 传统基于隐马尔科夫模型(HMM)的语音合成算法使用高斯白噪声和脉冲串来表示清浊音的激励信号,合成的语音较为嘈杂。为提高合成音质,基于谐波加噪声激励模型,提出一种语音合成算法。将语音信号逆滤波得到声门波信号,对声门波信号进行谐波分析提取谐波成分,并计算谐波成分的线谱对参数作为谐波特征进行HMM训练。在语音合成时根据新生成的特征参数重构出低频段谐波部分与高频段噪声部分,并将两者混合作为语音的激励信号进行语音合成。实验结果表明,与基于脉冲激励的语音合成算法相比,该算法生成的语音频谱更接近自然语音,并且能够有效地减轻合成语音的机器声,提高合成语音的自然度。 校园网宽带接入备份方式的研究和实现 校园网宽带接入设备的稳定性对于整个网络系统的稳定与业务至关重要,为了确保校园网宽带接入设备的稳定运行,通常采用传统的冗余备份技术,然而这些技术需要复杂的配置而且存在不同的局限性。文中比较了PADO DELAY、冗余TRUNK和虚拟化技术三种冗余备份技术的优缺点,结合学校实际,采用了网络宽带接入设备的虚拟技术,将多台在软硬件相似的网络核心设备结合在一起,虚拟成一个设备,从而使网络配置问题大大简化,而且从系统架构的高度根本上解决了上网用户状态的热备份需求。 基于社交网络分析的诈骗团体挖掘方法研究 微博作为一种重要的社交方式,逐渐融入大众生活,用户在平台上可以随时随地抒发个人情感、分享信息等。微博在给人们带来信息传递之便利的同时,也带来了不少不法分子利用其进行诈骗的问题。诈骗团体利用微博设置语言陷阱,以此骗取他人钱财、夺取他人利益。对此,利用社群图表示微博社会网络,该网络是一有向图,节点表示微博用户,连接线表示微博传播路径,以此连接微博发起节点和微博转发节点。另外,研究社会网络分析的方法和数据挖掘的技术,对诈骗团体进行分析,对该团体应具有的组织结构、特性进行定义,分析出微博中诈骗团体应该具备的特征,并以此寻找微博中潜在的诈骗团体,帮助用户识别诈骗,避免上当受骗。最后用案例验证了该方法的有效性。 基于CAN总线软件的测试系统CSTS的设计 分析如何构建基于CAN总线软件的测试系统CSTS(CAN-busbased Software Testing System),阐述CSTS系统的总体设计目标和总体结构,并在此基础上分析了CSTS的硬件系统设计方案和软件系统设计方案。 基于PDFBox抽取学术论文信息的实现 为了对学术动态、热点及学术发展趋势进行研究,需要对学术研究论文进行数据挖掘研究。首先需要从海量的学术论文中提取有兴趣的信息。针对目前学术论文大多采用PDF格式的现状,重点研究了PDF文件的格式以及对PDF格式操作的各种技术,采用开源函数库PDFBox对PDF格式的学术论文按照规则进行信息的提取,提取的信息主要包括学术论文的标题、作者、单位、关键词、发表时间、摘要等信息。最后对提取信息的正确率进行了统计,有助于针对学术研究的大数据研究。 新的拟物理学机制全局最优化方法 为了探索新的拟物理学机制优化方法的寻优机制,提高拟物理学机制优化方法的性能,提出一种新的拟物理学机制的全局优化算法。首先,该方法以核力场作为物理学启发机制,相对于其他启发机制,拟核力场机制具有更好的普适性,能充分体现数据质点在解空间分布的聚簇特性,因此该方法具有更好的收敛速度;其次,该方法将优化问题的可行解视为核力场空间中有一定质量的虚拟质点,在核力场作用下搜索全局最优解,因此该方法也具有好的全局搜索能力;最后,通过一组典型测试函数的比较计算,证明了该方法的有效性。 求解装卸混合车辆路径问题的模拟退火遗传算法 "提出一种改进的模拟退火遗传算法来求解装卸混合车辆路径问题;通过使用模拟退火变异策略来增强遗传算法的局部搜索能力,从而改善遗传算法的""早熟""问题,使算法有能力避免陷入局部极值而快速收敛于全局最优解;仿真实验结果表明了所提算法求解装卸混合车辆路径问题的有效性与适用性。" 一种孤立点挖掘的混合核方法 孤立点是不具备数据一般特性的数据对象。支持向量机(SVM)将数据点映射到高维特征空间,通过划分最大间隔的超平面来分离孤立点和正常点。利用支持向量机在处理小样本、高维数及泛化性能强等方面的优势,提出了一种新的基于高斯过程潜变量模型(GPLVM)和支持向量分类的检测模型算法。利用GPLVM提供潜变量到数据空间的平滑概率映射实现数据降维,然后通过SVM交叉验证进行孤立点检测。在KDD99数据集上进行了仿真实验,数值结果表明该算法在保证低误报率的前提下能有效地提高检测率,证明了方法的有效性。 相对主元分析在船舶机舱监控系统的应用 目前船员对于船舶状况、航行状态等的判断主要还是依靠经验,难免会出现不恰当的决策,造成不必要的人力、财力的损失;针对这一问题提出并开发了一套融入相对主元分析船舶机舱监控系统;该系统除了具有常规机舱监控系统功能外,还能实现故障监测功能;协助管理人员进行系统故障的分析,从而更好地保证船舶航行的安全与效率,减少人为误操作带来的事故问题;增强了船舶监控系统的功能,大大提高了人员的工作效率减轻了人员的劳动强度;其设计满足船级社的定期无人机舱值守操作,为机舱监控系统的设计提供了一种新的思路。 遥感卫星对地覆盖仿真系统的设计与实现 卫星对地覆盖的仿真可以直观、形象地显示对地覆盖方案,并检验其准确性和高效性。当前常见的卫星对地覆盖仿真平台扩展性差、功能不够完善。为此,提出了一种基于Unity3D平台的遥感卫星对地覆盖仿真系统的设计方案。该方案通过建立卫星扫描覆盖模型来计算卫星对地覆盖范围,通过Unity3D脚本系统控制模型的运动、绘制卫星运动轨迹及地面覆盖区域,并在三维场景中动态显示。针对卫星轨道计算问题,采用了NORAD发布的MSGP-SGP4模型,精确计算卫星位置和速度。仿真实验结果表明,所提出的设计方案首次将Unity3D应用到对地观测仿真领域,可准确计算并形象展示卫星对地覆盖区域,具有扩展性好、设计简单和可跨平台应用等优点,拥有较高的推广应用价值,对卫星成像任务规划、卫星轨道设计和教学演示等具有重要意义。 阈值自适应有声出版物语音自动分割算法 为完成有声出版物中的语音自动分割,建立了一种时间阈值自适应加相似度判决的系统分割模型。时间阈值的确定是系统设计中的一个难点,为此基于脚本中的先验知识提出了时间阈值自适应分割算法。为提高系统的抗干扰能力以增强其适用性,提出了基于语音单元相似性进行结果验证的新方法。测试表明录音过程中不同语音单元间略作停顿时,机器分割率在95%以上,分割的正确率100%。 基于流密码的可重构处理结构及其专用指令集研究 在对多种流密码算法生成结构进行分析的基础上,提出一种基于流密码的可重构处理结构,并在总结重构流密码算法使用频率较高的基本操作类型的基础上,为该流密码可重构处理结构设计了一种专用指令集。描述了指令的具体格式,并对指令性能进行了评估。结果表明,该指令集作用在该流密码可重构结构上可灵活高效地实现多种流密码算法。 截面数据分段点高精度识别方法 针对截面数据分段点提取精度不够而导致截面曲线重构精度差的问题,提出一种分段点精确提取方法。重点研究相邻特征为直线特征和自由特征在满足G1连续时分段点的精确提取。根据曲率分析并辅以交互经验提取初始分段点,以该分段点为界分割截面数据,提取出直线特征数据并拟合直线,剩余数据拟合样条曲线。根据拟合的样条曲线设计判定方法,得到新的精度更高的分段点。以新分段点为边界并基于与直线的相切约束重新拟合样条曲线。提出的分段点提取方法不但能够在现有数据中寻找分段点,而且可以超越现有数据点并在其之间寻找更精确的分段点。实例表明,该方法大大提高了分段点的提取精度,使重构的截面曲线更加符合初始设计意图。 面向混合类型关键词查询的非合作结构化深网数据源选择 为有效地利用深网中的资源,深网集成应运而生.为了提高深网集成的效率和返回结果的质量,数据源选择成为深网集成的关键技术.深网数据源大多数是结构化和非合作型的.当前已有的非合作结构化深网数据源选择的研究分为2类:一类是面向离散型关键词查询的源选择;另一类是面向字符型关键词查询的源选择,而未见面向混合类型关键词查询的结构化数据源选择的相关研究.基于此,将用户查询关键词分为检索型关键词和约束型关键词,基于主题词与主题词、主题词与特征词和直方图与直方图的关联特征构建了面向检索型、约束型混合关键词查询的层次化数据源摘要,有效地反映了非合作结构化深网数据源选择中检索型关键词的检索意图和约束型关键词的约束相关性,并依据此摘要给出了相应的数据源选择策略.实验结果表明,该方法在面向混合类型关键词查询的非合作结构化深网数据源选择时具有较好的记录召回率及准确率. 基于虚拟现实技术的电力安监仿真培训系统 电力安监培训是提高操作人员素质,保证电力系统安全、有效运行的重要手段之一,将虚拟现实技术引入其中,不但能节省教师人力资源和硬件设备资源而且增强了培训效果。本文介绍了基于虚拟现实技术开发的电力安监仿真培训系统中的三维建模、碰撞检测和交互行为控制等关键技术,并详细叙述了系统的架构和各模块的功能。系统的开发应用为电力作业人员的培训带来方便,有效提高了电力安全作业水平。 改进PageRank算法对微博用户交互行为的影响 针对微博用户交互行为内容简单,传播速度快的特点,采用传统方法时由于用户活跃度与传递系数的关联性低,微博信息的检测目标不准确,导致微博用户交互行为确定不合理和无效的问题。提出基于交互行为的微博用户影响力改进算法。综合考虑了粉丝质量,以及关注、转发、评论等交互行为对用户影响力的影响。通过分析微博用户交互特征及其对用户影响力的作用,选取微博信息被接受率、用户活跃度、用户间交互强度、用户间影响强度及影响力传递系数等指标来度量交互行为对用户影响力的作用强度,进而依据PageRank算法原理构建新的改进算法。最后,利用腾讯微博用户数据,证明改进算法能较好反映用户转发、评论等交互行为,并能够削弱不活跃僵尸粉影响,更贴近人们对影响力的一般认知,因而更有效、合理。 一种并行信号处理软件的集成开发框架 论文提出一种面向信号处理应用的并行软件可视化开发框架。首先采用SDF图对信号处理应用进行分析,建立并行信号处理计算软件元模型,使用EBNF范式描述元模型。其次使用Eclispe GMF建模技术构建基于元模型的信号处理并行计算软件可视化建模平台,并实现了图形模型到XML目标模型的解析转换。最后运用实例验证了该框架的有效性。 基于不平衡分类的人脸检测系统 人脸检测是生物特征识别技术中一个关键技术。针对人脸检测中正负样本类别不平衡的特性,提出基于BalanceCascade不平衡分类算法的人脸检测系统。系统通过控制分类器的误报率使得每层正负样本的规模相当,然后加权所有弱分类器构建最终的强分类器,消除训练正负样本不平衡的特点。在ORL人脸数据集上进行实验,采用F-measure和AUC作为评判标准,相比于传统的AdaBoost和UnderSamping不平衡分类算法,实验结果表明BalanceCascade算法优于传统的不平衡分类算法。 基于数据流截获技术的仪器状态监测方案 针对由计算机控制的仪器工作状态监测问题,提出一种基于计算机串口的数据流监测方案,采用纯软件的方式,规避硬件监测的风险,通过截获串口与仪器设备之间的数据流进行模式匹配,实现仪器状态监测。为提高数据流变化的检测效率,采用加权的核拟合密度估计算法代替传统的M-核估计方法,降低系统资源的占用率。 基于Hadoop平台的SVM_KNN分类算法的研究 数据的变革带来了前所未有的发展,对丰富且复杂的结构化、半结构化或者是非结构化数据的监测、分析、采集、存储以及应用,已经成为了数据信息时代发展的主流,分类和处理海量数据包含的信息,需要有更好的解决方法。传统的数据挖掘分类方式显然已经不能满足需求,面对这些问题,这里对数据挖掘的一些分类算法进行分析和改进,对算法进行结合,提出了改进的SVM_KNN分类算法。在这个基础上,利用Hadoop云计算平台,将研究后的分类算法在MapReduce模型中进行并行化应用,使改进后的算法能够适用于大数据的处理。最后用数据集对算法进行实验验证,通过对比传统的SVM分类算法,结果表明改进后的算法达到了高效、快速、准确、低成本的要求,可以有效地进行大数据分类工作。 软件项目风险评估算法的仿真研究 研究软件项目风险评估准确性问题,软件项目具有复杂性,软件风险因子具有不确定性和非线性,采用传统数学方法无法建立准确软件项目风险评估模型,同时由于受到人为的主观因素的影响,导致软件项目风险评估准确率比较低。为了提高软件项目风险评估准确率,提出一种RBF神经网络的软件项目风险评估模型。模型首先采用专家系统构建软件项目风险评估的指标集,再用德尔菲法对评估指标打分,最后将指标得分和风险等级分别作为RBF神经网络输入和输出,通RBF神经网络的智能学习得到最优软件项目风险评估模型。在MATLAB平台对软件项目评估数据集进行仿真,结果表明,RBF神经网络模型克服了传统数学评估模型的缺陷,提高了软件项目风险评估的准确率,降低软件项目风险评估误差,提供了一种软件项目风险评估的依据。 一种提取反向协同显著区域特征的表情识别算法 针对单幅表情图像识别缺乏表情间关联性及单分类器的局限性问题,提出一种基于反向协同显著区域特征的人脸表情识别方法.该方法首先对数据库进行预处理,获取表情图像的纯人脸区域,再选取相同的人七张不同表情图像,利用反向协同显著区域算法对选取图像提取表情之间的变化区域并作为显著区域,然后利用纹理和形状特征对显著区域进行描述,最后采用多分类器决策机制进行分类.在JAFFE和CK人脸表情库的实验结果表明,该方法在降低特征维度的同时,能挖掘出表情的显著区域部分并能对表情进行有效的描述,与其他近似的人脸表情识别方法对比,识别率平均提高了2.5%. 基于不规则路径聚类算法的用户访问模式挖掘 通过分析目前主流的Web用户访问模式挖掘的技术方法,针对各种挖掘算法存在复杂度高、灵活性低的缺陷,本文提出一种能对不规则用户访问路径进行用户访问模式挖掘的聚类算法,该算法可以简单高效地挖掘不定长度的用户兴趣模式。 机动式指挥信息系统可靠性评估方法研究 根据机动式指挥信息系统的组成及系统可靠性模型,对系统的可靠性进行合理评估,对提高系统的可靠性和作战效能具有十分重要的意义。论述了机动式指挥信息系统的可靠性模型与指标,分析了机动式指挥信息系统可靠性评估的数据来源,并结合具体实例对评估方法进行了探讨。 棉花红螨病害叶部图像特征提取研究 目前在棉花生产中辨别病害主要以目测为主,主观判断占据主导。为了实现棉花红螨病害及时、可靠的诊断,基于计算机图像处理技术对病害叶部图像特征进行提取研究。首先利用直方图法提取叶片图像G/R和色调H的均值与方差作为颜色特征,其次由灰度共生矩阵法提取叶片灰度图像的熵和惯性矩作为纹理特征。实验表明,以上特征值能较好区分棉花红螨病害叶片和正常叶片。该方法用于棉花红螨病害的诊断,将会大大提高病害识别的准确率,对棉花红螨病害的有效治理有重要意义。 基于结构相似性的需求资源本体匹配方法 为解决国防动员指挥流程中需求、潜力等信息资源描述不一致、匹配不高效问题,在构建具有相似结构的需求和资源本体的基础上,提出基于结构相似性的需求资源本体匹配方法。该方法首先利用需求和资源本体清晰且相似的概念层次结构,对其进行基于本体树的概念类映射,减少概念对的搜索空间,提高了匹配速度;然后对映射类的实例集采取属性约束的方法进行精确匹配,确保了匹配质量。最后以某部国防动员指挥所中的交通运输业务为例,验证了该方法的可行性和有效性。 基于VxWorks的串口服务器研究与实现 对于串口服务器的应用领域环境恶劣、实时性和稳定性要求高,提出一种基于MPC8280处理器的串口服务器软、硬件设计方案。将UART转PCI专用芯片XR17D158作为从设备挂载到MPC8280的PCI总线上,可以扩展出8路UART接口的串行数据通过PCI总线传送到MPC8280主控端。将该设计应用在造纸工业的纸卷输送包装智能控制系统中,结果表明,该串口服务器可在工业环境中稳定运行,能够控制多路串口设备,实现远距离实时数据传输。 遗传算法在考虑能耗的单机批调度中的应用 能耗总成本已成为生产调度中一个重要考虑因素,需要在最大完成时间和能耗总成本之间进行权衡,论文将遗传算法(GA)应用到考虑能耗的单机批调度中,并建立同时优化最大化完成时间和最小化能耗总成本的差异工件单机批调度模型.通过遗传算法在考虑能耗(CEC)和不考虑能耗(IEC)下求出非支配解集,利用工件分批的优化和对遗传选择算子的改进,以保证搜索的效率.实验结果表明,与IEC相比,在CEC下使用遗传算法求出的解效果更好,且随着问题规模的增大和工件加工功率的增加,所得解的优势更加明显. 一种新的高性能计算机互连网络及其并行仿真 介绍一种新型的高性能计算机专用网络拓扑结构MPU,包括其数学模型、技术实现、路由算法等.从理论上分析了MPU的性能,并就其性能与目前先进的3-D Torus网络等进行了数学上的对比分析,MPU的大部分性能指标均优于3-D Torus网络.本文还实现了一个为MPU开发的大型并行模拟器MPUS,介绍了MPUS的架构、实现、工作流程等,最后给出了仿真结果.实验证明,MPU设计正确,且MPUS具有良好的扩放性. 面向压缩感知的稀疏度自适应图像重构算法研究 自压缩感知理论(Compressed Sensing,CS)提出以来,重构算法的研究在CS技术中占据着重要地位,并受到了学者高度重视.针对目前重构算法在信号压缩采样中稀疏度未知这一缺点,提出一种稀疏度自适应的压缩采样匹配追踪算法(Sparsity Adaptive Compressive Sampling M atching Pursuit,SACo Sa M P).同时结合峰值信噪比(Peak Signal-to-Noise Ratio,PSNR)、重构误差概率(Reconstruction Error Possibility,REP)等指标衡量算法的图像重构性能,仿真结果表明:在测量矩阵满足有限等距性质(Restricted Isometry Property,RIP)的条件下,本文提出的算法具有自适应能力强,准确度高,图像重构效果佳等优点. 基于人工鱼群遗传算法的异构多核系统任务调度研究 异构多核系统的任务调度问题已经被证明是一个NP完全问题。人工鱼群算法在算法初期具有较快的收敛速度,后期收敛较慢,而遗传算法的种群初始化具有较强的鲁棒性,初始化种群的质量直接影响着遗传算法的性能。本文提出了一种将人工鱼群算法与遗传算法相结合的任务调度算法,首先分析了异构多核系统的任务调度问题的本质,使用改进的人工鱼群算法来构建遗传算法的初始化种群,并使用改进的遗传算法进行迭代进化,从而提高了算法的收敛速度。 基于图划分的谱聚类方法的研究 谱聚类算法已得到机器学习领域的广泛关注,其算法思想来源于谱图理论,通过矩阵的特征分解获得数据的低维嵌入,并用于后续聚类中。介绍了谱聚类方法的基本原理和算法思想,指出现有的谱聚类算法中存在初始化敏感、如何自动确定聚类分组数以及如何降低问题复杂度等问题,并针对存在的问题提出了相应的解决方法。 DTN中服务感知的自适应消息转发路由算法 在PROPHET算法的基础上,提出一种服务感知的自适应消息转发DTN路由算法SAAMFR。该算法在估算传输概率时考虑节点相遇的连通时长以提高传输概率估算的精确度,采用区分服务机制,实现紧急消息优先传输。同时根据传输概率进行自适应消息冗余转发,在提高传输性能的同时,又控制网络开销。仿真结果表明,该算法在传输性能和网络开销之间达到很好的折衷效果。 一种新型战术数据链MAC协议 针对Link-16数据链无法满足未来战争中为实现移动目标精确打击而提出的时敏信息可靠传输要求的问题,提出一种基于多信道优先级统计(MCPS)的MAC协议。MCPS协议将不同优先级业务发送的门限阈值与反应信道忙闲情况的信道占用统计值作为判定数据包是否能够发送的条件,从而极大降低信道冲突,同时保证数据发送的实时性。仿真结果表明,随网络节点增多,Link-16数据链延时急剧增大,而MCPS协议的端到端延时恒定在3~4毫秒内;随着网络业务量增大,Link-16系统吞吐量无法承载持续增大的业务量而导致严重的丢包率,MCPS协议则能够满足高业务量网络的要求,并保障数据包一次发送的成功率不低于95%。 循环流化床锅炉优化控制实现方法研究 循环流化床锅炉由于其对于燃料适应性强,燃烧效率高,污染控制特性好,负荷调节范围大等优点,在工业生产以及发电领域有很广泛的应用。但是由于其燃烧过程非线性,时变,大滞后,多变量耦合等特性,使得流化床锅炉很难达到设计要求,工作在十分不经济的工况下。因此,研究流化床锅炉燃烧特性,提高流化床锅炉的自动控制水平对于发挥流化床锅炉的优点具有很大的意义。在分析流化床传统控制方法的基础上,得出只有采用先进智能控制算法才能够适应流化床燃烧对象的特性,而先进智能算法在现场控制系统中很难实现,因此将先进智能算法和外接优化控制站相结合,提高流化床锅炉自动控制水平。通过实际运行效果表明,方案可行并具有一定的推广性。 电子警察闯红灯前端抓拍系统的研究与设计 为了更好地保障城市的交通安全,实现对城市交通的智能监控,设计了一种基于视屏检测的电子警察闯红灯抓拍系统,并阐述了该系统在智能交通中的应用,为对闯红灯等违章行驶车辆进行有效的视频跟踪和抓拍提供了可靠保障。首先,信号机通过串口发出交通灯信号,触发视频检测程序从而控制摄像机工作,然后摄像机和视频检测程序判断车辆是否闯红灯并进行抓拍。经验证表明,该系统已达到了实用要求,在智能交通领域将有广阔的应用前景。 基于区域控制的低功耗自适应聚类路由协议 为均衡并降低无线传感器网络节点能耗,延长网络的生命周期,提出一种基于区域控制的低功耗自适应聚类路由协议。通过确定能量中心进行区域划分,在划分的区域内完成簇首选择与分簇,在簇首之间建立数据传输路由,从而实现整个网络的低功耗通信。利用Matlab进行仿真,结果表明,该协议网络节点开始衰减的时间为第700 s左右,且节点死亡速率相对缓慢,其网络存活时间比LEACH协议明显增加,能保证通信可靠和能量分布均衡。 一种强抗压缩的矢量地图数据盲水印算法 针对矢量地图水印强鲁棒性、数据精度和盲检测等要求,提出一种强抗压缩能力的盲水印算法。首先对地图数据进行道格拉斯-普克算法压缩,然后通过改变相邻特征点所组成的三角形的形状嵌入水印。该算法在检测水印时无需原始地图,且对平移、旋转、缩放、随机增加顶点、裁剪和压缩等攻击具有非常强的抵抗能力。实验结果表明,该水印算法具有强鲁棒性、数据精度高以及实用性的优势。 基于超分辨率和深度神经网络的车型识别 车型识别在视频监控系统中起着关键作用,文中利用深度神经网络和超分辨率来实现交通监控中的车型识别。利用深度卷积神经网络CaffeNet,并采用先进的深度学习框架CAFFE和具有强大计算能力的GPU来完成对车辆的车型识别。在图像预处理阶段,采用一种基于深度学习和稀疏表示的图像超分辨率(SR)重构算法,来增强图像的细节信息。其中首先基于深度学习模型自编码器,提出一种改进模型非负稀疏去噪自编码器(Nonnegative Sparse Denoising Auto-Encoders,NSDAE)来实现字典的联合学习,然后基于稀疏表示实现车辆图像的超分辨率重构。经实验验证,在加入超分辨率处理之后,车型识别效果在精确度上得到了明显的提升。 基于节点兴趣的非结构化P2P网络拓扑结构研究 针对非结构化P2P网络中资源搜索算法搜索效率低、冗余消息量过多等问题,结合非结构化P2P网络拓扑结构特点,提出一种基于节点兴趣的完全二叉树(CBT-BI)非结构化P2P覆盖网络拓扑结构。在兴趣相似度高的节点之间建立逻辑连接,根据兴趣相似度值在完全二叉树中按序排列;在资源搜索过程中,使用基于洪泛算法的双向资源搜索方法。仿真结果表明,该拓扑结构使得节点能在短时间内查找到有效的资源,减少查询消息量,缩短了平均路由路径,提高了资源搜索效率。 共用态势图应用的实现和集成技术 通过对共用态势图(COP)系统的体系结构的研究,分析了在以网络为中心的环境中,各种数据分发技术在无缝集成不同COP系统节点时的分发能力和应用场景。根据COP系统的主要服务和内部功能,设计了系统的实现架构,提出了对系统进一步分解地可实现的逻辑组件类。在此基础上给出了原型系统的初步实现,并通过实验验证了COP系统在应对突发事件时为用户提供共享态势感知、快速响应和协同行动的基本功能和能力。 基于效用的容迟网络路由技术研究 概述了容迟网络路由技术的发展、面临的挑战和评价指标,对容迟网络路由协议进行了分类,详细介绍了目前主要基于效用的路由协议基本原理和特点,并进行深入分析和比较,最后结合该领域当前研究现状,对未来研究容迟网络效用路由算法进行了总结和展望。 《在线社会关系网络的挖掘与分析》专辑 [正文]近年来,以社交网络和社会媒体为代表的在线社会关系网络正深刻改变着人们制造、传播和接收信息的方式.人的互联成为信息互联的载体和信息传播的媒介,个体间的强交互性使得信息传播的网络效应越发凸显.在线社会关系网络汇聚了大量的用户关系、行为等可感知和可计算的人类社会的数字足迹,为社会计算 三位一体实验考核方式在计算机硬件实验教学的探索与实践 实验考核是实验教学改革的重要部分,是保障实验教学质量的重要手段。提出了以能力测试为目标的三位一体的实验考核方式,阐述了考核体系构建,考核内容设计,并在计算机硬件实验教学实践中收到了良好效果,提高了学生的创新能力。 智能卡操作系统的测试技术 智能卡操作系统是智能卡能够支持复杂且安全的应用的基础,目前智能卡操作系统的测试并没有形成成熟的模式。为了在智能卡操作系统的开发中能够对操作系统进行全面并充分的测试,根据智能卡操作系统的体系结构特点、状态转移过程和通信方式,结合软件测试中的理论方法及测试技术,从基本功能、防拔插及耐久性三方面对智能卡操作系统的测试进行研究,给出了智能卡操作系统的测试方案。在EVDO卡的测试中表明,该测试方案是可行的。 基于广播加密的P2P社交网络方案的设计与实现 针对当前社交网络个人隐私信息泄露的问题,面向P2P社交网络提出一种匿名公钥广播加密方案,包括初始化公钥,分发私钥,加密和解密。并在Android平台下设计实现了基于广播加密的P2P社交网络系统,系统主要包括数据存储模块,移动终端模块,安全加密模块以及PKG管理模块。同时对Android平台下的P2P社交网络在恶意用户截获私聊攻击,恶意下载攻击,篡改攻击和重放攻击的安全性进行了分析,分析结果表明该系统安全可靠。 基于射线跟踪和Voronoi图的室内定位算法 传统的射线跟踪方法在离线数据采集时需要大量的求交运算,计算效率低,而传统的在线定位需要遍历数据库才能确定目标位置,为此,提出一种提高室内定位运算效率的新方法。该方法利用Voronoi图势力范围特性和局域动态特性,大大减少了离线阶段中射线与物体的求交次数;同时利用Voronoi图一级邻接点的特点,减少了在线阶段的匹配计算量。仿真结果表明了该方法的有效性。 常带宽服务器混合任务低功耗调度算法 针对包含有截止期限限制的周期任务和有响应时间要求的非周期任务的实时系统混合任务集,提出常带宽服务器混合任务低功耗调度算法(constant bandwidth server mix task low power scheduling algorithm,CBSMTLPSA).该算法是2阶段调度算法,并且结合了动态电压调节(dynamic voltage scaling,DVS)技术和动态功耗管理(dynamic power management,DPM)技术.离线阶段确定任务的离线速度,充分利用处理器的资源;在线阶段通过回收周期任务提早完成的空闲时间以及服务器产生的空闲时间,利用DVS技术调节处理器的运行速度,并且当处理器处于空闲状态时,判断是否使用DPM技术以达到进一步降低能耗的目的.仿真实验表明所提出的CBSMTLPSA算法比CBS/DRA-W(constant bandwidth server for dynamic reclaim algorithm base workload)算法节约6.02%~34.14%的能耗;CBSMTLPSA算法的能耗与非周期任务的响应时间的乘积比CBS/DRA-W算法低5.86%~34.06%. 分布实时仿真系统静态负载平衡策略的研究 "研究负载平衡是保证分布仿真系统高效运行的重要前提,分布实时仿真在实时性方面的要求,实现负载平衡需要考虑更多的因素。分别通过对仿真任务和仿真节点的分析,从影响负载平衡的主要因素出发,建立的仿真任务和分布实时仿真系统的数学模型,并根据仿真任务映射到仿真节点上的等效计算量,确定了目标函数,并依此提出了局部最优平衡策略。在假设模型上,对调度策略进行了验证,结果表明采用策略能够获得较小的调度长度,同时由于实时性的考虑,在平衡中存在一定的""不平衡""。" 基于人眼视觉特性的DCT域的信息隐藏盲提取算法 经过对人眼视觉特性和DCT的特征分析,选用载体图像DCT系数的中频部分作为待隐藏图像的DCT系数。并根据HVS特征将载体图像的各个DCT子块分为三类,然后根据DCT子块的类型不同,自适应改变每对DCT系数的强度参数。实验结果表明此算法具有良好的隐秘性和较强的鲁棒性。此外,在秘密信息提取时,该文算法不需要原始图像,实现了盲提取。 网络舆情信息提取技术研究与实现 网络舆情信息提取是舆情分析系统中最为关键的部分,是实现舆情分析、舆情统计的数据基础。为此,设计和实现了一个基于话题线索的舆情信息提取方案。该方案将舆情页面以话题为线索进行逻辑划分;采用基于DOM树的广度优先搜索方法,设计了舆情信息提取算法;通过设置最低重复话题阈值θ,用户定制提取格式,信息去重去噪措施,实现了舆情信息的有效提取。通过对多个论坛舆情信息的提取实验,结果表明,所设计的方案有很好的提取性能,召回率、正确率、F指数都较高,能够很好地提取出论坛、评论等舆情信息。 嵌入式Linux设备的高精度IEEE 1588时钟同步实现 IEEE 1588时钟同步协议用于解决分布式网络测控系统中远距离仪器设备之间的同步问题;在分析IEEE 1588时钟同步实现原理的基础上,提出一种嵌入式Linux设备的高精度IEEE 1588时钟同步实现方案;采用专用PHY芯片DP83640在物理层为PTP报文加盖硬件时间戳,设计网络设备驱动与PTP硬件时钟控制驱动,并在用户层利用Linux系统标准API实现IEEE 1588协议软件;实验结果表明,两台设备直接相连时,时钟同步精度可稳定在±100ns以内。 一种MODBUS——ProFiBus节点通信控制与协议转换模块设计 多种现场总线协议并存给制造业信息化与自动化中的设备互联与信息互通造成困难;通过对ProFiBus及ModBus协议研究发现这主要是由报头报尾格式的差异造成,为解决异种协议互联问题,提出一种具有这两种协议和接口的通信控制与协议转换模块的设计方法,利用不同接口支持两种不同协议,硬件实现ProFiBus协议,软件实现ModBus协议,以UCOSⅡ为基础,用软件提取源报文用户信息,并依据目的节点协议生成新的报文实现两种协议报文转换;经测试,该方法实现了ModBus协议智能装置和智能传感器与ProFi-Bus网络的互联。 不稳定时滞对象的改进内模直接控制 为解决内模控制不能直接控制不稳定对象的问题,分析系统内部稳定性,提出一种改进的二自由度内模控制器直接控制方案。利用改进的结构与经典二自由度内模结构的对应等价关系进行控制器设计;根据不同对象的特征,改进滤波器,提高系统的控制性能。该结构可以使设定值跟踪与抗负载扰动设计优化独立,具有良好的设定值跟踪和抗负载扰动能力。仿真结果表明,该方法适用于广泛的不稳定对象,具有理想的鲁棒性和控制性能。 基于尺度不变特征变换的苹果图像融合 提出一种基于尺度不变特征变换的图像融合方法,用于辅助苹果质量检测。首先利用非下采样轮廓波变换将待融合图像分解为低频子带和高频子带;然后对低频子带利用尺度不变特征变换寻找特征描述子,并记录下每个特征描述子在低频子带中的位置,利用特征描述子构造一种内容匹配度指标,提出一种基于内容匹配度的混合融合策略用来融合低频子带;对高频子带利用绝对值取大的融合策略实现高频子带系数的融合;最后利用非下采样轮廓波逆变换生成融合图像。实验结果表明,本文提出的方法在苹果质量检测中是可行的、有效的。 实数域上的粗糙函数及其Galois格构建 传统粗糙集理论源于集合论平台,其上、下近似算子在描述函数方面存在缺陷。针对该问题,利用定义在整数轴上能严格划分出单调实函数的标度工具,提出上、下粗糙函数概念,形成实数域上的粗糙函数模型。构建与其匹配的Galois格,并通过可辨识矩阵对其概念格进行了知识约简。 基于GIS的干扰评价专家系统设计 针对自然保护区干扰评价过程中存在的复杂性问题,提出一种用于自然保护区景观干扰评价的专家系统的设计和实现。其中涉及知识库的建立、知识辅助机构和推理机的设计,以及与地理信息系统的集成处理,在对以南岭自然保护区为例的干扰状况进行评价的过程中,发现系统运行良好,具有较好的通用性和开放性。 基于JGraph动态绘制Web网络拓扑图的设计与实现 提出使用JGraph(The Java Open Source Graph Drawing Component)结合JSP绘制Web方式的网络拓扑图,针对校园级网络的特点,划分为核心层、汇聚层、接入层三层显示,各层采用等分圆的方法对网络设备进行布局,并分析了动态绘制拓扑图的设计流程和具体实现方法,给出了实际应用的效果。 一种简化的OFDM信道估计方法 OFDM(正交频分复用)技术是无线通信领域的重要技术,研究信道估计精度对系统性能有着直接的影响。提出了基于导频插入的LS(最小二乘法估计)、MMSE(最小均方误差估计)和SVD(奇异值分解)信道估计方法。并针对MMSE算法有很好的性能但复杂度大,LS算法复杂度低但性能受到限制,提出了一种改进方法。采用广义平稳非相关散射下的多径时变瑞利信道模型,对各个算法进行了仿真试验,得出不同算法的信道估计中误比特曲线,比较了各个算法的性能。仿真结果表明:改进的算法有好的性能和低的复杂度。 决策树在基于消费者外表的服装营销中的应用 服装销售人员常常根据消费者的外表特征来进行快速营销活动,以提高购买率。从数据挖掘技术的角度来探讨基于消费者外表印象的快速营销技术,以帮助营销人员快速寻找外表印象营销规则。介绍了决策树算法原理;其次,讨论了消费者外表印象评价指标体系,并根据该体系由销售人员在服装店铺里进行了消费者的外表及其行为数据采集;应用了计算实例来说明服装消费者的外表营销决策树分类模型;利用工具Clementine中的决策树方法来进行营销规则的挖掘。研究表明了该应用是切实可行的。 基于LabVIEW的远程可视化液位过程控制系统 提出了将虚拟仪器技术应用于过程控制和以实时图像化方式监控过程控制系统的方案;以单容水箱液位过程控制系统为例,通过USB接口摄像头采集实时现场图像;利用LabVIEW平台开发液位测量、处理、现场图像采集与处理、远程传输、PID算法程序,实现了液位远程可视化监控;在液位设定值为180mm的条件下进行实验;实验结果表明,系统运行稳定,满足控制要求;工作人员不用身临现场,可以通过现场图像监控过程控制系统的运行情况,保证系统可靠运行。 Labview在管路测压系统的频率响应测定中的运用 连接模型表面测点到压力感受装置的各种传压管路会导致真实压力信号产生畸变,为消除这种畸变的影响,采用实验的方法来求得频率响应函数,并据此修正测量信号;实验中采用了自行开发的同步测压系统,并使用基于Labview编写的虚拟函数发生器和数据采集处理程序,提高了实验可靠性并降低了实验成本;实验结果表明修正的信号与实际信号十分吻合,验证了本套测量方法的可靠性。 结合语义的特征权重计算方法研究 "为进一步改善目前大多数基于向量空间模型(VSM)的文本聚类算法的效果,研究了文本聚类的基础和关键环节——文本间相似度的计算,其中一个重要步骤就是计算各文本中特征词的权重,该计算的合理性和有效性直接影响到文本相似度的准确性和聚类的效果。传统的VSM特征权重计算方法——TF-IDF,没有考虑语义相似的词语在文本集中的分布情况,针对该问题,在基于""知网""的词语语义相似度分析基础上,提出了一种改进的TF-IDF权重计算方法。实验结果表明,该算法是有效可行的,且在一定程度上提高了文本聚类的查准率和查全率。" 面向复杂产品系统供应商的价值驱动需求建立 在复杂产品系统开发中,大量的组件和零件被外包给供应商进行设计和生产。为了确保系统能够满足顾客需求,必须将顾客需求逐级演化到系统、组件和零件的设计过程中。为此提出一种价值驱动的面向复杂产品系统供应商的需求建立方法。首先,以顾客需求为出发点,利用多属性效用理论进行价值化建模,并将顾客需求的价值模型逐级演化为系统技术需求的价值模型和组件技术需求的价值模型。同时,为了增强供应商的价值感知,利用价值等价交换的思想将基于效用的价值模型转化为基于货币的价值模型。最后,给出民用飞机研发中引擎供应商需求建立的例子,对方法进行了验证。该方法可为组件供应商在没有明确技术需求的情况下,提供用于概念设计的目标函数,支持尽早开展设计活动,并可方便地拓展到零件级。 融合信息熵与兴趣度的协同过滤算法 为提高推荐系统的推荐质量,减小用户评分数据稀疏性对推荐效果的不良影响,提出了一种结合加权信息熵与兴趣度的协同过滤推荐算法。此算法全面考虑公共评分项目数、评分数值差异与数值变化趋势三个方面的因素,结合加权信息熵与用户兴趣度,使度量用户间相似度变得更加准确。仿真实验结果表明:所提算法比基于Pearson相关性系数以及余弦相似性的推荐算法具有更小的平均绝对误差,表明了其可行性和有效性。 基于禁忌搜索算法测地卫星任务调度研究 研究测地卫星调度问题,它是一个复杂的组合优化问题,涉及多个卫星,大量的任务与约束限制,何时和如何执行每项任务。分析了问题的主要约束,在合理的假设基础上建立了带有时间窗口多资源调度问题模型,提出一种改进的禁忌搜索算法求解该模型。用实例对模型和算法进行了验证,并将结果与动态规划方法求解结果比较分析,结果表明模型和算法是有效的。 复杂路网条件下的物流配送方案研究 为了有效提高在复杂路网条件下物流配送路径优化效率,文中提出了采用蚂蚁算法复杂路网条件下物流配送路径优化算法.算法运用Repast Simphony仿真平台和GIS技术,对复杂路网条件下的城市物流配送进行仿真实验.实验结果表明,该物流配送方案可以较好地解决了复杂路网条件下的车辆路径问题(VRP)难题,同时仿真实验模型简单,具有非常优越的可扩展性能. 结合全局信息的对称SURF算法在车辆检测中的应用 SURF(Speed-Up Robust Features)是一种鲁棒且快速的算法,可以应用于多种场合,但是它不能检测对称匹配,也没有考虑全局信息。本文将对称SURF和全局信息结合起来,既通过镜像变换增强了SURF检测对称匹配特征的能力,又可以在图像有多个相似区域的情况下减少错误匹配。该算法应用在车辆检测中,实验表明结合全局信息的对称SURF提高了特征匹配的准确率,从而提高了车辆检测的准确率。 基于SOPC技术的嵌入式PLC虚拟机设计 设计了一种新型的基于可编程片上系统(SOPC)技术的嵌入式可编程逻辑控制器。介绍了嵌入式PLC的总体结构,并且对核心部分PLC虚拟机的实现分别从硬件和软件两个方面进行了详细阐述,其中运用Nios Ⅱ软核作为处理器,μC/OS-II实时操作系统作为虚拟机运行平台,实现了逻辑控制器的实时控制功能。系统以现场可编程门阵列(field programmable gataarray,FPGA)为平台开发的逻辑控制器简化了平台硬件结构,具有开放、使用方便、可自定义外设和本身结构紧凑等特点,可以灵活的实现定制应用。 基于多信号流图的电子设备故障传播建模技术研究 针对当前缺乏对电子设备故障传播规律研究的现状,将功能参数引入多信号流图模型,根据对功能模块的影响程度,给出了不同的故障类型表达式。引入SI传染病模型,并对其进行改进后应用于电子设备故障传播建模技术,基于多信号流图建立了基本的和带环境因素的故障传播模型,并利用Lyapunov函数证明了故障传播的稳定条件。通过实验仿真得到了与实际贴近的故障传播模型,该模型可有效对复杂电子设备故障发生趋势进行预测,并为后续测试性设计和故障注入技术的研究奠定了理论基础。 运用边缘方向直方图进行图像拷贝检测 基于内容的图像拷贝检测已经成为图像版权保护的重要手段之一。提出一种基于图像边缘直方图的拷贝检测方法:采用小波模极大值算法提取源图像和待认证图像边缘,然后结合边缘点梯度主方向重新计算其区域点的梯度方向并生成直方图,最后根据源图像与待认证图像所得边缘直方图间的相似性检测待认证图像是否为源图像的拷贝。实验表明:这种图像拷贝检测方法可有效检测出拷贝图像,并可容忍由缩放、旋转、噪声等操作引起的失真。 有效消除光晕现象和颜色保持的彩色图像增强算法 由于对图像中明暗突变区域的背景光照估计不准确,经典Retinex彩色图像增强算法易产生光晕现象且存在增强后图像细节信息减弱和颜色失真等不足.为此,结合人眼视觉特性提出一种彩色图像增强算法.首先利用人眼对图像结构特征及颜色信息的敏感特性,通过构造彩色双边滤波器来获取图像背景光照,以避免光照突变处产生光晕现象;其次依据人眼系统局部自适应调节特性,通过引入一个对比度调节函数自适应增强图像的细节信息,克服经典Retinex算法在整体对比度提高的同时局部对比度下降的不足;最后利用一种线性的颜色恢复算法恢复增强所得亮度图像的颜色信息.与MSRCR等彩色图像增强算法比较的实验结果表明,文中算法更有效,增强后的图像不仅细节清晰,而且色彩鲜艳、自然. 智能变电站GOOSE通信网实时性分析 GOOSE是IEC 61850中定义的一种通用变电站事件模型类,对于实现设备间的互操作具有重要的意义。随着符合IEC 61850的智能变电站技术的快速发展,GOOSE在智能变电站的应用也日益深入广泛。分析了GOOSE通信网的传输机制和在智能变电站中的工作方式,并采用OPNET仿真软件对GOOSE网的两种拓扑结构的实时性进行了仿真研究和对比分析。 基于数据流图的异构VLIW DSP分簇方法 超长指令字数字信号处理器(VLIW DSP)的指令级并行性(ILP)主要通过指令分簇和软件流水来实现。在以前的研究中,指令分簇主要只考虑指令级并行性和减少簇间转移指令,对异构体系结构和某些寄存器只能分在指定簇上的情况考虑较少。提出一种基于数据流图(DFG)的异构体系结构上的分簇方法,利用指令的相关性将DFG划分为与簇数目相同个数的子图,再根据特殊寄存器对簇的要求采用启发式算法对子图进行调整,实验结果表明这种分簇方法使得负载更均衡,加速比相对于传统方法可以提高8%左右。 一种VANET信道丢包率模型 车载自组网(Vehicular Ad hoc Network,VANET)信道建模对车辆间通信具有重要意义。分析了2.4GHz通信频段两车在武汉市内通信实测丢包率数据,提出了信道丢包率模型FVPM(Fresnel theory based VANET Propagation Model),考虑了实际传输中车辆和街道环境对丢包率影响,以及丢包率随距离变化的概率分布。最后的模型检验结果表明,FVPM比经典Two-Ray、Shadowing模型更加准确地描述了VANET信道特征,对VANET信道研究具有借鉴意义。 基于CAN的资源定位模型的改进策略 针对结构化P2P网络资源定位时寻路跳数过多,逻辑网络和物理网络不匹配等问题,分析了资源定位模型CAN(con-tent addressable network)的基本原理,在CAN的基础上,提出了最小代价路径策略。该策略采用分组模型,组内每个节点中维护一条自身到其它各个节点的最小代价路径,以实现路由本地化,降低覆盖网络的路由跳数和节点间时延;合理的分组保证节点维护开销小的同时,有效地提高了路由效率。最后,通过模拟测试表明了改进策略的有效性。 基于带权欧拉距离的PE文件壳检测技术 越来越多的恶意软件出现在网络上。恶意软件作者通过网络将软件中的恶意代码植入用户的电脑中,从而达到诸如获得用户名与密码的非法目的。为了阻止它们对用户电脑的侵害,软件分析人员必须分析恶意软件的工作原理。但是,如果这些恶意软件加壳,那么分析它们就会变得非常困难,因此必须对他们进行脱壳。脱壳的第一步即检测这些恶意软件是否加壳。本文通过对未加壳和已经加壳的软件PE头部进行分析与比较,提出了带权欧拉距离PE文件壳检测(PDWED)算法,其中包括构造一个含有10个元素的向量,并为每个向量中每个元素分配一个权重值,计算向量的带权欧拉距离。实验结果表明,PDWED能够比较快速而又准确地检测软件是否加壳。 第十五届全国嵌入式系统学术会议将在辽宁省沈阳市隆重举办 [正文]第十五届全国嵌入式系统学术会议将于2017年11月17日-19日在辽宁省沈阳市举办。会议由中国计算机学会(CCF)主办,CCF嵌入式系统专业委员会、东北大学计算机科学与工程学院、东北大学智慧系统国际创新实验室等联合承办。本次大会将邀请院士和国内外嵌入式系统领域的顶级专家、学者作大会特邀报告,同时还设有专题论坛和分会场口头报告,科技成果展示、学术论文交流等形式多样的活动。会议旨在讨论嵌入式系统领域的最新研究成果和发展趋势,开展广泛的学术交流和研讨。欢迎从事嵌 基于Haar-like特征的实时L1-跟踪算法 稀疏表示技术已成功应用于视觉跟踪,但是仍然存在跟踪算法效率低的问题。提出一种基于Haar-like特征的视频跟踪算法,该算法是基于粒子滤波框架的L1-跟踪算法,其特点是运用Haar-like特征及特征块的思想对完备基进行重新构造。将正负小模板由单个像素改为像素块,降低稀疏表示中过完备基的维数,大幅减少稀疏矩阵的计算量;同时,在保证跟踪质量的前提下适当减少目标模板数量,减少稀疏计算的次数,并控制模板更新频率。实验结果表明,所提算法能大幅提高跟踪的实时性,同时很好地解决了跟踪问题中的短时间遮挡、目标物体的形变以及光照变化等问题。 基于Adams的伞-弹系统动态特性仿真 在末敏弹系统稳定优化设计问题的研究中,伞-弹系统稳态扫描阶段对作战效能的影响至关重要。针对系统复杂的动力学特性,主要研究了此阶段动态特性,采用拉格朗日方程方法建立了伞-弹系统的7自由度多刚体体动力学模型,利用PRO/E软件建立伞弹系统几何模型,然后导入Adams软件建立动力学模型,并对其动态特性进行了动力学仿真,分析了伞弹系统稳态扫描阶段的弹道特性,得到了伞弹系统开伞后的运动规律和敏感器扫描的规律,为末敏弹总体优化设计提供一定的理论依据。 四旋翼飞行器姿态的自适应反演滑模控制研究 微小型四旋翼飞行器是一种欠驱动、强耦合的非线性系统。针对四旋翼飞行器控制中的姿态控制优化进行研究,建立了四旋翼飞行器完整的姿态运动模型,为提高系统响应速度和抗干扰性,在反演控制基础上与自适应和滑模控制方法相结合,根据Lyapunov稳定性进行控制系统设计,并选取合适的控制参数使所设计的控制系统是渐进稳定的,最终设计了一种基于自适应反演滑模算法的姿态控制器。通过计算机仿真软件进行验证,结果表明所设计的控制器与其它算法相比具有更快的响应时间和很强的鲁棒性。 图像重建中的非常稀疏循环矩阵 测量矩阵是压缩传感理论的关键要素之一。针对目前大部分工作中所用的高斯等随机测量矩阵独立随机变元过多,不利于物理实现的问题,引入稀疏带状和稀疏列的概念,形成稀疏带状随机、托普利兹和循环矩阵以及稀疏列随机、循环矩阵,随机变元个数减少约三分之一。采用通用的模拟实验方法,验证此类稀疏矩阵对于真实图像的重建效果及对0-1信号的成功重建概率均与随机高斯矩阵相当。 基于改进卡尔曼滤波的四维飞行航迹预测模型 为解决空气动力学模型在四维飞行航迹预测中存在的参数过多、预测精度偏低等问题,提出了一种对预测模型中的系统噪声进行实时估计的改进卡尔曼滤波(IKF)算法。首先,对雷达数据进行处理,根据航空器飞行中航向、航速进行速度转换;然后,采用传统卡尔曼滤波(KF)算法和IKF算法分别建立航迹预测模型;最后通过同一实例计算,比较两种算法在X、Y、Z方向上的预测偏差,取偏差小者为优。实验结果表明:IKF算法在X、Y方向上的预测偏差比KF算法分别降低了17.65%和98.03%,而Z方向上采用KF算法有较小的预测偏差。此外,针对IKF算法进行不同时间间隔的预测分析,在进场飞行程序的保护区宽度(9.46 km)范围内,预测间隔可以增大至20 s。 CAD系统中混合语言间的数据交换技术研究 在土木工程CAD系统开发过程中,常常需要用多种语言进行混合编程,如何充分发挥各种开发语言的优点又能够使整个系统成为一个有机的整体是一个难点。先对混合语言程序设计进行概述,然后结合非杆件结构CAD系统的开发探讨多种混合语言之间的数据交换技术。 新的低轮Keccak线性结构设计 针对Keccak算法S盒层线性分解的问题,提出一种新的线性结构构造方法,该方法主要基于Keccak算法S盒代数性质。首先,S盒层的输入比特需要固定部分约束条件,以确保状态数据经过这种线性结构仍具有线性关系;然后再结合中间相遇攻击的思想给出新的低轮Keccak算法零和区分器的构造方法。实验结果表明:新的顺1轮、逆1轮零和区分器可以完成目前理论上最好的15轮Keccak的区分攻击,且复杂度降低至2257;新的顺1轮、逆2轮零和区分器具有自由变量更多、区分攻击的组合方式更丰富等优点。 Spark Streaming框架下的气象自动站数据实时处理系统 针对现有气象自动站业务平台面临处理数据不及时、交互式响应慢、统计时效差等问题,提出了使用Spark Streaming技术和HBase解决该问题的方法,将实时计算框架和分布式数据库系统结合起来实现大规模流式数据处理。使用Flume收集自动站数据,Spark Streaming对数据进行流式处理并存储到HBase数据库中,并设计Spark框架下的自动站数据流式入库处理算法和要素极值的实时统计算法,在Cloudera平台下实现了一个高速可靠的实时采集、处理、统计的应用系统。通过对比分析和性能监测,验证了该系统具有低延迟和高吞吐量的优势,运行状况良好,负载均衡。实验结果表明,Spark Streaming用于气象自动站的实时业务处理,数据并行写入HBase、基于HBase的查询和各类要素统计均能达到毫秒级响应,完全能满足自动站数据的应用需求,有效地支撑天气预报业务。 改进遗传算法优化BP神经网络的语音情感识别 为了更为有效地识别语音信号中的情感类型,提出一种改进遗传算法优化BP神经网络的识别算法(IAGA-BP)。该算法一方面改进了自适应遗传算法中的选择算子,另一方面更改了自适应遗传算法中的交叉和变异概率公式。通过对自适应遗传算法的改进,提升了遗传算法的寻优性能,并以此对BP神经网络初始的权阈值进行优化。实验结果表明,在与BP、GA-BP和AGA-BP网络的比较中,IAGA-BP网络能够有效提高语音情感识别率,并加快了网路收敛速度。 基于OWL本体构建的网页图文摘要算法 为了提高网页正文提取的实用性和准确性,在已有的网页正文提取算法基础上,提出了一种网页图片选择算法,并将两者集成为一种新的网页图文摘要方法。构建了网页图文摘要的方法模型,设计出图片选择算法,该算法使用本体建模语言(ontology web language,OWL)进行页面本体的构建,提取图片和网页各种元素的语义特性,并考虑图片的各类align属性,从而能在网页中选取较优的具有代表性图片。实验结果表明,该方法能够有效地丰富和完善网页正文提取。 一种考虑工件材料表面硬度的铣床功率模型 为了降低车间加工能耗、提高制造过程能量效率,建立了铣床待机状态、辅助状态、空载状态、切削状态下的功率模型。针对铣床切削功率,提出一种考虑材料硬度和主轴转速对材料去除功率影响的切削功率改进模型,对同一机床铣削多种工件材料情况的能耗进行评估,从而在一定程度上扩展现有铣削功率模型的适用范围。针对被测试机床润滑冷却系统工作特性导致待机功率存在间歇变化,提出一种分段函数式待机功率表达模型,相比现有常数式待机功率模型,该模型能够更好地反映机床待机功率情况;经实验研究和实例验证,所提改进功率建模方法及其模型具有良好的平面铣削加工能耗预测准确性。 增强现实中的位置隐私保护 随着增强现实技术和基于位置服务(LBS)技术的发展,增强现实的应用也越来越广泛,LBS是增强现实的一个重要应用,用户位置隐私的泄漏是LBS用户的重要威胁,因此对用户位置隐私的管理就显得非常重要。论文首先分析用户位置隐私保护的重要性,接着介绍用户位置隐私泄露的类型,并分析和比较目前已有的用户位置隐私保护方法的优缺点,最后提出含有集中受信任的第三方模型的用户位置隐私保护方法,该方法优化了现有的用户位置隐私保护方法,可以有效地实现用户位置隐私的保护。 一种基于个体中心论的局部优化社区发现算法 随着社会网络网站的流行,虚拟社会网络中的社区发现成为一个热门研究领域。针对虚拟网络中总是以一个或多个个体为中心特点,提出了一个针对大规模社区网络的局部优化社区发现的算法,在一定程度上弥补了传统算法无法适应大规模网络的不足。实验表明与我们直观想象不同,虚拟网络中那些度最大的点并不一定适合于作为社区中心。 反向响应过程抗负载干扰PID控制回路性能评估 反向响应过程广泛存在于化工领域中,但针对反向响应过程的性能评估研究较少;针对一类二阶时滞反向响应过程,通过幂级数展开的方法简化了对象模型,然后研究其抗负载干扰PID控制回路性能评估问题;根据DS-d控制原理获取期望闭环传递函数以及PID控制器参数,推导干扰模型为阶跃情况下的PID控制回路累积绝对误差(IAE)性能基准值,并将其推广到斜坡输入以及一般输入情形,为不同干扰类型情况下的反向响应过程建立通用化的IAE性能评价标准;仿真结果验证了所提性能评估算法的正确性以及通用性。 基于小波多尺度分析的综合特征图像检索 以整数小波变换为基础,提出了一种综合颜色和空间特征的图像检索算法.该算法首先对图像做整数小波变换,提取其低频系数矩阵中环形区域的F-范数作为颜色特征;然后对低频分量分块并编码,利用马尔可夫链特性提取一步转移概率矩阵作为空间特征;再综合利用上述两个特征计算图像间的相似度,并进行彩色图像检索.通过对不同类型图像的检索对比实验结果表明,这种图像检索方法是行之有效的. 基于移动云交换的智能IoT系统 随着云计算、移动通信、无线传感技术的快速发展,移动互联网正在向未来的物联网(IoT)演进。目的是通过提供社交化、企业化、融合化、智能化的综合业务,来达到人和万物互连。物联网在广域网范围内的互联互通,不仅是在传统的对讲机等移动通信终端之间,而且是在传统终端和移动智终端之间的互联互通。更进一步,需要服务过程的远程交互式控制,以及服务过程的数据化,从而提升服务质量,优化工作效率。文中提出了一种基于移动云交换的智能物联网系统,研究了如何通过有线、无线Wi-Fi、射频RF、蓝牙、移动3G/4G等多种通信方式,接入所设计的移动智能基站,实现传统终端设备和移动智能终端的广域网范围内的互联互通。在此基础上,通过云服务器,对终端设备在服务过程中的各种数据(包括设备数据、语音、文字、图像等多媒体数据)进行记录、保存、交换、查询和处理。最后,给出了该IoT系统在两个不同行业的成功应用案例。 基于同义词链的中文关键词提取算法 针对传统中文关键词提取对语义和同义词的不重视而导致的精确度和召回率低的问题,提出基于同义词链的中文关键词提取算法。利用上下文窗口和消歧算法解决词语在上下文中的语义问题,利用文档中的同义词构建同义词链,简化候选词的选取。根据同义词链的特征,得到相应的权重计算公式,对候选词进行过滤。实验结果表明,该算法在同义词较多的文档中精确度和召回率有较大的提高,平均性能也有明显改善。 基于DM642的手机QR码检测与识别 "基于市场上二维条码设备的广泛需求,研究了基于DM642的QR码的检测与识别技术.本文针对嵌入式智能设备获取的QR码(Quick Response Code,QR)图像严重倾斜与高度畸变问题,提出了基于位置探测图形的轮廓嵌套特性与轮廓之间面积比例关系来实现QR码定位的算法,接着以直线逼近的方法精确定位QR码的4个角点,然后运用逆透视变换与旋转校正的原理实现QR码的复原操作,最后基于复原后的图像通过网格采样来获取整个二维码的""01""矩阵,以便于后面的QR码解码.最终将算法移植到DM642上运行,能有效解决图像倾斜与畸变问题且解码效果良好." 基于节点相似度的社团划分方法研究 社团划分有助于了解网络结构、分析网络特性。大多数传统的社团划分方法只关注于网络的局部信息,通过优化特定的目标函数进行社团的划分,但是这种方法往往只针对特定的网络有效,不具有普遍性。因此,论文提出了一种综合考虑网络局部信息和全局信息的算法,该算法不需要任何的关于社团的先验知识,同时在选择不同初始节点的情况下,社团及其划分结果都具有鲁棒性。通过用一些真实网络评估算法的性能,结果表明,论文算法能高效地划分复杂网络中的社团结构。 自适应软件动态过程时间特性建模与验证方法 现有自适应软件建模与验证方法较少考虑时间约束,然而,在时间攸关应用领域,自适应软件能否正确运行,不仅要考虑自适应逻辑的正确性,还要考虑自适应软件动态过程的时间特性。为此,首先显式定义了自适应软件的时间特性(监控周期、延迟触发时间、自适应过程截止时间、自适应调节时间和稳定时间等);然后,构造了一种基于时间自动机网络(TAN)的自适应软件动态过程时间特性建模模板;最后,将自适应软件时间特性描述为定时计算树逻辑(TCTL)的形式,并对时间特性进行了形式化分析和验证。结合具体案例验证了该自适应软件时间特性建模和验证方法,结果表明该方法能够显式刻画自适应软件时间特性,降低其形式化建模的难度。 面向Web信息资源的领域本体模型自动构建机制的研究 领域本体的构建是本体工程研究与应用的重要内容。面向网络Web信息资源,获取领域相关文本信息,通过对文本的概念分析,构建领域本体模型。提出一套本体自动构建机制,该本体构建基于数据挖掘和机器学习技术,内容主要包括基于贝叶斯(Bayes)分类原理;提出多个分类器方式的概念分类过程和算法;提出概念关联分析和概念自学习算法,建立本体原型;提出面向OWL本体模型的转换映射机制,构建基于OWL的本体模型。此外,还提出了从网络资源获取、领域本体建模到本体实施应用的一套完整的本体构建和应用实施的解决方案。 车载网络中隐私保护方法 针对车载网络通信中存在车辆隐私性保护问题,提出一个K-匿名链隐私保护机制。在查询节点处构建k匿名空间,并将包含此k个车辆的最小边界矩阵作为位置数据进行转发,转发过程中构造一条匿名链来混淆身份信息与位置信息的一一对应关系,从而大大降低被攻击成功的概率。通过对该机制安全性及仿真实验结果的分析,该机制能很好地保护车载网络中车辆的位置隐私,提高了车载网络通信的安全性及隐私性。 基于虚拟仪器的水电导率监测系统 介绍了一种基于虚拟仪器的在线电导率仪,给出了系统的硬件结构及软件设计思路。采用LabVIEW软件编程,完成仪器标定、数据处理、显示及存储。其操作简单,界面友好,有良好的应用前景。 基于协同过滤与划分聚类的改进推荐算法 针对个性化服务技术提出一种改进推荐算法,该方法基于协同过滤技术和划分聚类技术.首先建立了协同过滤推荐算法的计算矩阵,使算法能够参照矩阵来推荐信息,其次完善了矩阵的赋值范围,使推荐算法能综合所有用户的评价,最后添加了评价数值和更新系数,把算法的动态更新变为可能,从而推荐给用户最满意的信息.在此基础上还提出基于划分聚类的改进推荐算法,进一步提高了算法的准确性和实时性,并且用实验证明了基于划分聚类的最终推荐算法是最优的个性化服务推荐算法,能够提供给用户最满意的推荐信息. 基于LLE-k均值方法的中文文本聚类 文本聚类中,文本特征向量的高维特性使得对样本统计特征的评估十分困难,所以有必要进行有效的维数简约。LLE算法利用线性重构的局部对称性找出高维数据空间中的非线性结构,并在保持各数据点临近位置关系情况下,把高维空间数据点映射为低维空间对应的数据点。文章采用LLE-k均值方法进行中文文本聚类研究。首先利用LLE进行降维处理,然后对得到的线性特征向量用k均值进行聚类分析,与PCAI、SOMAP和LLE算法比较,结果显示LLE-k均值算法能得到更好的可视化效果。 基于图稀疏的自表达属性选择算法 为解决高维数据属性维度高,不易直接应用的问题,提出通过属性自表达移除不相关和冗余属性的属性选择算法。基于稀疏学习的框架,通过属性自表达考虑属性间的相关性,利用子空间学习的局部保留投影(LPP)算法,确保属性选择时数据的局部结构保持不变。实验结果表明,该算法在UCI等数据集上优于4种对比算法。 M-FPCA在彩色人脸图像识别中的应用 将因子化主成分分析(FPCA)算法应用于人脸图像特征提取时,需要使用迭代算法,但该算法应用于高分辨率图像时实时性较差,并且可能导致维数灾难。针对上述问题,提出一种模块化FPCA(M-FPCA)的新型特征提取方法。将原始数字图像样本进行模块化,对模块化后得到的各个子图像矩阵采用FPCA算法进行特征提取,合并子图像特征矩阵得到原图的特征矩阵。彩色图像由R、G、B 3个分量来表示,根据现有彩色信息融合方法的不足,对其进行改进,并结合M-FPCA算法,提出一种彩色M-FPCA新方法。在CVL和FEI人脸库上进行的实验结果表明,M-FPCA方法能提高FPCA算法的实时性,解决维数灾难问题。彩色M-FPCA方法能有效提取彩色人脸图像的色彩信息,得到较高的人脸识别率。 面向WBAN的多生理参数无线传感与采集前端研究 为了实现多生理参数远程监测系统数据感知前端可分离式自由穿戴、可近程无线组网及低功耗等要求,依据无线体域网(WBAN),利用MSP430与蓝牙4.0构成了包含心电、血压、血氧饱和度等多生理参数的分离式无线传感单元,设计了基于ARM9的多参数近程汇聚与远程无线传输终端。各传感单元通过蓝牙4.0和采集终端实现自由组网,解决了终端机与各分离式无线传感单元间的多生理参数时间同步、可靠数据通信及低功耗设计等问题,为人体多生理参数的穿戴式无线传感、无导联线数据采集和远程无线传输提供了一种前端数据感知与采集模式。 基于曲线线性组合的三次Bézier曲线的拓展 为了在几何造型中更加灵活地调控曲线曲面的形状,利用曲线线性组合的思想,对三次Bézier曲线进行了拓展,拓展曲线包含了原曲线的基本形式,比传统的拓展曲线表达简单。讨论了拓展曲线的性质,最后通过实例表明:定义的曲线为曲线的设计提供了一种有效的方法。 基于RGB彩色空间的图像分割研究 图像分割是图像处理中的主要问题,图像分割效果的好坏直接影响图像分析的结果。彩色图像分割是指将彩色图像分割成各具特性的区域并提取出其中感兴趣的目标,为后续图像处理工作奠定基础。针对彩色图像梯度图进行分水岭分割会造成过分割的问题,比较阈值分割、最大类间方差分割和最大熵分割等图像分割方法,提出一种基于遗传算法改进最大熵的彩色图像分割方法。实验结果表明,该图像分割算法灵活性强,可以有效地分割彩色图像。 一种新型复示磁罗经控制方法研究 介绍了一种新型数字磁罗经复示仪的基本结构原理,设计了以数字信号处理器(TMS32F2407)为主要控制单元的控制系统;当船舶航向发生变化时,航向磁敏传感器产生标准的RS232数据信号,传送给数字信号处理器(TMS32F2407),利用CPLD电路驱动步进电机转动,带动仪表盘和旋转变压器转动,由旋转变压器和AU6802N1组成的轴角转换电路实时转换成12位二进制数字信号,进行计算处理,从而使实际航向和仪表盘航向保持一致。 新一代天气雷达数据服务系统设计与实现 针对新一代天气雷达数据海量、高频率并发处理和规范化的存储服务问题,采用多机服务器集群和SAN统一管理架构、消息驱动的数据处理、海量数据存储管理、配置管理等关键技术,实现全国雷达数据收集、处理和存储服务业务流程。业务运行结果证明,该技术能满足业务要求,对我国天气雷达业务软件的研制具有较好的借鉴作用。 基于AOP的信息管理系统的研究与实现 面向对象的编程OOP(Object Oriented Programming)能够有效地解决面向过程语言的缺陷,现有的软件项目大都采用面向对象的编程范型。但是OOP不能解决由于横切属性带来的代码混乱和代码分散等诸多问题,导致系统的可读性和复用性差,因此引入了面向方面的编程AOP(Aspect-Oriented Programming)思想。该编程思想可使开发者有效地将业务关注点与横切关注点分离,解决OOP的难题。介绍了AOP技术中较成熟的语言—AspectJ及其相关概念,通过一个信息管理系统,展示AOP技术在处理横切关注点时发挥的优势。 Shapley值法的改进及其应用研究 为了求解没有哑元的合作对策问题,以经典Shapley值法为基础,提出了改进的Shapley值求解模型,并通过证明和推理对该改进Shapley值解进行了描述和刻画,结果表明:改进的Shapley函数和经典Shapely函数在形式上具有一致性,可以认为:改进的Shapley函数是经典Shapely函数在模糊领域的一个自然延续和拓展。在此基础上,以单个制造商、单个分销商和单个零售商构成的三级供应链为背景,将改进Shapley值法运用到其利润分配问题中,并用一个算例说明了该改进Shapley解的实用性和可行性。 基于交叉视觉皮层局部时间序列的图像判别方法 脉冲耦合神经网络的时间序列在图像检索和识别中应用广泛,但是时间序列无法体现图像的形状特征,造成图像判别失败。提出交叉视觉皮层的局部时间序列来解决上述问题。首先将图像分块,然后分别提取图像各部分的时间序列,最后将其连接形成整体的时间序列。提出的算法与基本的时间序列及加入边缘信息的时间序列比较,实验证明该方法解决了基本时间序列存在的问题,同时算法效率和准确率更高。 基于动态规划的快速立体匹配算法 为提高立体匹配算法的匹配速度使其满足实时性要求,同时减少视差图中的条纹现象提高匹配准确率,基于动态规划原理提出一种快速立体匹配算法。利用快速自适应权重累积策略累积匹配成本,通过二维有序表结构加快动态规划的计算速度,采用基于方向滤波的视差后处理方法减少视差图中的条纹现象。实验结果表明,该算法在保证视差图准确的基础上能有效提高立体匹配效率,可应用于实时匹配系统。 移动应用众包测试人员评价模型 移动应用众包测试人员具有匿名、非契约的特性,这使得任务发布者难以准确评估众包测试人员的能力与测试质量。针对该问题,提出了一种移动应用众包测试人员层次分析法(AHP)评价模型。该模型从活跃度、测试能力、诚信度等多指标分层综合评估众包测试人员能力,通过构造判断矩阵、一致性检验计算各层次指标的组合权重向量,并引入需求列表与描述列表改进本模型,使测试人员与众包任务更加匹配。实验结果表明,所提模型能够实现对测试人员能力的准确评估,支持基于评估结果的众包测试人员选择与推荐,提高了移动应用众包测试效率与质量。 基于混合粒子群优化的贝叶斯网络结构学习方法 从数据库中学习贝叶斯网络结构是一个NP难问题.针对此问题,本文提出一种基于遗传算子的粒子群优化算法.首先,利用最大权生成树算法得到初始种群,然后采用遗传算法中的变异和交叉规则优化初始种群,结合贝叶斯网络的结构特点,并设计粒子位置更新策略将学习贝叶斯网络结构的过程转化为粒子寻找最优位置的过程.在学习过程中利用贝叶斯信息标准值作为粒子的适应度函数值,在保证求解质量的同时,加速了搜索过程;为了避免过早收敛,对局部较优的部分粒子和全局极值采用混沌优化策略.最后,利用标准的Alarm和Asia网络模型,验证了本文算法的有效性及可行性.与其他算法相比,新算法在保持较快收敛速度的前提下,具有更好的求解质量. 羽毛球运动员的技能水平评估 论文将神经网络和判别函数引入对羽毛球运动员的技能水平评估,并使用这两种方法对基于视觉识别测试数据进行羽毛球运动员技能水平的分类。被分类为高级水平、中级技能水平或初级水平的41名参与者参加了这项测试。结果表明与判别函数分析相比,神经网络在水平评估方面更为有效,分类的准确度更高。使用测试结果,结合参与者的人体生理指标和运动适应性参数,对基于视觉识别的评估程序的有效性和准确性进行验证,并提出了将基于视觉的训练方法引入羽毛球运动员训练计划的思路。 一种基于动态博弈的理性交换协议模型 小额交易的微支付协议中,采用TTP以保证公平性的代价比微支付金额本身还要高,所以理性交换协议是一种合适的选择。虽然理性交换协议不能完全保证公平性,但可保证理性参与方没有理由不遵守协议。基于动态博弈对理性交换协议进行建模,根据协议博弈中纳什均衡的概念形式化定义了理性交换,并以Syverson提出的理性交换协议进行了实例研究。 一种基于障碍距离的兴趣管理方法 兴趣管理是提高协同虚拟环境扩展性的一种常用技术。现有的兴趣管理方法大多采用理想欧式距离进行兴趣匹配,没有考虑虚拟空间中存在障碍物的情况。针对现有方法的不足提出一种基于障碍距离的兴趣管理方法。该方法在混合法的基础上,对虚拟环境中的障碍物进行多边形建模。首先采用网格划分虚拟空间,缩小实体的兴趣匹配范围,然后使用障碍距离进行兴趣匹配。实验结果表明,该方法可以进一步减少系统中不必要的网络开销,提高协同虚拟环境的扩展性。 危险化学品生产过程的安全评估和安全控制与泄漏处置 从危险化学品生产过程的安全风险评估主要是过程危险和可操作性(HAZOP)评估,安全防护层分析(LOPA)、安全仪表系统(SIS)设计等安全防护与控制技术,以及生产中泄漏事故的应急处置技术措施等方面,对危险化学品生产过程中的安全技术问题做了系统性的探讨。简要介绍了生产安全评估的目的、内容,着重描述了HAZOP评估的基本概念和基本方法,生产过程安全防护层的组成,安全仪表系统的基本概念和安全完整度等级(SIL)的确定,以及危险化学品泄漏事故中泄漏源的控制、泄漏物的处置和控制等技术方法。通过这些综合性安全技术的研究和安全技术措施的落实,可以降低危险化学品生产过程的安全风险,使危险化学品生产过程更加安全。 基于组播的电子白板系统的研究与实现 文章首先分析了电子白板系统的相关技术,在基于组播通信的基础上,实现了一个在局域网中协同工作和交互式的电子白板系统,采用并发控制技术来提高通信效率,避免拥塞。 基于优势关系下模糊目标信息系统的属性约简 在现实生活中,许多信息系统不仅是模糊的还是基于优势关系的。在基于优势关系下模糊目标信息系统中引入了广义决策的概念,提出了分别保持下广义决策、上广义决策、广义决策不变的三种不同约简,进一步给出了各属性约简的判定定理和辨识矩阵,提供了在优势关系下模糊目标信息系统属性约简的具体方法。通过实例验证了该方法的有效性,进一步丰富了粗糙集理论。 基于两种不同高频融合规则的医学图像融合 针对多尺度分解医学图像融合时信息损失、分解复杂的问题,提出一种医学图像融合方法。源图像经过图像分解框架分解为高频部分和用于局部拉普拉斯滤波分解的低频部分:第一次高频部分采用感兴趣信息,第二次高频部分采用最大值融合规则,低频部分采用局部能量融合规则,逆局部拉普拉斯滤波重构得到的重构图像和高频融合部分采用加法运算融合得到最终融合结果。实验结果表明,对比几种经典算法,所提方法在客观和主观评价方面显示出其优越性。 一种快速的固体核径迹图像分割方法 针对有效测量中需解决的问题,提出了一种快速的固体核径迹图像分割算法,分初始分割与粘连分离两个过程。初始分割通过数学形态学开操作快速去除背景后,迭代阈值分割法实现;粘连分离采用复合距离变换计算核心膨胀半径,一次膨胀重建单个径迹轮廓。实验结果表明,算法具有较强的抗噪能力,可有效对半径≥2μm径迹进行快速分割,准确率>90%。目前已成功运用于实际项目中。 客户群及个体服务选择影响因子研究 客户在选择服务过程中,一方面会受到自身不断积累的经验的影响,另一方面也会受到群体当中其他成员意见的影响。通过研究客户个体以及客户之间的相互协作关系,得出客户群及个体服务选择的三个主要影响因子:惯性影响因子、个体影响因子和群体影响因子。通过粒子群优化算法的群体智能仿真工具,对客户服务选择的影响因子与选择结果之间的复杂非线性关系进行模拟仿真实验,通过定量数据的模拟,精确找出各个影响因子对选择结果的影响程度,选择结果对影响因子的敏感度,以及各个影响因子之间的最佳搭配比例。该研究对于优化客户与供应商服务之间双向选择提供了指导方向。 基于个体兴趣度差异和辟谣机制的谣言传播模型 针对现有谣言传播模型未同时考虑个体兴趣度差异和辟谣机制的问题,提出基于个体兴趣度差异和辟谣机制的IWSR谣言传播模型。计算了模型的平衡点和基本再生数,利用Lyapunov稳定性定理、Hurwitz判据和La Salle不变性原理,得到部分谣言传播平衡点局部稳定和全局稳定的条件。通过数值仿真得出加强政府辟谣力度或提升个体判断能力等均能有效抑制谣言传播的结论,最后在WS小世界网络和BA无标度网络上的仿真结果得出在不同网络拓扑结构下谣言传播影响因子的影响力度存在差异性的结论。 Flou值模糊集的分解定理 为了弥补区间值模糊集和三参数模糊集在模糊信息表达方面的不足,在Flou集的基础上,提出了一种新的L模糊集———Flou值模糊集,并用投票模型对其作出解释。定义了8种Flou值模糊集的截集,并讨论了它们的性质。然后,基于Flou值模糊集的截集建立了分解定理,揭示了Flou值模糊集和Flou集之间的联系。本工作为处理模糊信息提供了一种新的工具,并为Flou值模糊集的研究和应用奠定了初步的理论基础。 一种广义局部判别型典型相关分析算法 在局部鉴别典型相关分析(LDCCA)的基础上,提出一种广义局部判别型典型相关分析算法(GLDCCA)。该算法在准则函数的内协方差矩阵中引入样本类别信息,使其提取的特征更有利于模式分类,采用核主成份分析解决小样本问题,克服传统PCA所受到的线性约束。在人工数据集以及ORL和Yale 2个人脸库上进行实验,结果表明,与CCA算法和LDCCA算法相比,GLDCCA算法具有更高的识别性能。 LabWindows/CVI在导引头地面测控设备中的应用 针对某型导引头挂飞试验实现导引头控制及实时性高的需求,设计了一种基于LabWindows/CVI软件开发平台的挂飞控制箱系统;该挂飞控制箱通过RS422串口与导引头相连,能够实现导引头控制、状态显示、GPS信息接收处理、试验数据存储等功能;挂飞控制箱采用VXI总线和LabWindows/CVI软件平台进行设计,硬件集成度较高,软件运用LabWindows/CVI开发平台自带的多线程技术,将串口通讯等周期性任务与数据处理、存储、显示等任务从时间上分开,提高了CPU工作效率,满足系统实时性要求;试验结果表明,该挂飞控制箱系统工作稳定,与导引头通讯可靠,实时性较高,试验数据完整且满足总体要求。 二元非张量积型连分式插值 首先,基于新的二元非张量积型逆差商递推算法,分别建立奇数与偶数个插值节点上的二元连分式插值格式,并得到被插函数的两类恒等式。接着,利用连分式三项递推关系式,分别确定渐近式的分子和分母的次数,即特征定理,并给出推导分子、分母的递推算法。同时,研究表明所提连分式的分子、分母次数分别小于相应的二元Thiele型插值连分式分子、分母次数,这主要是因为所提连分式插值减少了对冗余的插值节点的采用。然后,从计算复杂性的角度出发,所提二元有理函数插值的计算量小于相同插值节点上的径向基函数插值的计算量。最后,数值算例表明所提二元连分式插值方法有效且可行,同时也揭示了即使插值节点集合不变,所提插值连分式的表达式也会随着插值节点顺序的改变而改变。 基于多方向对称和匀质约束的SUSAN棋盘格角点检测算法 棋盘格角点检测是摄像机标定过程中的一个重要步骤。针对原有SUSAN角点检测算法在棋盘格角点检测应用中边缘点与角点难以区分的问题,通过对棋盘格角点特性的分析和实验,提出了一种基于多方向对称和匀质约束的SUSAN棋盘格角点检测算法。通过在原有SUSAN算法的基础上添加对称约束算子和匀质约束算子滤除SU-SAN检测结果中的边缘点,最终确定角点的坐标。实验结果表明,该算法在角点检测的有效性和精度方面优于已有算法。 基于ROA的移动个人知识管理系统集成 针对目前个人知识管理工具存在的功能单一以及实时性差等缺陷,提出基于智能化移动终端的、敏捷实时的个人知识管理体系结构.通过与传统基于SOA的Web服务进行比较,阐述基于ROA的Web服务在系统可扩展性、安全性及交互性等方面的优势.在此基础上,提出移动个人知识管理平台与现有第三方知识管理系统之间的集成方案,采用J2EE、JQUERY、RESTLET和ANDROID等开发环境搭建个人知识管理系统,给出异构知识管理平台之间相互集成的关键技术. VRML协同虚拟现实系统的研究与应用 "协同虚拟现实系统是在分布式虚拟环境基础上发展起来的一种智慧环境,在情景创设、协同工作、高交互性和实时性等方面具有明显优势。在梳理协同虚拟现实的定义与功能、模型与分类的基础上,研究了协同虚拟现实的建模技术和模型优化技术,重点探讨了协同感知的实现、用户替身的实现和协同通讯环境的实现方法。为解决多用户操作冲突,研究了采用对象锁和优先级控制法的并发控制技术。结合""协同搬凳""虚拟现实实例,阐述了协同虚拟现实的设计流程和关键技术。测试表明,基于VRML的协同虚拟现实系统能满足低带宽、实时性要求,提出的定时采集和发送数据的方法,满足多用户协同虚拟现实的需要。" Comet模式的异步推送技术的研究与实现 为了提高消息推送技术的效率和并发处理能力,在研究了现行的消息推送模式和Java NIO技术的基础上,根据jdk1.7提出的新特性设计了一种异步I/O模型并将此模型与Comet模型相结合。提出一种高效的推送技术解决方案,并通过对比测试验证了解决方案在推送效率和并发处理能力上的优势。 云系统中多域安全策略规范与验证方法 为了有效管理云系统间跨域互操作中安全策略的实施,提出一种适用于云计算环境的多域安全策略验证管理技术。首先,研究了安全互操作环境的访问控制规则和安全属性,通过角色层次关系区分域内管理和域间管理,形式化定义了基于多域的角色访问控制(domRBAC)模型和基于计算树逻辑(CTL)的安全属性规范;其次,给出了基于有向图的角色关联映射算法,以实现domRBAC角色层次推理,进而构造出了云安全策略验证算法。性能实验表明,多域互操作系统的属性验证时间开销会随着系统规模的扩大而增加。技术采用多进程并行检测方式可将属性验证时间减少70.1%~88.5%,其模型优化检测模式相比正常模式的时间折线波动更小,且在大规模系统中的时间开销要明显低于正常模式。该技术在规模较大的云系统安全互操作中具有稳定和高效率的属性验证性能。 基于AHP-Fuzzy的大型公共建筑可持续性评价研究 对大型公共建筑的可持续性进行研究,提出一种综合评价方法。将问卷调查与层次分析法(AHP)相结合建立评价体系,确定各级指标权重,根据模糊综合评价(FCE)原理建立评价矩阵进一步进行综合评价。结合实例验证了该综合评价方法的可行性。结果表明:该方法能准确、高效评价大型公共建筑的可持续性,评价结果符合实际。 基于相对密度的孤立点和边界点识别算法 根据孤立点是数据集合中与大多数数据的属性不一致的数据,边界点是位于不同密度数据区域边缘的数据对象,提出了基于相对密度的孤立点和边界点识别算法(OBRD)。该算法判断一个数据点是否为边界点或孤立点的方法是:将以该数据点为中心、r为半径的邻域按维平分为2个半邻域,由这些半邻域与原邻域的相对密度确定该数据点的孤立度和边界度,再结合阈值作出判断。实验结果表明,该算法能精准有效地对多密度数据集的孤立点和聚类边界点进行识别。 永磁同步电机电流速度响应优化控制研究 在永磁同步电机直接转矩控制中,由于不采用对电流的控制,因此对电流响应优化困难,导致系统动态性能较差。对上述问题设计了对电流进行控制的SVM-DTC方法。首先,将扇区细分,并拓展电压矢量,研究电压矢量作用对定子电流响应的影响。其次,为了提高电压矢量输出的快速性,设计了简化的空间矢量调制方法来提高效率。通过matlab/simulink搭建系统模型,仿真结果显示,系统响应快速,脉动小,动态性能好。验证了方法的有效性。 飞机电源多Agent预测与健康管理系统研究 针对复杂多任务的飞机电源预测与健康管理的需求,以状态维护开放系统体系结构OSA-CBM模型为标准架构,提出一种基于多Agent的飞机电源故障预测与健康管理系统体系结构模型。结合原型系统开发实例,详细阐述了各Agent的功能及相互关系。采用AUML技术构建基于多Agent的AEPHM系统模型,通过AUML协议图描述了Agent之间的主要交互活动。实践表明,该体系结构为航空机载设备预测与健康管理系统建设提供了一种新的设计开发模式。 导航卫星载体姿态测量进化算法研究 导航卫星载体姿态测量是航空、航天、航海和陆地导航中的关键技术.在基于载波相位差的载体姿态测量中,相位双差整周模糊度的求解是重点和难点.该文将进化算法应用于整周模糊度的搜索,无需进行模糊度的去相关处理,采用进化算法直接搜索整周模糊度,进而求解出基线矢量,获得载体姿态.该方法可以提高整周模糊度求解的效率和实时性,适用于动态载体姿态测量.对比实验结果证明了该方法的有效性. 关于道路交通流准确预测仿真研究 在预测研究短时交通流问题的研究中,由于传统的短时交通流预测没有考虑交通流的混沌特性,与实际交通流特性状况不符,预测模型存在不准确性和不稳定性等缺陷,针对上述问题,为了提高交通流预测的精确度,考虑交通流量时间序列的混沌特点,提出混沌理论K熵优化小波BP神经网络进行短时交通流预测模型。首先,用小波基函数对BP神经网络的隐含层进行优化;然后,通过引入K熵理论,判断交通流时间序列的混沌特性;最后,通过混沌理论K熵优化小波BP神经网络对短时交通流进行预测,并分析了在各种不同条件下的预测情况。仿真结果表明用该网络模型对交通流时间序列的预测的准确性和稳定性相对于传统的小波BP神经网络有很大提高,分析得出所建立的基于混沌理论K熵优化BP神经网络的短时交通流预测模型,能够对短时交通流进行准确的预测。 一种分簇无线传感器网络多维节点信誉管理方案 目前分簇无线传感器网络的节点信誉管理方案存在信誉值计算、更新及维护代价高,节点抗恶意哄抬及恶意抵毁能力弱等问题。将无线传感器节点分为簇头节点和普通传感器节点,将两类节点在事件感知、报文传输以及数据融合等方面的正常及异常行为作为评价基础,提出一种多维节点信誉管理方案。最后,将该方案和AOMDV反应式路由相结合,设计了一种基于节点可信的路由协议STA。仿真结果表明,该协议能够在不可信环境下实现分簇无线传感器网络中较高的数据传输率和传输成功率。 基于有色Petri网的网络安全态势感知模型构建及分析 为了宏观地把握网络安全态势的状态,构建基于有色Petri网的网络安全态势感知模型,并进行了分析。针对所建立的模型利用CPN Tools对其结构进行分析和验证。经过仿真验证分析,证明所建立的模型在结构上无死锁、具有有界性和可达性且状态空间合理。 一种提升自动精简配置效能的方法研究 当前云存储已经成为IT领域的焦点,并在电信以及流媒体等领域有着广泛需求,自动精简配置是一种先进的存储虚拟化技术,能够提高存储空间的使用效率,简化存储基础架构,满足云储存基础架构设施的需要。针对当前自动精简配置存在效能低下的问题,以流媒体行业为应用背景,没计并实现I_THINP自动精简配置系统。采用模块化、分层的设计思想,将文件系统、通用块设备、iSCSI模块、精简池模块有机结合,整体提升自动精简配置的空间使用效率,并降低空间回收对系统性能的负面影响。实验结果表明,与现有主流自动精简产品相比,I_THINP自动精简配置系统的空间回收效率在97%以上、每秒处理的I/O请求数量下降16.53%、I/O平均响应时间下降22.82%,证明I_THINP自动精简配置系统在效能方面达到业界先进水平。 云计算环境下基于社区聚集的绿色消息系统 云计算环境下,消息系统的客户端点部署方式对系统的性能和能耗有着重大的影响.不合理的部署会使消息系统内的路由节点承受较大的负载,增加系统的能耗.现有的部署方法往往只考虑负载均衡或者基于兴趣的部署,忽略了客户端点之间存在的复杂通信关联对系统造成的影响.文中提出一种基于社区聚集的部署方法,能根据客户端点之间的消息通信强度划分社区,并通过社区聚集的方法,提高系统性能,同时有效地降低路由节点CPU以及通信链路的能耗.实验证明该方法能有效提高消息系统的消息转发效率,并能降低路由节点的消息处理负载和通信链路中的消息量,减小系统能耗.这为绿色消息系统的研究提供了一个新思路. 一种基于2DLPP和2DLDA的人脸识别方法研究 局部保持投影(locality preserving projection,LPP)和线性鉴别分析(linear discriminant analysis,LDA)是两种有效的一维特征提取方法,广泛应用于人脸识别领域。但采用一维特征提取方法时会存在列向量化时样本的结构信息被破坏和样本在提取特征时必须对协方差矩阵进行特征分解,对于高维小样本的问题很容易出现协方差矩阵奇异的问题。文中提出将二维局部保持投影(2DLPP)和二维线性鉴别分析(2DLDA)这两种方法在特征层进行融合并应用在人脸识别。基于人脸库AR上的实验表明,该方法比传统的LPP和LDA识别性能更高,因此可作为一种新的人脸识别方法。 平面线段集最近邻查询算法 针对基于R-树的空间索引结构存在的节点覆盖冗余,兄弟节点之间的交叠问题,提出一种新的空间索引结构即RP-树。通过最适合划分函数和数据矩形的有序关系来对空间数据进行划分,使得该树的高度尽可能低,节点交叠较小。以RP-树为平面线段集的索引结构,利用线段集的相关定理和筛选规则,给出了一个求解平线段集最近邻的新查询算法,该算法不仅易于理解,且执行效率较高。 基于LabVIEW的起落架落震试验数据分析系统设计 基于LabVIEW、Matlab环境开发了一套适用于起落架落震试验的数据分析系统,通过规范试验数据文件名,数据格式,试验数据通道名称,改善了传统数据处理时存在的参数输入繁琐,功能单一,工作效率低,数据不规范的缺点,实现了文件获取、参数识别、数据对比、数据合并、结果输出的自动化处理;开发了数据监测程序,对加速度、气腔压力等试验中信号故障率较高的信号实时监测;针对部分起落架测试误差难以满足试验要求的情况,系统中考虑了起落架上部质量、下部质量重心位移不一致的特点,对投放功量的计算方法进行修正,有效减少了测试误差,给出了几种不同重量级起落架试验过程中采用修正算法前后测试误差的比较结果;经多个型号落震试验验证,该系统满足不同类型的落震试验,功能稳定,自动化程度高,提高了工作效率和试验精度。 大规模无线传感器网络节点部署研究 无线传感器网络多跳的组网方式导致不同位置的节点能耗分布不均.为避免特定位置节点耗能过多形成能量空洞,以致网络不能有效连通,从而影响网络生存周期,需要对无线传感器网络的节点部署进行规划.本文采用环状能耗模型,根据传感器节点的探测半径,提出了覆盖度优先和连通性优先两种策略进行节点部署.理论计算和仿真实验表明,该分布在有效保证覆盖度和连通性的前提下,使得能耗负载均匀分配在各个节点上,相比于同等情况下的均匀分布策略,该策略能有效的延长节点的生存周期约2.17倍. Web服务的颜色Petri网模型及验证 利用Web服务组合技术,能够快速、灵活地满足复杂多变的业务需求。针对现有Web服务组合描述模型不独立于具体组合流程描述语言且不能全面刻画Web服务组合这两个问题,提出了一个描述Web服务的颜色Petri网模型,并给出了五个Web服务基本组合结构的模型表达,用于构造满足实际需求的Web服务组合流程。该模型能较全面地描述Web服务组合,同时还能够对组合流程正确性进行分析验证,最后通过建模实例说明了所提模型的实用性。 基于Ajax的Observer模式客户端研究 针对现有的工业大型设备远程监测系统中Web客户端存在的实时性差和代码复用率低的问题,提出基于Ajax的Observer模式Web客户端构建方案。利用Ajax技术解决监测系统中客户端图形显示的实时性问题,并与Observer设计模式相结合,提高了代码复用率,降低了模块之间的耦合性。通过演示系统程序完整地验证了该方法的可行性。 军事工程毁伤评估的组合智能模型 鉴于军事工程毁伤的复杂性,提出了基于组合智能评估模式的军事工程毁伤评估模型。以军事工程毁伤评估体系结构及其特征与功能约束为基础,设计军事工程毁伤效果组合智能评估的构件化模式,建立军事工程毁伤效果组合智能评估机制;以智能优化算法提高军事工程毁伤效果评估效率为目标,设计了一种易于仿真推理的军事工程毁伤效果组合智能评估流程。以人工神经网络为主体,集成小波分析和遗传算法,建立军事工程毁伤效果评估的非线性智能组合评估模型,应用于军事工程抗精确打击毁伤分析。案例表明,非线性组合智能评估模型充分发掘不同算法的差异优势,仿真实验验证了组合设计的可行性。 RGB颜色空间的矢量-角度距离色差公式 从RGB颜色空间入手,在对目前使用较为广泛的几种RGB色差度量公式进行分析对比的基础上,总结出RGB颜色色差的3个基本规律,提出颜色分量的重要程度这一概念。并根据颜色分量的重要程度用动态系数调整RGB颜色间的空间距离和矢量角度值,从而得到了一种全新的RGB颜色空间的色差度量公式。通过高复杂度图像的颜色量化实验证明该色差公式在颜色量化中的性能达到了CIELUV色差公式的水平,有效地节约了内存空间和计算时间。 电子花样机花样缝纫点生成算法 "在解析出AUTOCAD、COREDRAW和AI等绘图软件所制作的花样图案数据的基础上,设计并实现了花样图案数据的线转点算法,非闭合图形首尾倒缝和缩缝、闭合图形加固缝等定制算法,研究并提出了花样图案数据的拐点单侧减速的控制算法.测试结果表明,该算法可以高效、稳定地完成花样图案关键点数据到花样缝纫点数据的转化.目前,该算法已在电子花样机打版软件""智慧打版软件""中得到应用." 基于Polygon的雕塑法计算机辅助造型设计方法 计算机辅助设计技术已成为设计表现的必备手段,对于概念造型设计而言,接近传统手工方式的设计方法更易于被艺术设计师理解和接受。在对比目前主要计算机辅助造型方法的基础上,从分析传统手工雕塑造型的方式出发,针对概念设计和艺术造型设计的实际特征,提出了基于Polygon建模的雕塑法建模思路,给出了具体的建模步骤和合理布线的评价方法,并通过民间工艺品-泥塑马的造型实现过程进行了实例验证。实际运用证明,计算机雕塑建模方法对于艺术设计的三维软件建模理念具有较强的实用性,能够充分按照设计师的构思理念进行造型设计,对于创意设计而言更易于被造型设计师理解和运用。 MySQL数据库在自动测试系统中的应用 针对虚拟仪器的传统数据管理方法,将MySQL数据库引入到自动测试系统的设计中。介绍了LabVIEW数据库接口、MySQL数据库与LabVIEW之间的通信以及各种数据库功能实现方法,结合实例用MySQL数据库实现了硅油风扇离合器性能测试系统的数据管理,取得了良好的效果。 基于蚁群算法的卫星地面站任务规划方法 卫星在运行过程中,与地面站之间存在许多可见窗口,只有在可见窗口之内,地面站才能直接对卫星进行观测和操作,因此,如何合理调度地面站资源,实现最大化利用星地可见窗口是一个重要的课题。本文定义卫星与地面站之间的可见窗口为任务集合,将蚁群算法应用于构造有效的任务调度序列,求解任务最大执行时间,即可利用的可见窗口时间最长。实验数据显示,蚁群算法有着优良的调度结果,同时其调度结果亦优于FCFS和贪婪算法。由此可以看出,该算法能有效求解卫星地面站任务调度问题。 基于Moreau-包络的近似平滑迭代磁共振图像重建算法 针对基于压缩感知(CS)的磁共振成像(MRI)稀疏重建中存在的两个非平滑正则项问题,提出了一种基于Moreau包络的近似平滑迭代算法(PSIA)。基于CS的经典MRI稀疏重建是求解一个由最小二乘保真项、小波变换稀疏正则项和总变分(TV)正则项线性组合成的目标函数最小化问题。首先,对目标函数中的小波变换正则项作平滑近似;然后,将数据保真项与平滑近似后的小波正则项的线性组合看成一个新的可以连续求导的凸函数;最后,采用PSIA对新的优化问题进行求解。该算法不仅可以同时处理优化问题中的两个正则约束项,还避免了固定权重带来的算法鲁棒性问题。仿真得到的体模图像及真实磁共振图像的实验结果表明,所提算法与四种经典的稀疏重建算法:共轭梯度(CG)下降算法、TV1范数压缩MRI(TVCMRI)算法、部分k空间重建算法(RecPF)和快速复合分离算法(FCSA)相比,在图像信噪比、相对误差和结构相似性指数上具有更好的重建结果,且在算法复杂度上与现有最快重建算法即FCSA相当。 在连续框架下利用拓扑特征增强的体数据可视化 传统体绘制方法难以清晰地展现体数据的内部结构,本文提出了基于连续框架的利用数据拓扑特征增强体绘制的新方法.该方法首先利用7方向box样条拟插值对离散数据进行连续重建,然后通过求解多项式系统得到数据场中的特征点分布;从鞍点出发可以在连续模型上计算出鞍极曲线,本文提出以鞍极曲线长度给特征点值分布加权得到含权特征点直方图,并依据直方图信息重新设计体绘制的传输函数来增强体绘制.实验结果表明,与离散框架的方法相比,文中方法更加简单可靠,且可视化结果具有更好的平滑性,能清晰地反映体数据内部的细微结构. 基于本体论的产品拆卸信息建模 拆卸序列规划生成是虚拟维修的核心之一,直接关系到虚拟维修的可行性及成本。以最优拆卸树的方法研究拆卸序列规划是拆卸序列生成最行之有效的途径。从虚拟维修中产品拆卸模型的基本特点入手,应用本体论的思想和方法,构建了影响拆卸相关的本体,包括几何本体、关系本体、物理本体、化学本体,并综合考虑各本体之间的相互联系,建立了比较完整的产品拆卸信息模型,同时依据成熟的拆卸树算法生成最优拆卸树,以便后期进行拆卸序列规划的研究。并应用于某产品的拆卸模型建立。 苍术酮氧化机理的密度泛函研究 在298.15 K和101.325 kPa条件下,根据密度泛函理论,使用Gaussian03程序,在B3LYP/6-31G水平上,以实验上所检测到的白术内酯Ⅰ、表白术内酯Ⅰ、白术内酯Ⅱ、白术内酯Ⅲ和双白术内酯为设计反应路径的出发点,详细研究苍术酮氧化反应的机理。在拟定反应机理的基础上,获得了14个稳定构型和13个过渡态,优化构型表明五元环为白术的官能团,五元环经历了开环,闭环的过程——从平面结构到扭曲再到平面结构,证明苍术酮在氧化过程中有2条反应通道:通道1生成白术内酯Ⅰ,通道2生成表白术内酯Ⅰ。并计算了各反应路径的热力学和动力学参数,结果说明苍术酮与水的加成反应,是整个反应过程的速度控制步骤,活化能分别为223.25 kJ/mol和216.75 kJ/mol,且前者为吸热过程,后者为放热过程。计算结果确认了实验上已检测的物质,并从理论上预测了可能存在的氧化物质。苍术酮的氧化机理对研究白术的炮制及提纯具有重要意义。 基于星敏感器的姿态优化估计算法 对于采用星敏感器的航天器姿态确定问题,提出了一种快速的姿态优化估计方法;首先,根据Rodrigues参数和观测向量之间的线性关系,引入四元数,构造了不同的非奇异的优化准则;其次,借助四元数,求解了基于该准则的姿态优化算法;误差协方差分析和数值仿真结果表明,该算法与著名的QUaternion ESTmation(QUEST)算法相比,在相同的操作系统环境下,具有相同的姿态估计精度,但计算速度更快,对两种算法选取间隔为2000次的仿真步长,统计数据长度为100个采样点,最后对结果加权求平均值,统计结果显示仅为QUEST的三分之一;这种姿态优化估计方法具有一定的工程应用价值。 多用户MIMO系统预编码技术的研究 多天线基站和多用户可以组成一个多用户MIMO系统,为获得更高的系统容量和高效传输性能。预编码是一种应用于发射端以达到抑制多用户间干扰的预均衡方法。基于非理想信道状态信息(CSI)条件,针对多用户MIMO系统的下行信道,研究了线性ZF预编码和非线性THP预编码的性能,给出了接收端信号与干扰和噪声比SINR的近似表达式。对两种THP变体结构,dTHP结构和cTHP结构进行了性能仿真比较。仿真结果表明,cTHP结构的性能要优于dTHP结构。同时,给出了信道估计误差对cTHP结构BER影响的定量仿真结果。对非理想CSI下的预编码技术选择提供了一定的依据,并对限定信道估计误差的偏差程度具有参考意义。 基于自适应阈值Kirsch-LBP纹理特征的均值漂移目标跟踪算法 针对以颜色特征建立概率模型的Mean Shift目标跟踪算法在光线变化时存在的缺陷,提出了一种融合改进型纹理特征与HSV颜色特征的Mean Shift目标跟踪算法。首先,设计一种具有抗光性能的自适应阈值Kirsch-LBP纹理特征算子,该算子利用Kirsch算子的8个方向模板所求的差值,并采用LBP模板均值作为自适应阈值,再按照旋转不变LBP原理提取局部纹理特征;其次,利用不同特征相似性系数间的关系作为加权准则来构建新的权重;最后,将其嵌入到Mean Shift算法中以实现目标跟踪。对比实验结果表明,本算法在光线变化场景中也具有良好的目标跟踪特性,广泛适用于光照变化和姿态变化等复杂场景下的目标跟踪领域。 基于ATCA架构的加固服务器主模块设计技术 为了解决传统加固服务器主模块可靠性差和稳定性差的问题,提出了一种基于ATCA架构的加固主模块设计方法;该方法包括了基于冗余-48V的高性能服务器处理器供电电路设计技术、基于ECC校验的DDR2高带宽数据存储电路设计技术、基于SATA的高速存储技术等关键技术;经过了大量的测试和试验验证,该种新型的加固服务器主模块能够在恶劣环境下稳定运行,可靠性和稳定性都有了很大的提升。 基于微观变量的交通流算法研究 中国城镇化发展迅速,伴随而来的城市交通状况也日益恶化,早日建成现代化的交通运输系统和交通信息管理系统成为了城市交通战略的首要任务。寻找能够正确描述交通流基本规律的交通流算法,更好地指导局部交通的优化管理和控制,是交通流研究的期望目标。基于微观变量和元胞自动机理论提出交通流算法模型。通过对微观交通流算法的设计及数值模拟,预测理想的交通流及出行分布情况,从而加深了对交通流中各种现象内在机理的认识,使其可以预测出最接近实际情况的交通流,为交通流的仿真和实时交通控制做了基础性的工作。 基于小波纹理特征的织物疵点检测 利用织物的纹理正常部分与疵点在小波系数的分布范围不同,加以分离;在先前的纹理疵点检测方法里,一般必须训练纹理的正常部分,为了解决这个问题,提出一个利用疵点与正常部分在影像上的特性差异来自动决定训练区块的方法,可以使图像在输入的时候,重新取样训练,降低了因环境变化而造成的检测错误发生率。 一种优化组合相似度的协同过滤推荐算法 为了进一步提高相似度计算的准确性,提出了一种优化组合相似度的协同过滤推荐算法。首先,建立用户-项目评分时间矩阵,根据用户对共同评分项目的评分时间先后顺序,计算用户之间的影响力;其次,根据用户对共同评分项目的评分差异,计算评分差异的加权信息熵;最后,将时序行为影响力融入到基于加权信息熵的相似度中,其中融合参数α由随机粒子群优化算法选择。通过与其他相似度计算方法比较,该算法降低了标准平均绝对误差和流行度,在一定程度上降低了数据稀疏性的影响,能更准确地计算相似度,从而提高了推荐质量。 基于视觉感知机理的大容量彩色图像盲隐写算法 针对现有彩色图像隐写算法大多将灰度图像的算法直接用于彩色通道,未能运用彩色视觉感知机理的问题,提出一种YUV空间上的、基于视觉感知机理的大容量彩色图像盲隐写算法。主要方法是在Y分量上通过标准差来分析载体图像的局部复杂性,利用视觉感知机理将信息分成两部分隐写于Y和V分量中,灵活性较差的U分量则作为隐写信息的通道指示器,无需大的改变。大量实验结果表明,所提算法与其他方法相比在嵌入大容量信息后依然能够保持很好的不可感知性,而且可以抵抗直方图对比和RS隐写分析方法,因而所提算法是合理、简洁、有效的。 基于虚拟可重构平台的时序电路演化设计 目前,电路进化设计是演化硬件研究的主要方向之一。而时序电路由于存在反馈环不便于进行电路描述和软件仿真。文中对时序电路的演化设计方法进行了改进,提出了专门针对时序电路演化的虚拟可重构平台,建立起电路编码与HDL代码的映射关系。应用TEXTIO和MATLAB来辅助仿真测试过程,使测试向量数量巨大、难以处理的问题得到很好地解决。最后调用ModelSim完成了FSM的演化实验。实验结果验证了基于此平台演化时序电路的可行性和有效性。 基于Jini的协同入侵检测模型 针对现有的分布式入侵检测系统存在检测准确性低、可扩展性差问题,提出一种具有动态可插拔的协同入侵检测模型。该模型主要由检测实体、行为库、协同控制器构成,采用代理技术和Jini技术实现,具有自管理、自修复和跨平台的特性,系统中的各代理可以即插即用,并且能与其它代理进行协同检测。实验结果表明,该模型提高了入侵检测系统的准确性和扩展性。 面向限制K-means算法的迭代学习分配次序策略 结合关联限制K-means算法能有效地提高聚类结果,但对数据对象分配次序却非常敏感。为获得一个好的分配次序,提出了一种基于分配次序聚类不稳定性的迭代学习算法。根据Cop-Kmeans算法的稳定性特点,采用迭代思想,逐步确定数据对象的稳定性,进而确定分配次序。实验结果表明,基于分配次序聚类不稳定性迭代学习算法有效地提高了Cop-Kmeans算法的准确率。 一种面向在线查询的拼写纠错算法 搜索引擎中,在线拼写纠错根据用户查询输入补全用户查询,并给出正确的拼写建议。提出了一种面向查询补全的在线拼写纠错算法。基于真实查询的噪声信道转换方式,算法建立了用户查询输入的生成模型;利用拼写纠错对,算法采用期望最大化算法训练能捕获用户误拼行为的马尔科夫N语法转换模型;算法采用不同剪枝策略的启发式改进A*搜索算法以实现实时给出纠错补全建议。实验结果表明,提出的算法相比其他同类算法更有效。 基于伴随向量方法的多延时系统最优扰动抑制 研究包含有状态、控制和测量延时连续系统的最优扰动抑制问题。首先分析并提出了系统转换的方法,将原系统转化为无延时项的连续系统。然后将该系统与扰动系统联立为增广系统,并将最优扰动抑制问题转化为最优状态调节器问题。运用Pontryagin极小值原理证明最优控制的必要性,运用动态规划法证明其充分性;通过定义伴随向量解决了扰动向量和最优性能指标物理不可实现的问题。最后运用数据仿真将几种不同控制律作用下的系统响应作比较,验证所设计控制律的有效性及简易性。 神经网络在彩色图像压缩中的应用 文中旨在提出一种基于神经网络的图像压缩算法对彩色图像信息进行处理,从而减少大规模彩色图像的冗余度,方便其传输、存储及加密等。该算法通过将BP(Back Propagation)神经网络用于彩色图像压缩,利用其多层前馈网络的模式变化能力,实现了对由RGB编码得到的彩色图像数字矩阵进行的压缩编码。经Matlab仿真实验表明,该算法具有良好的压缩效果,且与灰度编码下的图像压缩结果对比,具有更好的压缩效率及保真效果,并能有效地保留原彩色图像的色彩信息,能够满足彩色图像压缩处理的要求。 改进的分布估计算法求解软硬件划分问题 软硬件划分是软硬件协同设计中的关键步骤,为NP难问题。分布估计算法可以解难优化问题,具有很好的全局搜索能力,但存在局部搜索能力差、种群多样性易失问题。针对此问题,对分布估计算法进行改进,对精英解进行克隆选择以加强局部搜索能力,对概率模型进行修正以改善种群多样性损失问题。同时,针对划分问题提出一种不可行解的修复方法。将改进后的分布估计算法应用于软硬件划分问题,并与现有算法做比较,结果表明所提算法在不同的约束条件下均可获得更好的优化结果。 基于LRU和SCBF的大象流提取及其在DDoS防御中的应用 大象流的及时、准确提取对防御大规模网络安全事件具有重要意义.针对独立的LRU和SCBF提取大象流存在的不足,提出了基于LRU和SCBF的大象流提取方法——LRU_SCBF算法.该算法使用LRU列表和SCBF数组二级存储结构,将到达的老鼠流存入SCBF中,达到一定门限则提取到LRU中,LRU满时按最近最久未用策略淘汰老鼠流到SCBF中,循环实现大象流和老鼠流的分别聚集.理论分析和模拟实验表明:LRU_SCBF算法占用空间小,误报和漏报低,能实现高速网络环境下大象流的及时准确提取.应用于DDoS攻击防御中,能够实现DDoS攻击的及时检测和追踪. Matlab GUI在低质量指纹图像增强中的应用 低质量指纹通常被定义为模糊、高噪声、低对比度等情况下的指纹。利用人体固有的指纹生理特征来进行个人身份鉴定的指纹识别技术中,图像增强技术是其中一个非常重要的步骤。低质量指纹图像增强效果直接影响低质量指纹图像的识别效果。文中在简介指纹识别过程的基础上,以一种典型的低质量指纹图像增强算法-Gabor滤波增强算法为例,重点阐述了Matlab GUI设计的一般步骤及其在低质量指纹图像增强中的具体实现。对用户开发Matlab GUI应用程序和利用GUI处理图像等相关问题都有一定的借鉴意义。 基于概率的大数据查询系统——Probery 针对大数据环境下完整性查询时间代价消耗过高的问题,提出了一种采用近似完整性查询方法的系统——Probery。Probery所采用的近似完整性查询方法不同于传统的近似查询,其近似性主要体现为数据查全的可能性,是一种新型的数据查询方法。Probery首先将存入系统的数据划分为多个数据分段;然后,根据概率放置模型将各个数据分段的数据存储在分布式文件系统中;最后,对于给定的查询条件,Probery采用一种启发式查询方法进行概率查询。通过与其他主流的非关系型数据管理系统的查询性能进行比较,对Probery进行验证,Probery在损失8%查询完整性的情形下,查询时间较HBase相比节约了51%,较Cassandra相比节约了23%,较Mongo DB相比节约了12%,较Hive相比节约了3%。实验结果表明,Probery可以适当地损失查询完整性来提高数据的查询性能,具有较好的通用性、适应性和可扩展性。 业务属性相关的OpenFlow网络拥塞管理机制 网络拥塞会严重影响网络运行的性能和稳定性.Openflow网络中控制器可以对网络进行集中式管理,基于这种特性,提出一种与业务属性相关的Open Flow网络拥塞管理机制(Congestion Management Related Traffic Attribution in Open Flow,CMTA-OF),以实现更高效的拥塞控制.CM TA-OF机制利用控制器掌握全局网络流量分布的优势,将数据流的业务属性、网络的流量分布和网络的拓扑结构相结合,在拥塞链路处,选择一条或者多条转移开销最小的数据流从网络入口进行重路由.理论分析和实验表明,和传统的拥塞分流策略以及现有的基于Open Flow网络的LABERIO机制相比,CMTA-OF既能够有效的缓解网络的拥塞状况,保证用户的服务质量,同时还能够提升网络的吞吐量和资源利用率. 求解IPPS顺序柔性调度问题的模型与集成型调度算法研究 针对工艺规划与调度集成(integrated process planning and scheduling,IPPS)问题中的顺序柔性调度问题,提出了基于简单顺序关系的顺序柔性描述模型及调度模型,并改进遗传算法设计了集成型的顺序柔性调度算法。染色体编码同时采用简单顺序关系编码和基于工序的编码,并为两种编码分别设计了多种交叉和变异操作。为避免遗传算子产生违背工序顺序优先关系的不可行解,提出了顺序约束修正策略;针对遗传算法易过早收敛的缺陷,设计了自适应调节变量以强化种群多样性,并引入变邻域搜索算法改变解的搜索邻域,进一步搜索最优调度方案。三种不同规模的实验仿真验证了问题描述模型及调度算法的有效性。 医学影像云存储模型的研究与设计 为解决早期云计算模型对医学小文件存储出现的单节点问题,数据高冗余造成数据的不一致性以及检索效率低等方面的问题,提出一种新型云存储模式。模型中,引入BWFS算法实现优化海量医学小文件序列化合并,优化纠删码算法实现数据块编码,减少数据块的冗余存储,而且引入位图索引技术与HBase索引结合形成新型并行索引策略,优化HBase主索引的缺点。实验表明,新型存储模型通过使用BWFS算法和纠删码技术减少了集群主控节点的内存消耗,在保证数据快速恢复的情况下,减少了集群数据的冗余存储,并行索引技术提高了医学数据影像的检索效率。 基于交叉混沌映射的小波域图像加密算法 设计了一种新的图像加密算法,先将图像进行小波变换,再利用交叉混沌映射产生的混沌序列分别对低频和高频系数进行分块置乱;小波逆变换后,再用一组新的混沌序列对置乱后的图像进行扩散。实验证明,该算法密钥空间大、密钥敏感性强,能有效地抵抗暴力攻击、统计攻击和差分攻击。 基于关联分析的网络数据可视化技术研究综述 当今万维网、社会关系网等网络的规模迅速发展,海量高维的网络论坛数据给论坛管理员和其他分析人员提出了巨大的挑战,人们很难对隐藏着丰富信息资源的网络论坛数据进行管理和分析。关联规则可以挖掘数据中隐藏的关联关系并预测其发展趋势,可视化技术则能将数据清晰直观地展示,辅助用户决策。于是,针对数据量大、结构复杂的网络论坛数据,将关联分析与数据可视化结合,阐述关联规则和网络数据可视化相关定义及总体目标,并对相关技术进行综述,提出了包含关联规则挖掘、主题挖掘、可视化等技术的基于关联分析的网络数据可视化技术实现框架,以帮助人们在有限的时间内快速理解和分析海量论坛数据集。最后,对数据可视化目前存在的问题与挑战进行探讨。 一种综合区域匹配的图像检索改进算法 "为了能更准确地表达图像信息,提高系统检索性能,提出了一种基于综合区域匹配(IRM)的改进算法。先采用阈值和模糊C-均值相结合的方法分割图像,再采用改进了综合区域距离和重要性因子算法的IRM方法进行图像匹配,并根据图像目标和背景的面积比关系提出""有效距离""概念。实验结果表明,相对于经典算法,改进后算法的平均查准率增加了4.58%。该方法能广泛应用于图像检索系统,具有较大适用性。" 密度峰值优化初始中心的K-means算法 K-means算法随机选取初始聚类中心,容易导致聚类结果不稳定。为此,提出一种快速密度峰值搜索算法CFSFDP(clustering by fast search and find of density peaks)优化初始中心的K-means算法。首先针对CFSFDP算法中截断距离的选取影响局部密度的计算这一缺点,提出用动力学中的势能替换数据点的局部密度;在此基础上,利用改进的CFSFDP算法选取初始聚类中心,实现K-means聚类。在UCI数据集和人工模拟数据集上的测试结果表明,优化后的新算法具有更好的聚类结果。 基于MCGS和PLC的反渗透水处理监控系统设计 为了增强系统运行的可靠性,提高控制精度和水质处理合格率,同时减轻操作负担,结合某厂实际,设计了基于MCGS组态软件和可编程控制器(PLC)的反渗透水处理监控系统。此系统实现了自动控制、数据集中管理、故障报警等多方面功能,图形化的人机界面显示了设备运行全过程,操作简明快捷。在水厂的实际运行中安全可靠,出水合格率大幅提高,具有很高的实用价值。 自适应阶段变异量子粒子群优化算法研究 为了克服标准量子粒子群优化(SQPSO)算法易陷入局部最优的缺点,引入变异机制,基于进化阶段的概念,提出了自适应阶段变异量子粒子群优化(APMQPSO)算法。以四种不同的变异概率减小方式阶段性地对QPSO算法中的全局最优位置进行柯西变异,形成了四个不同的APMQPSO算法。用五个典型的测试函数进行仿真实验,并将四个APMQPSO算法与SQPSO算法的实验结果进行了比较。实验结果表明,对于单峰函数优化问题,基于变异概率线性变化的APMQPSO算法较为有效;而对于多峰函数优化问题,基于变异概率非线性变化的APMQPSO算法则具有很强的优化能力。 基于MDA的PIM到PSM转换方法的研究 MDA(Model Driven Architecture)的一个重要思想是将模型作为软件开发的基本单元,解决了软件开发的效率低、可移植性差等问题,进一步提高软件开发的抽象层次。为此,MDA划分了三种抽象级的模型,建立高抽象级的模型,向低抽象级模型和代码的转换来构造可运行的应用程序。因此,提出基于MDA的PIM模型到PSM模型转换方法的研究。并根据转换方法定义了向EJB平台的转换规则,其使用OCL标准描述,具有良好的复用性。最后通过一个实例验证该方法的可行性,实现从平台无关模型到平台相关层EJB模型的转换。 基于同向开口双环的双通带左手材料研究 为了改进传统的开口谐振环-导线(SRRs-wire)结构电磁特性,利用不同大小的开口谐振环所产生不同负磁谐振频率点的特点,设计了同向开口双环的SRRs-wire结构,并给出了其等效电磁参数反演结果。通过增加其单元结构的金属短线的个数实现了双通带左手特性;同时通过改变外环为U型环降低了内外环的相互耦合。利用内外谐振环间具有弱耦合的特性,提高了高频左手通带的带宽,同时可以较方便地调节双通带工作频率点,具有很好的可调性。 信号量在μC/OS-Ⅱ中的应用 实时多任务操作系统在嵌入式系统中的应用越来越受到开发者的重视,信号量做为任务之间的通信手段之一,在实时多任务操作系统中广泛使用;文章首先介绍了实时操作系统中的信号量及其类型,然后介绍了μC/OS-Ⅱ中信号量的管理,并重点分类讨论了信号量的作用、工作原理以及具体的实现方法,最后给出了μC/OS-Ⅱ中信号量在无人机系统中的应用。 基于并行C4.5的铁路零散白货客户流失预测研究 为了提高铁路零散白货客户流失预测的准确性和高效性,根据铁路零散白货客户的流失特征,提出了基于CDL模型的客户流失识别方法;在此基础上,针对数据量大的问题,提出了基于Hadoop并行框架的C4. 5决策树客户流失预测模型。通过仿真实验证明,该模型具有较好的准确性和预测能力,并且随着样本数量的增加,Hadoop并行框架的效率得到了明显的提升,且不影响客户流失预测模型的准确性和预测能力。 网页中数据异常识别的非线性研究 由于Web上网页的急剧增加,信息搜索与挖掘越来越引起人们的重视。然而网页中除了主题信息外,还有噪声信息,从而网页净化技术受到越来越多的研究人员的关注,并提出了各种算法。借鉴人工免疫系统在计算机网络入侵检测中的应用,提出了一种基于AIS的网页去噪算法。同时对网页中的数据进行了异常识别的非线性研究。 多机串行系统的生产批量与维护计划集成优化 在无缓冲串联系统生产环境下,假定设备基于加工失效,系统中存在完美维护和非完美维护两种方式,维护资源有限。在考虑缺货和库存成本的基础上研究生产批量计划与设备预防性维护计划集成决策方法,建立了多机串联系统集成计划数学模型。针对该模型的复杂非线性特征,提出一种分阶段决策求解方法。采用遗传算法高效寻找维护组合来分析模型求解空间巨大的问题。通过数值实验将所提方法与枚举法和经典算法进行了比较,验证了其有效性。 CAN总线在石油仪器组合导航系统中的应用 基于DSP+FPGA结构的石油仪器组合导航系统中CAN总线系统设计,为了使数据能够在整个CAN总线网络协调、有效、快速、稳定的传输,提出了一种使用FPGA作为CAN总线节点结构中的核心处理器的设计方法;CAN控制器采用具有SPI接口的MCP2515,利用FPGA实现MCP2515的初始化、数据的发送和接收,实现了DSP处理器的CAN总线扩展应用;该方法由于把CAN节点控制的大量的工作交给FPGA实现,DSP只需要对FPGA中CAN数据接收FIFO和CAN数据发送FIFO进行读写操作,即可完成CAN总线的数据读写操作,大大减轻了DSP的数据处理压力;试验测试及产品应用表明,该设计有效、稳定可靠、可扩展性好,易于修改和移植,具有较强的工程实用价值。 AJAX在关系型数据库中的改进及应用 传统AJAX引擎在解析较大的回传XML文档时时间开销过大,为了解决这一问题,提出了一种改进的AJAX模型,并给出了一个应用实例。改进AJAX模型采用结构化的并行数组来存储回传数据,避免了对半结构化的XML文档进行解析,提高了数据利用效率。实验结果表明,改进AJAX模型可以满足较大数据量的业务处理要求,明显缩短了用户等待时间。在3000千条记录以下的数据表中应用这一模型,客户端与服务器交互顺畅,可以很好地满足用户实时性要求。 基于邻域场拉普拉斯混合模型图像分割的研究 针对高斯混合模型(GMM)不能有效处理重尾噪声下图像拖尾情况,提出了基于拉普拉斯(Laplacian)分布的有限混合模型图像分割方法。与标准拉普拉斯混合模型(LMM)将像素点作为孤立个体不同的是,该方法充分考虑了相邻像素点间的空间关系。相较传统混合模型参数估计采用的EM算法,该方法采用梯度下降法优化参数。实验结果表明在处理重尾噪声时,该方法与标准LMM算法和GMM算法相比,鲁棒性更好,分割更精确有效。 基于云计算的并行测试方案设计与实现 目前对软件测试用例的需求在以指数级增长,导致测试资源相对不足、测试成本高、测试用例执行效率低等问题更加突出。为解决上述问题,设计一个基于云计算的并行测试方案,采用有限状态机定义测试对象及测试过程中的状态迁移,借鉴随机路线的思想,提出一个并行测试用例生成算法,在此基础上给出基于MapReduce模型和云计算平台的并行测试脚本。实验结果表明,与顺序执行测试序列相比,该方案的加速比可达20,测试效率有明显提高。 基于道路分段的车载自组织网络路由协议 针对城市车载自组织网络中由于车辆运行速度快、网络拓扑结构变化频繁导致的路由链路不稳定问题,提出一种基于道路分段的车载路由协议RSRP。考虑交通灯对车辆速度和空间分布的影响,对不同区域的道路进行分段,选择路段上骨干节点,建立稳定的道路骨干网。在交叉路口选择桥节点,连接相邻路段上的骨干节点,获取路段上传输包所产生的延迟,并更新路段上的网络拓扑信息,通过桥节点获取的车辆状态信息,对路段分配相应权重,并选择最低权重所对应的路段作为路由路径,进而提高数据包传输的投递率,减小端到端时延。在NS2实验仿真平台下模拟真实的城市道路与车辆交通场景,结果表明,与GPSR、GyTAR等路由协议相比,RSRP协议能更好地适用于城市道路场景。 存储区域网络集中监控系统研究与实现 提出一种基于SNMP的存储区域网络监控系统,该系统具有分布式的数据采集与集中式的数据管理、分析的特点,图形化显示所测量的数据。运行结果表明:系统具有良好的可扩展性,适合对大规模的网络进行性能测试。自动筛选交换机SNMP故障信息,自动隔离故障点,并采取短信、E-mail等多种通信方式通告,主动、快速地联系维护人员。 基于马尔可夫逻辑网的联合推理开放信息抽取 在自然语言处理的几个子任务上,传统的方法都是分而治之,例如分词、句法分析、命名实体识别、实体关系识别等。但是,孤立地分析和处理这些子任务会丢失一些彼此之间的内在联系,而这些子任务之间的内在联系往往会对每个子任务有很大帮助。所以,有人提出用联合集成式的模型,从整体上解决这些问题。但是,这些模型都只针对特定领域内的数据进行处理,还未能对开放式的信息进行处理。因此,提出了基于马尔可夫逻辑网的联合推理模型来处理开放式信息抽取(Open IE)。经过大量的实验证明,该模型的执行效率明显高于传统的模型。同时,该模型的适应性更好。 基于小波变换和粗糙集的早搏信号识别算法 心电特征参数的选择和提取是心电图(ECG)分析的基础,提升检测算法的识别率和特征分类的精度是自动分析技术的关键。提出了基于小波变换和属性约简的心电早搏信号识别算法。该算法首先依据心血管专家的诊断标准选择了12个心电特征参数;然后运用基于小波变换的特征检测算法进行了特征提取,并利用基于粒计算的属性约简算法对特征参数进行了属性约简;最后,将约简后的数据用于模式分类并通过MIT-BIH数据库对结果进行验证。实验表明,约简后的分类精度大大高于约简前的数据,特征参数的合理选择(约简)是提高识别效率的重要因素。 3D游戏中“影子光环”特效的实现算法 "3D游戏中,常常会出现一种紧贴地面的""光环""特效,这些""光环""类似于人物的影子。分析了""光环""特效与游戏地形的关系,提出了一种实用的""光环""特效实现算法。借助该算法,游戏中的""光环""将跟随人物进行移动,并且贴伏着地面,随着地表的凹凸而起伏变化。这种算法已成功应用于多款3D游戏,并很好地与各种程序引擎相结合。" 一种基于个体经验的多粒度信任模型 分布式网络中,对于某一节点所提供的相同质量的服务,不同的访问节点对该节点的信任评价存在差异。导致这种差异的原因,一方面与访问节点的直接交互经验有关,另一方面与访问节点的兴趣爱好及对服务评价的理解角度有关(有的节点对服务的评价看重的是下载速度,而有的节点则更看重服务的安全可靠等),这种差异必然影响信任评价的准确性。为了消除个体节点信任评价差异所产生的影响,通过引入经验因子的方法和采用多元组的信任信息记录方法,提出了一种基于个体经验的多粒度信任模型。实验分析表明,该模型在信任评价的粒度、信任评价的准确性等方面有较大的提高。 一种自学习阴影检测方法 提出一种实时学习的阴影检测方法,该方法无须用户提供监控场景信息或设定任何阈值,而是根据统计的技巧来自我学习该场景的阴影特性,进而达到阴影检测的目的。仿真结果表明,该方法可有效地实现阴影检测,并具有较强的自适应性和实时性。 数据库管理系统强制访问控制形式化分析与明证 强制访问控制是保护数据库管理系统安全的有效机制.DMOSMAC是一个依赖于安全操作系统实现强制访问控制机制的数据库管理系统.在分析该系统实现的基础上,对该系统进行了形式化分析.给出了信息流的概念,将信息流集合作为被验证系统状态的一部分.信息流集合始终是一个递增的集合,利用信息集合流可防止删除等操作的证明被绕过的可能,保证验证过程的严密性.在信息流的基础上提出了一种对系统代码进行抽象、抽取的形式化分析方法.即抽象DMOSMAC系统状态,从源代码中提取操作规则,将BLP模型中的状态、访问规则分别与DMOSMAC系统的状态、操作规则建立映射关系,BLP模型中简单安全性和*-特性转换为面向信息流的状态不变式,继承BLP模型的相关安全公理和定理进行分析和证明;最后用定理证明器COQ进行安全性证明的方法. 基于属性权重的实体解析技术 实体解析是将在同一个数据源或者不同数据源中,指向现实世界同一实体的元组识别出来并合并的过程.如何高效而准确地将指向同一实体的记录识别出来一直是研究人员不懈追求的目标.在基于规则的匹配算法中,大多数算法是将所有的属性都作为匹配属性进行计算,并且各个属性的权重都是一样的,然而这样不能充分体现关键属性的重要性.主要针对关系数据库数据源中实体解析准确性的问题,采用信息增益的方法和概率统计的方法计算数据属性的权重,用来代表该属性在记录中的重要性,达到提高实体解析准确度的目的.并且在此基础上采用top-k算法,选择出最佳分类属性集合,减少匹配属性的个数,从而加快了实体解析的速度. 基于约束满足性的工作流执行成功率提高方法 为了能在工作流运行阶段预知执行的成功性,便于及时调整企业资源等因素,提高工作流运行的成功率,通过分析约束在模型和运行层次的不同作用,找出约束满足性对工作流执行成功性的影响因素;基于约束的相关性和满足的时效性,提出基于约束满足性的工作流执行路径的有效性识别方法,并给出相应的识别算法。通过示例进行了定性分析,结果表明了该方法的可行性。 面向监视视频实时分析的快速行人检测方法 为解决监视视频实时分析应用中行人检测效率低的问题,提出一种快速行人检测方法。首先,采用运动侦测方法提取运动区域,并结合行人检测要求对运动区域进行尺寸扩展、归一化和拼接操作;然后,在拼接图像上结合积分图快速提取各运动区域的Haar特征,并采用双支持向量机实现快速的特征分类;最后,结合包围盒相交策略进行帧间滤波,降低行人误检现象。实验表明,该方法不仅可以实时检测行人目标,而且检测错误率低于现有主流方法。 基于沿途补货的多配送中心动态需求VRP建模及优化 为了研究沿途补货策略在客户需求动态变化环境下的实用性,提出基于沿途补货的多配送中心动态需求车辆路径问题。将动态问题按照时间轴依次分解为一系列的静态调度子问题,并建立其两阶段数学模型;设计了一种最邻近法结合贪婪法则来控制车辆沿途补货的解码方法;提出了自适应免疫量子进化算法的求解方法,引入免疫算子进行线路内和线路间的再优化,从关于问题的先验知识中提取疫苗,有效地加快了算法的收敛速度,提高了解的质量,同时在疫苗接种的过程中设计了一种随个体适应度大小而变化的自适应选择概率,减少了算法的运行时间。对实例进行仿真测试并与其他算法进行了比较,结果表明所提算法能获得较好的解,能有效求解动态调度问题,同时分析了沿途补货策略影响,实验表明沿途补货策略适用于动态需求车辆路径问题。 故障树分析法在信息安全风险评估中的应用 信息安全成为人们关注的热点问题,如何定性地构建信息安全风险评估体系以及定量地分析出众多风险因素中的关键部分是关注的首要问题。通过故障树分析法构建了信息安全风险评估模型,然后通过定性分析和定量分析,寻找出导致安全风险爆发的主要因素。初步试验结果标明,该评估模型具有一定的实用性和扩展性。 基于边界约束的空间复杂曲面重构算法 针对克里金(Kriging)算法在复杂地质构造应用中的局限性,提出了一种基于边界约束的复杂曲面插值方法。其基本思想是将断层多边形作为层面边界的约束条件,根据种子点与待插值点穿越多边形的关系为依据,判断待插值点与控制点之间的空间拓扑关系,并将满足条件的种子点利用克里金算法进行插值计算。通过实际数据的测试,解决了传统的网格化插值方案层位与断层无法严格相交以及多重逆掩断层构造的层面拟合等难题,为等值线绘制、地质块状模型构建等提供了新的思路。 适于传感网访问控制机制的密钥管理方案 为了保障传感网访问控制信息及传感数据的安全性,针对传感网的特点提出一种适于访问控制机制的密钥管理方案。该方案基于对称钥体制,通过双密钥链结构来实现路由节点的互认证及共享密钥的协商;通过在密钥链中植入秘密信息,实现路由节点与传感节点的互认证及密钥协商。该密钥管理方案扩展性强,适于大规模的传感网环境,在传感节点被捕获时不会泄漏其他传感节点的密钥,具有较高的安全性。 改进RSA算法的分析研究 RSA算法因其安全性、易用性受到广泛的应用,但其密钥的长度和运行速度之间存在着一定的矛盾,成为算法进一步发展的障碍。本文通过对传统RSA算法进行分析研究,针对其速度缺陷提出改进的N重RSA算法,实验结果表明改进后的算法实现效率提高,具有一定的应用推广价值。 基于主题聚簇评价的论坛热点话题挖掘 热点话题挖掘是舆情监控的重要技术基础。针对现有的论坛热点话题挖掘方法没有解决数据中词汇噪声较多且热度评价方式单一的问题,提出一种基于主题聚簇评价的热点话题挖掘方法。采用潜在狄里克雷分配主题模型对论坛文本数据建模,对映射到主题空间的文档集去除主题噪声后用优化聚类中心选择的K-means++算法进行聚类,最后从主题突发度、主题纯净度和聚簇关注度三个方面对聚簇进行评价。通过实验分析得出主题噪声阈值设置为0.75,聚类中心数设置为50时,可以使聚类质量与聚类速度达到最优。真实数据集上的测试结果表明该方法可以有效地将聚簇按出现热点话题的可能性排序。最后设计了热点话题的展示方法。 基于BAC的业务探测系统 探讨了建立业务探测系统的必要性,介绍了业务探测的相关技术,提出了系统的探测方案,根据方案设计了系统的总体架构,并运用多种技术实现了该系统.该系统为提升业务支撑系统的客户感知,提升系统的满意度从而提高电信业务支撑运营管理水平提供了有力的保障. 一种适用于MIMO多路中继信道的Lattice编码方案 在多输入多输出情况下的多路中继信道模型里,通信过程会受到码流间干扰等因素影响,造成误码率高,通信质量不佳的问题。为此,提出一种基于嵌套Lattice的编码方案。利用Lattice对码字进行编码,并使用各层Lattice互相嵌套的关系来提升码字容量。将该方案与用户端线性预编码相结合,可以解决通信过程中的干扰问题并提升系统性能。仿真结果表明,该编码方案明显优于传统解码转发和放大转发模式,可以达到较佳的链路信道容量。 半监督矢量量化的汉语方言辨识 提出了一种新的方言码本模型辨识系统。该方法利用半监督的思想对方言语音数据进行矢量量化,形成具有监督信息的码本模型。有效解决了在汉语方言辨识中码本精度不高的问题,系统的识别率有了很大提高。实验结果表明带有监督信息的码本量化方法明显优于传统LBG矢量量化方法,对于汉语三种方言,辨识率可达94.23%,比传统码本辨识系统提高了近13%的正确辨识率。 超级计算机系统实时节能控制技术的理论与实践研究 本文提出了一种解决超级计算机中系统级节能问题的方案,采用共享计算机资源实时任务执行概率和节点机安全切入和切出机制,实现了超级计算机系统中负载检测统计和预测以及节能安全决策。初步实验表明,本文提出的节能安全决策方法可以实现较多节能,其节能效果受限于具体的系统模型、开销模型和负载预测结果。 一种基于随机指令延迟的抗旁路攻击处理器结构 提出了一种基于随机延迟的高效的抗旁路攻击处理器结构,综合采用随机指令调度、随机指令注入和随机流水段延迟技术以抵抗旁路攻击.基于ARM7处理器实现了该架构,实现结果表明本处理器比原始ARM7处理器增加了约20%的硬件面积.通过相关系数分析攻击(Correlation Power Analysis,CPA)实验证明,采用本架构的处理器具备有极高的抗旁路攻击防护能力,可以应用在USBKEY、智能卡(Smart CARD)等高安全应用场合. 流程挖掘在业务流程分发中的应用 对SOA中业务流程引擎按活动分解业务流程执行语言流程,针对此类活动封装到代理中时产生代理数量过多的情况,提出一个基于流程挖掘的智能流程分发方法,该方法能在3个方面提高系统的性能:改善业务流程在运行时的自适应性;根据检测相关性最强的活动封装到代理中选择最优代理;通过减少代理数量和改善代理信息的方式减少资源的使用。 一种改进的简化粒子群优化算法 针对粒子群优化算法(PSO)容易陷入局部极值、进化后期收敛速度慢和精度低等缺点,提出了一种改进的简化粒子群优化算法(YSPSO)。该算法采用黄金分割法平衡惯性与经验之间的相互影响;同时,为避免错过全局最优值,增加反向随机惯性权重,使粒子在一定程度上具有反向搜索的能力。最后,对几个经典基准测试函数进行实验,结果表明,YSPSO算法在提高算法收敛速度和精度的同时,降低了陷入局部极值的可能性,提高了PSO算法的实用性。 面向人体参数测量的非闭合Snake模型局部轮廓提取 针对传统Snake模型不适用于局部轮廓提取,且在提取人体轮廓时易受背景和人体着装影响的问题,提出一种带形状约束的非闭合Snake模型.首先对Snake模型求解过程进行分析,重新设置每个点的可导性,实现了非闭合Snake模型;然后针对人体参数测量,根据先验知识为非闭合Snake模型添加形状约束力项,使得Snake曲线在迭代过程中能够保持指定轮廓形状;最后采用一种基于梯度的初始轮廓自动设置方法避免人工设置的烦琐.实验结果表明,该模型能有效地提取人体局部轮廓,降低复杂背景和人体着装带来的干扰,基本满足人体参数测量的要求. 带准备时间的单机调度问题的混合进化算法研究 提出了一种混合进化算法(HEA)用于求解具有序列相关依赖且带准备时间的单机调度问题,其优化目标为最小化总延迟。该混合进化算法由局部搜索和进化算法框架混合而成。HEA具有一些新的特点,例如在局部搜索中采用了一种新提出的基于块移动的邻域结构,这种邻域结构合理地限制了搜索空间,提高了算法的搜索效率;在HEA中采用了一种新的组合算子———块顺序交叉算符(BOX)来产生新的子代工作序列。用本算法对当前国际文献中公开的两组共64个算例进行了测试,HEA改进了9个算例在当前文献中的最优解,表明了所提出的HEA算法的优越性。与之前的国际文献中最好的四个启发式算法进行了详细比较,表明了HEA算法的优势。 智能分类算法在游戏故障告警中的应用 在游戏运维领域,为了充分挖掘游戏用户在反馈故障时的意图,运用自然语言处理的手段对记录故障事件的文本进行分类,可以减少告警的误判发布更具价值的告警.本文提出一种面向突发告警事件的智能分类算法,首先分析用户反馈文本数据的各项特征,然后对数据利用半自动构建的语义映射工具进行概率计算,从而形成有效的告警类别.将此方法应用到企业级业务故障定位中,能更有针对性地发布告警,收敛无关告警,支撑运维人员更快速准确地定位到故障原因.实验结果表明,基于语义映射的智能分类算法能够有效地提高告警的针对性,比简单的文本分类算法具有更好的结果. 采用压缩感知的人脸识别算法 介绍压缩感知(CS)理论,并将其应用于人脸识别。运用训练数据构造冗余字典,采用随机分布的规范行矢量高斯矩阵构造感知矩阵,对训练图像和测试图像进行感知。利用正交匹配跟踪算法求最小零范数解,在变换域中用近邻法判断测试数据的类别。实验结果表明,用CS进行人脸识别,能避免特征选取的问题,且识别率高、运算速度快。 肺结节热消融治疗后胸膜损伤的CT表现 目的:评估肺结节热消融治疗后胸膜损伤的CT表现,探讨其与术后并发症的关系。方法:对我院2013年7月-2016年7月40例患者的57个肺结节进行CT引导下热消融治疗,回顾性分析术后消融区域的CT改变和并发症发生率。结果:40例患者均完成热消融治疗,当消融区域邻近胸膜时,脏层胸膜出现局部凹陷,本组研究中8例患者出现该征象,其中6例(75%)术后发生胸膜相关并发症,4例(50%)行胸腔闭式引流。结论:肺结节热消融术后局部脏层胸膜凹陷可能是胸膜损伤的表现,提示患者有较高的胸膜相关并发症风险。 基于代价敏感的AdaBoost算法改进 针对传统的AdaBoost算法只关注分类错误率最小的问题,在分析传统的AdaBoost算法实质基础上,提出一种基于代价敏感的改进AdaBoost算法。首先在训练基分类器阶段,对于数据集上的不同类别样本根据其错分后造成的损失大小不同来更新样本权值,使算法由关注分类错误率最小转而关注分类代价最小。然后,在组合分类器输出时采用预测概率加权方法来取代传统AdaBoost算法采用的预测类别加权的方法。最后通过实验验证了改进算法的有效性。 基因表达式中含有等式约束的处理方法 基因表达式编程(Gene Expression Programming,GEP)算法是遗传家族的新成员,被广泛用于函数发现。在微分方程中,要寻找的函数需要满足初始值,即有时希望GEP找到的函数能够满足一些等式约束条件。提出了一种带拉格朗日插值函数的GEP,对生成的种群加入插值函数使其满足等式约束,为提高GEP算法的进化效率和精度对目标目标值加入尺度变化,对其放大或者缩小。这样缩短了GEP算法的进化距离,从而提高了种群的进化效率。通过仿真实例,结果表明该方法可行有效。 文本特征选择算法MI的改进 特征选择在文本挖掘技术中是一个关键部分。训练集中的文本逐个经过分词后,可形成文本分类系统的全特征空间,一般情况下,这个空间的维数都会较大,可达到几十万维。经过特征选择之后,在降低噪声的同时,特征空间的维数得以压缩,最终能提高分类算法的速度和分类精度。本文从传统的MI(Mutual Infomation)出发,并对它进行改造,最后通过实验验证改进算法的有效性。 基于改进烟花算法的SVM特征选择和参数优化 本文提出了一种改进的基于烟花算法的SVM特征选择和参数优化算法.该算法针对特征选择问题的0-1特性,使用二进制编码的烟花算法,采用基于RBF核函数的SVM,在选取尽可能少的特征数目的同时提高了分类准确率.通过UCI数据仿真,对比结果表明:该方法避免了过早成熟而陷入局部最优的问题,可有效地找出合适的特征子集及SVM参数,并取得较好的分类效果. 网格计算中一种改进的工作流调度算法 网格计算中如何有效地实现工作流的调度问题是目前的研究热点。文中综合考虑了资源节点的动态负载和服务能力,提出了一种改进的调度算法(AWSA)。该算法首先对任务的优先级进行降序排列,然后依次为它们选择具有最大截止时间约束的服务站点作为其候选资源,最后,依据资源站点的任务分配情况和负载变化趋势,自适应地实现从任务资源请求到站点的映射。仿真实验结果表明,文中方法是有效的,在作业拒绝率和作业调度长度方面,AWSA的性能要优于已有的方法。 森林健康评价系统设计与实现 森林健康评价是近几年的热点问题,开发评价工具非常重要。文中设计开发了森林健康评价系统。利用当前流行的C#为开发语言,Arc engine为开发组件,Access为后台数据库,利用ADO.NET技术访问数据库,系统采用C/S架构。用户通过输入相关评价指标及其权重,就可以迅速评价森林的健康状况。利用吉林省汪清林业局金苍林场四种森林类型的健康调查样地数据对系统进行了测试,系统运行稳定,反映灵敏,结果能客观反映林分的健康状况。为林业生产和科研提供了一个森林健康评价的工具软件。 神经网络在混凝土碳化深度预测中的研究应用 针对BP神经网络搜索速度慢、易陷入局部极值的缺陷,采用PSO算法优化BP神经网络后建立各影响因素与部分碳化区长度的关系模型。将改进后的模型进行实验仿真训练并应用于某混凝土大桥部分位置的碳化深度预测中,仿真应用结果表明,网络输出值和期望值很好吻合,收敛速度更快。所以该模型能够对混凝土部分碳化区长度进行预测,为混凝土结构耐久性设计、评估和寿命预测提供科学指导。 一种自创生产品配置模型构建方法 当前产品配置领域的研究主要集中于提高配置结果的准确度,忽视了对产品结构的多样性需求和配置系统的可持续性需求。针对这些问题,将自创生理论应用到产品配置领域,建立了自创生产品配置模型。根据需求与模块的对应关系,提出了基于功能块的产品组织模型,以保证产品功能的完整性。基于产品组织模型进行自创生配置,以保证在功能完整性前提下产品的多样性。建立了功能模块库,根据客户输入和选择反馈,实现模块库的自动调整和更新。以产品组织模型和功能模块库为基础,实现了产品配置过程的自创生体系结构。以自创生产品配置模型为基础,分析了多样性产品配置和系统自动更新的实现流程。 EPA一致性与互操作性测试系统设计 针对工业控制领域的开放性问题,通过分析现场总线一致性与互操作性测试原理,设计和实现一个EPA一致性与互操作性测试系统,阐述该系统在功能、程序框架以及测试流程等方面的设计思想,针对测试前的输入文件进行规范设计。利用该系统对基于EPA标准的产品进行一致性与互操作性测试,实验结果验证了该设计方案的可行性。 热备网络存储系统的研究与设计 通过对当前网络存储技术的研究和分析,设计出了一个基于万兆网络的热备网络存储系统。论文首先分析了几种主流的网络存储技术,继而提出并设计了热备网络存储系统的整体框架和软件架构,然后对各个功能模块进行了详细设计。 自我感知技术在电源管理方面的应用研究 文中利用现有的自我感知技术,以及电源管理的策略,提出了服务计算当中电源管理的新方案。具体通过自我感知框架感知服务的运行状态,对电源进行动态管理。达到在满足服务性能要求的基础上,对电源资源进行优化管理,缓解如今服务计算当中能耗急速增加的问题。通过实验发现基于自我感知的电源管理方案,能够在满足目标程序性能的基础上,通过调整程序使用的计算节点数目,达到节约电源、优化电源管理的目的。自我感知技术能够从程序的角度更好地反映程序的需求,满足关键程序对性能和资源的需求问题。 手术仿真中基于导纳控制的力触觉形变模型 为了在虚拟手术仿真中获得快速、准确的力触觉形变效果,提出一种基于导纳控制的力触觉形变模型.基于该模型,采用PHANTOM OMNI力触觉交互设备,以3DS MAX 2013,Microsoft Visual C++2012,Open GL函数库为基础搭建了实时柔性体力触觉再现系统,实现了虚拟双手对心脏双点的拉拽交互操作.感知实验和交互效率的结果表明,所提出的模型简单有效,形变效果逼真、视觉反馈流畅、力触觉反馈平稳,操作者对虚拟环境的感知和交互准确可靠,能够满足虚拟手术仿真系统的要求. 神经网络信息融合用于电梯故障诊断的研究 针对传统电梯故障诊断系统实时性有限、故障定位准确率低等问题,将多信息融合技术引入到电梯故障诊断中来,建立了基于模糊神经网络和D-S证据理论相结合的故障诊断模型。为了提高神经网络的训练速度和推广能力,采用了正则化算法对BP网络算法进行修改,并且利用D-S证据理论对神经网络的诊断结果进行决策融合,仿真结果表明了此方法有效地提高了故障诊断的准确率。 干涉条纹计数实验软件设计 干涉条纹计数实验软件可自动完成光学实验中干涉条纹的自动采集和移动条纹计数。该系统利用PCI高速的数据采集与传输特性,实现干涉图像的采集,运用图像的处理算法实现条纹的识别和定位。本系统融合了图像处理不同阶段的多种算法,取得较好的效果。克服了光学实验中依靠人眼直接判读干涉条纹的种种缺陷,实时跟踪移动的条纹及自动计数,便于学生完成干涉条纹进行测量物理量的实验。 基于特征偏好的XML文档聚类算法 XML文档聚类在众多数据应用领域都具有重要作用。基于特征偏好的XML文档聚类算法是对XML文档进行特征选择,将XML文档描述为n维特征向量,再结合CFP(Clustering with Feature order Preference)算法,根据特征偏好为其赋予权重,每次迭代聚类过程中进行权重的更新。实验结果表明当CFP算法中的特征偏好权重和XML文档向量化时所用的层次权重设定相结合时,可弥补XML文档向量化时的弊端,提高了XML文档聚类的精度。 面向嵌入式系统的威胁建模与风险评估 为提高嵌入式系统可靠性,开发安全可信的系统,需要在软件开发设计阶段尽早考虑安全问题。提出一种面向嵌入式系统的威胁建模方法,该方法分析了嵌入式系统可能存在的威胁漏洞,以威胁树的形式建立了嵌入式系统威胁模型;根据该模型,以量化的方式从下到上迭代地计算各个节点的威胁值,然后根据各个节点的威胁值对嵌入式系统进行风险评估。为更好地说明威胁模型及其各节点威胁值的计算方法,以智能电表中用户电表账单信息受到的威胁为例,说明了整个建模和量化过程。通过具体实例验证了该方法的实用性和有效性。 基于Web的HSE监督信息系统的设计与实现 基于Web的HSE监督信息系统是长庆油田公司为了加强安全生产、保护生态环境而开发的一套办公自动化管理信息系统。介绍了该系统的设计与实现,阐述了长庆油田公司该项目的立项背景和用户需求,介绍了根据具体需求和用户使用环境而决定采用的实现技术方案,并讨论了方案的可行性;最后介绍了系统的模块划分以及各模块功能的实现。基于Web的HSE监督信息系统大量采用了Web新技术,设计科学,方案合理,具有良好的扩充性和可移植性,该系统的投入运行显著改善了HSE管理部门的工作效能,得到了用户的一致好评。 对比增强能谱乳腺X线摄影与CE-MRI对乳腺疾病诊断效能的比较 目的:探讨对比增强乳腺能谱摄影与磁共振增强扫描技术对乳腺疾病诊断效能的比较。方法:对2016年12月至2017年9月间来我院乳腺外科就诊怀疑有乳腺疾病且做过CE-MRI检查并其结果经手术或活检病理证实的73例患者纳入研究,做对比增强能谱乳腺X线摄影(CESM)检查。对所得图像进行分析并与所得91份病理结果比较进行统计学分析,结果采用配对t检验,以P<0.05为有统计学差异。结果:CESM相对CE-MRI,对乳腺疾病的特异度和阳性预测值高、灵敏度、准确度及阴性预测值均略低于CEMRI,两者展示乳腺疾病病灶能力相似,且无统计学差异(P>0.05)。结论:CESM术前对乳腺肿块大小评估与病理金标准相关性较高,对乳腺术前选择手术方式有重要参考意义。 综合飞行/推进系统的μ控制研究 在飞行推进系统设计中研究了综合飞行/推进系统小偏离动态模型建立的方法,并得出了相应状态的综合飞行/推进系统的状态空间模型。对μ控制理论的控制及基本原理进行了分析。接着根据各项要求,选取了加权函数矩阵。构建了相应的广义受控对象,并设计出一个用于摄动对象的μ控制器。将所设计的控制器联接综合飞行/推进系统构成闭环系统,针对各项性能要求,进行仿真。通过仿真验证表明,根据μ控制理论所设计的控制器对综合飞行/推进系统的控制效果良好,达到了提出的性能要求,有一定的工程应用价值。 航空发动机燃油柱塞泵计算机辅助设计方法 针对现有航空燃油柱塞泵实验设计方法的不足,给出了一种计算机辅助设计方法。首先采用坐标互换法建立燃油柱塞泵的二元运动模型;然后使用AMESim仿真软件建立柱塞泵的模块化仿真模型;最后进行了柱塞泵的运动仿真分析和性能仿真分析。通过某型航空燃油柱塞泵的试验和仿真对比研究表明:性能仿真数据与试验数据十分吻合,能够反映柱塞泵实际工作性能。说明辅助设计方法是可行的、有效的。 智能超市中在线与离线RFID数据仓库技术研究 本文通过分析超市环境RFID数据管理的特点,提出了在线数据处理与离线数据存储相结合的数据仓库模型,实现智能超市中的RFID数据管理。使用内存数据库管理物品的最新信息,使频繁的状态更新在内存中完成,提高了实时查询的速度。利用磁盘数据库的大容量存储历史数据,支持对离线数据的查询。 超球流形约束下的3DMM分步全局优化 通过综合运用人脸空间的超球流形约束、基于梯度的启发式全局优化、光照的球面谐波描述以及凸包可见点集的直接消隐方法,提出一种三维可形变模型的图像匹配方法.首先通过形状超球流形约束下的全局优化算法求解摄像机参数和形状参数,然后使用以上参数和凸包点集的直接消隐方法确定物像点对应关系,最后根据物像点对应关系由反射率超球流形约束下的全局优化算法求解光照参数和反射率参数.定量的对比实验结果表明,该方法无需借助分区域拟合、人为估计参数值、层次匹配策略或复杂的特征组合,即可由单幅图像恢复三维可形变模型(3DMM)的全部参数. 基于HEVC的数字图像无损压缩算法 为了进一步提高数字图像无损压缩的效率,本文提出一种基于高效率视频编码(High Efficiency Video Coding,HEVC)框架的无损压缩算法。在该算法中,宏块中的像素不是作为一个整体来预测的,而是分成不同的部分先后进行预测。本文将像素分为3部分:第一部分的像素参考相邻宏块的像素来预测;其他部分的像素,利用前面已经预测的像素作为参考来进行预测,这样可以有效地去除空间冗余。另外,由于无损压缩中将HEVC框架中的变换量化去除,因此针对残差的分布特性,采用自适应扫描的方法将残差以合理的顺序进行熵编码,从而实现进一步提高压缩效率的目的。 非接触式掌纹图像采集与图像增强技术研究 掌纹识别是生物特征识别领域的前沿课题,其中非接触式的掌纹识别技术因其具有用户体验性好、无卫生污染等特点,日益成为相关研究领域的热点。但是非接触式的掌纹识别技术易受背景复杂、光照不足等不良因素的影响,给掌纹的图像采集与特征的提取匹配带来了困难。为了更好地解决这些问题,需要采用更为有效的图像增强技术。文中介绍了非接触式图像采集与预处理过程中图像增强技术的基本概念,对该技术实现方法进行了归类阐述和分析,探讨了该技术的发展趋势。 改进变步长算法的空时抗干扰处理技术 针对传统的空时联合抗干扰技术在协方差矩阵求逆过程中计算量大,对处理器的要求高的缺点,提出了一种新的变步长最小均方误差(VSS-LMS)算法。改进算法采用两步迭代的方式进行阵列加权值的计算,在收敛的初始阶段,利用误差自相关值的双曲正切函数来调整步长,以达到快速收敛目的;收敛后期,则利用前一步长因子与误差自相关值的线性关系来调整步长,以保持较小的稳态误差。仿真表明,改进算法不仅能显著降低计算量,而且与经典的LMS算法相比,收敛速度和精度均得到明显提高;与传统的递归最小二乘算法(RLS)性能相近。充分说明了算法的有效性。 基于预期剩余能量模型的聚焦爬行方法 如何确定搜索的方向和深度是聚焦爬行的核心问题。为此,提出了链接的预期剩余能量概念及其计算方法。该方法利用当前页面的信息计算链接的立即回报能量,利用到达同一链接不同历史路径给予的历史回报知识不断迭代更新链接的预期剩余能量。利用预期剩余能量作为链接的优先级和搜索深度限制,设计了基于预期剩余能量模型的聚焦爬行算法,并给出了关键模块的实现。实验结果显示该方法具有更强的主题网站发现能力。 非晶态合金Cr-B体系局域结构及催化性质的研究 利用密度泛函理论方法(DFT),对代表性团簇Cr3B2的所有可能构型进行优化计算,在分析优化构型的基础上,对非晶态合金Cr-B体系的局域结构、成键及催化性质进行研究,结果表明:在非晶态合金Cr-B体系中存在B-B间近距离接触;团簇Cr3B2优化构型主要以三重态存在,且三重态构型所占比例总和高达97.72%,其中四角锥构型1(3)所占比例为25.40%,在所有优化构型中所占比例最高;在三重态团簇Cr3B2中,构型的变化对Cr-Cr键和Cr-B键的成键强度起到此消彼长的作用;Cr-B非晶态合金对C2H4具有潜在的催化活性。 微量元素的ROC曲线和T检验对肺癌的诊断价值 为了探讨微量元素对肺癌的诊断价值,对46例肺癌患者和50例健康人血液中锌(Zn)、铁(Fe)、钙(Ca)、镁(Mg)、镉(Cd)、铜(Cu)、铅(Pb)7种微量元素含量进行测定并计算铜/锌比值。利用统计学方法 ROC曲线来分析7种微量元素及铜/锌比值对于肺癌诊断的灵敏性和特异性以及对肺癌的诊断价值,期望找到微量元素肺癌标志物,为今后肺癌诊断提供新的方法和途径。通过ROC曲线分析,能够看出镁元素的ROC曲线较其它元素曲线而言,更靠近左上角,离对角线的距离也相对较远。同时利用独立样本T检验方法进行分析,结果显示镁和铅元素存在显著性差异,而且镁的显著性差异更为明显。综上,镁元素的含量可能为肺癌诊断提供线索,但是一种微量元素的含量可能与其它微量元素之间存在相互关系,还需要作深入的研究。 基于众包的维吾尔语多源语义知识库构建研究 为有效解决目前维吾尔语的语义知识库构建中存在的专家构建周期长、工作量大且难以动态更新等问题,提出基于众包的维吾尔语多源语义知识库平台的构建。该平台集词条创建、词条审核、任务管理等功能为一体,利用基于互联网用户协作的众包方式,融合维吾尔语词典与语料库的语义资源,构建大规模、开放式的维吾尔语多源语义知识库。在充分研究众包模式及其发展现状后,对众包平台构建中质量控制、激励机制关键问题进行探讨,提出平台的设计思路与框架,并进一步实现了基于众包的维吾尔语多源语义知识库平台。实例表明,该平台为维吾尔语的语义知识库构建提供了一种全新的方法,且能有效解决知识库的实时更新问题。 面向MRO领域的分布式系统性能测试方法 针对产品维护、维修与大修系统在性能测试过程中存在的性能缺陷难以定位和测试次数众多的问题,提出一种面向维护、维修与大修领域的分布式系统性能测试方法。该方法以决策树方法为基础,对性能测试过程中的测试用例生成、测试用例运行和性能缺陷定位进行了具体的描述。通过在决策树中的扩散策略部分引入二分法和黄金分割法,进一步有效地减少了测试次数。将该性能测试方法应用在维护、维修与大修状态监测平台上,验证了方法的可行性和有效性。 新的MOPSO及其在大型复杂系统可靠性优化中的应用 可靠性优化问题是大型复杂系统设计的一个关键问题。针对大型复杂系统多个指标(可靠度、造价和冗余数)同时进行最优分配的结果多样性不好的问题,提出了一种基于杂草克隆的多目标粒子群算法—IWMOP-SO(invasive weed multi-objective particle swarm optimization)的多指标分配方法。该分配方法通过引入杂草克隆机制来改善Pareto最优解的收敛性和多样性。通过对大型复杂系统多个指标进行分配,其分配效果与NSGA-Ⅱ相比,得到的Pareto非劣解集多样性和均匀性好,分布范围更广,更利于设计者进行决策,是一种更有效的复杂系统多指标分配方法。 网格计算中工作流调度的非合作博弈优化 在异构网络计算问题中,网格计算方法通过引入资源共享机制,可解决复杂的计算任务。然而在网格环境中,需要对网络可获得的资源进行合理调度和协调,才可以获得良好的网络工作流,以及合适的网络性能和网络响应时间。为了提高网格计算方法的任务调度和资源分配的能力和性能,提出了一种基于非合作博弈方式的博弈模型。该模型通过设定使用户的资源分配所需时间和代价降低的解来增加代理的利润,激励资源代理使用一种优化调度算法,使资源调度的时间和代价都最小。仿真结果表明了该模型的可行性和适用性,并且基于该模型的遗传算法是最好的资源调度算法。 飞行器液压舵面作动机构故障诊断研究 针对液压舵面伺服控制机构的结构部分的故障,找到一种较为成熟,可供工程实用的理论,为此提出采用小波包和支持向量机相结合的诊断方法;为了验证诊断方法的有效性,搭设物理试验平台模拟液压舵面伺服控制系统,通过试验手段,对舵面机构的转轴结构损伤特征以及对轴承卡阻故障特征应用小波包分解和计算小波包能量的方法进行有效的特征提取,针对结构损伤和故障识别中实际样本较少的问题,提出了基于支持向量机的识别诊断方法,结果显示该方法实用有效。 无线传感器节点SoC事务级功耗仿真器设计 针对无线传感器节点系统设计中,异质多核架构使得系统功耗优化越来越复杂的问题,提出了利用仿真器对系统功耗模拟建模,建立了利用SystemC搭建的一个异质多核传感节点SoC仿真器。该仿真器可以对系统的任务运行时间和功耗行为进行事务级模拟,考虑了模块在不同的工作电压和频率下的功耗差异、互连网络的影响,通过叠加各个模块的功耗信息得到整个系统的功耗随时间变化的信息,实例结果表明了仿真器对系统功耗和性能的优化,为能够评估片上系统不同组合、不同架构、不同任务调度方案以及功耗管理方法下的功耗情况提供了依据。 物联网中基于EPCglobal的RFID标签处理中间件的研究 为了解决物联网中EPCglobal框架中不同标签信息的处理问题,以及EPC标签和传统条码的数据兼容问题,提出一种基于EPCglobal框架的标签信息处理中间件。通过对标签存储结构和编码的研究,设计二进制EPC编码、EPC URI(Uniform Resource Identifier)和GS1条码的互相转换模块。采用对标签软硬件过滤的方法和对标签事件生成的研究,完善并实现EPCglobal标签处理中间件。测试结果表明,中间件很好地解决了从底层标签读取到标签事件生成上报整个流程的处理问题,在物联网中更全面、更兼容。 2018年全国嵌入式系统大会邀请函 [正文]全国嵌入式系统大会是由中国计算机学会主办的嵌入式系统专委会年度会议,自2001年以来已经成功举办了十七届,已成为嵌入式系统及相关领域的专家、学者、工程师、业界人士以及研究生进行学术交流、技术研讨、产学互动的重要学术会议。会议邀请国内外院士和嵌入式系统 一种优化Q值调整的RFID标签防碰撞算法 为解决大规模射频识别环境下的标签碰撞问题,在传统Q算法的基础上,提出一种基于Q值调整的标签防碰撞算法。分析c-Q的优化关系,将阶梯型的c-Q关系用于Q值调整,根据系统识别效率及当前已读帧识别情况优化Q值调整方案,通过增加吞吐率、碰撞率及空闲率等限制条件,减少Q值的频繁调整和不当调整次数。仿真结果表明,与传统Q算法相比,该算法可明显减少Q值的调整次数,达到降低系统功耗及提高吞吐率的目的。 改进PSO算法在软/硬件划分中的应用 针对嵌入式系统中的单MPU和单ASIC体系结构问题,提出一种改进粒子群算法,将该算法应用到数字音视频解码器的软/硬件划分中,一次运行可以获得较多Pareto最优解。讨论目标函数、系统约束、粒子比较准则、拥挤距离函数、变异算子和粒子适应度等问题的处理。实验结果表明,该算法改善了传统算法产生未成熟收敛、较少Pareto最优解和Pareto最优解前端分布不均匀的问题,增强算法的自适应性及结果的全局最优性。 基于覆盖算法的模拟电路故障诊断方法 模拟电路故障诊断理论与方法的研究是目前热门的研究课题。针对传统神经网络内部结构难以确定、可理解性差、难以用硬件实现的问题,本文构建一种基于领域覆盖算法的模拟电路故障诊断方法,并针对领域覆盖算法构造的神经网络内部神经元个数过多,初始点选择随机的情况,提出领域搜索覆盖算法。最后通过对某一个带通滤波电路进行故障诊断,降低神经网络中神经元的个数,提高了该神经网络的泛化能力,故障诊断率也提高了9个百分点。验证了该方法的可行性。 C语言函数时间复杂度自动计算方法的实现 现有的C语言编译或代码分析软件大多集中于检测C程序代码编码过程的正确性,而对于其代码的时间效率高低无法判定。针对这种情况,通过对标准C语言程序代码语法形式的分析,提出对于C语言函数时间复杂度自动计算的算法原型并加以实现和验证。实验结果表明,C程序代码时间效率的高低很大程度上取决于程序内部函数的时间复杂度。程序中函数整体时间复杂度越高,运行时间效率越低。相比于传统意义上的C代码分析方法,时间复杂度自动计算方法更侧重于对C代码的时间复杂度进行分析和计算,从而可以更快速、准确地对C程序代码时间效率进行判定。 基于定向的ZigBee网络节能路由算法 针对ZigBee网络中,AODVjr算法大量转发分组造成的能量损耗与拥塞问题,以及Cluster-Tree算法造成的父节点能量消耗快等能耗问题,以定向转发分组为策略,设计一种基于定向与最小公共树路径的路由算法。通过对加入网络的节点进行区域划分,协调器将区域顺序通报给各路由节点,以使路由节点在发起路由时,从区域顺序中选取最短路由区域,以此减少AODVjr算法中的冗余的RREQ分组。同时,在区域内采用最小公共子树路径与ADOVjr算法相结合的方式,以达到有效减少分组转发的目的,从而降低整个ZigBee网络的能耗,延长网络的寿命。仿真结果证明,该算法能有效地减少整个ZigBee网络在进行路由发现时的路由请求分组转发的数量,降低网络的能耗,提高整个网络的剩余能量百分比。 主题和时间敏感的合作关系网络实体排序算法 提出一种基于马尔可夫链的主题和时间敏感的合作关系网络实体排序算法TTS-Rank,将节点排序过程解释为随机投票过程.算法首先将合作关系网络按照合作主题进行投影,然后在马尔可夫链随机游走模型中扩展了合作时间敏感的状态转移函数,最后根据迭代计算出的各节点得票数对实体进行排序.基于互联网开源社区合作关系网络数据的实验表明,TTS-Rank算法较传统算法更为精确,能有效支持面向不同技术主题、不同时间区间的实体排序. 面向高性能计算环境的作业优化调度模型的设计与实现 高性能计算环境聚合了多个分布在不同地域、不同组织机构的高性能计算资源,面向用户提供统一的访问入口和使用方式,由系统中间件根据用户作业请求匹配合适的高性能计算资源。随着环境应用编程接口的开放以及作业请求数量的大幅增加,面对高并发作业提交请求时,目前采用的即时调度模型会由于网络等原因导致一定数量的请求处理失败,同时缺乏灵活性。针对此问题,优化了环境作业调度模型,引入作业环境队列,细化了作业系统层状态,增加了作业调度策略可配置性,并基于环境中间件SCE实现了系统原型。经测试,在单核心服务每分钟处理近200个作业提交请求的工作负载下,无因系统和网络原因引起的作业提交出错现象;在共计1 000个作业中,近500个作业提交命令请求在0.3s以内完成,800余个作业提交命令请求在0.5s以内完成。 基于LabVIEW的旋转轮轴扭矩测试系统的设计 针对目前国内旋转动力机械动态扭矩测量中受环境因素影响大,精度低,稳定性差,操作使用不方便等问题,应用感应供电技术、无线通信技术、微功耗处理技术、虚拟仿真技术,设计了一种将WIFI无线传感器网络(WSNs)与虚拟仪器相结合的旋转轮轴扭矩测试系统,实现了动态扭矩参数的实时准确监测、在线校准、存储、分析、显示、预报预警及生成报表等功能,仿真和实测结果表明:该系统功耗低于0.05 W,测量精度可达0.4%FS,动态响应好,操作简单,长时间运行稳定可靠。 基于智能卡的多服务器环境下的远端认证协议 多服务器环境下的远端认证协议需要实现用户只需要一次注册即可与多个服务器实现交互的目标。基于椭圆曲线密码学提出一个注册中心离线的多服务器环境下的远端认证协议。该协议中的注册中心无须维护口令列表,不参与认证过程。用户使用动态身份信息,其他服务器认可接入服务器的认证结果,仍能实现服务器之间的身份区分。协议无须公钥加密等复杂运算,能够实现正确的认证、会话密钥的安全属性并能抵抗伪造攻击、重放攻击、中间人攻击和服务器模仿攻击等攻击。 负荷频率控制系统的自抗扰设计 负荷频率是电力系统运行时非常重要的参数之一,在电力系统的安全经济运行中对电力系统负荷频率的研究与控制是不可忽视的环节。在线性自抗扰控制技术的基础上,引入抗饱和补偿环节,提出了对电力系统负荷频率控制的新方案。上述方案将电力系统负荷频率控制存在的发电速率约束问题,通过将抗饱和补偿环节输出信号引入到扩张状态观测器,进行估计和补偿,达到快速消去的效果。仿真结果表明,改进方案可以很好的解决发电速率约束问题,对负荷频率实现了很好的控制。 生物质锅炉主汽压系统的H_∞混合灵敏度控制 针对生物质锅炉主汽压对象模型的不确定性和燃烧工况变化较大的特点,采用混合灵敏度控制方法设计了H∞鲁棒控制器;选择了合理的加权函数,给出了加权函数的奇异值曲线;利用MATLAB/SIMULINK进行了仿真实验并与常规PID控制结果比较,结果表明,在对象模型参数发生较大变化时,H∞混合灵敏度控制仍具有良好的鲁棒稳定性和动态性能;研制了基于DCS的生物质锅炉主汽压实时监控系统,利用MCGS组态软件设计了上位机界面,并进行了初步试验研究;实验结果表明,H∞混合灵敏度控制的生物质锅炉主汽压系统响应时间约为10 s且基本无超调,具有一定的应用价值。 基于奇异值分解和整数小波变换的优化图像数字水印 随着当前图像信息的广泛传播,保护图像产权,打击盗版是亟待解决的问题,水印算法是有效的解决版权保护问题的有效手段,基于此,提出了一种新的基于奇异值分解和整数小波变换的优化图像数字水印算法;该算法在载体图像分块后,首先进行基于块的整数小波变换,然后在整数小波变换之后,在低频部分进行奇异值分解,最后采用第一个奇异值来有效的提取能量,以此提高数字水印的鲁棒性;同时采用启发式的遗传算法通过优化算法和目标函数来优化和权衡图像水印的鲁棒性和不可感知性;实验结果表明,该水印系统具有很好的不可感知性和鲁棒性。 四旋翼无人飞行器实验平台设计及姿态控制研究 为了实现四旋翼无人飞行器姿态的稳定控制并验证控制算法的性能,设计了一种可用于四旋翼无人飞行器姿态控制算法研究及控制性能测试的物理实验平台;首先,利用牛顿一欧拉法建立了四旋翼无人飞行器的六自由度动力学模型;其次,对姿态传感器数据进行融合,利用互补滤波算法实现对四旋翼飞行器姿态进行快速准确解算;然后,在MATLAB环境下搭建了四旋翼飞行器仿真模型,并设计改进的PID控制器对飞行姿态进行了仿真;最后,搭建了一个四旋翼无人飞行器姿态控制的物理实验平台,进行了飞行器姿态控制算法的性能测试;实验结果表明了四旋翼无人飞行器实验平台设计的合理性和正确性,是一种快速有效的飞行器姿态控制算法性能测试实验平台。 自动化构建的中文知识图谱系统 为解决当前中文知识图谱构建的准确率低、耗时长且需要大量人工参与的问题,提出一种端到端基于中文百科数据的完整中文知识图谱自动化构建解决方案,并在此基础上开发实现了面向用户的中文知识图谱系统。在此方案中,通过自定义的网络爬虫,原始百科数据的词条属性以及相关的文本信息会不间断地被抓取到本地系统中,并以带扩展属性的三元组形式保存。后端系统则自动通过图数据库Cayley以及MongoDB数据库系统,对三元组文件数据进行导入,转换为庞大的知识图谱系统,从而在前端为用户提供丰富的基于知识图谱的应用服务。通过与其他知识图谱系统的比较,该方案在构建时间上明显减少,并且知识图谱中的实体及关系数量总规模高于YAGO、知网(HowNet)和中文概念词典等中文知识图谱系统至少50%。 基于可达图的仿真组件接口设计一致性验证 仿真组件模型接口设计建模与仿真周期中详细设计阶段的一个重要内容,是继仿真需求分析和概念建模之后的针对系统行为交互的设计过程,起到连接仿真概念模型与仿真编码实现的桥梁作用。用自动机模型来刻画接口模型内部以及它们之间的动态交互过程,针对UML时序图场景规约,通过构造与自动机模型具有等价状态空间的可达图,检查可达路径中是否存在满足规约的路径来判断两者之间的一致性。设计了校验流程,并以基于OPNET的某办公网中的报文传输仿真系统为例,对方法进行了分析应用,说明了方法的适用性。 基于倾斜角控制的飞行器区域规避轨迹规划 高速飞行器通过改变航向角的方式来进行转弯,导致转弯半径很大,影响机动性,针对这一问题提出了基于倾斜角控制转弯的轨迹设计方法,保证了转弯半径尽可能的小,增加了飞行器的机动性能。首先根据飞行动力学原理进行动力学建模,然后对飞行器再入过程中的动压、过载、热流等物理约束进行了建模,并考虑了平衡滑翔约束,进而通过将各类不等式过程约束转化为轨迹控制量倾斜角的约束,实现了在满足过程约束的条件下,以较小的转弯半径成功规避区域障碍。数值仿真结果表明,该方法比单纯几何规划更精确,所设计的轨迹便于飞行器控制系统进行跟踪,具有实际工程参考意义。 基于高斯云贝叶斯网络的空战态势评估 对空战态势评估中需要考虑的因素进行了分析,建立了基于高斯云贝叶斯网络的空战态势评估模型,并利用高斯云贝叶斯网络的推理方法进行了仿真计算。仿真结果表明,该方法可以给出敌机的态势评估值以及以概率的方式给出敌机对我机的态势等级,为空战态势评估提供了新的思路。 HEVC帧内预测Planar和DC模式的VLSI架构设计与实现 在研究新一代高性能视频编码标准(HEVC)帧内预测中planar和DC模式预测算法的基础上,分别设计了高效VLSI架构,通过状态机的自适应控制和模块的复用来实现速度的提高和面积的减少。针对planar模式,设计了一种基于状态机自适应控制的寄存器累加架构;针对DC模式,设计了一种基于算法的分割处理架构。实验结果表明,所设计的架构在TSMC180 nm的工艺下最高频率为350 MHz,面积合计为68.1 kgate,能够实现对4∶2∶0格式7 680×4 320@30 f/s视频序列的实时编码,最高工作频率可以达到23.4 MHz。 一种最优的静态路径编码存储策略 路径编码方案通过记录从XML文档根结点到当前结点的路径信息,可以快速判断结点间的各种位置关系.高效的编码存储策略可以在提高存储空间利用率的同时,减少系统的IO开销,从而进一步提升系统的整体性能.提出一种最优的静态路径编码存储策略,其基本思想是在存储编码中的数字时,每个编码中数字对应的前缀并非提前给定,而是根据其所在数字区间中数字的使用频率之和给定相应的前缀,因此可以充分利用每个不同数字的频率信息来降低所需的存储空间.最后通过实验结果验证了该方法的可行性及有效性. 僵尸网络传播模型分析 为了让僵尸网络传播模型是更符合Internet中的僵尸网络的传播特性,基于简单病毒传播模型深入分析僵尸程序的传播特性,考虑了僵尸程序在传播过程中存在的网络流量阻塞、提前免疫主机和感染后免疫主机等因素,提出了一个新的僵尸网络传播模型,并进行了仿真实验。实验结果表明,该模型更符合僵尸程序在复杂网络中的传播特性,有利于僵尸网络的传播行为的分析和传播趋势的预测。 电力系统图形化远动终端之M板软件的设计与实现 为了满足国家电网公司制定的关于智能电网建设的要求,即采用分布开放式系统架构,使变电站监控系统逐渐把监控中心与RTU结合在一起,利用嵌入式系统对电气参数进行采样,基本融合数据采集、远动功能、自我诊断、自愈、自主通信等多种功能。该图形化远动终端是完全以满足上述要求设计的。该装置根据工业现场的实际采取软硬件的配置组态,将主站调度中心的工况图显示与监视功能加入,具有事件监控报警功能。M板软件采用C语言为开发工具,软件包括2大模块:实时多任务软件与图形制作软件。 代价可变的S-census变换算法 针对局部立体匹配算法精度不高等问题,提出一种代价可变的S-census变换(variable cost S-census transform,VSC)算法。利用星型邻域最小均匀度的均值代替中心像素灰度值进行census变换,以可变权重的Hamming距作为匹配代价,在非局部代价自适应聚合方法获取初始视差图的基础上,采用一种基于均值偏移的视差提精方法对视差优化获得高精度视差图。实验结果表明,该算法在视差匹配时区分度更高,能够实现高精度的立体匹配。 面向概率服务组合系统的需求描述 高度开放的计算环境使web服务系统面临各种不确定性.为了有效地配置计算资源以实现系统业务价值最大化,需要对不确定的概率服务组合系统需求进行建模.在LSC的基础上,提出一种基于三段式概率消息的需求描述语言p LSC,用于描述概率服务组合系统中随机场景描述的不确定的需求.从马尔可夫随机过程特性出发,提出随机变量与消息相结合的三段式概率消息概念,p LSC语言是一种基于三段式概率消息的需求描述语言,将三段式概率消息中随机变量对象化,通过随机变量对象消息交互序列直观表示随机场景描述的系统需求.文中给出了p LSC语言的图形表示方法,并展示了p LSCEditor编辑工具用于图形化编辑随机场景. 古代建筑三维虚拟重建方法研究与仿真 研究古迹恢复中的三维模型准确重建问题。古迹恢复性保护修复过程中,需要对破损、磨损失色部位进行计算机三维重建,一旦破损部位较大或者磨损严重,残留的可恢复特征就会较少。传统的古迹视觉恢复方法在磨损严重或者破损较大的情况下,估计的恢复特征很难保证连贯性,导致重建效果不好。为此提出了一种采用多层次纹理映射估计模型的破损古迹重建方法。将多层次估计分析的相关原理与纹理映射关系模型结合,能够得到多层次纹理映射模型,将破损古迹特征点输入到该模型中,从而获取较为准确的特征映射关系。根据上述特征映射关系,建立破损古迹三维视觉模型,从而实现破损古迹的重建。实验结果表明,利用改进模型进行破损古迹重建,能够极大的提高重建古迹的真实度。 基于改进CoSaMP算法的图像重建 重构算法是压缩感知的核心技术之一,直接决定着压缩感知能否可以在实际系统中进行应用。为提高压缩感知的重构精度同时缩短处理时间,本文引进加权与矩阵分块技术,与压缩采样匹配追踪(Compressive Sampling Matching Pursuit,Co Sa MP)算法相结合,使原始算法更加完善。仿真结果表明,当稀疏条件同等的情况下进行重构,改进的算法与原始算法相比重构质量有所提高。 扩散方程用于RFID标签的室内定位 Monte Carlo定位算法用于室内射频标签定位时,测量误差的增加导致定位精度严重下降。提出将扩散方程用于Monte Carlo定位算法,研究测量误差与标签定位精度之间的联系。仿真结果表明,定位的精度不仅受到测量误差大小影响,而且与标签的运动方向密切相关。测量误差相同时,当标签移动的方向与标签到阅读器的直线垂直或平行时,定位精度最高。 城市道路交通系统可靠性研究综述 总结了目前城市道路交通系统可靠性研究方法的主要特点,介绍了连通可靠性、容量可靠性、行程时间可靠性与出行行为可靠性等几种主要可靠性指标的定义和研究方法,并对各种指标和研究方法进行了比较分析。综述了城市道路交通系统可靠性研究的发展及该领域的一些主要研究成果,讨论了该领域现有研究的局限性,最后结合现有的系统可靠性研究理论,提出了几点进一步深入研究的思路。 基于结构驱动的网络论坛采集路径研究 网络论坛中蕴涵着大量具有实用价值和商业价值的信息,是搜索引擎和问答系统信息的重要来源。针对论坛结构复杂、链接种类繁多,以及容易陷入采集陷阱等问题,提出了一种基于结构驱动的采集路径选择方法。首先根据用户标注的少量类型数据,利用DOM树对采样网页基于网页结构进行结构聚类;其次根据各节点的评价进行采集路径选择;最后对翻页链接进行有效的识别和处理。实验表明,该方法采集的覆盖率和有效率明显优于传统算法,并且应用在中国科学院计算所舆情监测平台上取得了良好的效果。 改进的小波阈值法在测井曲线去噪中的应用 介绍了基于小波阈值法的测井曲线滤波原理,分析了现有小波阈值函数和阈值特点,提出了一种改进的小波阈值去噪法.通过Heavisine信号的仿真实验以及测井曲线实例表明,改进的小波阈值法在有效滤除测井信号中的噪声的同时又可以保留曲线的细节信息,在测井曲线去噪处理中得到很好的应用. 基于GPRS的抽油机远程监控系统研究 针对油田油井数量多且数据量大的特点,提出一种新型基于GPRS的抽油机远程监控系统;整个系统包括现场层、监控层和管理层;这种结构可以避免数据阻塞,提高系统可靠性;从自动控制理论看,整个系统由大闭环控制回路和小闭环(位于现场层)控制回路组成,既可以由管理层对现场油井进行控制,同时现场实时控制器也可以实时控制抽油机转速,并对一些紧急事件进行处理;对现场层、监控层和管理层的硬件、软件系统设计方案进行了详细介绍;通过研究,对油田远程监控系统的研究起到一定的促进作用。 具有PPAUD的矿井提升机速度与位置跟踪控制 矿井提升机在煤矿开采和生产过程中发挥着至关重要的作用。在实际提升过程中,由于提升机自身或者周围环境的影响,出现参数摄动和未建模动态(PPAUD)等情况,降低了提升机速度与位置跟踪精度。针对上述问题设计了一种自适应迭代学习控制策略,建立了提升机的数学模型,证明了系统的收敛性,并对所提的控制策略进行了仿真以及实际测试。仿真结果表明:所提出的自适应迭代学习控制算法可以有效抑制参数摄动以及未建模动态(PPAUD),获得较好的跟踪性能;实际测试结果表明提出控制策略具有较强的鲁棒性,提升系统速度与位置跟踪精度有较大提高,证明自适应迭代学习控制方法是一种抑制上述扰动的有效方法。 地震资料分布数据管理平台研究与实现 利用数据网格技术实现海量地震资料并行处理需要解决动态、自治、异构的高性能计算系统之间的分布数据管理问题。根据石油领域资源现状,将该领域高性能资源划分为不同的虚拟社区,并建立分布数据管理体系结构,详细描述元数据模型与副本定位算法等关键技术。实现并部署了基于Web portal的地震资料数据管理平台,系统运行稳定、可操作性强、可扩展性好。 基于用户信息的社交网络信任评估方法 社交网络的信任评估是社交网络应用安全的核心组件,公平客观的信任评价结果对于用户获取信息的正确性非常关键。利用用户之间信息的相似度进行用户聚类,实现用户群体的划分,依据用户集群的结果对用户之间关系进行修正,调整信任评估结果;同时还考虑到了恶意用户的影响,加入了信任检测的方案来保证方案的鲁棒性。经过实际社交网络数据实验仿真证明,该算法不仅可以使得信任评估结果与同类用户预期更为一致,而且可以大幅度降低恶意用户刷分行为的影响,实现有效而可靠的信任评估。 弱可视环境下卫星图像粘连区域高精度分割方法研究 弱可视环境下粘连区域存在孔洞与震荡突变问题,传统方法容易产生过分割现象,得到的分割结果存在较大的偏差,分割精度较低.为此,提出一种新的弱可视环境下卫星图像粘连区域高精度分割方法,通过Bernsen算法对卫星图像进行二值化处理,在此基础上,将可体现卫星图像目标边界复杂程度的形状因子看作粘连区域的判别依据对卫星图像粘连区域进行确定,通过距离变换获取卫星图像粘连区域的距离图,对种子点进行选择,依据得到的种子点对距离图进行重建,通过快速浸没分水岭变换模型对重建的粘连区域图像进行分割.实验结果表明,所提方法具有很高的分割精度和有效性. 基于改进人工鱼群算法的蜂窝网络信道分配 在蜂窝移动通信网络中,用户数量的急剧增长以及其对语音和数据业务需求的不断增加,频谱资源日益紧缺,为了提高频谱的利用率,运用一定的优化算法合理规划频率资源显得尤为重要。针对这一问题,提出一种改进人工鱼群算法的蜂窝网络信道分配方法。简化了基本人工鱼群算法来缩短运行时间,加快收敛速度;利用动态调整人工鱼的视野和步长,较好地平衡算法的全局搜索能力和局部搜索能力;采用高斯变异操作,增加人工鱼群状态的多样性,有利于跳出局部极值点。仿真结果表明,改进后的算法提高了算法的收敛率和加快了收敛速度,能够很好地解决频率分配问题,具有一定的可行性和优越性。 合同网协议中的信用模型 针对经典的合同网协议CNP(Contractor Network Protocol),提出多Agent系统环境下自私Agent的信用模型。为追求利益最大化,自私Agent在自己能力不足的情况下仍有可能对宣布的任务进行投标。通过引入信用模型,任务管理者在发布标书和选择中标者时将充分考虑Agent投标及任务完成情况的历史记录,从而减少因随机选择Agent发标或仅考虑效用选择中标Agent而引发多次协商或任务无法完成的状况。实验结果表明,基于信用模型的Agent协商策略在保证任务完成质量的基础上,能显著提高系统性能,尤其是在任务数目较大的情况下。 基于动态拓扑构造的信誉激励机制 为了提高对等(P2P)文件共享系统的交易成功率,鼓励节点积极贡献自身资源,增加网络资源,促进网络良性持续发展,建立一种基于动态拓扑构造的激励机制。该激励机制可对网络节点行为进行有效激励,通过拓扑优化来促进网络公平和发展,保证了P2P共享文件系统的良性运行,提高了网络的性能。通过模拟仿真实验和分析表明,提出的基于节点可信度的信誉激励机制具有正确性、有效性等特点,与现有的信任模型相比,在安全性和简约性等也有较大改进,达到了预期的设计目标。 内窥镜自动定位语音识别系统 提出一种基于特定人的内窥镜自动定位语音识别系统,通过识别特定医生的语音控制口令实现内窥镜的定位,为手持内窥镜操作提供更加智能化的解决方案。在识别算法上提出了参考模板归一化平均的动态时间规划(Normalized Average-Dynamic Time Warping,NA-DTW)算法,可获得更高的识别率,系统以片上Windows CE操作系统和ARM作为系统的软硬件平台。实验通过对10个不同测试人的共1 250组测试数据进行识别检测,NA-DTW算法与传统DTW算法相比,识别率从96.6%提高到99.76%,运算时间从469 ms缩短到241 ms。验证了NA-DTW算法可以完成基于特定人、孤立词的语音识别功能,并满足嵌入式系统中的实时检测条件。 供应链中供应商选择决策方法 为了克服传统供应商选择过程中面向单一解的局限性,更好地解决供应链中供应商选择的多目标优化问题,达到费用和效益最佳,以产品价格、质量、交货可靠性和交货提前期为评估指标,建立了供应商选择多目标优化模型。首次将SPEA2算法应用于供应商选择问题,构造了适合该模型特征的SPEA2算法求解过程,可针对多个求解目标获得一组均衡解。模拟算例中一次得到多组有效解,表明所建立模型及所用方法是有效、可行的,它为制造企业获得有多种解决方案的供应商选择问题提供了可参考的模型和求解算法。 低信噪比下基于信号子空间的语音增强算法研究 内嵌式预白化子空间算法与其它经典语音增强算法相比有很好的去噪效果,但是在低信噪比环境下,仍存在大量残留噪声.针对这一点,本文提出信号子空间优化与维纳滤波方法相结合的改进方法.仿真结果表明,在几种常见背景噪声的低信噪比下,相比传统的谱减法、维纳滤波法、内嵌式预白化子空间算法,本文算法效果更佳,能有效的抑制背景噪声,改善语音质量,并且保证一定的语音可懂度. 中文专利领域本体概念间非分类关系抽取 将中文专利本体中实体间非分类关系抽取问题限定为满足SAO结构的实体间关系抽取问题。针对SAO结构关系抽取任务中关系实例结构正确但是语义错误的问题,提出一种句法分析特征和关系词词典特征结合传统特征的关系抽取方法。将新特征和上下文特征、距离特征等传统特征相结合,使用支持向量机进行关系抽取实验。实验结果表明,该方法优于仅使用传统特征的关系抽取方法。 基于RAR格式的文本水印冗余空间算法研究 随着数字出版形式的不断丰富、版权主体和发行渠道的不断变更,RAR类压缩文件由于工具易于获取,操作简单,压缩方法丰富等特征受到越来越多的关注和使用。为解决RAR类压缩文件的盗版侵权等问题,通过分析当前数字出版环境对于文本水印要求的变化,详细解析了RAR文件格式,采用遍历寻找冗余空间的方式对RAR格式中水印可嵌入空间进行了研究,提出了文件头部可选字节增项和无效块追加的嵌入方法,给出了算法实现和评价分析,通过实验验证了该方法的可行性。 嵌入式系统中高精度晶体振荡器及其应用分析 晶体振荡器是一种高稳定度和高精度的谐振器件,广泛应用于各种电子测量、广播通讯、航空、航天等领域.本文从晶振外围电路结构和匹配参数角度出发讨论如何提高晶振精度的方法,通过Multisim软件对电路进行仿真,给出了能提高晶振精度外围电路参数的范围,使用GSP-810扫频仪进行实际测试,给出了实际晶振电路频谱图,更直观地验证了结果. IEEE 802.11e中基于失真度度量的视频包传输方法 为改善H.264编码的视频流在802.11e中的传输性能,提出了一种结合H.264/AVC中不同类型的数据分割对视频重建质量的重要性因子和队列状态的视频包映射方法。首先定量分析H.264/AVC中A、B、C三种分割的丢失对视频重建质量的影响,得到其重要因子;然后依据重要因子和队列长度将视频数据包映射到802.11e的不同EDCA队列中。算法改进了EDCA机制中数据包的静态映射机制,根据视频分割数据的不等重要性,提供差异性服务。仿真结果表明,与目前的视频包静态映射机制相比,该算法提高了视频重建质量,最好可提高1 dB以上。 用于导航解算的矩阵运算硬件加速器设计 针对捷联式惯导系统中浮点矩阵乘积计算量大、串行处理方法耗时多制约捷联式惯导系统实时性提升的问题,提出一种基于FPGA/SOPC的浮点矩阵乘积并行处理方法。该处理方法的核心——高性能矩阵乘积单元是在脉动阵列结构基础上通过循环分块、数据空间分割及迭代空间合并优化后的高并行度处理单元,并利用直接内存存取大批量数据传输的速度优势,运算速度得到进一步提升。实验结果表明,据此设计的浮点矩阵乘积加速器不但能够准确地完成运算,而且运算速率有明显提升,较其他串、并行计算方法消耗的周期数分别减少71.3%,78%以上,能够有效地提高导航系统的实时性。 利用图像变形生成个性化人脸卡通 提出了一种利用图像变形技术生成个性化人脸卡通的方法,该方法以真实人脸图像、卡通人脸图像和中性标准人脸网格为输入。根据提取的特征点,在人脸上分区域构建多个径向基神经网络;将标准人脸网格变形,分别和人脸以及卡通脸配准,得到人脸和卡通脸的个性化网格;将卡通脸图像作为纹理映射到个性化人脸网格,并做色调分离提取原始人脸的光照信息,得到个性化卡通人脸图像。 基于模糊路况识别的电力机车粘着控制 在机车牵引控制系统中,是通过粘着控制实现车轴牵引转矩的控制。在传统的粘着控制方法中,由于不同路况下控制参数固定会导致机车牵引力损失过多,为优化轮轨间的粘着利用,提高机车的牵引力,提出了一种模糊路况识别的粘着控制方法,通过观测器观测到的粘着系数以及机车车轴参考速度估算模块计算的蠕滑速度模糊识别机车的运行路况,根据不同路况调整相应的空转判别参数以及牵引转矩输出函数。建立电力机车模型来验证方法的有效性,仿真结果表明,改进的粘着控制方法减少了牵引力的损失,提高了粘着利用效率,为电力机车的牵引运行提供更高的牵引力。 基于P&M模型的图像去噪平滑处理算法 研究图像平滑处理去噪问题。针对传统图像平滑算法在去除噪声的同时会破坏边缘、纹理等不能保持原图图像特征。为解决此问题,提出了一种基于PDE’s各项异性的扩散Perona&Malik算法。通过引入高斯平滑算子,利用P&M模型的可调扩散系数来改善图像平滑效果,在抑制噪声的同时能够保持这些特征的特点。通过仿真分析表明,所提出的算法对孤立的噪声点平滑效果明显,同时也不会过多地影响原来图像的特征。 深度信息辅助的均值漂移目标跟踪算法 参考目标模型中混入的背景噪声会弱化目标特征的描述,导致目标跟踪定位误差。为减少误差,依据目标与背景处于不同深度平面的特点,提出了基于深度信息辅助的和改进的背景加权直方图的Mean Shift跟踪算法,能够有效削弱核窗口中的背景干扰信息,突出目标的颜色特征信息,并适时自适应更新核带宽,减少因目标尺寸变小时引入较多的背景干扰信息。实验结果表明该算法迭代次数更少,具有良好的跟踪定精度。 基于AVR单片机的多舵机控制系统设计及仿真 舵机是机器人、机电系统和航模的重要执行机构;文章介绍了一种以ATmega16为核心的多舵机控制系统,利用单片机内部定时器,采用分时控制思想,用单片机实现多路PWM输出;系统的设计主要分为总体方案设计、硬件设计和软件设计部分,其中每一部分均采用模块化设计原则;系统总体设计遵循了可靠、简单、实用的原则,实验结果验证了方法的可行性和有效性,所提出的方法已经应用于多舵机的系统中。 贝叶斯电流分解:利用单个传感器感知家用电器电流 通过单个传感器对家庭中各个电器的用电行为进行感知是普适计算中的一个重要应用,其关键问题是电流分解,即在给定总电流波形情况下计算各个电器的实际电流.此问题现有2类求解方法:稳态估计方法和线性分解方法.前一类方法基于电器稳态耗电假设,使用稳态波形估计电器的工作电流.虽然该类方法能避免电器间的相互干扰,但是其结果不能反映总电流的实时变化.后一类方法通过模型约束或数据约束对电流波形进行线性降维,之后将总电流分解到各个低维线性空间中.虽然其分解结果能够反映总电流的实时变化,但是相似电器会降低分解结果的精度.从贝叶斯统计的角度将上述方法的关键假设松弛为位置向量先验分布与噪音先验分布,并提出了基于这2个分布的贝叶斯电流分解方法.利用真实用电数据,构造了多组仿真实验对此方法进行评测.实验结果表明:提出方法分解精度高于原有2类方法,其感知结果既能够反映总电流及各个电器电流的实时变化,又能够降低相似电器对分解结果的干扰. 改进蚁群算法及其在云服务组合优化中的应用研究 针对服务组合过程中的动态性、不稳定性以及多种QoS属性限制等问题,提出一个适应服务组合的改进蚁群算法WJ-I-ACO算法,包括基于聚类分析方法的改进局部优化算法和基于动态差分的改进全局优化算法。通过MATLAB仿真实验设计,验证了算法的有效性和可行性;基于此,分析了云服务组合的优化策略,给出了服务组合的路径寻优方法。 一种面向学习路径推荐的知识网络构建方法 随着在线教育的迅速发展,互联网上的教学资源数量也呈现出快速增长的趋势。针对当前在线学习平台普遍存在着教学资源内容重复、人们难以辨别与选择,导致学习者很难应用这些资源构建适合自己的学习路径的问题,提出一种面向学习路径推荐的领域知识网络构建方法。通过对每个学习对象的预备知识与目标知识进行社会标注,构建相应的领域知识网络,然后,运用弗洛伊德算法计算领域知识网络里任意两个知识点间的最短路径,为学习路径推荐提供基础。 群体智能典型算法研究综述 群体智能是指无智能的或具有简单智能的个体通过协作表现出群体智能行为的特性,它在没有集中控制且不提供全局模型的前提下,为寻找复杂的分布式问题求解方案提供了基础。群体智能潜在的并行性和分布式特征使之成为计算机领域一个重要的研究方向。在介绍群体智能模型的基础上,分别对基于该模型的蚁群优化算法和粒子群优化算法这两类代表性算法进行较为详尽的归纳阐述并进行比较,最后就目前应用最为广泛的蚁群算法对群体智能的发展趋势进行展望。 无线传感器网络加权质心相对定位算法 针对基于接收信号强度指示的无线传感器网络加权质心定位算法在实际应用中计算复杂的缺点,提出一种改进型传感器网络加权质心相对定位算法(WCL-RSSI)。该算法主要采用参考节点精选机制和定位组合精选策略选择定位自评误差小的节点进行三边测距定位,以此重建定位权值函数来减小坐标定位误差,最后采用加权质心法计算坐标,并计算该节点的定位自评估误差。仿真实验表明,在同等计算复杂度下,该算法较传统定位方法的定位精度有了明显的提高。 积分离散引导的物联网中离散系统差异数据融合 研究一种积分离散引导的物联网中离散系统差异数据融合。对物联网中离散型制造系统下各个物联网节点的差异数据进行融合处理是离散型制造系统需要解决的重要问题。在传统的离散型制造系统中,数据采用分布式处理方法,每个节点的数据做单独处理,所以无法融合所有数据的优点,达到较好的全局效率。提出一种积分离散引导的物联网中离散系统差异数据融合,即采用物联网技术将分布式系统下各个离散制造系统的终端数据进行统一收集和综合,然后采用积分离散引导的方法对获取的所有差异化数据进行处理,从而达到所有数据的有效融合。采用一组100节点的6类型数据进行实验,结果显示,采用积分离散引导的物联网中离散系统差异数据融合,数据被很好地融合起来,且数据的谱平均分布,所以算法具有很好的应用价值。 平滑削边绝对偏离惩罚截断Hinge损失支持向量机的财务危机预报 针对传统支持向量机(SVM)分类存在对离群点敏感、支持向量(SV)个数多和分类面参数非稀疏的问题,提出了平滑削边绝对偏离(SCAD)惩罚截断Hinge损失SVM(SCAD-TSVM)算法,并将其用于构建财务预警模型,同时就该模型的求解设计了一个迭代更新算法。结合沪深股市A股制造业上市公司的财务数据进行实证分析,同时对比L1范数惩罚SVM、SCAD惩罚SVM和截断Hinge损失SVM(TSVM)构建的T-2和T-3模型,结果发现SCAD-TSVM构建的T-2和T-3模型都具有最好的稀疏性和最高的预报精度,而且其在不同训练样本数上的平均预测准确率都要比L1范数SVM(L1-SVM)、SCAD-SVM和TSVM算法的高。 可用于CMOS图像传感器片上集成的电阻网络 为模仿视网膜中水平细胞对图像信息的处理方式,提出了一种能实现空间滤波功能的CMOS电阻网络,仅由水平电阻(HRES)和偏置电路两部分组成,其偏置电路既能提供偏置电压又可作为OTA电压跟随器.该电路结构简单、电路面积小、功耗低,更利于CMOS图像传感器的片上集成.在0.6μm DPDM标准数字CMOS工艺上完成了电路设计和性能仿真. 基于并联机构调姿的大部件支撑点优选 为了提高基于并联机构形式的数字化调姿装置的操作性能和支撑稳定性等,对部件上的支撑点位置进行了优化选择。建立了该定位调姿装置的运动学模型,根据分支机构运动链的矢量图形,求解了定位调姿装置的位置方程及机构雅克比矩阵;根据机构雅克比矩阵建立了调姿装置的灵活度、承载力和刚度等性能评价指标;根据定位雅克比矩阵建立了调姿装置对部件定位时的支撑稳定性指标;对上述指标进行综合评价,根据指标的优化得到了部件的优化支撑点位置。分析结果表明:基于并联机构形式的大部件调姿装置的性能评价指标和位姿无关,支撑稳定性和姿态有关,且各指标依赖于初始支撑点的选择,以上结论为大部件定位支撑点的选择提供了参考。 激光功率对铝质量动态烧蚀影响的数值仿真 "通过对激光单次脉冲内的烧蚀质量计算,就可以分析靶材所受到的推力,进而可获得激光推进的冲量,并作为依据选取对最优的激光参数(波长、脉宽、能量)。通过建立一维能量守恒理论的模型,而后利用和平衡气化和""电子崩""等简化模型,仿真了激光作用下固体靶质量的动态烧蚀过程,仿真了激光功率对烧蚀质量和烧蚀厚度的影响,并与实验经验的理论公式比较,得到了一致的结果。仿真动态烧蚀过程为激光功率的选择提供了理论依据。" 月球表面图像的SIFT特征提取与匹配 在分析月球表面不同尺度、不同谱段图像特点的基础上,本文以Visual C++和OpenCV为开发平台,对月球表面多光谱图像数据和多尺度图像对数据进行特征点提取和匹配,并验证算法的有效性。实验结果表明,改进的SIFT特征具有旋转、平移、尺度缩放、亮度变化和视角变换的不变性,能较好地完成月球表面各种图像的特征提取和匹配。 非均匀演化的动态遗传算法 "针对传统遗传算法的缺陷,提出一种基于基因位置分布差异而进行演化的改进算法。该算法利用2进制编码位置的差异性,在评价机制的基础上对种群进行动态的划分,并针对各个不同子种群的特点,使用动态的演化参数进行独立的演化操作,使得算法种群的构成类型能够保持多样性的发展,有效地抑制了""早熟""现象的发生。通过后续多个测试函数的对比实验结果表明,该算法在收敛速度、精度及稳定性上有所提高。该算法简单、易于实现、具有较强的通用性,是一种有效解决优化问题的方法。" 基于主题的中文短信文本分类研究 根据中文短信文本分类的特点,提出同义概念归并、上下位概念的聚焦以及短信文本重点词汇的确定方法,利用主题句选取算法获取短信文本的主题,采用KNN算法将短信文本的主题进行分类。仿真实验结果表明,该算法能够有效提高短信文本的分类速度。 远程用户体验评估综述:工具、方法和挑战 远程用户体验评估允许评估人员和用户在时间和(或)空间上分离,它具有经济、便捷、对用户打扰少,能在真实环境中对大量多样的用户进行研究等特点,被认为是解决传统用户体验评估问题的一个理想方案,并逐渐成为人机交互领域中一个热门的研究课题。首先介绍了远程用户体验评估的概念和分类;然后描述了远程评估的研究概况;接着提出了远程评估工具的系统架构,并依据系统架构,详细描述了数据采集、分析和呈现的各种方法;最后,总结了远程评估所面对的挑战以及未来的研究方向。 最优正则化参数的核FCM聚类算法 模糊C均值聚类算法(Fuzzy C-mean,FCM)因随机选取初始聚类中心,造成算法求解过程不稳定(即存在不适定性问题).针对此问题,提出一种最优正则化参数的核FCM算法,首先在核FCM的目标函数中引入正则化项和正则化参数;然后推导出用L曲线法寻优正则化参数所需的迭代更新公式;最后用迭代更新公式设计最优正则化参数的核FCM算法.在UCI测试数据集上的实验结果表明:本文所提算法的平均稳定性较传统FCM提高了5倍,平均准确率和平均召回率也分别提高了30%和33%.本文用L曲线法寻优核FCM的正则化参数是可行的,能有效地抑制FCM的不适定性. 一种确定试题区分度值的方法 试卷中题目的区分度值决定了题目的质量,进而决定了考试的有效度。传统的试题区分度值确定方法多采用人工目测确定法,或者采用高低分值离散统计法等,这些方法或者测试精度不高,或者操作过程漫长。本文提出一种基于罗杰斯蒂模型的斜率区分度值确定法。根据测试者能力分布情况,在罗杰斯蒂曲线倾斜的敏感区,利用VB代码编写程序描绘统计值曲线,进而得到该题目的区分度值。实践表明,该方法确定区分度值无论操作速率还是计算精度均较传统统计法更高。 Sobel算子在衣物纹理类型检测中的应用研究 衣物纹理是指衣物表面的纹络现象。在越来越发达的智能图像处理过程中,针对衣物纹理的检测也变得愈发平常,人们期望能够使用计算机自动识别衣物表面的纹理类型,如横竖条纹、方格及碎花等,从而进行基于纹理类型的相应操作。文中提出了一种利用Sobel算子进行梯度方向统计来实现衣物纹理类型检测的方法,其中对各纹理类型的判定依据来源于实验分析过程,经测试表明,该方法及相应判定依据能够有效地检测出衣物常见的纹理类型。 分步筛选邻居的协同过滤改进算法 为了解决协同过滤算法用户邻居筛选的优化问题,提高推荐结果的准确性,提出了一种分步筛选邻居的协同过滤改进算法.该算法首先采用改进的Pearson系数法计算用户间的相似度,降序排列后,计算用户特征值,大于用户特征阈值的用户进入下一层筛选;然后选择对优先项目集有过评分的用户形成最终的邻居集;最后进行预测评分得到推荐.实验结果表明,该算法能够有效地获取用户最近邻居集,改善准确性,并且稳定性良好. 构建微博用户兴趣模型的主题模型的分析 分析了不同的主题模型,通过实验比较了3种主题模型构建的微博用户兴趣模型的性能。实验结果表明:TwitterLDA适用于新文档或新用户的预测,AuthorLDA产生的主题具有较高的区分度,而UserLDA和AuthorLDA能更好地反映出用户的社交网络关系。上述工作为进一步研究主题模型如何应用于微博的个性化信息推荐、情感分析和话题检测与跟踪等文本挖掘应用奠定了基础。 一种可信虚拟机迁移模型构建方法 虚拟机的安全迁移是保障云环境安全可信的重要需求之一.对于包含虚拟可信平台模块(virtual TPM,vTPM)的可信虚拟机,还需要考虑vTPM的安全迁移问题.目前,已有一些针对可信虚拟机的安全迁移的研究,但是由于研究可信虚拟机的模型不统一,导致迁移模型解决问题的方案不能适用所有的迁移方案,存在一定的局限性.针对可信虚拟机的迁移缺乏统一的安全模型及测试方法的问题,参考虚拟机迁移中普遍存在的安全问题以及可信计算和云的相关规范,从整体系统层面对可信虚拟机的迁移进行安全需求分析;提出一种可信虚拟机迁移框架,将可信迁移的参与组件进行了抽象并描述了迁移协议中的关键步骤和状态;以标号迁移系统LTS为操作语义描述工具对可信迁移系统进行进一步的描述,以系统中迁移进程组件的建模为基础构建出动态的迁移系统状态迁移树;分析了LTS模型可以用于可信迁移协议的一致性测试,并通过与其他相关工作的比较说明了模型在考虑安全属性方面的完备性. 基于NCC改进算法的SMT电路器件定位 随着电子产品的微型化发展,对表面贴装技术(SMT)中电路器件的定位精度和速度提出了越来越高的要求。针对SMT贴合工艺中标记点匹配速度慢、边缘检测精度差的问题,为了提高模板匹配效率,在经典NCC算法的基础上提出了一种缩小搜索区域的NCC算法(RSR-NCC),通过提取模板图像中关键信息与搜索图像进行匹配,实现电路器件的定位。在保证匹配准确性的情况下,该算法可减少计算量,同时增加关键信息的权重。分别采用传统NCC算法、SSDA算法、NCC+粗搜索算法与RSR-NCC算法对SMT贴合过程中随机采集的图像进行匹配定位,结果显示RSR-NCC算法在保证匹配结果准确的基础上,匹配时间分别为NCC算法、SSDA算法、NCC+粗搜索算法的1%、2.8%、8.5%,明显提高了匹配速度,证明了该算法的优越性。 稀疏特征选择在过程工业故障诊断中的应用 提出一种基于稀疏表达的特征选择方法,用训练样本的均值和方差组成优化算法的样本矩阵,测试样本采用与样本矩阵对应的指示向量,采用同伦算法求解优化问题。给出了算法的详细流程,并与传统的B距离法和小波包变换特征选择方法以及近年来常用的稀疏表达分类、稀疏投影保持和稀疏主元分析针对田纳西-伊斯曼过程进行故障诊断结果比较,结果表明所提出的方法故障诊断的误报率较低。 基于PCI的小波变换视频压缩卡驱动程序开发与应用 介绍基于小波变换视频压缩芯片ADV611的视频采集压缩卡设计,着重描述Windows XP环境下PCI设备WDM驱动程序的开发与应用。 Matlab环境下的Oracle数据库访问技术 在Matlab开发环境下,采用ODBC、JDBC和ADO三种数据库访问方式,实现了与Oracle数据库的交互功能。给出了访问过程中典型问题的处理方法,解决了MATLAB Database Toolbox无法对LOB型大对象数据进行访问与操作的难题。最后,比较分析了三种访问方式的特点与使用范围,得出结论:ODBC使用简单但访问速度慢且可移植性差;JDBC继承于ODBC,使用与Matlab一致的Java语言风格,支持跨平台作业,可移植性好,且与Matlab的兼容性最好;而ADO比ODBC、JDBC访问速度快,扩展性好,能处理LOB型大对象数据,但Matlab Database Toolbox对ADO不提供函数支持。 网格环境中基于语义的ABAC属性研究 为解决网格环境中域间互操作时基于属性的访问控制(attribute based access control,ABAC)策略之间的语义异构问题,提出一种利用属性语义信息辅助域间安全策略表示的方法。根据主客体及环境属性的多样性和二义性等特点,对EduPerson规范、SAML属性轮廓、QoS测度等领域知识进行分析,并构建出SHIQ(D)描述逻辑的通用属性本体UniAttOnt。在此基础上,给出了利用本体知识使用属性语义信息辅助属性一致性检测和策略表示等的具体方法,最后的实例分析表明了该方法的可行性。 利用多目标量子粒子群算法求解背包问题 提出一种基于博弈论的多目标量子粒子群算法。算法中将每个目标函数看成是一个智能体,智能体控制种群往自己最有利的方向进行搜索,然后将它看成是参与博弈的一个参与人。采用存在一个博弈序列的重复博弈模型,在重复博弈中,并不是每次博弈都产生最大效益,而是要总的效益最大化。将算法用于求解多目标0/1背包问题。仿真实验结果表明,该算法能够找到接近Pareto最优前端的更好的解,同时维持解分布的均匀性。 一种改进的简化粒子群算法 由于简化粒子群算法中每个粒子都采用相同的迭代公式进行进化,使得在进化后期粒子间的差异性不强,算法容易出现早熟、搜索速度慢的问题。针对上述问题,在简化粒子群优化算法的基础上,加入了混合蛙跳算法的分组思想,提出了一种蛙跳简化粒子群算法。算法将粒子群分为多组同时进行搜索,每组粒子进行若干次迭代后再重新进行分组。粒子的迭代方式在简化粒子群上增加了对各小组最优粒子信息的利用,使各小组就利用不同的迭代公式进化,保证了粒子间的差异性。分别用基本粒子群算法、简化粒子群算法、混合蛙跳算法和蛙跳简化粒子群算法(改进的算法)对4个经典函数进行测试。结果表明,改进的算法能够有效地避免早熟收敛问题,并能较大幅度地提高收敛速度和收敛精度。 基于蚁群算法的拥堵交通最短路径研究 针对当前交通网络在路径选择研究中,存在只考虑静态交通网络的路径选择的问题,提出了利用蚁群算法的拥堵交通网络的最短路径算法,建立了采用Petri网的交通网络模型,运用蚁群算法对静态交通网络进行了最短路径求解,并加入天气状况、道路容量等动量建立动态交通网络。运用层次分析法并结合Petri网对交通拓扑图进行了最短路径的探索并进行了对比分析。研究结果表明在道路拥挤的情况下,动态交通网络下的路径算法可以为出行者找到更快捷方便的路线。 基于相似连接的多源数据并行预处理方法 大规模网络环境和大数据相关技术的发展对传统数据融合分析技术提出了新的挑战。针对目前多源数据融合分析过程灵活性差、处理效率低的问题,提出了一种基于相似连接的多源数据并行预处理方法,该方法采用了分治和并行的思想。首先,通过对多源数据中的相似语义进行统一、对个性语义进行保留的预处理方法提高了灵活性;其次,提出了一种改进的并行MapReduce框架,提高了相似连接的效率。实验结果表明,所提方法在保证数据完整性的基础上,使总的数据量减小了32%。与传统的MapReduce框架相比,改进后的框架在耗费时间方面减小了43. 91%,因此该方法可以有效提高多源数据融合分析的效率。 网上科技信息深度分析方法及应用 对网上科技信息进行专业化聚合,实现定制分享和态势分析,营造有利于知识发现的研究环境,已成为知识创新和科技管理所面对的重要课题。因此,在分析科技工作者对科技领域公共信息搜索需求的基础上,借鉴行业搜索模式,设计运用垂直搜索引擎等技术手段聚合网上科技信息资源,构建科技信息资源库和共享平台。探讨为科技工作者提供网上科技资源搜索以及科技信息深度分析服务的方法,为知识创新服务。 海上编队雷达网抗干扰优化部署算法 针对海上编队雷达网抗有源噪声压制干扰环境下部署的问题,讨论了编队雷达网对空及对海的残存可探测区面积、探测能力下降指数、责任区覆盖指数,建立了综合考虑多种限制条件下的海上编队雷达网抗动态干扰部署优化模型与算法。论文在动态干扰条件下进行了仿真分析,仿真结果验证了所建立模型的有效性。该模型与算法对未来海战场环境下舰队雷达网实际部署具有重要意义。 基于结构修剪神经网络的股票指数预测模型 股票市场是非线性系统,具有内部结构复杂性和外部因素多变性,在股市指数价格和成交量基础上,引入宏观经济指标共同构建模型预测指标体系,并分析各指标之间的长期均衡关系和因果关系。在贝叶斯分析的基础上,将代表网络复杂性的惩罚项引入模型误差函数中,并通过动态调整惩罚因子删减网络中对股票市场不敏感的隐层神经元,在保证模型泛化能力的同时实现网络结构精简。以上证指数为例,构建基于BP算法的结构修剪神经网络预测模型,在不同的预测指标体系下对股票市场运行规律进行学习,并对上证指数进行仿真预测。最后,通过与其他神经网络预测模型比较验证该模型的有效性。 Halo轨道编队保持控制方法及仿真分析 开发深空探测,研究对近地建立空间站。针对地月L1点空间站与绕飞航天器的构型保持控制问题,共线平动点附近的运动具有较强的不稳定性,太阳引力摄动对Halo轨道编队构型具有较大的影响。为实现Halo轨道编队的稳定飞行,根据Floquet模态理论设计了Halo轨道编队保持控制器,在分析消除模态4最优控制策略的基础上,研究了Floquet模态之间的内在耦合关系,进一步提出了模态相消控制策略,降低了保持控制燃耗。在限制四体模型下,对太阳引力摄动下的Halo轨道编队保持控制问题进行了数值仿真,仿真结果表明:保持控制器可实现Halo轨道编队相对运动构型保持,且具有较高的控制精度,不存在误差积累现象。 一种基于CT图像的肺实质自动分割的简易方法 基于肺部CT图像灰度分布的特征,提出一种快速而有效的肺实质分割方法。该方法将图像阈值化和快速区域填充方法结合起来,可以自动将肺实质区域从肺部CT图像中分割出来。它首先通过一个预处理步骤以滤除随机噪声,然后采用阈值化方法对图像进行二值化,接着对所得二值图像进行腐蚀操作和面积滤波处理,并运用一种新奇而简单的区域填充方法对二值图像中非肺部区域进行填充以滤除各种干扰区域,最后经简单处理后即可从原始CT图像中分割出肺部区域。该方法实现简单高效,最终的实验结果证明了它的有效性。 软判决修正下的语音增强算法在数字信号处理器上的实现与优化 针对低信噪比情况下,传统谱估计语音增强算法性能下降的问题,提出了一种结合语音存在概率和人耳听觉掩蔽效应的软判决短时谱估计算法。该算法在低信噪比的情况下保护微弱的语音信号,减少语音谱的失真。同时介绍了基于TMS320C5502数字信号处理器的嵌入式系统,重点讨论了系统总体方案和主要功能模块的硬件设计,并且结合数字信号处理器的结构特点,给出了该算法系统平台移植和优化的方法。系统测试表明,硬件平台工作稳定可靠,针对硬件资源的移植和优化显著地提高了系统的处理速度,满足实时性的要求,且输出语音在去噪度与失真之间很好地平衡,优于传统的谱减算法。 面向多业务用户体验的基站节能策略研究 随着ICT行业的快速发展,无线通信网络的密集部署和应用普及,随之带来的高能耗和碳排放问题给环境造成了巨大影响。用户关联的基站关闭策略能有效减少能耗,现有策略主要针对用户接收服务质量进行方法设计,并未充分考虑具体业务特征以及用户体验。引入了用户体验质量指标QoE,提出了一种面向多业务用户体验的基站节能策略ES-MSUE。采用非线性整数规划对问题进行建模,实现了用户体验和能耗之间的均衡。通过与其他相关基站关闭策略进行对比实验,结果表明提出的方法具有较好的节能效果和用户体验质量。 《计算机科学与探索》投稿须知 "[正文]《计算机科学与探索》是由中国电子科技集团公司主管、华北计算技术研究所主办的国内外公开发行的计算机学报级高级学术期刊,中国计算机学会会刊,工业和信息化部优秀科技期刊,北大中文核心期刊,中国科学引文数据库(CSCD)核心期刊,中国科技论文统计源期刊(中国科技核心期刊),并被""万方数据——数字化期刊群""、""中国学术期刊网络出版总库""、""英国《科学文摘(SA/INSPEC)》""、""美国《剑桥科学文摘(CSA)》""、""波兰《哥白尼索引(IC)》""收录。月刊,大16开。欢迎踊跃投稿。" 乳腺浸润性筛状癌超声表现与病理分型的相关性 目的:探讨乳腺浸润性筛状癌(ICC)的超声声像图特征与其病理分型的相关性,旨在提高超声诊断该病的准确率。方法:回顾性分析2010年1月—2015年12月在我院普外科接受手术治疗并经病理证实的9例乳腺ICC患者的超声声像图表现,并比较不同病理类型之间的差异。结果:9例患者均为女性,平均年龄59.6岁(38~76岁)。所有9例患者均于术前接受超声检查。肿块直径大小范围9.8~42mm。声像图显示肿块形态欠规则3例(33.3%),形态不规则6例(66.7%);边界清晰2例(22.2%),边界欠清或不清7例(77.8%);内部回声均匀3例(33.3%),不均匀6例(66.7%);所有9例病灶均呈低回声,2例患者(22.2%)肿块内见细钙化。彩色多普勒血流显像示3例(33.3%)肿块内部未测及无明显血流信号,5例(55.6%)测及点状血流信号,1例(11.1%)测及条状血流信号。术前超声BI-RADS分类:4A类3例,4B类4例,4C类2例,恶性诊断敏感度为66.7%(6/9)。依据病理学特征将ICC分为单纯型(3例),含有小管癌型(2例)及混合型(4例)。3例单纯型均为4A类,2例含有小管癌型均为4B类,4例混合型中2例为4B类,2例为4C类。结论:不同病理类型的乳腺ICC的声像图表现各具相应的特点,其不同的表现反映了肿瘤组织病理学的变化。单纯型ICC的声像图偏向良性表现,是造成该型恶性诊断敏感度较低的主要原因。 飞行试验数据聚类约简方法研究 飞行试验数据是航空武器装备研制过程中所形成的最重要的科研信息资料,而试飞数据量越来越大,造成试飞数据的存储、管理困难,数据挖掘效率低下;采用数据约简方法对试飞数据全集进行约简符合数据挖掘方法原理;分析建立了试飞数据属性集结构树,综合利用数据属性约简法、数据块分层约简法和数据压缩方法,对海量试飞数据集进行聚类综合采样约简,建立试飞数据集的极为相似和有效精简的数据子集;利用该方法对某试验机某年试飞数据集的约简,有效数据集约简为源数据集的六分之一;实践表明,该方法具有良好的约简效果。 从随机非概率数据中提取粗糙度及其可靠度 针对高程数据不能直观反映地形粗糙度和坡度的问题,提出了地形高程数据的预处理算法和地形特征提取算法并完成相应的地形特征提取。该方法的创新性在于首次将双线性插值重采样算法应用于地形高程数据的预处理和地形特征提取,并建立了相应的数学模型;通过应用某数字地形进行仿真验算表明,基于高程数据的地形信息提取方法是可行且可靠的;为精确识别目标信息和进行地形风险评估提出了一种新的研究思路。 欢迎订阅《计算机与现代化》,欢迎投稿 [正文]《计算机与现代化》是计算机专业学术期刊。其特点是:时效性强,密切跟踪计算机科技发展动态,以最快的速度精选刊登计算机专业的新理论、新技术及其在各领域应用成果的论文。本刊系中国科技核心期刊、中国科技论文统计源期刊,《中国学术期刊综合评价数据库》来 一种基于Petri网的虚拟机性能分析方法 虚拟机技术在缓冲区溢出防御方面有着重要的应用,虚拟机系统中加载器的不同设计方案直接影响虚拟机的运行效率。本文提出一种使用Petri网形式化建模的方法,对虚拟机所需要的加载方案实现形式化建模和评估。使用CPN-Tools工具进行验证结果显示,该方法可以有效地分析虚拟机模型的性质,实现各种加载方案的虚拟机系统的时间和空间量化比较。 基于模型融合和特征关联的视频目标跟踪算法 "为解决视频目标跟踪中背景噪声、目标遮挡等问题,构建一种基于模型融合和特征关联的多目标跟踪算法。该算法首先改进对称帧间差分法,将连续四帧图像两两差分后进行""或""运算提取出目标轮廓,然后与滑动平均背景差分提取的目标轮廓进行""与""运算,进行形态学腐蚀和膨胀操作后获得运动目标的质心位置,接着融合金字塔光流法和卡尔曼滤波对下一时刻的质心位置进行预测,并利用匈牙利算法计算最优匹配进行特征关联,去除跟踪器中不满足要求的部分,同时为未指派的检测建立跟踪单元,最后修正卡尔曼滤波参数,绘制出运动目标的跟踪轨迹。实验结果表明,该算法能够准确提取出运动目标轮廓,解决目标间相互遮挡导致的跟踪失效问题,有效地提高了多目标跟踪的准确率和鲁棒性。" 基于WSN的AODV路由协议的研究与改进 AODV算法采用最少跳数的路径作为信源节点和信宿节点之间的通信路径,忽略了可能存在的低质量的信道。本文提出一种改进的基于链路质量的L-AODV路由协议,该协议在源节点广播RREQ请求分组并沿途收集链路质量信息,利用目的节点接收到的RREQ分组进行路径性能评估,并选择出链路质量最高的路径。实验结果表明基于链路质量的L-AODV协议可以明显提高分组投递率。 基于二元多项式的无线传感器网络密钥管理方案 在复杂、无人值守的无线传感器网络环境中,传感器节点容易遭受攻击者对节点的俘获攻击。基于对称多项式的随机密钥预分配方案为节点的通信提供了一种安全机制,但是此方案存在t门限性质的问题。为了更好地解决这一问题,提高节点的抗俘获能力,通过一种安全的密钥协商方式,使用单向hash函数对多项式的值进行处理,并设计一种完善的有新节点加入时的密钥分配方法。分析表明,改进后的方案在原方案安全机制的基础上,能更有效地抵御攻击者的合谋攻击。 一种关于数据流区间Disjoint查询的快速处理算法 在关于数据流子序列相似性匹配的研究中,Disjoint查询是很重要的一类,在传感网络和数据挖掘等方面都发挥着非常重要的作用.但现有的研究并没有关注到定长区间上的Disjoint查询问题.直接对每个区间内成员使用Spring算法是解决该问题的NAIVE算法,但是因为NAIVE算法不具有增量计算的特点,所以存在冗余运算.针对NAIVE算法冗余运算的处理问题,提出了边界路径技术.边界路径技术很好地使用了Spring算法在相邻前一区间上的执行结果,使得Spring算法无需对当前区间上每个成员执行,就可以得到Disjoint查询在该区间的查询结果.使用该技术对NAIVE算法进行改造,设计并实现了快速区间Disjoint查询处理算法(fast section Disjoint query processing algorithm,FSDQ),该算法具有增量计算的特点.实验证明FSDQ算法可以有效减少NAIVE算法所具有的冗余运算,是处理数据流上区间Disjoint查询的有效方法. HybriG:一种高效处理大量重边的属性图存储架构 在图中,起点和终点都相同的两条边称为重边.属性图是一种带标志和重边的有向图,图中的点和边可以拥有任意数目的属性值.属性图由于其丰富的表达能力而广泛应用于实际建模中.实际应用中一般用图数据库解决属性图的存储需求.相比于传统的关系型数据库,图数据库在做多跳邻域查询、路径查询等与图结构相关的查询时,具有更优异的性能.Titan是产业界日渐关注的一个开源的分布式图数据库,Titan的数据以邻接表的方式组织,每个点的邻接表存储了相邻的所有边,这使得与邻接点集相关的查询都需要遍历整个邻接表.当图中含有大量重边时,邻接表规模巨大,这种数据组织方式导致邻域查询性能严重受损.邻域查询是大部分图查询的基础,如多跳邻域查询、路径查询、局部聚集系数查询(计算)等,这些查询往往由嵌套的邻域查询实现,随着邻域深度的增加,这种性能受损将被急剧放大.文中提出了一种基于Titan和列式存储数据库HBase的复合架构设计——HybriG,基于Titan和HBase建立存储层,用Titan来存储图的结构信息和点集的属性信息,HBase存储边集的所有属性信息.在HybriG中邻接表保持了项数和数据量上的精简,从而能克服上述图数据库的缺点.相比于传统图数据库Titan,HybriG在邻域点集相关查询以及边集数据批量导入上的性能提升一个量级以上.文中介绍了HybriG基于Titan和HBase的存储设计,并描述了在此存储设计基础上,如何高效地实现图查询以及图数据的插入操作.此外,文中还提出了图数据的高效导入方案,并保证导入过程中Titan与HBase存储数据的一致性.最后通过实验验证了HybriG在处理大量重边时的优异性能. 基于行为分析的指挥员指挥模式分类模型 通过分析特定指挥员在指挥行为实验中的行为表现,构建分析样本数据集,并选取典型指挥员作为参考样本,提出了一种基于K-均值聚类算法的指挥模式分类模型,模型计算简便,便于计算机实现,对于认识和把握特定指挥员特点,并应用于作战和训练实践具有重要意义。 基于支持向量机的干气制乙苯反应器出口温度预测模型研究 鉴于化工过程往往机理复杂、耦合性强、高度非线性,难于建立其机理模型,这时就需要采用经验建模的方法。支持向量机是一种新的机器学习方法,其基于结构风险最小化原则,用支持向量机建模不需要考虑对象机理,且对非线性问题有很好的效果,是一种良好的经验模型,己被应用于不少化工问题中。在本文中我们把支持向量机这一新颖算法应用于干气制乙苯反应器出口温度预测模型中,简要介绍了支持向量机的一些基本理论,在此基础上详细研究支持向量机在干气制乙苯反应器出口温度预测模型建模中的应用。首先,选择支持向量机的类型为ε-SVR,通过四种核函数在实际预测中误差的比较选择径向基(RBF)核函数作为本文支持向量机模型所用的核函数,之后应用交叉验证的方法选择最佳参数C=4,γ=0.0051543,最后建立预测模型并对训练集和预测集分别预测,预测结果相关系数在90%以上,说明模型精度达到要求。对支持向量机和遗传算法优化的BP神经网络算法的建模效果进行综合比较和讨论,得出支持向量机与传统建模方法相比有更好的预测准确率的结论。 设备管理与维护通用架构设计研究 将设备结构信息与设备维护相结合,通过对设备结构信息、历史维护信息和实时监测信息进行综合分析,从而准确地对设备维护做出决策。针对设备维护系统数据量大,数据类型丰富的问题,结合多种设备维护策略,以OSA-CBM(Qpen System Architecture for Condition-based Mainfenance)体系结构为基础,提出由设备管理、测点管理和设备维护等模块组成完整的设备管理与维护系统(EMMS),并使用UML语言对各个模块的关键部分进行详细描述,从而使得系统的模块之间与模块内部具有灵活性、通用性与易扩展的特点。 一种服务于流量特性的信道访问方法及公平性研究 无线通信网络中,业务流在数学上呈现出一种统计上的自相似性.本文结合该流量特性,针对如何改善高负荷、高业务流量突发环境下网络性能的问题,给出一种基于节点突发流量的信道访问退避策略:通过动态监测和计算当前节点突发流量的大小来调整信道接入,从而减少碰撞次数,提高信道利用率;为解决算法公平性的问题,同时引入了节点流权值w(i).通过流量特性适应机制及节点流加权机制,使得网络在提高性能的同时能尽量减小算法不公平现象所造成的影响.理论分析及仿真结果均表明:在网络业务量突发性强、信道竞争激烈的情况下,该策略能有效缩短信道接入时延,排队长度等各项网络性能指标. 改进的门单元多输入跳变电流源模型 电压信号的非线性问题和多输入跳变现象给时序分析带来了严重挑战.为更好地解决此问题,文中设计电路对门单元输入端和内部节点间的密勒电容进行了验证,从器件结构上分析了其来源,并通过引入该电容改进了已有多输入跳变电流源模型,以获得更高的模型精度;同时将二输入门单元模型扩展到多输入门单元,并详述了建模方法.多种门单元上的数值实验结果表明,与已有模型相比,在可接受的时间开销内,文中模型能够显著地提高门单元时延和输出波形的仿真精度. 高速铁路下感知路由器群的协同拥塞控制 在高速移动环境下,由于较少考虑单路由器与相邻路由器的连接速率,容易导致拥塞检测准确性差以及数据流整体传输误差率较高的问题。为解决多节点网络拥塞问题,结合网络大数据动态性、快速性和时效性强的特性,提出一种基于路由器群的感知网络控制算法。通过采集参数和数据感知获得更为精准的信息作为判断拥塞情况的依据,并将相互连接的多路由器分层划分,邻近两层的路由器设置成一个虚拟节点。针对虚拟节点内部拥塞发生的3种拥塞情况,由控制器对虚拟节点中的边缘路由器参数进行控制和调整。实验仿真结果验证了该算法的可靠性及其稳定性。 密度自适应的数据竞争聚类算法 针对现有数据竞争聚类算法在处理密度不均匀数据集时聚类效果不理想的问题,提出了一种密度自适应的数据竞争聚类算法。首先,定义了一种局部密度自适应线段;然后,根据局部密度自适应线段计算出密度自适应相似度,密度自适应相似度不仅反映了数据的整体空间分布信息,还反映了数据点的局部信息,更加符合数据的实际分布;最后,将密度自适应相似度用于数据竞争聚类算法中。在人工和真实数据集上的仿真实验结果表明,新算法比现有的数据竞争聚类算法在处理密度不均匀数据集时,具有更高的聚类性能。 3D NoC中故障感知的RVOQ容错架构设计 针对因路由器内部输入缓存和交叉开关故障引起的可靠性及网络拥塞问题,提出一种故障感知的RVOQ容错架构设计方案.首先在输入端口处增加冗余虚通道进行输入缓存故障的容错设计,通过故障信息的反馈和仲裁算法使得数据选择有效的路径进行传输;然后修改交叉开关的架构,增加多路选择开关和相应控制模块,输入数据优先考虑本地数据链路,故障情况下选择冗余路径进行数据传输.实验结果表明,在故障数为3时,该方案比已有方法的时延降低了11%~53.1%;在网络出现多个故障、面临网络重负载时,仍然能够保证系统的高可靠性以及传输性能. 利用AdaBoost-SVM集成算法和语块信息的韵律短语识别 提出一种基于汉语语块结构并利用AdaBoost-SVM集成学习算法的汉语韵律短语识别方法。首先,对语料进行自动分词、词性标注和初语块标注,然后基于结合紧密度获取语块归并规则并利用规则对初语块进行归并,得到最终的语块结构。其次,基于语块结构并利用AdaBoost-SVM集成算法,构建汉语韵律短语识别模型。同时,该文利用多种算法分别构建了利用语块信息和不利用语块的多个模型,对比实验结果表明,表示浅层句法信息的语块能够在韵律短语识别中做出积极有效的贡献;利用AdaBoos-SVM集成算法实现的模型性能更佳。 基于WinCE Wavedev2驱动的音频通道切换算法 为了在资源有限的设备上实时切换数据传输通道,研究了Wavedev2驱动,在驱动双DMA(直接内存存取)数据传输框架下,提出了中断轮询通道切换算法。结合中断探测的准确性和循环探测的实时性,实现了空闲时不占用CPU的数据通道切换功能,并把它应用于录音回放通道切换和耳机探测问题中。算法分析及实验对比结果表明,该算法在实用性上优于通用的循环探测算法和IST(中断服务线程)探测算法。 一种关于合同网协作改进模型的研究与实践 在传统合同网协议中引入信任度、友好度和积极度等度量参数来改善多合作者之间的协作质量。通过计算各投标工作者历史合作记录的度量值实现对投标工作者的分级,形成不同能力的等级域,基此提出和构建了一种合同网协作的改进模型。通过实践一个异构计算资源实时监控系统的方法,验证所提改进模型的执行效率明显优于传统合同网协作模型。 物流服务供应链绩效动态评价研究 针对动态变化的物流服务供应链状态,设计改进型QFD模型,利用模糊评估法对不同历史时期物流服务供应链绩效进行评价;利用前期评价结果,应用Markov预测方法对未来物流服务供应链进行预测,以达到更好控制绩效的目的。最后,结合算例对该动态绩效评价模型进行验证分析。 双向S-粗集系统不确定性的近似处理方法 双向S-粗集的边界变化会引起系统不确定性。针对该问题提出内边界和外边界的概念,讨论内边界和外边界的相关性质,阐述相对精度度量方法。给出系统不确定性近似处理方法,通过实例说明在双向S-粗集边界域中,元素灵活迁移而得到的相对精度能满足一定分类误差要求,验证了该系统的可行性和有效性。 肌电三维仿真手的单通道盲识别系统 介绍的肌电三维仿真手的单通道盲识别系统。利用单通道盲源分离,避免硬件电路连线复杂;利用混沌加密,确定表面肌电信号(Electromyography)盲源识别顺序。实验表明,该系统实现了肌电信号单通道纪录,解决了盲源分离中的分离无序的问题,准确地实现手部运动模式的实时识别和显示。 基于服务质量机会测量的传感器网络协作控制机制 为了消除制约无线传感器网络性能的因素和提高网络控制效率,基于实时网络服务质量机会测量提出了传感器网络协作控制机制。首先,基于发送端节点和接收端节点状态以及中继节点的协作,测定无线传感器网络实时状态;其次,分析能耗品质和负载品质对网络性能的影响规律,讨论不同分频调制方式对网络性能的影响规律;最后,制定了网络状态感知的机会分频调制的传感器网络协作控制机制。数学分析和实验结果表明,所提出的传感器网络协作控制机制对无线传感器网络的实时性、可靠性和吞吐率等方面具有较强的保障能力。 Linux-iptables防火墙的分布式策略应用研究 针对当前局域网络的安全现状,研究防火墙在局域网内的分布式策略设计及应用效果。应用Linux-iptables防火墙设计安全策略并规划局域网的数据流向,根据局域网中不同模块来设计相应的防火墙安全策略。实例分析和实验结果表明,该方案能够保证局域网的安全和高效运行。 基于免疫的入侵检测系统可信问题研究 为了降低入侵检测系统的误报率和漏报率,提出了一种基于人工免疫的新型入侵检测系统模型。借鉴生物免疫系统抗体的演化机制,该模型改进了目前基于免疫的入侵检测系统中抗原、抗体的静态描述方式,给出了抗原、抗体的动态描述方式和变化机制,并针对传统固定r连续位匹配方法的不足,提出了一种r可变匹配机制,最后进行了相关仿真实验。理论分析和实验结果表明,该系统具有较低的误报率和漏报率,提高了入侵检测系统的可信性。 基于固定基点的安全查询策略 针对兴趣点查询服务带来的位置隐私泄露问题,提出一种基于固定基点的位置隐私保护方案FixBP。引入地点l-差异性原则,防范位置同质攻击和地图匹配攻击;固定查询使用的基点,降低构造匿名区域产生的开销,便于位置服务提供商做查询优化。对比实验结果表明,FixBP比DLS方案构造匿名区域的开销更小,FixBP、SpaceTwist、DLS、SybilQuery方案中FixBP为服务提供商带来的查询开销最小;POI数据库分析实验结果表明,FixBP方案比DLS、SybilQuery方案产生的通信开销更小。 增强的Netflow数据采集系统设计与实现 基于端口号进行网络流量分析的Netflow技术无法准确地回答网络流分别由哪些应用协议组成及其比例等问题,也不能提供web用户行为数据来反映用户对大多数网站的访问情况。为改进上述缺陷,提出一种增强的Netflow数据采集系统。结合flow和深度包检测技术进行精确的应用协议识别,对web用户行为数据进行采集与动态存储,为网络流量监测与分析提供全面的数据支撑,设计并实现该系统,验证了其有效性和可行性。 最大亚频繁模式挖掘算法研究 为解决传统最大频繁模式在项集频繁度与项集长度规模之间的制约关系,提出最大亚频繁模式概念及其挖掘算法MSFP-mining,包括最大亚频繁模式概念并分析其要素特点,基于AFP-tree、CMP-tree、SFP-tree、SFP-growth的候选MSFP挖掘方法,基于MSFP-tree的最大亚频繁模式超集检测和剪枝策略及对MSFP-mining挖掘性能的实验验证。实验结果表明,该算法利用差别频繁度实现核心项集、附加频繁项集、补充频繁项集的阶段性求取和组合,在保证项集频繁度基础上实现最大亚频繁模式挖掘,扩展频繁模式规模。 基于免疫计算的IEEE 802.16j网络基站及中继站选址优化 IEEE802.16j标准引入了中继站,从而能获得覆盖能力和容量的提升.与传统的单跳无线接入网络相比,IEEE802.16j网络具有以较低代价获得较高容量的优势.中继站和基站的联合优化是移动网络运营商进行网络规划的重要内容之一.由于中继站建站代价远小于基站建站代价,在给定候选站址和覆盖需求的前提下,通过对中继站和基站的站址进行联合优化可以减少基站的建设数目,从而降低网络的建设总代价.为了解决802.16j网络基站及中继站选址优化问题,给出了一个基于免疫计算的选址优化方案.设计了802.16j网络选址优化问题的数学模型,给出了求解选址优化问题的免疫优化算法,并进行了仿真实验.实验结果表明:所给出的方案能以较小的网络建设代价获得较大的网络容量增益,具有较好的应用价值. 综合考虑零件工艺设计经验和制造稳定性的工艺路线决策 已有的工艺路线决策过程都缺乏对零件的工艺设计经验及对企业当前实际生产情况的充分考虑,造成最终生成工艺路线的适用性和有效性较差,往往需要进行二次修改.为了解决上述问题,提出一种综合考虑零件工艺设计经验和制造稳定性的工艺路线决策方法.首先建立了工艺约束矩阵,并通过工艺约束矩阵的连续迭代相乘生成了零件的初始工艺路线;然后将初始工艺路线与典型工艺路线进行匹配,建立了基于工艺设计经验的工艺路线评价指标;再对当前企业生产线网络的稳定性进行分析,建立了基于制造稳定性的工艺路线评价指标;最后在综合考虑工艺设计经验评价指标和制造稳定性评价指标的基础上,通过应用集对分析理论实现了对零件最终工艺路线的决策.实例验证结果表明,该方法是有效的. 大学生诚信指标评估仿真研究 对大学生诚信指标进行准确评估,有助于学生更好地完善诚信体系。进行诚信指标评估时,应提取对大学生诚信行为影响变量主要影响特征,但是传统方法利用互信息的条件独立性测试原理搜索目标节点的父子节点,对大学生诚信行为影响方向进行判别完成评估,但是不能对大学生诚信行为影响变量主要影响特征进行提取,存在评估误差大的问题。为此提出一种正态模糊因果图的大学生诚信指标评估方法。上述方法先将模糊理论引入到因果图理论中,给出对大学生诚信行为影响概率,得到对大学生诚信行为影响因果图的模糊算子,提取对大学生诚信行为影响变量主要影响特征,从候选特征集之中获取与其变量有着直接因果关系的特征子集,组建大学生诚信指标评估模型。仿真结果表明,所提模型建模精确度较高,对进一步加强大学生诚信教育提出了可靠的依据。 VLSI电路的复杂网络特性研究 针对电路集成快速发展所带来的电路集成密度大、结构复杂的问题,基于IBM-HB+Benchmark研究集成电路的复杂网络特性.实验结果表明,IBM-HB+Benchmark网络的累积度分布满足高斯分布,属于单一规模网络;IBM-HB+Benchmark网络同样具有小世界特性,其平均集聚系数是同等规模随机网络的28.51倍. 面向公共安全数据处理的浪涌模型研究应用 近年来,随着平安城市和智慧城市项目的建设和发展,视频监控系统已经成为公安机关治安管控、打击犯罪、预防应急突发事件的有效手段。随着网络通信技术的迅速发展以及移动智能终端(如智能手机、平板电脑等)的快速普及,智能终端已经普遍携带视频监控、音频、加速传感器等感知设备。部分高端智能终端所能携带的视频设备已经超过部分低端的视频监控设备。智能终端的大量普及使得构建以人为中心的感知与计算网络成为可能。对不同信息空间的信息进行有效融合,可以加强对于公共安全事件的有效感知与检测。针对公共安全事件多源信息的融合问题,提出了数据浪涌模型,并对该模型进行了定义。同时利用该模型对人证合一系统进行了实例验证。开发的人证合一系统已经应用于北京市的多个长途车站与火车站。 一种基于PID神经网络的农作物土壤湿度控制算法 针对不同农作物对于土壤湿度的不同要求,提出一种基于PID神经网络的土壤湿度控制算法。该算法综合PID神经网络和改进的粒子群算法,能够满足在大块田地中的多种农作物对于土壤湿度的不同需求。仿真分析的结果表明,该算法能够有效地满足各类农作物对土壤的湿度要求,提升了系统整体控制效果,缩短了控制时间,具有良好的动态性能。 基于离散人工群算法的云制造服务组合 随着互联网、云计算等网络技术的快速发展,单一制造服务已无法满足用户日益复杂的制造任务,所以云制造服务组合问题一直是近年来应用和研究的热点,为典型NP难题。文中针对云制造服务组合优选问题,改进原始人工蜂群算法(Artificial Bee Colony,ABC),提出了一种基于局部搜索离散蜂群算法(Location Search Discrete Artificial Bee Colony,LSDABC),从而为用户选择服务质量(Quality of Service,Qo S)最优的服务组合执行路径。该算法引入种群的选择概率和对最优解的局部搜索策略,提升算法的开采能力、收敛速度,同时避免出现搜索停滞陷入局部最优。最后将LSDABC应用于云制造服务组合优选中进行仿真实验,并将结果与原始ABC、DE、PSO算法进行对比。实验结果表明,LSDABC具有较好的求解质量和鲁棒性。 基于XAUI协议的10 Gb/s光纤通信系统 为提高光纤通信系统的数据吞吐量,增加系统集成度,提出基于附加单元接口(XAUI)协议的10 Gb/s光纤通信系统的设计方法。采用Virtex-5 FPGA内置RocketI/O收发器的通道绑定技术实现用户逻辑至光模块之间的数据通路。利用FPGA及XPAK光模块使以往复杂、高成本的高速光纤通信系统得到简化。 植物果实形变的可视化研究 现有的模拟果实形变方法单一且真实感不强,为了更真实地模拟自然界中果实形变,提出了一种将基于约束形变和基于微分坐标相结合的方法。通过交互式方法定义形变区域,设置影响形变的参数,计算势函数得到初步形变的结果,估计出形变区域的旋转变换,并增加保持果实特征的约束条件,采用基于微分坐标的方法进行第二次形变。实验结果表明,该方法所模拟的果实形变不仅保持几何细节,同时也具有较强的真实感。 网络服务用户体验定量评价方法和实证分析 随着服务经济的发展,用户体验成为网络服务能否被接受的关键。当前的用户体验研究主要通过主观问卷调查的方法询问用户的主观感受,存在费时、操作复杂、不准确等问题。针对此不足,提出一种基于用户网络服务日志客观评价用户体验的方法,并结合典型的网络服务e-learning进行了实证分析。结果表明基于该方法的客观评价结果与主观评价的结果显著相关,平均绝对误差在10%以内,表明该方法是有效的。 RTS游戏中用户行为的神经网络预测模型 即时战略游戏(简称RTS游戏)中,用户的行为由于游戏自身庞大的决策空间而难以预测。针对这个问题,提出了通过对RTS游戏的对战记录进行分析,建立5种结构的神经网络模型来预测用户行为的方法。模型考虑了不同时间片的状态对于决策行为的影响,设计了单时间片输入和双时间片输入的神经网络,并与基于动态贝叶斯网络的模型进行了比较。实验结果表明,基于单时间片输入的神经网络模型能够更加快速地完成训练过程并达到满意的预测准确度。 一种基于听觉特性的自适应小波语音增强方法 提出一种基于人类听觉特性的自适应小波滤波算法。该方法用听觉感知小波变换对含噪语音信号进行小波分解,这样可以保证对信号频率和幅值的听觉特性,将经听觉感知小波变换所分离出来的噪声成分作为自适应滤波器的输入。通过采用递推最小二乘算法从而实现信噪分离的最佳滤波,以保证去除信号中的相关噪声。结果表明,该方法能实现非平稳信号在同频段对噪声成分和有用信号的最佳估计,提高了语音的清晰度和可懂度。 北京新机场跑道构型设计方案比较 "为满足北京地区快速增长的旅客航空需求,开展北京新机场建设工作.本期规划机场跑道数量4条,有""3+1""和""4+0""两种跑道构型备选方案.首先从机场、空管和航空公司三个角度定性分析,确定跑道构型方案比较的评价指标,分别是跑道容量、地面滑行时间和航班延误,再采用数学方法计算两种方案下跑道的理论容量,最后用Simmod软件对两种方案进行仿真分析.根据评价指标比较仿真结果,可知""3+1""跑道构型方案较优.同时该结果也可以作为北京新机场跑道构型设计方案比选等相关问题研究的参考." 无线传感器网络管理技术 无线传感器网络是一个资源受限、应用相关的任务型网络,与现有的计算机网络有显著差异。现有的网络管理不再适用于无线传感器网络,面临着诸多新的挑战。首先简要介绍了无线传感器网络管理的技术背景,并结合无线传感器网络自身的特点,给出了相应的无线传感器网络的管理技术应具备的特征等。然后提出了一个通用的无线传感器网络管理框架,并对其中的各管理内容及研究进展进行了详细论述。最后探讨了无线传感器网络管理领域面临的公开难题,并针对目前发展现状提出了今后的研究方向。 面向非全互连3D NoC的低开销容错路由算法 由于TSV通道具有高硬件代价和低可靠性的缺点,限制TSV通道数量的非全互连3D NoC得到广泛研究.在非全互连3D NoC中,路由器需要维护TSV表以助数据包在层间传输时找到可用的TSV通道.现有研究的TSV表存储了整个层面内的TSV通道,具有高硬件开销、高重构代价的缺点.因此,提出新的TSV表存储策略,仅需存储距离路由4个端口最近的TSV位置和距离.同时考虑到由于TSV分布的非均匀性,数据包在传输过程中可能在TSV附近的路由造成拥塞,文中提出了基于新TSV表的具有拥塞感知的容错路由算法.实验结果表明,在高注入率条件下该算法比Elevator-First算法具有更好的网络传输性能,且在故障率达到50%时仍能保证75%的数据包接收率. 基于DSP+ARM的农村电网电能质量监测系统研究 针对农村电网电能质量问题,设计以DSP和ARM为核心的嵌入式系统来检测农村电网的电能质量。在嵌入式Linux操作系统的软件平台上,通过QT/Embedded实现良好的人机交互界面,以TMS320VC5416数据处理器、Samsung公司的S3C2410为硬件核心,以SPI实现DSP与ARM的串口通信,并利用希尔伯特-黄变换(HHT)算法对农村电能质量进行检测,大大提高了对农村电网的用电质量监测能力,同时也为农村电网的重建和改造提供了重要依据。 基于Android的新一代气象通信传输监控系统 针对目前市县、省、国家三级气象部门之间气象观测数据的传输,依托新一代国内气象通信系统对数据的收集、发送的统计,设计开发了基于Android的新一代气象通信传输监控系统,为气象部门利用移动设备监控气象数据提供了有效的监控方式,在日常业务使用中证明能够较好的满足移动监控的需求. 卡尔曼预测及姿态补偿算法研究及在机载天线稳定跟踪系统扰动隔离应用 在机载天线稳定跟踪系统进行目标卫星捕获跟踪的过程中,载体飞机本身所具有的位置变化和姿态变化的动态性能能增强天线跟踪系统工作的灵活性和机动性,但也容易发生由于载体扰动造成的目标跟踪失效;针对目前广泛应用的捷联式稳定跟踪平台,提出了一种隔离载体扰动的融合算法,利用卡尔曼滤波对天线载体的位置进行预测,提前补偿了飞机线运动对稳定跟踪精度的扰动,并给出了方位、俯仰速率陀螺仪构成反馈速度环的姿态补偿算法,建立了以算法为基础的仿真模型;仿真结果表明,该算法模型下的跟踪值更接近于目标卫星的真实值,载体飞机的位置和姿态扰动被有效隔离。 基于特征的宽基线立体匹配技术研究 在立体匹配技术中,宽基线匹配技术具有更广泛的应用领域。在宽基线条件下,由于摄像机视点范围的变大,使得同一空间目标在两视图上的方向和尺度都发生变化。同时,透视变形使得目标的形状也发生变化,所以需要选择具有仿射不变特性的局部特征实现立体匹配。文中对近年来的基于特征的宽基线立体匹配技术进行了归类总结,包括特征提取算法及算法分析、相似性度量方法以及误匹配的剔除算法等。最后指出了宽基线立体匹配算法迫切需要解决的问题。 匿名通信与暗网研究综述 匿名通信系统是一种建立在Internet之上综合利用数据转发、内容加密、流量混淆等多种技术隐藏通信实体关系和内容的覆盖网络.由于匿名通信主体难以被追踪定位,匿名通信网络中各类匿名滥用问题层出不穷,而其中隐藏服务机制更是被用于构建充斥着各种非法活动的暗网,给社会和人们带来了巨大的危害和影响.鉴于目前在匿名通信与暗网领域尚缺乏全面、深入的技术剖析与研究综述,重点对两者的基本概念及相互关系进行阐述,并围绕Tor,I2P,Freenet,ZeroNet这4种主流暗网形态,详细介绍了匿名通信的工作原理,以及匿名接入、匿名路由和暗网服务三大关键技术.在此基础上,分析总结了匿名通信攻击与防御技术的研究现状,并介绍了暗网治理方面的相关研究工作.最后,对下一代匿名通信系统的发展趋势进行展望,并初步探讨了暗网治理面临的挑战和思路. 利用云模型实现FSVM遥感影像分类的隶属度算法 针对遥感影像分类面临的数据边界模糊性以及遥感信息解译过程不确定性的问题,结合模糊支持向量机在分类应用中可以有效避免噪声样本干扰的特点,提出一种基于云模型求解模糊支持向量机隶属度的方法。该方法通过无需隶属度的逆向云算法输入样本的定量位置得到样本类别的数字特征,再根据正向云算法计算得到每个样本对其定性类别的隶属度。实验结果表明,采用基于云模型隶属度的模糊支持向量机对遥感影像的分类方法是可行的,并能够有效提高对遥感影像的分类精度。 新的基于特征关系表述的步态识别算法 为了快速有效地进行步态识别,利用特征关系非平稳分布的统计特性,提出了一种新的基于特征关系表述的步态识别算法。首先,将剪影轮廓相邻像素点间8邻域相对方向标号作为特征关系属性一,将轮廓边界点与中心点间的距离作为特征关系属性二,经直方图归一化处理,得到两种关系属性的联合概率;其次,结合主成分分析(PCA)降维的方法,提取特征主向量;最后,采用最近邻分类器进行识别分类。实验证明,该算法在CASIA步态数据库上,最高达到了90%以上的识别率,而且与传统的特征关系表述步态识别算法相比,关系属性联合概率矩阵维数由900维下降到240维,大大降低了算法的计算代价。 基于GA的飞行员模拟机排班问题求解 飞行员模拟机复训问题是一个多目标、多资源约束的排班问题,具有较高的复杂度,传统遗传算法无法有效求解该问题。为此,提出一种新的遗传算法,利用基因适应度对交叉、选择操作进行改进,以提高种群的多样性和进化性能。在仿真数据和真实数据上的实验结果表明,该算法有效提高了解的精度,加快了种群的收敛速度。 改进灰色模型在物流需求预测中的应用 研究物流需求问题,物流受多种因素的综合影响,需求具有趋势性、较大波动性和随机性等变化特点,传统单一预测方法难以对其进行准确预测,为提高物流需求预测准确率,将灰色理论(GM)和支持向量机(SVM)相结合建立一种物流需求预测模型(GM-SVM)。GM-SVM首先采用灰色GM(1,1)预测模型动态预测物流需求变化趋势,然后运用SVM对GM(1,1)预测结果进行修正,以提高物流需求预测精度。采用具体物流需求实例对GM-SVM性能进行测试,实验结果表明,GM-SVM利用SVM和GM(1,1)的优势,达到优势互补,提高了物流需求的预测精度,更能全面描述物流需求的复杂变化规律。 基于本体结构的概念间语义相似度算法 针对本体模型的结构特点,从模型概念间的宽度、深度、密度等方面分析本体概念相似度的计算,将其合并为结构因素。结合语义重合度、语义距离等影响相似度的因素综合考虑,提出一种基于本体结构的计算概念间语义相似度的算法。通过建立本体模型并进行实验分析,总结出本体结构方面各因素对本题概念语义相似度的影响。 Windows x64无签名驱动程序运行方法 主机监管系统利用过滤驱动程序对系统实现全面监管.随着微软64位操作系统的推出,要求驱动程序经过付费签名后才能正常运行.由种种原因使驱动程序不能被签名时,主机监管系统就不能在WINDOWS 64位操作系统上使用.因此无签名驱动程序问题成为在WINDOWS 64位系统开发最普遍的问题之一,会导致程序难移植、影响用户体验.通过对WINDOWS 64位系统数字签名过程的逆向分析,提出一种能一次性关闭系统数字签名验证机制的方法,从而顺利加载运行未签名驱动程序. 微处理器高低速模式下的单粒子功能错误分析 对一款国产抗辐射加固SPARC-V8微处理器进行了高低速两种模式下的单粒子试验.试验获得了单粒子功能中断的阈值和饱和错误截面,并预估了GEO轨道在轨错误率.经过比较分析,国产微处理器与国外同类产品具有相同量级的抗单粒子指标,微处理器在开CACHE的高速模式下抗单粒子能力优于低速模式约2倍. 基于GMM-WSUM的多生物特征二级融合识别方法 结合基于密度估计和归一化两种融合方法的优点,在匹配分数层级提出了一种基于高斯混合模型(Guassian Mixture Model,GMM)和加权和(Weighted Sums,WSUM)的多生物特征二级融合识别方法。利用GMM对匹配分数建模后,采用N-P准则作为第一级融合策略;第二级融合采用基于加权和的归一化方法,较好地解决了分数归一化融合方法在单模识别算法识别率相差较大时融合识别性能差的问题。在ORL、AR人脸数据库和FVC2004组成的人脸-指纹多模数据库上进行了实验,结果表明,该方法有效地提升了识别性能。 基于对角线的硅通孔容错设计 三维集成电路通过使用硅通孔(through-silicon vias,TSV)作为垂直方向上芯片的通信链路,具有高密度,高带宽,低功耗等优点.由于TSV在制造和使用过程中可能会出现故障,导致整个三维芯片的故障.为了提高三维芯片的良品率,TSV的良品率必须尽可能的提高.本文提出了一种新的基于对角线的TSV容错方案,并提出了基于最大流算法的故障修复算法,在TSV出现故障时使用对角线中的冗余TSV来修复故障TSV以提高整个三维芯片的良品率.实验结果表明,相比基于路由的容错方案,本文提出的基于对角线的TSV容错方案,芯片修复率可以达到98.38%至98.96%,方案造成的面积开销降低了70%左右。 PID参数优化方法对优化效果的影响研究 PID控制器对系统的经济和安全运行有着至关重要的影响,主要研究了PID参数优化方法对优化效果的影响。目前PID优化算法有多种,选取较典型的三种优化方法,经典优化方法(单纯形法)、智能优化方法(粒子群法)和专家系统三种优化方法进行分析与比较,通过实例分析讲述每种优化方法的优化原理,研究PID优化方法对系统性能的影响,得出三种优化方法优化后的参数和曲线,对它们进行分析比较,结果表明,三种优化方法在准确性、快速性、稳定性方面各有侧重点。 一种基于小波包分析的说话人识别算法 在通过对MFCC算法的研究和实验,发现在噪音环境下基于梅尔频率倒谱系数(MFCC)的识别率受到了影响.为了提高在噪音环境下说话人识别系统的识别率,通过对MFCC参数提取过程进行改进,用小波包变换代替快速傅里叶变换和Mel滤波器组,获得了新参数newMFCC,然后将信号的频谱重心与newMFCC结合成新的特征参数进行提取.实验结果表明,新特征参数newMFCC+SC和newMFCC和原来的MFCC相比,在噪音情况下都有更好的识别率;在高信噪比条件下,newMFCC+SC的识别效果要优于newMFCC,而在低信噪比条件下,newMFCC的识别效果要优于newMFCC+SC;同时它们的动态参数要比新特征参数的静态参数识别率更好. 低时延-消耗的CORDIC算法及结构的研究 CORDIC算法因便于通过硬件实现来计算各种超越函数而得到了广泛的应用。如何减少迭代次数并保持校正因子的计算与补偿的简单性是算法的难点,同时算法还需要扩展角度的范围。将常规的CORDIC算法分为前后两段,减少了迭代的次数,同时在硬件实现时使用移位操作代替查找表,减少了查找表所用的时间,这样做也有利于降低功耗。最后在Altera公司的Cyclone系列芯片EP4CGX22CF19C6上实现了该算法。实验结果表明:在同一数量级误差的基础上,该算法在结构上比常规算法节省了约34.84%的资源,在不同的工作频率上都少用了约6个时钟周期的时延,不同工作频率上系统的功耗最少也下降了约5.54%,并且工作频率越高,功耗下降越大。 中国电信医疗影像云平台正式建成投入使用 [正文]服务范畴:提供医疗影像存储云服务及影像应用云服务该平台由中国电信医疗行业信息化应用(兰州)基地投资并与中国电信云计算分公司联合建设,在中国电信集团公司的指导和多方共同努力配合下,历经两个多月在中国电信内蒙古云基地正式建设完成。内蒙古云基地设计容量为42栋机楼,具备超过10万台机架和200万台服务器云托管能力,是目前亚洲最大的云计算园区。中国电信医疗影像云平台在内蒙古云基地建成,可为医疗影像业务提供稳定可靠的影像 载波聚合下基于QoE的资源调度算法 针对未来网络中的载波聚合技术,进行资源调度算法的研究。以用户体验质量(QoE)为优化目标,提出以用户平均意见得分(MOS)的增量效用为依据,确定用户时频资源分配的优先级。通过搭建效用函数等式,设计一种基于MOS增量效用的资源调度算法,在满足用户QoS速率需求的情况下,最大化系统整体QoE。在OpenairInterface (OAI)平台下实现载波聚合功能后进行的仿真结果表明,该系统整体QoE及吞吐量有明显提升。 基于特征点约束的人脸纹理映射 人脸纹理映射技术是计算机辅助颅骨面貌复原中一种特殊的真实感处理技术。针对人脸面部器官纹理映射难以准确实现的问题,提出一种基于特征点约束的人脸纹理映射方法。利用最小二乘保角映射参数化时需固定顶点来完成特征点约束。通过对大量单张、正面照片作为纹理进行映射,证实了该方法能够取得良好的映射效果。实验结果表明本方法鲁棒且效率高,降低了算法的复杂性。 基于多层最大熵模型的句子主干分析 句子主干分析的主要任务是自动识别句子的主干成分。鉴于汉语句子之间成分的相关性,提出一种多层最大熵模型,它的底层最大熵利用句子的上下文特征识别主干词候选项,高层最大熵利用底层最大熵模型的计算结果,结合句子内的远距离特征和句子之间的关系,对底层最大熵模型识别出的主干词候选集进行分析。实验证明,该模型对于简单的主干成分识别正确率较高,对训练语料有一定的依赖;随着语料规模的增长,模型性能缓慢提升。 支持第三方认证的RADIUS系统设计 分析了RADIUS工作原理及体系结构,指出其安全性存在的不足.提出了支持第三方认证的RADIUS系统结构,详细分析了系统的设计、数据流程以及加密机制.利用该结构,设计了支持第三方认证的RADIUS服务器,给出和分析了设计方法和策略,从而扩展了RADIUS,增强了认证机制的安全性和灵活性. Web交互模型的形式化验证研究 Web交互模型的形式化验证是对Web事件属性进行校验的十分可信的方法。通过一系列的系统模型建立、系统行为分析以及对于模型中关心属性的相关验证,能够让交互模型在设计阶段就能使形式化模型暴露出其所存在的缺陷,而不至于让缺陷保留到编码阶段或者更后面才能被真正地暴露出来,这样使系统模型的生存能力更加强大,同时避免了因后期缺陷暴露而出现的大代价修复。通过对Web系统的交互应用服务的过程模型化的体系进行研究,通过模型本身具有的属性进行相关正确性的校验,主要通过使用数学推理实现系统逻辑上的服务交互进程,从而进行过程的推演,并对系统服务的正确性进行过程的形式化验证,从而使系统服务模块的属性正确性可以通过逻辑上的演进来发现服务问题的存在,而不再是系统通过编码实现后才发现。对Web交互模型的形式化验证是基于IMWSC模型语义形成的IMWSC模型的验证机制。 基于不同排序方法的快速霍夫曼编码硬件实现 针对软件霍夫曼静态编码计算量大,而动态霍夫曼编码使得解码器同样复杂的缺点,提出了一种准动态霍夫曼硬件编码器。该编码器每次对一组数据序列进行静态编码,然后将编码并行输出,从而使得编码器具有较高的编码速度,而其延迟时间仅为一次编码过程的总时间。首先,为了充分利用硬件并行特性,分别使用动态排序和静态排序两种排序网络,以适应不同场合的编码需要。然后,使用数据流驱动的硬件二叉树构建和解析结构得到信源符号对应的霍夫曼编码。最后,将储存在FIFO中的输入数据查表并输出。设计结果表明,当使用Nexys4DDR平台时,该编码器可以工作于100MHz以上的频率,同时具有吞吐高、延迟低、编码效率高和译码器简单的特性。 基于AADL的数据流转换与验证 AADL在嵌入式实时系统领域,支持系统软、硬件结构建模的同时又能对可靠性、实时性等非功能属性进行描述,可以在模型驱动开发过程中的早期模型建立阶段,通过形式化的模型检验方法对系统模型的关键属性进行验证,从而能够及早地发现在设计过程中存在的潜在错误,对保证系统实时性和提高开发效率来说都具有十分重要的意义。针对数据流时延特性问题,文中提出将AADL数据流的分析形成数据流的形式化描述的方法,建立这种形式化描述到时间自动机语义的映射关系作为映射法则的定义,并将时间自动机的转换按单一和混合两种类型分别给出了转换法则和转换实例的说明。在混合数据流转换中,新建了非周期线程的模板,以支持数据流的综合分析。最后给出了数据流性质验证的参考查询语句,并对数据流转换到的时间自动机模型进行了必要的实验检验。 基于GPS轨迹数据的RSU部署方案 车载自组网络(VANET)高度动态变化的拓扑结构,使其存在频繁的网络分割。为此,提出一种基于出租车GPS轨迹数据在真实城市环境下的路边单元(RSU)综合部署方案。采用区域连通性的RSU位置部署策略,提高交通分布不均情形下城市VANET的连通性能,利用基于马尔科夫聚类的热点检测算法确定城市路网中的热点,对其部署RSU,同时兼顾区域连通性和热点区域,设计RSU综合部署方案。以真实出租车GPS轨迹数据为基础进行仿真实验,结果表明该方案可有效提高城市VANET的连通性能。 中文时间表达式及类型识别 目前,时间信息识别在信息抽取、问答系统、摘要生成、话题跟踪和检测等领域中有着广泛应用,同时也是自然语言处理的重要研究任务之一。针对TempEval-2会议评测任务中的时间表达式识别和类型识别任务:1)句内时间表达式识别,2)时间表达式类型识别,分别提出了基于词性构建时间单元规则库的识别方法以及基于最大熵的类型识别方法。实验对象为中文,结果显示时间表达式识别的准确率为85.16%,时间表达式类型识别的准确率为93.02%。 改善收敛早熟的混合遗传算法 "针对传统遗传算法收敛早熟问题,在传统包含模拟退火的混合遗传算法的基础上,设计加入了""包含浓度均衡措施的复制算法"",通过调整轮盘赌扇区面积,防止个体适应度的两极分化。从而避免了算法过早收敛于局部最优解;同时通过一个工程实例计算验证了算法的可行性。" 基于移动代理的卫星网路由性能研究 通过仿真方法分析代理系统中影响基于移动代理卫星网路由算法性能的关键因素,给出了拟仿真的路由算法及其NS2仿真方案,详细阐述了使用Grasshopper、JADE和Linux、运行于局域网环境、支持代理的卫星网仿真平台构建方案,包括仿真平台的系统结构、卫星节点功能实体、路由代理交互接口、星际链路仿真模块等。通过与NS2中的仿真结果对比,得出了高链路时延下的移动代理迁移效率是影响路由算法性能的关键因素,并通过代理系统间横向比较,给出了路由算法开发以及仿真平台构建过程中代理系统的选择建议。 端到端时间约束的实时任务动态调度算法 在单处理机系统中,由于计算高优先级任务抢占的时间相对比较简单,所以单处理机调度理论取得了长足的进步.提出一个端到端时间约束的实时任务调度算法,当实时任务到达系统时,算法为任务的每个子任务在相应的处理机上预约一定的计算资源,把端到端的多处理机调度问题转换成单处理机调度问题,从而可以利用单处理机调度理论判定实时任务的可调度性.实验表明,该算法明显地提高了CPU利用率和任务接收率. TMS320C672x DSP引导加载系统的设计与实现 基于DSP的Flash引导启动技术已被广泛运用.传统方式采用JTAG仿真器完成应用程序的调试和代码的烧写,但受航空工程应用环境的制约,突显操作不便、效率低下的问题.对此提出了一种全新加载方案,基于通用性更强的串口传输模式,通过固化的监控程序和可操作的应用界面,实现加载过程的跟踪和控制.工程试验结果表明本方案具备满意的可操作性、高效性和可靠性. 双直滑轨式变前掠翼机构设计方案与仿真 研究变前掠翼飞机的翼身连动机构设计优化问题,以实现变体飞机在平直翼、前掠翼和三角翼之间自由切换。针对翼身连动机构结构复杂、稳定性差和不易控制等难题,提出了一种双直滑轨式变前掠翼身连动机构设计方案。首先,从原理上对该设计方案进行了总体概述,分析了飞机的变掠过程;其次,分析了机构中滑块的极限位置,确定了机翼前缘前掠角与主动滑块的位置之间的关系;最后,建立了数学模型,并进行了公式运算和滑块运动规律仿真。仿真结果表明:翼身连动机构设计方案可以使机翼前掠角在0*到90*之间自由变化,满足了变前掠翼飞机的变掠要求。 HEVC运动估计模块的三维集成电路设计 随着特征尺寸不断减小,芯片设计受到物理极限的挑战,基于硅通孔的三维集成电路逐渐成为研究热点.业界缺乏支持三维集成电路设计的EDA工具,为了克服这个困难,使用二维商业EDA工具,结合定制脚本,提出一套完整的三维集成电路设计流程,并使用此流程完成HEVC运动估计模块的三维集成电路设计.介绍了HEVC运动估计模块的三维电路结构划分,以及物理实现方法,包括布局布线、时钟树综合与时序验证.结果显示,三维HEVC运动估计电路相比其二维电路减小了75%占用面积,14.4%总线长,17.1%平均线长和12.3%功耗. 探地雷达目标回波信号双曲线提取算法研究 探地雷达对于电力接地网检测来说,是一种新型的检测方式,根据探地雷达在检测接地网过程中返回的图像提取目标信息实现对电力接地网的检测,对于检测地下导体的完整性方面有着较强的适用性和非凡意义;传统双曲线提取算法通常采用LOG算子完成边缘检测,借助雷达有效口径及扫描点间距完成对雷达双曲线顶点的寻找;在前人工作的基础上提出了采用Canny算子进行边缘检测,再进行双曲线提取的雷达直达波滤除以及目标识别算法,并与相关算法进行对比实验,得到比较完整的雷达目标反射图像,验证了算法的可行性;与传统雷达目标双曲线提取方式相比,该算法避免了雷达有效口径及扫描点间距的引入,提升了目标双曲线的完整性,精确性。 高动态环境下结合频谱校正的长周期伪码捕获 针对基于快速傅里叶变换(FFT)的伪码捕获过程中出现的能量泄漏和扇贝损失,通过对一种基于分段匹配滤波—快速傅里叶变换(PMF-FFT)的伪码捕获方法的分析,提出了使用频谱校正的方法来解决这些问题。对FFT后的功率谱最大值进行频谱校正后,提高了最大幅值,同时抑制了旁瓣的干扰,得到了更为精确的多普勒频偏,这样就能获得更高的检测概率。同时给出了基于该算法的信号模型和理论分析的表达式,在理论分析的基础上,使用计算机进行仿真,证明该方法有良好的捕获效果。 精准出铝控制无线调试系统设计与实现 精准出铝作业控制系统中控制器控制参数的现场调试是一项复杂耗时的工作,需要根据现场的情况反复修改控制参数以达到精准控制的效果;为了简化调试过程,缩短调试周期,针对控制系统使用的S7-200PLC控制器,基于无线通信技术和Moudbus通信协议,设计了一种无线调试系统,通过对称重上下限、出铝计重偏离判断、关风上下限、异常关风补偿量等PLC参数进行动态调整,实现了对系统控制部分的调试及维护;实际现场测试,结果表明该调试系统具有良好的可靠性和实用性,在保证出铝的精准控制的同时有效降低了系统功耗。 面向集装箱字符识别的预处理算法 由于光照,油污,采集图像受天气影响等原因,使集装箱箱号图像背景复杂,给分割识别带来困难。为解决这些问题,主要研究集装箱箱号图像预处理算法。根据原始图像特点,先利用形态学腐蚀运算、膨胀运算滤除噪声,在此基础上运用简单统计法结合Prewitt边缘检测算子的方法对具有复杂背景的集装箱箱号图像二值化,使用基于特征值公式的集装箱箱号字符分割方法准确分割字符。试验结果表明,此方法较好完成对集装箱箱号原始图像的预处理。 一种基于局部扩展优化的重叠社区发现算法 挖掘复杂网络的重叠社区结构对研究复杂系统具有重要的理论和实践意义。提出一种基于局部扩展优化的重叠社区识别算法。首先基于网络节点的聚集系数筛选种子节点,选取不相关的、局部聚集系数大的种子作为初始社区;然后采用贪心策略扩展初始社区,得到局部连接紧密的自然社区;最后检测并合并相似的社区,获得高覆盖率的重叠社区结构。在人工生成网络和真实网络数据集上的实验结果表明,与现有的基于局部扩展的代表性重叠社区发现算法相比,所提算法能在稀疏程度不同的网络上发现更高质量的重叠社区。 复杂结构件CAD模型碎面缺陷自动识别与修正方法 针对复杂结构件数模中常存在碎面缺陷,易导致零件在数控加工自动编程时出现特征识别错误、特征提取困难等问题,提出基于属性邻接图的自动识别与修正方法.首先分析复杂结构件碎面缺陷的特征,给出碎面缺陷的定义;然后建立结构件CAD模型的有效属性邻接图,计算面和边的属性并对图中元素赋值,识别碎面缺陷;再根据碎面的几何类型和几何参数构造相应类型的基面,并对基面进行拟合完成碎面缺陷的修正;最后给出文中方法的实现流程并开发了相应的算法,结合实例证明了该方法的正确性和有效性.文中算法已在CATIA V5平台上实现,并集成在飞机复杂结构件快速数控编程系统中,应用于实际生产,取得了良好的效果. 面向国内直播行业的分布式弹幕爬虫研究 近年来,依托视频行业与直播行业的兴盛,弹幕服务迅速发展。然而主流弹幕服务的弹幕环境一直以来缺乏监管,主播与用户违规行为屡禁不止,对直播弹幕的学术研究稀少,亟需开发针对弹幕的采集处理方案。针对国内知名弹幕服务的技术特征,设计一种分布式直播弹幕爬虫系统方案。分析并提出相应房间连接的建立机制与弹幕采集机制:对开放API的服务直接采用轻量级客户端实现;对基于Adobe Flash且不开放API的服务,用基于Chromium浏览器的Electron模拟浏览直播间网页,并改写其PPAPI插件界面实现,旁路Flash网络流量从而实现抓取。在某知名弹幕平台上进行了验证性实验,表明该系统能够调度IP地址资源进行较大规模抓取,且性能较好,能够处理平均134条每秒、峰值超过1 000条每秒的弹幕流量。 基于用户-内容主题模型的兴趣点联合推荐算法 目前基于协同过滤的兴趣点推荐算法能够获得较好的推荐效果,但是当用户外出远离其常驻地时,推荐效果急剧下降,主要原因是用户的签到记录主要集中在其常驻地周围,而对其他兴趣点的签到行为较少,此时不能准确计算用户兴趣。因此提出了一种基于主题模型的兴趣点推荐算法,在推荐过程中同时考虑了用户的偏好分布和兴趣点的主题分布,使得当用户在新的兴趣点时,也能获得较好的推荐。实验证明,该方法不仅能够缓解推荐数据的稀疏性问题,而且与其他方法相比有更高的推荐准确率。 P2P环境下的具有隐私保护的信誉协议 信誉协议通过计算系统用户的信誉评价结果的总合得到最后的信誉结果,然后根据该信誉结果做出相应的决策。在现实情况中信誉系统中的用户往往会反馈一个不诚实的信誉值,因为他们担心他们真实的评价结果会遭到对手的报复。提出一个具有隐私保护的信誉协议,该协议能保证某实体得到其他实体诚实公平的信誉评价。在该协议中使用Shamir门限密钥共享为参与者提供共享子密钥,并利用具有同态性质的可验证密钥共享使得交易员和密钥持有者之间能够验证共享子密钥的正确性。协议中持有共享子密钥的参与者是随机选择的。运用语义安全的ElGa-mal密码系统和Cramer Shoup密码系统,共享密钥的持有者可以以隐私保护的方法提交他们的信誉值,并由一个可信的代理计算信誉结果提交给信誉引擎。用户可通过访问信誉引擎获得信誉结果。该协议保证了信誉结果计算过程中的隐私保护性和信誉结果的可靠性。 一种改进的Ad Hoc网络退避算法 无线自组织网络媒体接入层采用二进制指数后退的退避机制,某个节点一旦抢占信道,就会在下次竞争时更容易成功,造成网络的不公平现象。为此,提出一种改进的退避算法,通过比较节点吞吐量调节退避窗口最小值。节点在一段时间内监测同一个簇内的平均吞吐量,并与自己进行比较,对退避窗口最小值进行实时调节。仿真结果表明,该算法能改进网络的公平性,提高网络性能。 基于加权支持向量回归的网络流量预测 网络流量预测对于网络的安全和可用性至关重要,但是,传统的网络流量预测方法使用平均时间加权的方法进行预测,缺泛化能力导致预测精度低。基于每一个网络流量历史数据到预测点的时间间隔计算其时间权重,使用带时间权重的加权支持向量回归模型w-SVR预测网络流量。该模型因为其泛化能力和为每个训练数据设置单独的权重而提高了网络流量预测的准确性。模拟实验显示w-SVR模型相对于ANN和AR模型,预测错误率分别降低了37.4%和65.6%,而标准误差降低了46.2%和53.3%。 BP算法的多核并行研究及其在枣无损检测的应用 为提高BP神经网络算法的训练速度,针对BP网络训练过程中层间数据传播,权值更新耗时大的问题进行深入分析。基于单机多核CPU系统环境,运用OpenMP并行技术,设计实现多核架构下基于OpenMP的BP并行算法。将该算法应用于基于高光谱图像技术的长枣糖度无损检测中,实验结果表明,与原串行算法相比,并行算法可以保证算法的相对误差较低,取得较好加速比,该算法在不同平台随着核数的增加,加速比也在增加,验证了其可行性、有效性和可扩展性。 基于强监督部件模型的遥感图像目标检测 针对遥感图像中由于背景复杂、目标外观多样和方向任意而导致的检测精度不高的问题,提出一种基于强监督的部件模型方法.该方法针对目标的每个方向范围训练子模型,同时训练集除了标注出目标的外接矩形,还标注出每个部件的位置及其语义.模型训练时,首先,通过对训练集图像建立多尺度方向梯度直方图(HOG)特征金字塔,且根据目标部件标注信息采用最小生成树(MST)算法初始化模型结构;其次通过隐支持向量机(LSVM)方法训练出多个对应不同方向区域的子模型,每个子模型由一个目标滤波器和多个两倍分辨率的部件滤波器,以及位置关系模型组成,多个子模型最终合并成用来检测的混合模型.目标检测时,类似地建立多尺度特征金字塔,然后利用训练滤波器模型在特征金字塔上以滑动窗口的方式计算匹配响应得分,对响应得分设置阈值且采用非极大值抑制(NMS)算法来获得优化后的检测结果.该方法在自建的遥感数据集上目标检测精度达到了89.4%,对比弱监督部件模型(DPM)、分类器模板集成(Exemplar-SVMs)和方向梯度直方图-支持向量机(HOG-SVM)方法中的最高精度,所提方法提升了4个百分点.实验结果表明,所提算法能够在解决方向和背景复杂问题上有一定的提升,而且可以应用于机场军事飞机目标检测. 基于位平面的超光谱图像无损压缩算法的实现 分析了超光谱遥感图像的特征,根据它对压缩算法的特殊要求,提出了基于位平面的无损压缩算法。对于相关性较高的高位位平面采用计算位平面的差值矩阵直接去相关,而相关性较差的低位位平面则采用四叉树划分的方法重组各个像块的大小、位置、灰度信息,从而得到图像的混合编码。实验结果表明,该算法与其它常用无损压缩算法压缩比相当,但压缩时间提高了50%左右。该算法简单实用,适合有实时性要求的超光谱遥感图像压缩。 基于服务分类的预测型动态负载均衡调度算法 现有的动态负载均衡算法通常对服务器上的负载进行监测,然而大多数负载均衡算法不能区分用户请求服务的类别,且对于监控时间间隔之间的负载很难实时获得。针对此种情况,文中提出了一种预测动态负载均衡算法。该算法对用户请求服务进行分类,同时可对每台服务器节点的资源利用率进行实时预测。在实验室搭建的Web服务器集群(WSC)上,对该算法的两种PSDLB模型、WLC以及QSC-LB负载均衡算法在异构环境中安全传输服务、数据库服务、大小文件下载服务的请求失败率、系统响应时间进行了对比测试。结果表明,在高负载情况下该算法优于另外两种算法。 基于HAMA的半监督哈希方法 在海量数据检索应用中,基于哈希算法的最近邻搜索算法有着很高的计算和内存效率.而半监督哈希算法,结合了无监督哈希算法的正规化信息以及监督算法跨越语义鸿沟的优点,从而取得了良好的结果.但其线下的哈希函数训练过程则非常之缓慢,要对全部数据集进行复杂的训练过程.HAMA是在Hadoop平台基础上,按照分布式计算BSP模型构建的并行计算框架.本文尝试在HAMA框架基础上,将半监督哈希算法的训练过程中的调整相关矩阵计算过程分解为无监督的相关矩阵部分与监督性的调整部分,分别进行并行计算处理.这使得使得其可以水平扩展在较大规模的商业计算集群上,使得其可以应用于实际应用.实验表明,这种分布式算法,有效提高算法的性能,并且可以进一步应用在大规模的计算集群上. 对一个无可信中心的(t,n)门限签名方案的改进 一个(t,n)门限签名方案中,任何t个成员的集合能够对任意的消息产生签名而任何少于t个成员的集合都不能发行签名。其中密钥通过可信中心或没有可信中心,通过所有的成员运行交互式协议在n个成员中分配。2006年,郭丽峰对王斌等的方案进行了安全性分析,指出王等的方案是不安全的,该文对王的方案进行了改进,使其抗广泛性攻击及内部攻击。 基于散度差的彩色人脸图像统计正交分析方法 由于彩色人脸图像比灰度人脸图像包含了更多的信息,彩色人脸图像识别方法越来越受到学者的重视。而对于研究最多的RGB彩色空间,通常R(红)、G(绿)、B(蓝)三分量间存在很大的相关性。为了最大程度去除各个分量之间的相关性从而提高识别效果,有学者提出了基于统计正交投影变换(SOA)的彩色人脸图像识别方法。然而,该方法在特征提取的过程中不可避免地存在奇异性问题。为了解决这个问题,文中提出了一种基于散度差的彩色人脸图像统计正交分析方法(SDFSOA)。此外,对所涉及的参数进行了合理的设置。实验结果表明所提方法能取得更好的识别效果。 融合视觉感知和正则割的二维阈值分割算法 阈值法是图像分割的一种重要方法,其关键是如何确定阈值。提出一种融合视觉感知和正则割的二维阈值分割方法,该方法首先利用视觉感知的特性选择候选阈值向量所在的灰度区域,再将正则割作为准则,从候选阈值向量中选出最佳的分割阈值向量。在一系列图像上的实验结果表明,与几种经典的阈值分割方法相比,所提方法的分割效果更好。 机器学习在网络空间安全研究中的应用 随着云计算、物联网、大数据等新兴技术的迅猛发展,数以亿计的网络接入点、联网设备以及网络应用产生的海量数据,给网络空间安全带来了巨大的困难和挑战,传统的安全问题解决方案面对海量数据变得效率低下.机器学习以其强大的自适应性、自学习能力为安全领域提供了一系列有效的分析决策工具,近年来引起了学术界与工业界的广泛关注和深入研究.为此,该文以网络空间安全一级学科为指导,围绕机器学习技术应用于网络空间安全领域的最新研究成果,首先详细阐述了机器学习技术在网络空间安全研究中的应用流程;然后从系统安全、网络安全和应用安全三个层面,着重介绍了机器学习在芯片及系统硬件安全、系统软件安全、网络基础设施安全、网络安全检测、应用软件安全、社会网络安全等网络空间安全领域中的解决方案,重点分析、归纳了这些解决方案中的安全特征及常用机器学习算法.最后总结了现有解决方案中存在的问题,以及机器学习技术在网络空间安全研究中未来的发展方向和面临的挑战. 基于内容流行度的网内缓存部署技术 网内缓存是信息中心网络的基础服务之一,为了实现高效率的网内缓存部署,主要进行了两方面的工作:一是对国内视频内容的流行分布特征进行了研究,发现无论是在内容空间上还是在地域分布上,国内视频内容的流行分布呈现出高度集中的特征,并且趋势比较稳定。二是提出了一种基于内容流行度的网内缓存部署技术,用于降低网内缓存冗余度,提高缓存差异率,同时优化有限缓存冗余下的平均接入代价。实验结果表明,该技术比同类型的全网协同缓存技术具有更低的平均接入代价,并在缓存差异率和缓存命中率方面有小幅提升。 提高T-DMB系统纠错能力的方法 通过对手机电视地面数字多媒体广播(T-DMB)系统外部编码方式的分析,利用TS流中填充字节为固定值的特性,在RS译码前首先检测填充字节区域并预先纠正该区域内的误码;在此基础上,利用解交织前后码元符号间的位置对应关系,对常规纠错RS译码不可译的TS包进行错误位置预测,利用预测结果进行纠错纠删RS译码。实验结果表明,该算法与常规RS码纠错算法相比,能进一步提高系统的纠错能力,改善视音频的播放质量。 基于电子印章的公文安全认证系统 针对电子公文在存储和传输中的安全认证需求,对电子印章系统设计中的关键问题进行了研究。综合数字签名技术和双重数字水印技术的优点,基于现有CA系统提出一种电子印章系统构建方案,实现了对电子公文的内容认证和盖章实体的身份认证,同时继承了传统印章的形象性和权威性。然后基于Word开发原型系统,并构造典型攻击进行实例测试。实验结果表明,该系统能够满足电子公文认证的安全需求。 基于扩展的带路径约束随机游走模型的扩展词排序方法 在同时从点击文档与历史查询条件等多个扩展词来源选取扩展词的过程中,扩展词来源对应的约束值可以影响该来源的扩展词被优先选择的程度.由于现有模型为不同查询条件的相同扩展词来源设置相同的约束值,因此导致所有查询条件优先选择的扩展词来源相同.然而观察日志可以发现,不同查询条件适合从不同扩展词来源选取扩展词.由此,提出一种扩展的带路径约束的随机游走模型.该模型首先对每个查询条件与不同来源扩展词的相关性进行统一尺度的估计,并根据估计结果对不同扩展词来源对应的约束值进行估计,从而使不同的查询条件能够优先选择不同来源的扩展词.一系列实验表明,本文方法构造的扩展查询条件具有更好的性能,更符合用户的查询目标. 异构分布式数据资源中的网格文件访问 为对网格文件进行数据访问,提出一个带有标准服务器和协议的高性能存储系统——联众系统。采用集群环境中的数据管理方法,通过使用多个标准数据服务器访问多个站点,采用的技术包括Grid FTP以及OGSA Byte I/O界面等。实验结果证明,联众系统能够被用作真实网格环境中的并行文件系统,并获得较好的数据访问结果。 面向卖家过滤的大众化信用模型 为精确衡量卖家的整体信用和局部信用,构建多产品信用模型和单产品信用模型。在此基础上,提出大众化信用模型的基本思想,过滤不符合用户要求的卖家,提高推荐准确度。构建模型时引入评价者可信度、价格波动、惩罚因子等要素,提高信用度推荐的准确性。仿真实验验证了该模型在防范信用欺诈等方面的有效性。 基于改进AHP法的导弹装备测试性参数选择方法研究 合理的测试性参数选择对于指导导弹装备测试性设计,保证导弹装备良好可用度和战备完好性具有重要意义;传统的列表法进行测试性参数优选时,优选参数排序结果存在并列、误差大等问题;用改进层次分析法(M-AHP法)优选测试性参数,能够充分考虑影响测试性参数各因素的重要程度,使得优选测试性参数排序结果更科学,更准确;以导弹发射装置为例,改进AHP法优选结果显示,首选测试性参数应该为故障检测率、故障虚警率和故障隔离率,这符合导弹发射装置诊断任务要求。 欢迎订阅2015年《计算机科学与探索》、《计算机工程与应用》杂志 [正文]《计算机科学与探索》为月刊,大16开,单价40元,全年12期总订价480元,邮发代号:82-560。邮局汇款地址:北京619信箱26分箱《计算机科学与探索》杂志社(收)邮编:100083银行汇款地址:开户行:招商银行北京大屯路支行户名:《计算机科学与探索》杂志社帐号:866180735110001开户行行号:308100005205《计算机工程与应用》为半月刊,大16开,每月1日、15日出版,单价45元,全年24期总订价1080元,邮发代号:82-605。邮局汇款地址:北京619信箱26分箱《计算机工程与应用》杂志社(收)邮编:100083银行汇款地址: 一种面向图数据的预装载缓存策略 真实世界中存在很多数据规模大且关联性强的图数据,而图缓存技术可有效提高对图数据的访问效率和查询效率。为此,提出一种面向大规模图数据的预装载缓存策略。采用基于节点访问日志和大度数优先2种装载方法,利用图数据访问的局部性特点缓存频繁访问的数据。在图存储系统GolaxyGDB中设计一个分布式图数据缓存框架,并描述其中图缓存策略的实现过程。实验结果表明,该策略能有效提高图数据复杂查询的命中率,降低响应时间,满足实际应用中的在线访问需求。 GHSOM在遥感图像分割中的应用 提出一种基于自组织增长分级神经网络(Growing Hierarchical Self-Organizing Map,GHSOM)的遥感图像分类方法。首先详细分析了GHSOM方法的基本原理和算法,然后成功将其应用于遥感图像分类。实验结果表明了GHSOM通过分级的分类方法有效解决了SOM分类中的混分问题,大大提高了分类精度和效率,是一种新的有效的无监督遥感图像分类方法。 两种色彩传递算法适用情况研究 对图像色彩传递中的两类主要算法,即全局色彩传递算法和点匹配算法及其改进算法进行了分析总结。针对如何选择源图,才能使目标图获得更好的传递效果等问题,系统地讨论了彩图到彩图、彩图到灰度图两种应用模式的特点及其不同适用情况。同时,以不同风格属性的图片进行测试,分析传递效果,得出了更具普遍性和实用性的实验结果。 基于Curvelet-DSVD和视觉密码的强鲁棒零水印算法 为了更好地表现自然图像的曲线特性,进一步提高数字水印算法的鲁棒性,提出一种基于Curvelet-DSVD和视觉密码相结合的强鲁棒零水印算法。对原始图像进行Arnold置乱;进行Curvelet变换得到低频域信息,对低频域信息进行分块并对各个块进行双奇异值分解(DSVD),利用块最大奇异值与整体奇异值均值之间的关系构造特征矩阵,同时利用视觉密码将水印信息生成两个共享份;最后将其中一个共享份进行Arnold置乱后与特征矩阵进行异或运算生成零水印。实验结果表明,该算法能够有效地抵抗常规攻击,与现有的零水印算法相比,鲁棒性更强,安全性更高。 基于需求的形式化建模与验证方法研究 软件开发过程中需求阶段的错误比设计或实现阶段所引入的错误对系统的安全性与可靠性有更大的影响。为了能够在早期发现错误,降低开发成本,精确、简明地验证和规范软件系统和性质,在模型的形式化开发方法和模型检测的自动验证技术的研究基础上,提出了一种基于需求的形式化建模与验证的框架。运用基于四变量模型的需求状态机语言RSML-e建立了形式化模型,并给出了形式化的转换规则,将RSML-e模型转换为模型检测器NuSMV的输入模型,并进行了检测,建立起了一套整体的形式化开发框架,并以航空电子系统特定实例进行了建模与验证。验证结果表明,已建航电系统模型的安全性和可靠性是有效的。 表面模型缓冲区分析方法 三维缓冲区分析是三维空间分析的基本功能之一,也是三维地理信息系统的重要组成部分.针对目前空间对象表面模型的缓冲区分析算法尚不完善的问题,提出基于空间填充思想的三维缓冲区分析方法.首先将表面模型离散化;然后基于带符号的欧氏距离算法和缓冲距筛选体素;再应用隐式曲面重构算法构建三维缓冲区参考曲面;最后从缓冲控制点和参考缓冲曲面出发,计算得到光滑,精确的缓冲区分析结果.从方法复杂度、可视化效果、缓冲区等距性等方面将文中方法与栅格模型缓冲区方法进行对比的结果表明,该方法具有较强的通用性与扩展性,同时能够处理空间对象的内,外缓冲区等复杂情况. 一种加权时态关联规则挖掘算法 许多现实数据库都存在时态语义问题,因此在挖掘关联规则时附加上时态约束会使规则更具有实际意义。但目前提出的大多数时态关联规则挖掘算法,一般都认为每个数据项的重要性相同,而从决策者角度出发,往往会优先考虑利润较高的项目。提出了一种加权时态关联规则挖掘算法,以项目的生命周期作为时间特征,允许用户设定不同的项目权重。实验结果证明,该算法不仅能有效地发现加权时态关联规则,而且挖掘出的规则更有价值。 残冰检测中飞机图像特征点精确提取算法 传统角点检测算法无法精确提取感兴趣的特征点。为此,提出一种新的特征点提取算法。通过曲率角点检测算法提取飞机图像的特征点,并作为待匹配图像,采用像素相关性匹配算法进行特征点提取,利用飞机机身固定结构约束匹配算法去除误匹配对。实验结果表明,该算法具有较好的适应性,能够精确提取特征点。 基于聚类分析的常规通信信号自动分选方法 为了从干扰环境中自动分选出常规通信信号,提出了一种基于聚类分析的信号分选方法,它主要包括测量集分割和信号跟踪两部分。测量集分割用于对频域检测和测向得到的测量集进行识别,从中获得对该测量集有贡献的窄带信号的特征参数;信号跟踪就是根据常规通信信号的特点,对截获信号进行序贯聚类,剔除干扰信号。实验结果表明,测量集分割方法的识别正确率在94%以上,能够准确估计各窄带信号的特征参数;所提出的分选方法能够从干扰环境中正确分选出常规通信信号。 基于语义的Web服务动态组合框架的研究 提出一种基于语义的Web服务动态组合框架.根据服务接口之间的依赖关系,动态地确定服务组合,使用基于语义的概念匹配,完成服务接口之间的匹配.用户根据需求,确定输入输出参数,程序可以自动地确定服务组合,来满足用户的需求. 基于ASPEN PLUS的超临界汽油中低温煤焦油加氢裂解工艺模拟(英文) 为了尽快实现超临界汽油中低温煤焦油加氢裂解工艺的工业化,利用ASPEN PLUS模拟煤焦油在超临界溶剂中的加氢过程,以获得整个工艺运行的基本参数。本文基于超临界汽油中低温煤焦油加氢裂解的中试试验数据,对超临界汽油中低温煤焦油加氢裂解工艺进行模拟。首先,煤焦油代表组份选自煤焦油中含量较高的组分,汽油溶剂在模拟中根据其沸点曲线定义为虚拟组分;其次,根据碳离子反应机理,所有的煤焦油代表组分发生裂解反应,并将所有反应输入模型;加氢裂解过程主要选用RK-SOAVE和BK10物性方法,超临界汽油在模拟过程中定义为亨利组分:最后,根据煤焦油加氢裂解的反应特征,模型中主要包含3个基本模块,即RYIELD、Separtor和PetroFrac,分别模拟加氢裂解、气液分离和常压分馏。模拟时将超临界汽油中低温煤焦油加氢裂解中试实验条件数据输入模型,模拟结果表明:模型预测值与实验值基本一致,表明该模型能较好的反映超临界汽油中低温煤焦油加氢裂解工艺过程。并利用模型对年处理15万吨的超临界汽油中煤焦油加氢裂解工艺进行优化设计,获得了工艺的基本参数和能耗,为超临界汽油中低温煤焦油加氢裂解工艺工业化提供了理论依据和设计参考。 认知网络体系结构研究新进展 日益复杂的应用环境和多样化的用户需求,致使当前网络系统管理极度复杂,且缺乏智能的自适应能力。认知网络正是应这种实际需求而产生的,它被认为是提高网络整体性能及端到端性能、简化网络管理、解决网络自律性的新途径,是下一代网络发展的必然趋势。首先对认知网络体系结构的研究动态进行总结归纳,介绍了3种典型的认知网络体系结构,在此基础上提出了一个基于多级反馈MDE环的认知网络结构——超网络(Super-NET),并采用PEPA和ODEs相结合的方法进行了初步形式化分析,为构建认知网络理论模型奠定了基础,最后指出了认知网络研究所面临的问题与挑战,并对该领域发展方向进行了展望。 一种超(超)临界机组机炉协调受控对象模型的改进和仿真 超(超)临界机组不易受控,其控制策略的研究依赖于准确的数学模型。本文在研究热控领域一种常见的协调受控对象数学模型的基础上,通过分析超(超)临界直流锅炉协调控制系统被控对象的特性,提出了改进建议、给出了新的模型,并通过仿真试验的方法验证了分析的结果,为控制系统的研究提供了较为客观真实的平台。 一种基于形态学运算的指纹方向场计算方法 指纹识别是生物认证技术中应用最为广泛的技术之一,其中指纹方向场计算是自动指纹识别系统中最关键的步骤,指纹图像预处理、特征提取和匹配的过程都以方向场为基础。因此提出一种基于对指纹图像方向场进行形态学运算的方向场计算方法。实验证明,该方法可以正确有效地计算低质量指纹图像,特别是褶皱类型指纹图像的方向场。 基于样本熵与MFCC融合的语音情感识别 提出一种基于样本熵与Mel频率倒谱系数(MFCC)融合的语音情感识别方法。利用支持向量机分别对样本熵统计量与MFCC进行处理,计算其属于高兴、生气、厌烦和恐惧4种情感的概率,采用加法规则和乘法规则对情感概率进行融合,得到识别结果。仿真实验结果表明,该方法的识别率较高。 垂直分布下的隐私保护关联规则挖掘 针对垂直分布下的隐私保护关联规则挖掘算法安全性不高和挖掘效率较低的问题,提出了一种隐私保护关联规则挖掘算法。算法采用一种新的点积协议,通过引入逆矩阵和随机数隐藏原始输入信息,具有较好的安全性;利用挖掘最大频繁项集来代替挖掘所有频繁项集,采用深度优先遍历策略,结合各种剪枝策略,明显加快了频繁项集的生成速度,大大减少计算代价。实验结果表明,挖掘效率得到了很大提高。 面向自主Web服务的注册中心模型及其实现技术 为了应对互联网环境的开放性和动态性,加强对Web服务态势的管控,并为自主Web服务应用的开发提供支持,对传统的SOA架构进行了扩展,提出了一个面向自主化Web服务的注册中心模型。该注册中心不仅支持Web服务的基本注册功能,还提供了对Web服务态势信息的管理能力。介绍了自主服务的生命周期模型以及描述模型,给出了自主服务注册中心的关键技术,并开展案例分析来验证模型和实现技术的可行性。 中医诊断古文的词性标注与特征重组 中医诊断古文存在大量单音词,使用通用切词技术处理,结果是单个的分词结果,不能构成一个完整的诊断词汇。对此,设计一个基于键值对模型的中医诊断词性标记集,提出基于词汇联系的隐马尔可夫模型(HMM),进行词性标注,结合词法分析,采用移进归约算法进行特征重组,生成中医诊断词汇。实验结果表明,改进的HMM的词性标注准确率在训练集和测试集中分别提高了2.58%和1.02%,特征重组结果是完整独立的诊断词汇,方便中医人员进行诊断分析,适合构成向量空间模型的特征。 无人值守传感器网络的低通信成本存储算法 针对无人值守传感器网络的数据存储问题,提出了一种低通信成本的分布式数据存储算法。算法采用步数为cn的定向随机游走机制,将网络中的k个源数据包按照一定的接收概率分散存储到了网络中所有的n个节点,在每个节点形成了一个存储数据包。实验表明,基于该算法的存储过程完成之后,即使有部分传感器节点损坏,sink节点只要随机收集到k+ε(ε≥10)个存储数据包,就能成功计算出原来的k个源数据包。与具有代表性的基于LT码方法相比,该算法在节约sink节点访问成本的同时,也将网络的通信时间复杂度从O(n ln n)降到了O(n),具有良好的应用潜质。 基于Hough变换的汽车零件中圆形检测的改进算法 针对汽车零件中的圆检测实际需求,在分析了基本Hough变换和随机Hough变换进行圆检测的技术特征基础上,对基本Hough变换进行算法改进。采用Sobel算子提取图像边缘,利用圆参数范围已知的先验知识确定感兴趣区域和圆半径的检索范围,使得计算量大大减少,从而实现圆心坐标和半径的快速检测,满足工业生产实时性的要求。 基于PChart算法的哈萨克语句法分析 为研究哈萨克语的句法分析,研究自然语言处理的统计方法的句法分析。根据概率上下无关文法模型和Chart算法的特点,将概率引入Chart算法,提出一种PChart算法。利用PChart算法对哈萨克语进行句法分析,解决句法分析中哈萨克文法的获取和歧义消解问题,实现一个基于PChart算法的哈萨克语句法分析器,识别正确的哈萨克语句子。实验结果表明,该分析器在准确率、召回率等方面的性能都有所提高。 一种避免授权冲突的工作流任务用户指派方法 为提高工作流用户指派的合理性,避免在工作流执行过程中任务之间的授权冲突,提高工作流运行中用户指派的效率,提出一种基于任务的用户指派方法。提出的方法分两个阶段实现。第一阶段在工作流定义时,利用工作流图的深度优先搜索方法获取所有授权约束任务之间的条件;第二阶段是在工作流实例运行过程中,在任务指派前利用第一阶段获得的条件,排除所有可能造成后续任务的可选用户集合为空的用户。最后以一个财务报销的应用实例说明了该方法的有效性。 可变滑动窗口在数据流频繁模式挖掘上的应用 为了更好的挖掘数据流,对传统的滑动窗口机制进行改进,提出一种大小可变的滑动窗口机制的数据流频繁集挖掘算法DS-stream算法。该算法能够根据数据流的数据分布变化自适应调整窗口大小,节省了没必要的空间与时间消耗。算法采用一种分区窗口机制,结合基本窗口和时间窗口,同时考虑数据流的海量特性和时变特性,利用前缀树的概要数据结构。实验结果表明,DS-stream算法在挖掘数据流频繁集上有很好的时间与空间效率。 一种改进的自适应随机早期检测算法 为了进一步减小Adaptive RED算法中队列长度的波动性,在对丢弃概率的变化率与队列长度稳定性的关系进行研究后,对Adaptive RED算法的丢弃概率计算函数进行了非线性化,使得算法能够根据平均队列长度与目标队列长度区间中值的偏离程度动态地改变丢弃概率的变化率,减小了队列长度的波动,进而提高算法的稳定性。 重型燃气轮机天然气供应系统建模及仿真 重型燃气轮机为保证燃烧稳定性对燃烧室入口前的天然气参数有着严格的要求,天然气参数控制的准确性与天然气供应系统的动态调节能力密切相关。为此基于模块化建模的理念建立了可用于性能分析的天然气供应系统仿真模型。根据真实系统各部件的功能,设计了流量输出型和压力输出型两类模块,不同类模块可直接对接,同类模块则利用容积惯性环节桥接,解决了实时非迭代仿真的问题。加入以PID控制原理设计的天然气压力、温度和流量控制器,在Matlab/Simulink上实现了整体仿真模型的搭建。上述模型的仿真结果表明,模型能够较为准确地反映天然气供应系统的调节能力,为进一步进行燃烧室和燃料系统的联合仿真和控制的研究提供了依据。 针对RSA算法软件应用的故障攻击研究 原有的RSA故障攻击针对的都是运行在智能卡等硬件上的算法,为研究针对RSA软件实现方式的故障攻击,剖析中国剩余定理软件实现算法,提出针对OpenSSL密码库的RSA算法软件实现的故障攻击算法,给出一种只需要一次错误签名的改进攻击方案。通过仿真实验验证算法的可行性,并给出抵御此类攻击的有效措施。 一种改进的EAP-TLS快速重鉴权方法 EAP-TLS作为最安全的EAP标准之一,虽然具有良好的安全性,但在进行用户重鉴权时会对鉴权服务器造成较高的负荷,针对此缺陷本文提出一种改进的快速重鉴权方法,该方法通过修改重鉴权的发起方式并且在初始鉴权建立的安全性基础上简化重鉴权流程,可有效降低鉴权服务器的负荷,提高重鉴权的速度,同时不失安全性。 基于混沌同步的新型测距算法研究 基于chuas混沌系统的混沌雷达测距方法对噪声比较敏感,针对该问题,提出基于Colpitts新型混沌雷达测距方法。在发射端,发射正弦信号调制的混沌信号,接收端通过混沌同步恢复出带有距离信息的正弦信号,通过比较两个正弦信号的相位,从而测出目标距离信息。此外,通过Hilbert变换,进一步提出了测距改进方法。最后,与基于chuas的测距方法相比,该方法抗噪声能力强,测距精度得到了提高。仿真结果验证了上述方法的有效性。 基于自适应遗传算法的流水车间作业调度 流水车间调度问题是NP完全问题。提出一种新的自适应遗传算法,采用初始种群复合化、适应度相同个体的筛选策略、改进自适应交叉变异概率等方法提高算法性能。通过仿真比较,从最优解出现的代数、最优解的相对误差以及随机若干次试验对算法的影响3个方面证明该算法的优越性。 带时间约束的LTL性质的模型检测的实现 针对当前的模型检测工具不能对时间自动机直接检测带时间约束的线性时序逻辑性质的问题,对带时间约束的线性时序逻辑性质的模型检测进行了研究。带时间约束的线性时序逻辑公式转Büchi自动机后,性质自动机的迁移边上含有了时间约束,在对性质自动机和模型自动机的复合进行空性检测时,通过使用不同方法对如何获取性质自动机迁移边上的时间约束进行了研究,实现了对带时间约束的线性时序逻辑性质的检测,扩展了工具CATV的检测范围,方便了用户的使用。 面向安全可靠的国产化客票交易系统软件重构 民航客票交易系统涉及民航领域核心交易系统,为今后民航信息系统全面实现安全可靠基础软件重构提供了很好的借鉴。客票交易系统软件由航班查询、电子客票、附加服务三个应用子系统及服务整合平台、应用监控管理平台和业务接入总线三部分组成。在现有的分布式平台构架下,针对安全可靠基础软件性能问题,重点解决六个子系统中核心模块的分布式软件重构,以及所有模块的安全可靠基础软件重构与迁移问题。同时搭建基于安全可靠基础软件的平台环境,实现国航客票交易系统的示范验证。 基于比例导引律的无人机避障研究 随着无人机作战环境日益复杂,无人机避开动态障碍物的研究成为热点问题。为提高导引避障性能,借助比例导引律的思想,通过使无人机与动态障碍物的相对速度方向导引到避障向量方向,完成避障。为满足避障完成时间和无人机机动性能约束要求,给出了避障时间估计和法向加速度表达式,通过解算得到了比例导引系数取值范围。避障完成后无人机在避障点可用比例导引律进行路径规划,最后到达目标点。仿真结果验证了算法的有效性。 傅里叶相位图水印隐藏的实现与应用 采用待隐藏图像的相位图作为数字水印嵌入宿主图像,实现了信息隐藏,与隐藏图像同时具有振幅信息和相位信息的情况比较,需要隐藏的信息量显著降低。相应的水印提取数据仅是图像的相位信息,并将提取出的傅里叶相位图像与一幅新图像的傅里叶振幅图像结合,原始隐藏图像被恢复,验证了图像的相位的主导性,且运用这种特性可有效地实现信息的隐藏与恢复。利用图像所具有的迷惑性产生的信息隐藏更能起到掩护的作用。 基于用户聚类的异构社交网络推荐算法 相比传统的社交网络,基于弱关系的微博类社交网络具有显著的异构特征.根据特征可以将节点分为用户(消息订阅者)和主题(消息发布者)两类,面向用户推荐其感兴趣的主题成为了该类社交网络中推荐系统的主要目标之一,同时该类社交网络中普遍存在的数据稀疏性和冷启动现象成为了推荐系统面临的主要问题.文中提出一种基于两阶段聚类的推荐算法GCCR,将图摘要方法和基于内容相似度的算法结合,实现基于用户兴趣的主题推荐.与以往方法相比,该方法在稀疏数据和冷启动的情况下具有更好的推荐效果,此外,通过对数据集进行大量的离线处理,使得其较以往推荐方法具有更好的在线推荐效率.最后通过真实社交网络的数据对本方法进行了验证,同时分析了各参数对推荐效果的影响. 局部密度峰聚类耦合字典学习的图像融合算法 为降低当前图像融合算法的冗余信息,提高图像质量,提出基于局部密度峰聚类与字典学习的图像融合方案。将图像划分为若干个图像块,通过信息采样法,选择有用信息的图像块;定义局部密度峰聚类方法,对具有相似结构信息的图像块进行分类,获取不同的图像块簇类;基于K-SVD技术,构建字典学习机制,输出每个簇类的稀疏系数;选择最大值融合准则,对得到的稀疏系数进行融合,获得最终图像。实验结果表明,与当前图像融合方法比较,本文方法的融合质量与鲁棒性更高,其输出融合图像具有更大的边缘强度与相关系数值。 基于关节坐标系的人体运动学仿真 人体运动过程中,身体各部位的运动学参数对分析人体运动起着至关重要的作用。通过分析人体运动过程中,关节坐标系相对世界坐标系的瞬时位置关系,以及各时刻关节坐标系空间位置关系,提出了基于关节坐标系的人体运动学参数计算数学模型。然后,采用运动捕捉系统对运动员抓举运动过程进行数据采集,并通过仿真分析了上臂运动过程的质心加速度和角加速度。仿真结果符合运动规律,说明了数学模型的有效性。 基于混合模拟退火算法求解电表配送车辆路径问题 为了使电能表集中配送业务中的车辆路径选择最优化,提出一种混合模拟退火算法。针对单相电能表集中检定后的配送需求,综合考虑各车型的固定成本、可变配送成本和配送里程,以总成本最小为目标,建立了具有固定车辆数的多车型车辆路径优化模型。基于多车型路径划分算法生成初始可行解,通过引入基于概率的多算子邻域搜索,采用禁忌搜索中的禁忌表对模拟退火算法进行改进,给出求解算法。以计量中心配送业务为实例,验证了模型的实用性和算法的有效性。 基于AVR单片机的便携式定标器设计 为了实现可同时用于测量放射性射线和射线的便携式定标器,给出了基于ATmega128单片机为核心控制器的硬件与软件设计。详细介绍了其系统结构、系统主要硬件部分的设计与实现,如中央处理器、信号采集和处理、液晶显示与键盘接口、USB接口、日历时钟及打印机接口、电源模块等以及系统软件部分的设计与实现。该定标器通过软硬件调试,实现了输入信号的放大、甄别、整型与脉冲计数等功能,并采用软件二级计数的方法扩展了计数容量。另外该定标器具备计数报警、读写U盘设备、液晶显示、打印、与上位机通信等功能。实验结果表明,该定标器具有系统性能稳定,定时和计数精度高,结构紧凑,便携性能好等优点。 城市电子地图数据库管理系统的构建与实现 基于NSDI数据驱动的城市电子地图数据库管理系统是以多元数据为构建基础,融合了GIS技术、空间数据集成技术、插件技术等先进技术,以实现海量管理电子地图数据为目的的实用型管理系统,该系统具有较强的可扩展性及操作性,系统的实现,不仅为政府、企业提供权威、标准和及时的空间信息框架基础,而且系统还可以广泛服务于大众,满足不同用户对数字地图的个性需求。 软件测试用例可复用性度量 研究了软件测试用例可复用性的度量方法,提出了测试用例可复用性度量模型TCRM。该模型将易理解性、独立性、适用性、可配置性作为影响可复用性的4个子特性,并使用可信度作为修正特性。提出了针对TCRM模型的度量方法,TCRM模型及其度量方法可给构建软件测试用例库和评价软件测试用例的人员提供参考。 基于改进DPhyp算法的Impala查询优化 针对目前基于动态规划查询超图(dynamic programming hypergraphs,DPhyp)优化SQL查询算法产生指数运行时间、传统代价模型难以直接适用于Impala大数据实时查询系统等问题,提出基于改进DPhyp算法的Impala查询优化方法.首先,构建满足左线性树的搜索策略,缩小整个执行计划的搜索空间;接着,综合考虑数据移动代价及Hash join算法运行等关键因素,结合提出的代价模型,生成最佳的join顺序;最后,在生成的join顺序基础上构建执行计划,执行后返回最终查询结果.大量实验结果表明,改进的DPhyp算法与DPhyp生成的join顺序一致,且前者算法运行效率比后者要快近一倍.另外,改进的DPhyp算法结合提出的代价模型,比原始的Impala查询响应时间平均减少67%~80%. 基于Shell命令和DTMC模型的用户行为异常检测新方法 提出一种新的基于离散时间Markov链模型的用户行为异常检测方法,主要用于以shell命令为审计数据的入侵检测系统。该方法在训练阶段充分考虑了用户行为复杂多变的特点和审计数据的短时相关性,将shell命令序列作为基本数据处理单元,依据其出现频率利用阶梯式的数据归并方法来确定Markov链的状态,同现有方法相比提高了用户行为轮廓描述的准确性和对用户行为变化的适应性,并且大幅度减少了状态个数,节约了存储成本。在检测阶段,针对检测实时性和准确度需求,通过计算状态序列的出现概率分析用户行为异常程度,并提供了基于固定窗长度和可变窗长度的两种均值滤噪处理及行为判决方案。实验表明,该方法具有很高的检测性能,其可操作性也优于同类方法。 数据流挖掘方法研究 由于数据流不同于传统静态数据的特点,对其进行有效的分析和挖掘遇到了极大的挑战。本文对近年来数据流挖掘方面的进展进行了综述,介绍数据流的基本概念、数据流模型和对数据流的概要描述,总结数据流挖掘中常用的算法,最后结合其在不同领域中的应用对数据流挖掘的意义进行分析。 320排CT下肢动脉造影中对比剂用量的合理选择 "目的:探讨320排CT下肢动脉造影中使用低剂量对比剂的可行性。方法:60例临床疑有下肢动脉阻塞性病变的患者按随机分类法分成3个使用不同剂量对比剂组,每组20例,而后行320排螺旋CT下肢动脉CTA检查。每组分别测量髂总动脉、股深动脉及胫后动脉CT值进行比较;并对图像按""优、良、差""作3级评价。结果:三组各相同动脉间CT值差异无统计学意义。各下肢动脉显像在三组病例中均能达到优或良,合计优良率均为100%(20/20),图像评分上3组间统计学差异无显著性意义(χ2=2.846,P=0.154)。结论:320排螺旋CT下肢动脉造影中,应用低剂量对比剂(70ml),采用合理的对比剂注射方法进行下肢血管造影,既能减少对比剂的用量,减轻对比剂对患者身体的危害,同时也可满足临床诊断的要求。" 具有一致秘密和错误的LWE问题及其应用 提出了一种新的带错误学习问题(learning with errors,LWE)的变种,这种变种中的秘密向量和错误向量的每一个分量都是取自于一个小区间上的一致分布,其中,运用了Applebaum等人提出的转换技术.这种技术将一致秘密的LWE样本映射到另一些LWE样本,这些样本的秘密是服从和错误一样的分布,同时只损失了一小部分的样本.这个变种有和标准LWE一样的最坏情形到平均情形的归约性,同时,它去除了标准LWE问题中的高斯抽样算法.基于新的变种,构造了一个密钥相关消息安全的公钥加密方案.方案去除了原来方案中的高斯抽样算法,取而代之的是小区间上的一致分布的抽样算法,从而降低了密钥生成算法和加密算法的开销. 一种改进型DSR-I路由协议的设计与仿真 动态源路由协议(DSR)是为移动自组织网络设计的路由协议,性能较优,但是DSR协议中存在路由不稳定、时延大以及能量不平衡等问题。针对DSR的这些不足,通过对DSR协议的研究分析,提出了一种基于权重、链路反馈和均衡能量的DSR改进型路由协议(DSR-I)。在满足权重的路径查找中选择能量高的节点充当中继,在数据包发送的过程中低能量的节点反馈能量状态,源端主动断开旧链路,从而变更路径,并采用NS2平台对DSR和DSR-I进行了仿真实验和性能分析。实验结果表明,改进后的DSR-I路由协议比较明显地改进了原DSR路由协议的性能。 链图的概念格表示 概念格是基于对象集和属性集之间的二元关系建立的一种层次结构。它与极大二部团存在着一定的联系。将概念格属性约简理论应用于链图,首先给出了链图的概念格表示,其次证明了二部图G=(V1,V2,E)是链图,当且仅当G′=(V1,V2,E)是链图,这里(V1,V2,E)是(V1,V2,E)的约简形式背景。 改进PSO-BP算法在飞机剩余油量测量中的应用 针对飞机在飞行时油箱因受震动引起油面起伏不平,导致原有静止状态时的计算模型产生较大测量误差,提出采用BP神经网络的预测飞机剩余油量;但由于BP神经网络存在学习效率低、收敛速度慢和易陷入局部极小等局限,采用改进粒子群算法优化BP神经网络的训练;将改进PSO-BP算法用于飞机剩余油量的测量,实验结果表明,与传统BP学习算法比较,改进PSO-BP算法具有训练时间短,相对误差小,控制精度高等优点,有效地提高了油量测量的精度。 基于CIELAB颜色模型的数字照片背景色替换 利用数字图像处理技术对通过扫描仪获取的图片进行处理以获得其中的数字照片是日常数据采集工作中很常见的一种手段。首先进行彩色照片区域的分割以得到没有倾斜的照片区域。底色替换阶段先使用k-means算法计算出照片的背景颜色值,然后计算灰度值掩模,之后与纯白色进行混合得到替换结果。最后进行缩放、填补、裁切,从而完成整个处理过程。实验表明,该方法具有很高的实用性,并且实现简单。现阶段该方法仅使用了像素点的颜色信息,今后将改进加入像素点的空间位置信息,以提高处理成功率。 一种改进变步长因子LMS算法的研究 传统的LMS算法,由于其步长因子μ是事先指定的固定值,因而在迭代过程中不能随着估计误差e(n)来进行相应的调整,所以其收敛性完全由初始条件和步长决定。为了改变这种状况,文章提出了一种步长因子μ(n)随时间变化的LMS算法,其收敛速度快于LMS和NLMS,具有较小的失调,将本算法应用于自适应预测系统,Matlab仿真实验结果与理论分析一致。 局部扭立方体网络中网络嵌入问题的研究 局部扭立方体网络LTQ_n(Locally Twisted Cube)作为超立方体网络Q_n(Hypercube)的优化变种网络,具有很多优良的特性。依据局部扭立方体网络的性质及图嵌入的理论提出二项树、交换超立方体网络和超立方体网络嵌入到局部扭立方体网络的方案,并严格证明了这几种嵌入映射的扩张率、拥塞度及负载等都是最小的,这说明了局部扭立方体网络具有很好的通用性。 像素级遥感图像融合并行算法研究与实现 本文针对遥感图像IHS、HPF、DWT等典型的像素级融合算法,提出并实现了相应的基于数据并行的并行融合算法P-IHS、P-HPF、P-DWT,并在算法时空复杂度分析的基础上进行了通信、I/O优化。针对IKONOS卫星遥感图像在机群系统上的测试结果表明,我们提出的并行算法可获得良好的并行加速比,并行效率较高。这三类算法适合于对实时性要求比较高的遥感应用领域。 基于Zigbee的桥梁荷载无线检测系统 针对当前桥梁荷载检测系统的抗干扰能力差、布线复杂和不适用于大跨度桥梁等问题,在综合桥梁无损检测与无线传感网络技术的基础上,设计并制作了一种基于Zigbee无线传感网络的桥梁荷载检测系统。该系统主要由布置在被测桥梁上的检测节点和远程监控管理软件组成。该系统在多座实际桥梁上得到应用,实桥试验结果表明:该检测系统所采集的数据与传统的检测设备采集的数据高度一致,而且该系统相比传统检测系统具有低功耗、抗干扰能力强、线缆使用量少等诸多优点。 视频图像火灾烟雾识别仿真研究 研究视频火灾烟雾的准确识别问题。烟雾在有强风干扰的情况下会丢失向上飘动特征,并且烟雾浓度和运动速度发生剧烈变化使火灾图像不在确定范围之内。传统烟雾识别方法多是在光流法的基础上指定向上飘动的主运动方向和烟雾运动速度的范围进行检测,会造成光流特征失效,导致识别率不高。为解决上述问题,提出了一种采用光流特征的烟雾识别算法,首先通过运动和颜色检测提取疑似烟雾区域,然后运用Horn-Schunck(HS)光流法得到像素的运动速度和方向,进而提取光流速度及方向的均值和方差、光流对比度和方向一致性作为特征,最后将光流特征组成的特征向量作为支持向量机(SVM)的输入,进而利用构建的二类分类器对烟雾进行识别。实验结果表明,改进算法能有效识别火灾烟雾,具有较强的抗干扰能力和鲁棒性。 因子分析和支持向量机的信息系统风险评价 风险评价是信息系统管理中的关建技术,针对信息系统风险的影响因子多、小样本、时变性等特点,以提高风险评价的精度为目标,提出一种因子分析法和支持向量机的信息系统风险评价模型(FA-CS-SVM).首先采用因子分析法对风险指标体系进行处理,提取公共指标,消除指标之间相关性,然后将公共指标作为支持向量机的输入向量进行训练,并采用布谷鸟搜索算法寻找最合理机参数,构建信息系统风险评价模型,最后利用仿真实例验证其可行性和合理性.结果表明,FA-CS-SVM提高了信息系统风险评价的精度,评价结果可以为信息系统管理员进行风险管理提供科学的参考依据. 电动车及蓄电池防盗器的研制 为解决目前市场上电动车防盗器功能单一、误报漏报严重、缺乏灵活性等问题,研制一种电动车及蓄电池防盗器;防盗器以凌阳61单片机为控制核心,基于振动、红外、滚珠开关、霍尔式接近开关4种传感器,依据多条件综合判断实现电动车两级防盗报警;并设计回路破坏报警电路,对蓄电池进行防盗报警保护;设计可撤防与不可撤防两种工作模式,增加防盗器的功能;实验表明防盗器误报率为1.4%,漏报率为0.8%,防盗报警保护效果良好,具有较高的应用推广价值。 概念格中基于粗糙熵的属性约简方法 属性约简是概念格理论的研究重点内容之一。通过将粗糙熵引入概念格理论中,定义了一种粗糙熵约简。首先,基于所有概念外延定义了形式背景的粗糙熵,并分析了它的性质;其次,定义了形式背景的粗糙熵约简,并揭示了粗糙熵约简与概念格约简之间的关系;在此基础上,基于属性重要度设计了计算粗糙熵的启发式算法,并通过实验验证了该算法的有效性。 欢迎订阅2018年《计算机科学与探索》、《计算机工程与应用》 [正文]《计算机科学与探索》为月刊,大16开,单价48元,全年12期总订价576元,邮发代号:82-560。邮局汇款地址:北京619信箱26分箱《计算机科学与探索》编辑部(收)邮编:100083《计算机工程与应用》为半月刊,大16开,每月1日、15日出版,单价45元,全年24期总订价1080元,邮发代号:82-605。邮局汇款地址: 采用C#实现RSS订阅服务 RSS订阅服务让浏览者不用再花费大量的时间去浏览互联网上的海量信息,RSS订阅的提供者会收集和组织浏览者所定制的新闻,按照希望的格式直接传送到浏览者的计算机。本文分析RSS源文件结构,设计一种基于C#动态的RSS订阅系统。该系统在单位网站部署运行情况良好,达到实时更新、无需人工参与的应用效果。 基于半监督聚类的免疫入侵检测算法研究 传统的基于免疫的入侵检测系统需要足够的标记数据才能够生成具有良好泛化性能的抗体,而网络环境中获得充足的标记数据是困难的。为克服这一难题,对无监督聚类技术及免疫方法进行深入研究,并将二者结合起来,提出一种半监督的免疫入侵检测算法SCIID(Semi-supervised cluster based Immune Intrusion Detection)。在抗体产生阶段通过对自我样本进行聚类,大大缩短了阴性选择的时间;在入侵检测阶段采用聚类技术可快速获取未标记数据的类别,进而指导后续的学习过程,达到提高检测率的目的。仿真结果表明,该算法在仅有少量标记数据的情况下,可以获得大部分未标记数据的类别,而且能发现新的攻击类型,同等训练样例数目条件下检测率高于单纯基于免疫的方法。 一种综合带失真补偿量化和扩频的水印算法 针对单独采用带失真补偿的水印算法或单独采用扩频的水印算法的不足,以轮廓波变换为基础,综合带失真补偿的量化调制技术和扩频技术,提出一种鲁棒数字图像水印算法。该算法首先将原始载体图像分割为互不重叠的子块,并选取能量较大的子块;然后对选择的子块采用轮廓波变换,最后结合量化调制和扩频策略将水印嵌入到能量较大的图像子块内。仿真实验表明,提出的水印算法不仅具有较好的不可感知性,而且对常见图像处理(中值滤波、叠加噪声、JPEG压缩等)和几何攻击(缩放、旋转等)均具有较好的鲁棒性。 交通大数据驱动的地铁和出租车接驳出行规划 现有的城市居民常用出行工具为出租车、公交车和地铁,城市道路的拥挤使得机动车的便捷性大打折扣,地铁也难以覆盖城市各个角落。针对此问题提出了一种接驳出租车和地铁的出行方案,基于上海交通数据的详细分析,提取不同时段下每条道路的行驶时间,地铁线路的出行时间,并对城市分区域计算等车时间,给乘客提供不同时段的差异化线路规划服务和一个较为准确的出行时间。接驳地铁和出租车的出行方式能够在保证较低的出行时间条件下较为明显地降低乘客的出行价格,减少城市道路压力,降低社会的整体能耗,同时在某些拥堵线路上能够提供给乘客出行时间较少也较为准确的方案,增加了公共交通系统的覆盖范围。 一种集中式车身控制器内部通信的设计与实现 针对车身控制器的发展现状以及未来发展趋势进行分析,提出了一种基于ARM-MCU和Linux操作系统的集中式车身控制器内部通信的设计思路;同时对车身控制器中主控制器和子节点间的通信协议、ARM和MCU之间的通信接口以及触摸屏中的操作界面程序进行了研究,在ARM9和16位MCU的联合工作的基础上,嵌入Linux操作系统,实现整个车身网络的集中、有效、快捷的控制。 基于ARM和物联网技术的TVOC监测系统的设计 针对化工企业TVOC(总挥发性有机化合物)的排放点多、环境潮湿、布线困难、甚至要求防爆等特点,特设计了智能TVOC实时监测系统,以STM32处理器为核心实现环境气体的抽取、水气分离、传感器的数据采集、自校等控制;为了实现远程监测并减少流量费用,在每个厂区以无线传输技术实现数据的汇聚,并以GPRS技术实现与多个中心平台对接;经现场长期运行,检测误差小于0.3%,数据接收率达到99.96%以上,系统运行稳定可靠,为大气监测和治理起到重要作用。 基于转移学习的中文命名实体识别 中文命名实体识别在多个重要领域有广泛的运用,提出一种基于转移学习的算法进行中文命名实体识别,旨在提高识别的准确率和召回率。基于转移学习算法的中心思想是开始以一些简单的结论应用于问题,然后在每个步骤应用转换,选择出每次转换的最优结论再次应用于问题,当选择的转换在足够的空间内不再修改数据时算法停止。提出算法的规则模板和约束文件的获取方法,形成一个完整的用于中文命名实体识别的模型,并利用该模型进行实验,获得了较好的结果。 限速条件下多模式交通均衡分配模型与算法 为缓解交通拥堵,探索限速方案对交通系统的影响,在给定限速条件下,描述了多模式交通网络中的交通分配问题,并构建了相应的优化模型,设计了求解模型的算法,对比研究多模式交通网络中的交通量分配与时间费用。采用一算例验证了模型和算法的可行性和有效性。结果表明,多模式交通网络中,在不同的扩散系数下,各交通方式具有不同的分担率。分析结果可知,限速方案有通过促进交通需求向公共交通转移来优化系统的作用,这一结果可以为制定拥堵缓解方案研究提供有效参考。 基于密度聚类构建物流配送问题的毁灭移除算法 研究多车型大规模物流配送问题,针对企业配送门店规模大且聚集的特点,在自适应大规模邻域搜索(ALNS)框架下提出一种新的邻域映射方式:基于密度聚类的毁灭移除算法。ALNS包含毁灭与重建两个阶段,通过不断对当前解进行破坏和重建得到更好解。在毁灭阶段,随机选择一条路线进行密度聚类得到簇集合,然后按簇对路线上的门店进行移除;重建阶段随机选择贪婪插入法或Regret-2插入法将移除的门店插入到合适的路线上得到新配送方案。通过国际基准测试案例验证了所提算法的有效性,与已有算法对比,基于密度聚类的毁灭移除算法的ALNS算法求解结果比案例已知最优解平均误差更低,求解质量更优;应用于实际场景中,该算法能在有限时间内求得较好的配送方案。 学报信息管理系统的设计 利用Web语言开发一个符合本校应用的学报管理系统。本系统不仅克服了传统管理模式中出版时间比较长、管理的效率比较低下,无法满足日益扩大的业务量需求等一系列缺点,而且切实提高了该校学报管理的效率。希望对各个学校根据具体的情况开发符合自己的学报管理系统起到借鉴作用和参考价值。 基于Web多层结构牛肉加工追溯系统的实现 以牛肉加工产品为研究对象,采用牛肉加工可追溯单元编码技术、RFID技术、网络技术、数据库技术、组件技术、XML技术,建立了基于Web多层结构的牛肉加工质量可追溯系统,实现了牛肉加工过程自动数据采集和信息化管理,为提高牛肉加工产品质量提出可行性方案,具有较好的推广应用前景。 《计算机科学与探索》投稿须知 "[正文]《计算机科学与探索》是由中国电子科技集团公司主管、华北计算技术研究所主办的国内外公开发行的计算机学报级高级学术期刊,中国计算机学会会刊,工业和信息化部优秀科技期刊,北大中文核心期刊,中国科学引文数据库(CSCD)核心期刊,中国科技论文统计源期刊(中国科技核心期刊),并被""万方数据——数字化期刊群""、""中国学术期刊网络出版总库""、""英国《科学文摘(SA/INSPEC)》""、""美国《剑桥科学文摘(CSA)》""、""波兰《哥白尼索引(IC)》""收录。月刊,大16开。欢迎踊跃投稿。办刊方针" CPSO和LSSVM融合的网络入侵检测 网络攻击具有多样性和隐蔽性,为了提高网络安全性入侵检测的正确率,提出一种混沌粒子群算法(CPSO)和最小二乘支持向量机(LSSVM)相融合的网络入侵检测方法(CPSO-LSSVM)。利用混沌粒子群算法对LSSVM模型参数进行搜索,选择LSSVM最优参数,采用KDDCUP99数据集对CPSO-LSSVM性能进行测试,实验结果表明,CPSO-LSSVM提高了网络入侵检测正确率,降低了误报率,可以为网络安全提供有效保证。 无线网络中基于网络编码的重传机制 鉴于目前网络编码在单跳无线网络重传中的应用研究都是基于单发-多收场景的局限性,提出一种应用于多发-多收无线网络中的重传机制NCWRM。该重传机制中,网络节点既可以是发送方也可以是接收方,节点发送的数据包在直传和第一次重传中都失败后,第二次重传时广播发送由多个丢失数据包编码组合得到的编码包。多个接收方通过解码编码包得到丢失的数据包,从而实现一次重传获得多个丢失的数据包,可以有效提高重传效率。理论分析和仿真结果表明,NCWRM算法能够明显提高系统的饱和吞吐量,同时减小开销及丢包率。 面向最小延迟的无线mesh网络时隙分配问题研究 在基于IEEE802.15.4的工业无线传感器网络中,通过时分复用技术来实现节点间并行通信,以提高网络的吞吐量.通常,网络中的管理器根据全网拓扑对网络中的每个连接分配一个超帧中相应的时隙.不同的时隙分配方案中,连接在超帧中活动的顺序也不同,对网络性能的影响也不同.本文研究了不同时隙分配方案在网络吞吐量和数据延迟方面对网络的影响,定性分析了时隙分配方案与数据延迟的关系,并根据mesh结构的特点提出一种使用粒子群优化的算法,用于在网络管理器上对时隙分配进行优化,使得数据延迟最小化,以满足工业无线网络实时性应用的要求. 无线Mesh网络中多射频多信道MAC机制设计 针对无线Mesh网络中多信道分配问题,提出了一种适用于多射频网络的MAC机制MRMC-MAC.整个机制包含节点默认接收信道分配、可切换主信道集分配、节点通信以及可切换主信道集更新4部分.采用一种基于接收负载的分配算法,将接收负载作为信道分配的优先级参数,保证了接收负载重的节点优先分配到负载较小的信道,而接收负载较轻的节点间可以共享同一个默认接收信道,从而平衡了各个信道间的负载.分析了多射频网络中的多信道的隐终端问题并提出了解决方案.仿真结果表明,使用MRMC-MAC协议能够明显地改进MAC层吞吐量、碰撞次数等性能参数. 云平台下非连续威胁数据优化检测仿真 由于非连续威胁数据的非连续性,其属性及特征未能及时获取,使得威胁数据特征不全面。传统的检测方法,主要通过采集非连续威胁数据的特征进行检测,忽略了非连续性带来的不全面性,对检测结果的影响,导致相关数据检测成功率低,虚警率和漏警率均较高的问题。提出基于朴素贝叶斯分类的检测方法,在云平台下,采用层次结构构建非连续威胁数据的PSO辨识树;PSO辨识树中的每层代表对应等级的非连续威胁数据特征集,计算辨识树每层对应的信息熵,获取云平台下非连续威胁数据位节点对应的威胁概率属性信息增益,提取云平台下非连续威胁数据相关特征;通过分析非连续威胁数据各个特征属性,并进行样本集训练,构造朴素贝叶斯分类器,无需依赖攻击行为的先验知识就能够实现非连续威胁数据的优化检测。仿真结果证明,所提方法能够准确、有效地检测出云平台下非连续威胁数据的特征,提高了非连续威胁数据的检测成功率,同时降低了检测虚警率和漏警率。 增强现实中的视频对象跟踪算法 根据增强现实在视频对象跟踪中的应用需求,提出一种综合利用尺度不变特征变换(SIFT)算子、K聚类算法和轮廓检测的视频对象跟踪算法。该算法利用简易SIFT获得输入图像的特征点,通过K聚类算法获得可能的对象聚类,并采用改进的轮廓处理方法得到对象边界,移除孤立点,确定对象特征点,在对象特征点中获取增强现实应用中需要的注册点。在关键帧匹配中,只要使用对象特征点进行对象匹配。实验结果表明,该算法具有运行速度快、匹配正确率高的特点,能满足增强现实视频应用的注册需求。 求解无容量设施选址问题的混合蝙蝠算法 无容量设施选址(Un-capacitated Facility Location,UFL)问题是可以运用于不同领域的经典优化难题。蝙蝠算法(Bat Algorithm,BA)是一种新的群智能优化算法,目前还未被应用到无容量设施选址问题的求解中。针对蝙蝠算法易陷入局部最优、收敛精度低、后期收敛速度慢的缺点,并结合无容量设施选址问题的具体特征,将三种局部搜索策略、和声搜索机制与基本蝙蝠算法相结合,使用一种新的随机游走法则公式改善蝙蝠的搜索能力,设计了求解无容量设施选址问题的混合蝙蝠算法。通过实例测试将混合蝙蝠算法与其他算法进行比较,验证了该算法求解无容量设施选址问题的有效性与可行性。 基于偏微分方程的变分去噪模型 "针对现有去除图像乘性噪声的变分模型的保真项中存在病态条件的问题,结合全变分方法和对数变换的相关理论对保真项进行分析,提出一种新的基于偏微分方程(PDE)的去除图像乘性噪声的变分模型,导出了该模型对应的偏微分方程初边值问题,并给出了相应的数值计算方法。从数值实验结果可以看出,所提模型的均方误差(MSE)明显下降,峰值信噪比(PSNR)明显提升,同时很好地避免了模型的病态情形,对去除图像乘性噪声的变分模型中保真项存在的病态条件提供了很好的解决办法,减小了离散化过程中可能存在的误差。数值实验结果表明,所提模型具有良好的去噪效果,能够较好地抑制图像中的""阶梯效应""现象。" 基于粗糙集与信息增益的情感特征选择方法 为了提高情感特征提取的准确率,为高性能情感分析打下坚实的基础,提出了一种融合粗糙集与信息增益的情感特征选择方法.该方法借助信息增益判据选出高相关性的特征子集,再通过粗糙集剔除高冗余性的特征,从而得到最优的特征子集.在多个数据集上的测试表明,该方法可将若干经典方法的准确率提高4~9个百分点,是一种优秀的特征选择方法,对提升情感分析的整体性能有明显意义. 区间型服务响应时间变化趋势预测机制 针对传统预测方法难以在不损失信息的前提下,预测不确定性的区间型服务响应时间的问题,提出了区间灰数预测机制来分析服务响应时间变化趋势。根据服务响应时间具有在一定范围内动态性较强的特性,分析了引入区间型服务质量属性描述方式的优势,建立了区间灰数预测模型,预测了服务稳定性和服务响应时间上下界值。实验表明了该方法预测精度达到1级,与现有工作比较能预测分析出稳定性更高的服务。 机群系统中空闲结点的功耗管理 针对机群系统中存在的大量空闲活跃结点所造成的严重能耗浪费,提出空闲结点的cache式动态功耗管理模型,即利用结点多级休眠机制,将空闲结点划分为不同休眠等级的结点集合,每级休眠状态对应一级结点储备cache,力求获得近似活跃状态的系统响应速率,以及近似最深休眠状态的能耗节省。基于cache式功耗管理模型,综合能耗与响应速率两个因素,设计了空闲结点在不同休眠状态之间的动态升降级算法、基于储备池的资源结点分配与回收算法以及储备额阈值自适应算法,以在保证系统响应速率的同时降低系统能耗。实验表明,提出的空闲结点cache式功耗管理技术在作业相对延迟仅增加0.99%的代价下,系统空闲结点功耗降低69.51%,优化效果显著。 Android平台恶意应用程序静态检测方法 本文构建的静态检测系统主要用于检测Android平台未知恶意应用程序.首先,对待检测应用程序进行预处理,从Android Manifest.xml文件中提取权限申请信息作为一类特征属性;如待检测应用程序存在动态共享库,则提取从第三方调用的函数名作为另一类特征属性.对选取的两类特征属性分别选择最优分类算法,最后根据上述的两个最优分类算法对待检测应用程序的分类结果判定待检测应用程序是否为恶意应用程序.实验结果表明:该静态检测系统能够有效地检测出Android未知恶意应用程序,准确率达到95.4%,具有良好的应用前景. 漏洞类型聚类的层次化漏洞修复模型 "针对传统漏洞修复策略存在难以确定同一危害等级漏洞修复优先次序的问题,提出了一种基于漏洞类型聚类的层次化漏洞修复(vulnerability remediation based on vulnerability type clustering,VR-VTC)模型。首先,运用PSO-K-means(particle swarm optimization K-means)算法对漏洞信息进行聚类分析,再根据每种漏洞类型高危、中危、低危各个危害等级的百分比,计算每种漏洞类型的威胁因子;然后,将目标主机漏洞划分为主机、漏洞类型威胁等级、漏洞类型和漏洞4个层次,再采用""自下而上、先局部后整体""的漏洞修复策略,提出一种基于漏洞类型的层次化漏洞修复方法。实验结果表明,VR-VTC模型可为用户提供细粒度的漏洞修复策略。" 基于SSX20-D安全芯片的加密存储安全模型 针对特殊领域对大容量移动存储设备安全性的特殊要求,本文在SSX20-D安全芯片的基础上设计了存储数据安全保护、U盘密钥参数保护和U盘使用控制管理三层次的安全模型.采用了数据加密、U盘参数保护、加密密钥保护、口令硬件使用控制、U盘抗攻击等安全机制,将KEY的安全性拓展到大容量存储芯片中.特别是针对密钥参数保护这一安全薄弱环节设计了三级密钥保护方式,综合运用SM1算法、SHA-256算法和自定义函数确保密钥绝对安全. 基于言语情境分析的数字语音篡改检测 针对使用拼接手段的数字语音篡改,提出一种基于言语情境分析的篡改检测方法。该方法从背景噪声分析和说话人状态特征分析两方面入手,把语音信号分为语音部分和静音部分,对包含噪声的各个静音片段各帧提取时域和频域特征,对各语音片段提取韵律特征和音质特征,并分别基于贝叶斯信息准则检测特征的跳变点,通过综合判断得到篡改检测结果。实验结果表明,该方法能够比较准确地检测和定位语音拼接点。 多面体的Minkowski和计算研究综述 Minkowski和是计算几何和机器人学研究重要内容之一,在几何推理、路径规划和计算机辅助制造等领域有着重要的作用.经过近三十年的发展,研究人员已经给出了一些精确有效的Minkowski和计算方法.本文介绍Minkowski和的基本概念、性质和主要计算方法,说明了Minkowski和计算的要点和关键环节,对主要计算方法从可行性、精度、效率和适用性四个方面进行了对比分析,综述了Minkowski和计算的研究进展情况,最后讨论了目前存在的主要问题并指出下一步深入研究的思路和方向,为Minkowski和计算的理论研究和实践应用提供了有价值的参考. 基于改进BM3D算法的椒盐噪声去噪 在处理由椒盐噪声污染的高对比度图像时,使用传统的三维块匹配算法(Block-Matching and 3D filtering,BM3D)去噪不能有效保留图像的边缘和纹理细节,在图像的边缘会出现边缘振铃效应。为了改善传统BM3D算法在处理椒盐噪声时的不足,提出了用边缘方向代替水平方向搜索相似块的BM3D改进去噪算法。实验结果表明,改进BM3D算法获得的相似块数量是传统BM3D算法的3倍,峰值信噪比(PSNR)也得到进一步提高,在去除椒盐噪声的同时也使图像边缘得到有效保留。 基于OMAPL138与FPGA的惯性姿态测量系统设计与实现 为了满足高性能、低成本及多接口的惯导使用需求,设计一种基于OMAPL138+FPGA的大存储空间惯性姿态测量系统;系统设计充分利用OMAPL138的异构双核结构,结合每种处理器应用特点,进行任务划分并构建硬件平台;设计了丰富的外围接口,通过选择接入GPS、北斗或里程计,能够实现多种组合导航方式;根据使用环境提出惯导与里程计组合导航方案和相应软件流程,并进行了姿态精度测量及导航定位精度试验;姿态测量精度优于0.5密位,纯惯性导航定位精度为0.3‰D(CEP),组合导航的定位精度为0.14‰,试验结果表明,系统稳定可靠,硬件平台满足惯导计算机设计需求。 不确定环境下的Ad-hoc网络可信性评价模型研究 运用不确定理论解决了Ad-hoc网络可信性模型的评价问题。运用不确定理论对Ad-hoc网络的信任度进行分析、评价和测试,提出了不确定综合评判方法,建立了Ad-hoc网络的可信性评价模型。该模型首先采用不确定变量表示各个评判因子的权重系数,增强了各因子权重的合理性;然后通过单因子评价模型对各因子进行评价;最后利用不确定综合评判模型确定Ad-hoc网络整体的可信度标准等级。通过实例运算得出综合评价结果。结果分析表明,该模型有效可行,且具有科学性和合理性。 数据发布中的个性化隐私匿名技术研究 个性化隐私保护是目前数据发布中隐私泄露控制技术研究的热点问题之一。对这方面的研究现状进行综述。首先,在分析不同类型个性化服务需求的基础上,建立相应的个性化隐私匿名模型;其次,根据采用技术的不同,对已有的个性化隐私保护匿名技术进行总结,并对各类技术的基本原理、特性进行概括性的阐述。同时,根据算法所采用信息度量的差异,给出现有个性化隐私度量的方法与标准。最后,在对比分析已有研究的基础上,总结全文并展望了个性化隐私保护匿名技术的进一步研究方向。 低关联度的Boosting类集成算法研究 针对Boosting类算法生成的个体网络的迭代方式相关性较高,对某些不稳定学习算法的集成结果并不理想的情况,基于Local Boost算法局部误差调整样本权值的思想,提出了基于距离及其权值挑选邻居样本的方法,并通过局部误差产生训练样本种子,采用Lazy Bagging方法生成针对各样本种子的个体网络训练样本集来训练、生成新的个体网络,UCI数据集上实验结果表明,该算法得到的个体网络相关度较小,集成性能较为稳定。 一种产生引信电路激励信号的新方法 为了完整测试某型号导弹引信电路的工作状况,提出了一种产生可调频调幅激励信号的新方法,系统架构为:DSP+FPGA+RAM+D/A。上位机实时录制引信工作中的波形并采样,通过串口把采样数据传给DSP,经计算后缓存RAM中并由FPGA控制时序将波形恢复并输出,波形输出个数和波形的长度均可以控制,而且产生的波形任意,操作非常灵活。实验证明,与传统DDS产生方法相比,该方法产生波形灵活,可更好应用于引信电路工作状况的测试中,大大降低了测试成本,具有很强的推广性和实用性。 图像融合技术在车牌识别中的应用 目前,车牌识别系统大都采用单摄像头获取车牌图像,考虑到这种方法对于污染严重、光照不佳的车牌识别率较低的缺点,提出了一种基于像素级图像融合的车牌识别算法;首先采集不同摄像头的多角度车牌图像,定位分割后进行图像配准,然后利用小波图像融合算法进行图像融合,得到新的车牌图像;此方法综合利用了多源图像的互补信息,提高了识别的置信度;通过多组不同条件车牌的对比实验,与传统车牌识别方法以及决策级车牌融合方法相比,此方法能有效提高车牌的识别速度及识别率。 一种改进的无线传感器网络密钥管理方案 在无线传感器网络中,针对已有动态更新密钥管理方案的无身份认证、可扩展性差等问题,提出一种实用的无线传感器网络密钥管理方案。采用增加身份认证模块的方法,设计可行的新节点加入机制。分析结果表明,与原方案及同类密钥管理方案相比,该方案在保持安全高效的同时,具有更好的可扩展性和网络连通性。 嵌入式系统稳定性的研究及应用 针对嵌入式系统在应用中存在的稳定性问题,比较硬件和软件抗干扰特点,重点从核心芯片本身、通信、物理信号的输入和输出等四个方面来总结各种常用软件稳定性设计方法,如指令冗余、软件陷阱、软件拦截、程序数据保护、计算机操作正常监控、定期复位系统、热复位和冷复位处理、输入数字滤波、输出重置以及输出柔和控制等。给出各种方法的特点与适用范围,及相关的设计程序。 分段式量子-单纯形进化算法及函数优化 量子进化算法在高维复杂函数优化上存在容易陷入局部最优解、进化后期收敛速度慢的问题,为进一步提高其搜索性能,提出了一种带单纯形搜索算子的分段式量子进化算法。该方法将搜索过程分为3个阶段,首先用量子进化算法搜索到一定代数,然后将种群分为若干个子种群,每个子种群中的个体作为单纯形法的初始顶点,并行地用单纯形法进行搜索,将搜索后的子种群再合并,继续用量子进化算法进行最后的搜索。对几个典型的高维函数进行仿真的结果表明,该算法具有更快的收敛速度和更高的求解精度。 大规模数据集下谱聚类算法的求解 谱聚类算法是一种流行的数据聚类方法,该算法使用特征分解技术计算邻接矩阵的特征解,但是在大规模数据集的情况下,因储存和计算的问题而无法进行求解。基于线性代数中对称矩阵的性质,提出使用邻接矩阵的每一列作为迭代算法的输入样本,通过迭代计算出邻接矩阵的特征解。所提算法的空间复杂度只有Ο(m),时间复杂度也降低为Ο(pkm)。实验结果验证了算法的有效性。 一种查找形式背景箭头关系的快速算法 "在形式概念分析中,""箭头关系""对简化形式背景、识别兼容子背景等起着至关重要的作用,因此如何快速确定一个给定形式背景的对象与属性间的箭头关系是一个值得探讨的问题。首先针对形式背景给出对象与属性间的箭头关系的等价判定定理,在此基础上提出一个查找形式背景的箭头关系的快速算法,并通过实例与数值实验证实了该算法的可行性与有效性。" 基于射线跟踪的AOA定位算法的GDOP研究 GDOP是衡量定位系统精度的一个重要指标。基于射线跟踪的定位算法利用镜像站实现对目标的定位,针对现有关于GDOP的计算因没有考虑镜像站之间的相关性,而无法用于对基于射线跟踪的定位系统的定位精度与镜像站布局之间规律进行分析的问题,给出一种基于射线跟踪的AOA定位算法(AOA-RT)的GDOP计算方法。在微小区AOA定位模型下,根据镜像站与定位站之间的关系,得到镜像站位置误差的相关矩阵,根据该相关矩阵推导了AOA-RT算法的GDOP的计算公式。仿真结果表明了所给的GDOP计算方法的合理性,并得出了相关结论。 一种基于位置服务信息的移动推荐模型 随着移动终端技术和传感技术的快速发展,如今可以很方便地通过移动终端获得用户所处位置情景信息。目前基于位置推荐的研究已有不少,但对位置情景在推荐系统中的运用方式、重要程度及权重分配上仍有不足之处。针对现有研究的不足,在传统的用户×项目二维推荐模型的基础上,引入位置情景,建立用户×项目×位置三维模型,提出一种针对移动终端环境的混合多维推荐模型,融合了位置情景相似度过滤、协同过滤以及项目相似度过滤三个维度的推荐。实验表明,该推荐模型具有更好的推荐效果。 基于CIM的嵌入式管理系统开发 为解决嵌入式环境下系统集成度的增加给管理和维护带来的困难,提出了基于通用信息模型的嵌入式管理系统方案。该模型提出创建通用模型的思想,它对各种设备的模型做出了规范,并对这些模型的管理操作进行标准化,因此,基于这种模型的管理系统和各种设备模型就具备了很好的扩展性和通用性。通过把通用信息模型的思想应用到嵌入式环境,对各个模块对象进行标准建模,以达到易于管理的目的。最后,实例应用结果表明了该方案的可行性。 面向网络批发零售的群组指纹技术 面对网络批发零售带来的新问题,首先结合传统数字水印/数字指纹技术,讨论适用于网络批发零售的群组指纹概念,总结对群组指纹体制的要求。然后对群组指纹构造技术进行概括,介绍两类典型的群组指纹构造方法。第三,结合传统数字水印/数字指纹协议的发展,讨论满足网络批发零售的群组指纹协议的实现思想,提出三个阶段实现上述协议。最后指出一些可行的研究方向。 UML模型和Java代码之间的一致性检测方法 针对代码与模型之间的不一致性问题,提出了一种基于UML模型和Java代码之间的一致性检测方法。首先,对UML类图和时序图进行形式化描述,并提出时序调用图(SD-CG)这一概念,在此基础上完成类的关联关系到关联属性的转换以及UML时序图到时序调用图SD-CG的转换;其次,通过方法调用图CG来表达类方法之间的调用关系,从而反映代码动态行为,由此通过对Java源代码的词法分析与语法分析,可获得类的信息及方法调用图CG;然后设计了UML模型与Java源代码间一致性检测算法,包括对类间静态信息以及时序调用图SD-CG与方法调用图CG间的一致性检测;最后,通过开发UML模型与Java源代码一致性检测工具,验证了所提出的方法是可行有效的。 基于似物性的快速视觉目标识别算法 针对视觉目标识别算法实时性较差的问题,基于似物性提出一种面向视觉目标识别的可变部件模型改进算法。该算法首先对图像进行二进制归一化的似物性检测,并利用检测结果形成视觉目标候选框;然后使用目标识别算法对候选区域进行似然判决,比滑动窗口法缩短了搜索时间;最后通过一个快速扩大-缩小算法对检测目标进行尺度修正,提高目标框的准确度。在PASCAL图像库上的识别结果表明:该识别方法在准确率上优于当前主流的检测模型,计算耗时较级联DPM算法减少约50%。 一种基于动态标签的RFID不确定性数据清洗算法 基于自适应滑动窗口清洗算法SMURF(Statistical sMoothing for Unreliable RFid data)需要手动输入阈值δ,对于静态标签,δ的取值对平滑结果几乎没有影响;对于动态标签,结果会造成巨大的误差。针对以上的缺点,提出一种基于动态标签的RFID不确定性数据清洗算法DSUMRF(Dynamic tags-based SMURF)。另外,SMURF算法主要考虑RFID不确定性数据的漏读和错读,没有涉及到冗余数据的处理。在DSUMRF算法的基础上,提出一种RFID冗余数据清洗框架。对比实验表明,针对动态标签,DSMURF算法具有更好的性能。 乙二醇解吸和再吸收过程的动态模拟与优化 化工流程模拟软件不断发展,越来越多的化工装置开始采用流程模拟来优化装置的操作。本文分析乙二醇生产中解吸和再吸收过程的机理和动态特性,采用严格法计算解吸和再吸收过程,稳态和动态模拟其流程。模拟结果与实际工业过程数据相符,能够满足工业建模的要求。根据动态模拟的结果,探讨不同进料工况下的操作条件对解吸和再吸收过程的影响。在所建模型的基础上,针对进料的变化,再沸器热值的变化以及进料组分的变化,分析解吸塔的动态响应,并衡算系统的物料和能量,确认再沸器的热负荷。最后根据换热器的几何尺寸,核算塔釜再沸器换热面积,确认换热能力。本文动态模拟结果,可用于指导实际生产,仿真培训或先进控制方案的设计,也可以在操作条件进行改进时,为实际生产最佳条件的选择提供基本依据。 基于OPNET的区域综合通信网设计与分析 针对区域综合通信网的规划设计问题,提出了规划设计的总体目标、准则和基本流程,综合考虑网络平均时延和通信网建设费用等因素,建立了网络拓扑结构优化模型,借助启发式算法中的最小生成树(MST)分析法求解模型,并结合通信网实际运用情况,对网络拓扑结构进一步优化。基于OPNET仿真平台建立区域综合通信网的网络仿真模型,通过对网络性能指标的仿真分析,验证了模型的可行性和网络规划设计方法的有效性。 结合SDAE网络和ODB学习策略的多目标视觉跟踪 针对目标视觉跟踪过程发生的目标漂移和错误匹配问题,提出一种基于SDAE(stacked DAE,堆叠去噪自编码器)网络和在线Deep Boost学习(Online Deep Boost,ODB)策略的多目标视觉跟踪算法.该方法首先在SDAE网络上通过ODB方法学习目标的局部-全局特征.然后根据特征权重结合粒子滤波器和soft-max分类器跟踪目标并对其分类,得到目标最相似的状态值.最后引入时间信息得到目标外观的动态持续时间,在线更新模型以适应目标的外观变化.实验表明,该算法能够有效适应目标外观变化,解决目标漂移现象,多目标准确率MOTP达到97.61%,较同类算法提高2.89%,其鲁棒性、稳定性也有所提高. 卷积神经网络在图像分类和目标检测应用综述 卷积神经网络具有强大的特征学习能力,随着大数据时代的到来和计算机能力的提升,近年来卷积神经网络在图像识别、目标检测等领域取得了突破性进展,掀起了新的研究热潮。综述卷积神经网络的基本原理,以及其在图像分类、目标检测上的研究进展和典型模型,最后分析了卷积神经网络目前的问题,并展望了未来的发展方向。 基于滑动峭度相关性准则的局部特征尺度分解分量筛选方法 轴承故障振动信号具有非平稳、非线性特征,且可视为多个调幅一调频分量的叠加,单分量的包络蕴含了轴承的故障特征。局部特征尺度分解可将振动信号准确分解为多个内禀尺度分量之和,某些分量能清晰反映轴承的运行状态,根据包络谱可进行故障诊断;为了准确筛选有用分量,提出了基于滑动峭度相关性准则的分量筛选方法;首先,对信号进行局部特征尺度分解,得到若干个内察尺度分量;然后,对分量和原始信号分别计算滑动峭度,生成时间序列;最后,依据分量滑动峭度序列与原始信号滑动峭度序列的互相关系数筛选有用分量;通过轴承内圈故障数据分析发现:有用分量与非有用分量之间的滑动峭度互相关系数比互相关系数差异明显,区分度更大,有益于分量的分类、筛选。 舰用恒压供水装置模糊PID控制器设计及仿真 舰用恒压供水装置可根据用水量自动变频及平滑加减泵,从而实现恒压供水。由于系统具有非线性、时滞性和时变性,建模和控制具有一定难度。传统的PID控制算法在应用中易引起响应速度慢、水泵在工作模式切换临界点附近反复启停等问题,控制效果较差。为解决上述问题,提出利用最小二乘系统辨识法,建立了某型舰用恒压供水装置系统模型,设计了模糊自整定PID控制器,并进行了仿真分析。仿真结果表明,上述设计的舰用恒压供水装置模糊自整定PID控制器控制效果较单纯PID控制具有适应性强、响应速度快、超调量小等优点。 Logistic回归筛选零值绝缘子红外特征的方法 零值绝缘子是造成输配电网络对地短路故障的重要原因之一。利用红外热像技术检测零值绝缘子的关键在于获取最优且适当的红外热像特征。提出了一种Logistic回归分析筛选零值绝缘子红外热像特征的方法。利用中值滤波及小波自适应扩散法进行红外热像去噪和灰度拉伸法增强图像对比度;采用二维最大熵阈值分割形成二值图,经过二值图像填充处理,自动截取绝缘子串区域最小外接矩形,得到绝缘子串区域矩形图像,通过灰度-梯度共生矩阵提取矩形区域13个纹理特征参数;应用Logistic回归分析对污秽等级和纹理特征组成的14个特征参数进行筛选,得出其中7个参数对分类结果有显著性影响。实验表明,该方法实现简单,能有效筛选特征参数,剔除冗余数据。 Part-Join:基于划分的字符串相似性连接 目前,已有许多高效的字符串相似性连接算法被提出,但是这些算法在过滤的过程中利用的往往是字符串本身的局部信息,而忽略了字符串集合的整体信息,故性能没有得到充分的提高。为此,提出了一种基于划分的算法Part-Join,它从频率向量、字母表、频率分布三方面对数据集进行子集划分,并给出子集间的过滤策略用于排除不相似的字符串对。扩展实验表明,Part-Join比已有算法Pass-Join效率提高了10%~15%。 可靠的网格作业调度机制 针对网格环境的动态性特征,提出了一种可靠的网格作业调度机制(DGJS)。按照作业完成时间期限,DGJS将作业分为:高QoS级、低QoS级和无QoS级,不同QoS级作业有不同的调度优先权;基于资源可用性预测,DGJS采用基于可靠性代价的作业调度策略,将作业尽可能调度到可靠性高的资源节点;另外,DGJS对不同QoS级作业采用不同的容错策略,在保证故障容错的同时,节省网格资源。实验表明:在动态的网格环境下,较之传统的网格作业调度算法,DGJS提高了作业成功率,减少了作业完成时间。 生化企业生产数据知识挖掘系统 对于生物化工产品的工业生产而言,更要有合适的生产环境条件,然而由于生产过程的复杂性,确定适宜的生产环境较为困难。就生化企业生产的数据特征,提出了生产数据的指标分割预处理及针对稀有数据的关联规则挖掘方法,对数据指标分割的过程进行了详细的阐述,并针对稀有数据挖掘,提出了关联规则挖掘中相对支持度的概念,在此基础上设计并开发生化企业关联规则挖掘数据分析系统,给出了系统的结构和功能,并对系统应用进行了试验和分析,取得了较好的效果。 面向工业人机界面的信息安全策略设计及实现 "针对工业人机界面资源有限、实时性要求高等特点,分别从入侵过滤、入侵检测、入侵处理3个部分设计了工业人机界面的信息安全策略。其中入侵过滤采用""白名单""机制,入侵检测采用基于模型的异常检测机制,模型包括任务模型、CPU利用率模型以及网络流量模型。该策略在基于LPC3250-Linux的嵌入式平台上进行了实现和相关实验,实验结果表明,该策略能有效地检测出测试数据集中的入侵行为并保持较低误报率,同时满足系统实时性要求。" 一种用于1V助听器的低功耗增益控制系统 文中提出了一种用于助听器的低功耗增益控制系统.与传统增益控制系统相比,利用两个MRC电路模块同时实现了自动增益控制和指数增益控制功能,有效地降低了系统功耗.同时为了解决传统设计方法在声音压缩工作状态下功耗增加的问题,提出了一种高效增益控制电路,实现了系统从非压缩状态转到压缩状态时,系统功耗的显著降低.该系统在特许半导体公司0.13μm标准CMOS工艺下流片实现,芯片在1V电源电压下的测试结果表明,芯片的功耗控制在45μW以内,且在600mVp-p输出摆幅下的总谐波失真仅为0.3%. 基于协作型VMM的虚拟机执行环境动态配置模型 针对当前各类虚拟机监控器(VMM)在定制虚拟机执行环境过程中灵活性不足、可用性不强方面的问题,提出并设计了一种用户动态配置虚拟机执行环境的模型,并在协作型VMM之上进行了实现。结合Intel VT-x技术的实现机制,充分利用虚拟机控制结构(VMCS)中的执行控制域特性,通过为用户提供接口,对虚拟机配置文件进行操作,实现对虚拟机执行环境的实时动态配置。用户利用该模型能够快速构建具有不同运行时特性的虚拟机执行环境。测试结果表明,该模型能够提高VMM的可用性。 多时态统一电网模型 "电网生产过程中对电网资源的管理精细化、规范化管理提出了进一步要求,亟需建成国网公司电网横向和纵向的""一张网"".以电网图数一体化建模为基础,从多时态统一版本角度出发,分析灵活的设备、拓扑以及图形版本管理的机制,为电网资源的图数一致性与完整性提供了保障." 点云模型分割及应用技术综述 介绍了点云模型分割的定义、分类和应用情况;比较、分析和评价了几类典型的点云模型分割算法,如边缘检测法、扫描线算法、聚类方法、基于图的分割方法等;给出了每种方法的应用特点和应用环境;指出了目前点云模型分割技术方法中存在的主要问题;分类介绍和评价了点云分割在相关应用中的研究工作;最后展望了点云分割技术今后的发展方向。 基于单次反射圆模型的混合定位算法研究 针对现有定位算法抗非视距能力弱、且对移动台求解时往往需要一个比较精确初始解的问题,利用移动台和散射体的几何位置关系,基于单次反射圆模型提出了一种抑制非视距误差的波达时间/波达角混合定位算法;定位过程中将散射体视为虚拟基站,根据最大散射半径确定移动台的可能位置范围,在该范围内搜寻所有满足约束条件的位置点,对这些点使用加权平均处理方式以提高定位精度,最后结果即为移动台估计位置;计算机仿真表明了算法的有效性。 基于多代理和多优先队列的短信实时并发算法 针对Modem发送短信在实时性、并发性及完整性等性能上存在的缺陷,提出基于多代理、多优先级队列的短信实时并发算法。该算法采用多代理、多优先级队列、线程池等技术手段保证短信控制的实时性、并发性及数据完整性,在算法中使用优先级,使某些信息能够优先发送。通过改造排队论模型和实验对算法进行验证,并将该算法应用于SMAS系统,系统运行结果表明,该算法大幅提高了其发送和接收短信的速度与效率,实现了短信实时性和并发性的目标,优化了SMAS系统的整体性能。 基于色彩和闪频特征的视频火焰检测 基于视频图像的火焰检测是火灾预防的一个重要研究方向。为了提高火焰的检测率,利用RGB和HSI色彩空间中的颜色信息,建立了一种新的火焰色彩模型,应用该模型提取疑似火焰区域。提出了一种基于累积差分RGB三通道的火焰闪频特征抽取方法,并用逻辑回归(logistic regression,LR)对火焰的闪频特征进行分析,得到了优化的权重和偏斜率,建立了火焰闪频特征值的概率模型。最后将概率模型应用于火焰检测。实验结果表明,该算法对火焰区域检测效果好,适用范围广,且能检测出较小的火焰区域。 基于Automation Studio的造纸机液压系统设计与仿真 液压系统给造纸机压辊提供稳定和可靠的压力来完成纸页的压榨脱水和压光。本文使用Automation Studio设计造纸机的液压系统,并对造纸机各压榨上辊的落下预压、加压和抬起复位等工作过程进行模拟仿真,仿真结果表明,设计的液压系统能以很好的压力稳定性和可靠性完成压榨工作。将设计的液压系统应用于造纸机,液压系统的运行效果基本与仿真结果相同。表明这种设计和仿真方法能准确地模拟实际液压系统的工作状态,它建模过程简单,运行参数调节方便,为液压系统设计及运行优化提供了一种好工具。 利用提取边缘线的方法定位条形码 为解决基于图像处理方式识别条形码的问题,提出了利用图像边缘线来有效且快速定位条形码的方法。该方法首先用大津法对原始灰度图像获得一个阈值,并对图像中灰度小于此阈值的像素进行隔行隔列处理,提取边缘线。然后将图像分割成大小相等的水平条,依据质心的位置和水平条中边缘点的数量分布确定起始条。通过已知的离散直线中小阶梯最下端的边缘点来拟合直线,并得到直线倾斜角度。仿真实验比较了Sobel算子边缘检测方法和该方法提取边缘线,以及用检测直线的Hough变换方法和该方法进行直线拟合的处理效果和运行时间,结果表明了所提出算法的有效性、快速性和可靠性。 基于AdaBoost.MH的Reyes渲染架构时间预估算法 在大规模真实感渲染系统中,需要对渲染任务进行分解和调度,将其优化后分配给不同的可用计算资源,实现快速集群渲染。为了实现渲染任务的有效分解和调度,提高并行效率,高精度的时间预估算法是不可欠缺的。通过深入研究使用RenderMan规范的渲染器常用的Reyes渲染架构中对渲染时间产生影响的各种因素,分析提取出影响渲染时间的7大要素特征,提出了基于AdaBoost.MH的渲染时间预估算法。通过在基于Reyes渲染架构的渲染引擎中的实验与测试表明,训练集和测试集的准确率分别达到79%和78%,为渲染任务的并行调度奠定了基础,同时也为渲染费用预估提供了依据。 云制造服务平台中的制造任务分解模式优化 为解决云制造服务平台中制造任务分解与资源配置环节脱节的问题,提出一种基于聚类算法的任务分解优化方法。首先制定任务初步分解策略,将制造任务初步分解成不可再分的子任务;然后综合考虑任务间的相关性、任务—资源的匹配性和资源竞争性,制定任务粒度大小的设计原则,利用聚类算法将初步分解后得到的子任务进行重组,实现任务分解的优化。通过算例对该方法的可行性与有效性进行验证。该方法从增强方法的适用性与提高任务分解结果对资源的匹配性两方面实现了任务分解方法的优化,同时降低了任务后续处理环节中与资源匹配问题的复杂度,较好地解决了任务分解与资源配置环节脱节的问题。 基于QEMU的CPU指令频度分析 采集计算机在不同应用环境下的指令流,并对计算机各个指令使用的频度进行统计,对CPU指令设计和优化有指导意义。针对现有指令频度统计方法存在的跟踪效率低、获取速度慢等问题,提出一种新的指令频度分析方法。该方法的核心思想是在QEMU模拟器上执行用户行为脚本,利用QEMU插件获取CPU执行的指令流,并利用基于块的统计优化性能。实验结果表明,该方法可跟踪并获取指令流,能高效地分析出各指令的使用频度,并直观地展示分析结果。 基于互联网的数字媒体内容舆情分析系统 快速发展的互联网已成为反应社会舆情的重要载体之一,如何对互联网数字媒体内容舆情进行分析监控,及时有效地发现危害社会安全的各类有害虚假的舆情信息,已成为促进我国数字媒体内容舆情信息安全和内容监管健康发展迫切需要解决的问题。提出了一个基于互联网的数字媒体内容舆情分析模型,设计并实现了基于互联网的数字媒体内容舆情分析系统,并就涉及的实用关键技术进行了探讨。 天然气长输管网的模拟与优化模型研究 针对天然气长输管网能耗高的问题,研究了基于Aspen Plus的计算机模拟模型及优化算法。采用BWRS热力学方法,考虑了燃气轮机消耗天然气对管网的影响,并采用Calculator模块计算燃气消耗量,建立了天然气管网模型。以压缩机站能耗最低为目标函数,以节点流量、压力、压缩机转速为操作变量,以压缩机特性参数、各节点流量、压力、管道的压力限制等为约束条件,采用SQP算法(序列二次规划法)对含15个节点、9条管段、6个压缩机站的循环天然气管网进行优化,分别采用不同的压降计算方法,并得到了各最优管网运行方案。与文献值相比,该方案燃料消耗速率降低24.2%。相对于不考虑天然气消耗的管网,该优化方案使得能耗降低1772.6 k W。燃料消耗速率降低4.83%。不同压降计算方法优化结果表明,采用Weymouth法能耗最高,AGA法次之,Panhandle B法最低。 嵌入式XPE系统镜像的定制和优化技术研究 为了将WindowsXPEmbedded(XPE)应用在非手持和能耗不敏感的嵌入式应用中,要求能够对嵌入式操作系统实现快速安装,并进行系统优化提高性能。针对这类应用,利用Windows Embedded Studio定制了系统镜像,进而实现系统的快速移植安装,优化了系统镜像的启动画面、多用户的自动登录及其自动切换过程、系统的服务项目以及用户Shell。通过上述步骤,系统一方面能够为用户提供基于Browser/Server(B/S)架构的嵌入式网络应用,另一方面能够为用户提供与其本地语言相匹配的本地桌面应用。 基于STM32与MCX314的双核四轴运动控制器 自主设计了一种双核四轴运动控制器。该运动控制器以MCX314As与STM32为核心硬件。该控制器不仅能够完成四轴的位置、速度和S曲线的加减速控制等功能,还能够完成直线插补,任意两轴圆弧插补与任意三轴位元插补。该控制器还基于STM32配备了USB接口、SD卡接口、USART口以及CAN总线等。该设备有很强的移植性,不仅能接入大型总线网络中,还具有很强的独立工作能力。 火箭配电器测试系统设计 火箭配电器作为箭上电气系统的重要部件,对火箭飞行试验起着至关重要的作用;配电器测试直接反映其各项功能达标情况,测试方法的优劣会影响对配电器性能的评价高低;配电器测试系统以加固计算机为开发平台,通过PXI数据采集板卡对调理后的信号进行采集和控制,并在显示器上显示测试结果与数据,从而完成配电器的各项功能测试;测试系统原理样机测试某箭上配电器,比较测试值与设计值结果相符,可以得出系统设计可行、可靠;该测试系统大大改进了以往的测试手段,具有体积小、可靠性高、易于携带等优点。 基于最小二乘网格的模型变形算法 针对自由变形技术难以保持模型细节的问题,提出一种基于最小二乘网格的模型变形算法.通过顶点位置约束的全局拉普拉斯光顺分解出表示模型低频信号的最小二乘网格,并求出高频信号在该网格上的编码;通过用户交互,基于均值坐标对最小二乘网格进行自由变形;根据最小二乘网格各顶点处局部标架在变形时的几何变换求出变形后的高频编码,通过解码求出变形后的网格模型.实验结果表明,该算法简单、高效且便于用户交互,有效地保持了模型的几何细节. 基于ORM的海洋地质取样应用模型设计方法 随着海洋在经济发展中的地位日益突出,海洋地质调查越来越重要,如何合理地管理使用海洋地质调查数据成为研究热点。文中以海洋地质取样业务为例,采用面向对象的技术方法,提出了基于ORM的海洋地质取样应用模型设计方法,实现了海洋地质取样业务与Epicentre数据模型的关联,并详细介绍了海洋地质调查取样业务划分,以及基于ORM技术的海洋地质取样模型构建方法。通过该方法得到的海洋地质取样模型是面向对象的,与传统的关系型海洋地质调查取样数据库相比具有较好的可扩展性及关联性。 基于SOA的可变业务流程管理系统 针对业务流程管理系统对流程可变性的需求,在分析现有SOA实现模型的基础上,结合本体知识库,提出一种基于SOA的支持流程可变的业务流程管理系统模型。利用SOA的松耦合性以及本体知识库的规范化描述及推理功能,使业务专家可以独立进行流程建模,从而满足业务流程灵活调整的要求。介绍了该模型的实现机制和相关技术以及该模型在虚拟旅行社智能平台的应用。 锥束CT灌注成像方法的可行性研究 为研究图像引导放疗(IGRT)中锥形束CT(CBCT)在低速单周环扫条件下进行灌注成像的可行性,首先利用大白兔动态对比增强断层图像(DCE-CT),通过计算机仿真技术模拟CBCT投影数据;然后建立各体素的时间密度变化模型,利用所获投影数据集,计算出各体素的时间密度曲线(TDC);最后通过去卷积模型求解出组织灌注参数并伪彩色处理。对比仿真获得的投影数据模拟对应体素的时间密度曲线与原始DCE-CT获得的对应体素的TDC,得到的两组TDC平均相关系数达到0.87,呈现出较高的吻合度;实验所得灌注参数及灌注图显示:肿瘤区域与正常组织区域的灌注参数值之间具有统计学意义上的显著差异。实验结果获得了较为准确的组织灌注值,证明图像引导放疗中集成在直线加速器上的CBCT在低速单周环扫条件下进行灌注成像是可行的。 有准备时间无等待流水车间调度的搜索算法 利用迭代变化邻域搜索算法(IVNS)求解最小化总完工时间的有准备时间无等待流水车间调度问题.设计局部搜索算法需要考虑3个关键因素:所用邻域、解评估和局部最优的克服.因此,定义了3个较大规模邻域以扩大搜索范围.为加速解评估,利用目标增量来避免重新计算每个解的目标函数值,使相邻解比较只需常量时间,NEH插入算法的时间复杂度降低一阶.IVNS通过切换邻域和扰动重启,来克服局部搜索易于陷入局部最优解的缺点.通过与求解该问题的当前最好算法在5400个标准算上,以相同CPU时间进行的实算比较,实验结果统计分析验证了IVNS的寻优性能明显优于参照算法. 面向服务需求匹配驱动的服务组合方法 服务通常是聚集功能来满足用户需求和软件动态变化的要求,从服务需求状态角度采用语义关联提出一种面向服务需求匹配驱动的服务组合方法,该方法以服务需求质量和服务需求相似度为主要参数,通过建立满足服务需求匹配的服务质量模型来支持该方法,以及从服务需求的角度建立匹配算法来驱动服务组合功能动态演化和重组,并以模糊隶属性来量化各相似度的分配.通过语义关联实现语义需求与语义Web服务映射,并检查映射间的一致性,最终实现服务需求匹配驱动服务组合.最后理论和实证表明:面向服务需求匹配驱动的服务组合方法具有较好的实用性和有效性. 无线传感器网络的NS2扩展与仿真机制研究 分析了NS2的工作原理和仿真机制,重点介绍了分裂对象模型和离散事件调度模型。以无线传感器网络作为重点,阐明了NS2对无线传感器网络的扩展机制,包括节点能耗模型扩展、移动节点扩展以及相应路由协议扩展。在此基础上,介绍了NS2仿真传感器网络路由协议的代码调用过程及仿真模型,并以分簇路由协议LEACH为实例进行了仿真实验,从而为解决NS2下无线传感器网络协议仿真的代码扩展问题提供了参考。 基于变权证据合成的信息安全评估 D-S证据理论具有良好的不确定信息决策融合能力,但存在木桶效应问题。为此,提出一种基于变权证据合成的信息安全评估方法,采用证据所对应指标的安全风险程度自适应地调整状态变权向量,根据证据向低安全级的倾斜程度确定权重系数,并通过DS规则实现证据聚合。实验结果表明,与原方法相比,改进方法能给出更合理的评估结果。 基于HVS和交叉掩蔽的彩色图像编码算法 以层树分集(SPIHT)编码方案为基础,结合人类视觉系统(HVS)模型和人类视觉对彩色图像分量亮度和色度的不同敏感性,提出了一种基于非对称编码和交叉掩蔽的小波域彩色图像压缩编码算法。该算法首先将原始图像从RGB空间转换到YCbCr空间,然后对YCbCr空间的各分量进行离散小波变换;之后根据人类视觉对彩色图像的亮度分量的敏感性,用交叉掩蔽模型对亮度分量的小波系数进行加权处理;与此同时,利用非对称编码和SPIHT编码思想完成图像的压缩。仿真实验结果表明,文中算法是一种高效的图像压缩编码方法,其压缩效果明显优于SPIHT编码方案。 基于数据驱动的工作流引擎的设计与实现 工作流是计算机化的业务流程.工作流引擎为工作流流程提供运行环境,在流程的执行过程中起着关键性作用.传统的工作流技术在处理复杂多变的流程时,缺乏良好的建模以及适应机制.本文提出一种基于数据驱动的工作流运行方式,实现了活动与活动之间的松散耦合,并以此为基础设计并实现支持这种数据驱动方式的工作流引擎.该引擎在应对复杂多变的业务流程时具有较强的处理能力,并能够实现流程的自动建模. 一种基于重用距离预测与流检测的高速缓存替换算法 传统的缓存替换算法由于不能适应应用程序的流式访问行为而导致缓存性能不佳.设计基于周期检测的预测方法,分析程序访存重用距离的规律性和流式访问的复杂性,提出用重用距离预测能同时适应简单流和复杂流访问模式的RDP算法.RDP的基本思想是预测重用距离并动态维护重用距离计数,动态调整缓存数据的替换顺序,通过流采样缩减存储开销.实验结果表明,RDP算法能够很好地适应程序中多样化的流访问模式,其总体性能优于LRU算法和DIP算法,在32MB缓存上比传统LRU算法平均减少了27.5%的缓存缺失. 基于粗糙集的拒绝决策规则获取和约简 针对确定性和不确定性决策规则无法给出拒绝判断的缺陷,提出一种拒绝决策规则获取方法。通过分析拒绝决策规则的度量因子,设计2种可以保持下近似不变和上近似不变的相对约简算法,给出基于分辨矩阵的拒绝决策规则约简的判定定理和操作方法,实例分析结果验证了该方法的有效性和实用性。 基于AODV的无线自组织网络负载均衡路由算法 传统无线自组织网络的负载不均,导致端到端时延增大、传输比下降、节点大量死亡等问题。为此,以无线自组织网络按需距离矢量(AODV)路由协议为基础,提出一种改进的负载均衡算法。采用单路径负载均衡方法,考虑节点的即时负载和过往负载,使用节点缓冲区的队列长度、节点剩余能量等指标反映节点的负载情况,并关注瓶颈处的关键节点对网络性能的影响。仿真结果表明,与AODV、改进能量路径等传统算法相比,该算法在不同负载情况下的适应性较好,能提供较为稳定的网络性能。 基于MapReduce的贝叶斯网络参数学习方法 期望最大化(Expectation Maximization,EM)算法常被应用于贝叶斯网络参数学习过程,但在处理海量数据时由于迭代计算过程的复杂性和处理器、内存等资源的限制,该算法的效能受到极大影响;通过对大数据环境下传统线性贝叶斯网络参数学习方法计算复杂性瓶颈问题的研究,提出了基于MapReduce平台的贝叶斯网络并行期望最大化(Parallel Expectation Maximization,PEM)参数学习算法;利用不完备训练样本集,对态势评估贝叶斯网络进行参数学习;仿真结果表明:在大数据条件下PEM算法能够准确的学习网络参数,同时有效减少参数学习所需时间且具有较好的可拓展性。 基于边缘分类能力的动态集成选择算法 提出了一种新的基于边缘分类能力排序准则,用于基于排序聚集(ordered aggregation,OA)的分类器选择算法。为了表征分类器的分类能力,使用随机参考分类器对原分类器进行模拟,从而获得分类能力的概率模型。为了提高分类器集成性能,将提出的基于边缘分类能力的排序准则与动态集成选择算法相结合,首先将特征空间划分成不同能力的区域,然后在每个划分内构造最优的分类器集成,最后使用动态集成选择算法对未知样本进行分类。在UCI数据集上进行的实验表明,对比现有的排序准则,边缘分类能力的排序准则效果更好,进一步实验表明,基于边缘分类能力的动态集成选择算法较现有分类器集成算法具有分类正确率更高、集成规模更小、分类时间更短的优势。 基于实时性的嵌入式多核系统Cache管理 多核微处理器共享Cache结构导致的数据污染会造成Cache命中率降低,为提升多核微处理器的执行效率和实时性,提出一种实时嵌入式多核系统的任务调度方案。通过将共享Cache的多核核心划分为优先核与匹配核,保证优先核中按任务优先级分配任务;根据实时性松弛度和相关度的要求,寻找并分配匹配核中的任务。给出算法实施的具体步骤,例证分析并验证了该算法的有效性,其能在优先保证任务实时性要求的前提下,提高共享Cache结构多核微处理器的执行效率。 OWL本体存储模式研究 本文深入研究了本体的存储介质以及本体在关系数据库中的存储模式,指出当前各种本体存储模式的不足之处,提出了新的基于关系数据库的混合存储模式。用教育领域本体测试框架对所提出的存储模式进行实验验证。实验结果表明,该文所设计的基于关系数据库的存储模式具有结构清楚,查询效率高和扩展性能强的优点。用该文设计的混合存储模式要比目前广泛使用的垂直模式在各方面的性能都更优越,而且也适宜于存储大规模本体。 高速电视测量仪主控软件的设计与实现 为了实现高精度的火箭起飞段测量,采用高速电视测量仪代替传统的高速摄影,主控软件对多站角度测量信息进行交会计算,将两两交会测量数据进行加权融合处理,将形成的综合弹道参数送往中心计算机,为靶场安全控制、指挥监控等系统提供决策信息。主控软件的研制填补了靶场长期以来中心机在火箭起飞初始段无实时弹道的空白。 Storm启发式均衡图划分调度优化方法 针对现有Storm调度器未考虑通信代价,负载不均、无法动态重调度等缺陷,提出了基于启发式均衡图划分算法的调度策略.通过对Storm建立调度模型,将负载检测作为调度器的输入实现动态并行参数优化和重调度优化,最终减少集群节点间的数据发送率,并且保持节点间负载均衡.实验表明本文算法建立的动态调度器能够有效减少数据处理延时,提升集群吞吐量,实现对集群性能的整体优化. 基于链路状态的AODV路由协议改进 针对AODV协议运行时路由相对稳定的特点,提出一种AODV协议运行时优化方法。该方法通过Hello消息和邻居节点进行信息交换,根据路由跳数、节点电池电量和射频信号强度3个链路状态值动态选择最优路由,实现AODV协议的运行时优化。仿真实验结果证明,改进的路由协议能够有效增加数据包投递率,减少平均端到端延时。 复杂设备故障预测可拓聚类分析模型 针对大型复杂设备运行状态的复杂性和健康状态诊断的不确定性,研究了复杂设备故障预测问题,给出了一种基于可拓聚类方法的智能化复杂设备故障预测分析模型。该模型利用可拓理论进行被诊断设备诊断状态的物元建模,并基于物元模型进行诊断数据的形式化和模型化描述,利用可拓理论关联函数对复杂设备故障预测进行定性和定量相融合的分析,从而达到对复杂设备故障状态的快速预测,为设备维修的计算机辅助设计顺利实施提供支持。将模型与方法应用于某动力系统装备的实例中,验证了模型的有效性。 IT系统运维管理研究 基于ITIL服务支持管理流程的各个方面,对IT运维服务管理进行了探析,并在原有流程的基础上提出了从项目开发到最终运行全阶段的运维管理框架.该框架包括开发维护和运行维护两部分,开发阶段通过文档管理、项目动态、进度监控和知识管理将项目开发时的任务和解决方案进行记录,并控制开发进度;维护阶段按照事件管理、问题管理、配置管理和知识管理这样的流程监控系统的运行状况,快速及时地解决系统运行时的故障.IT系统通过服务台将自身的运维信息反馈到运维部门,方便了运维部门进行统一管理. 一种降低ACELP码书搜索复杂度的高效算法 为了降低代数码激励线性预测(algebraic code-excited linear prediction,ACELP)语音编码算法的复杂度,以便更好地实时实现,提出了一种有效的改进算法。在自适应码书搜索上提出了不连续的开环基音搜索算法,利用时间抽取因子对不同时延段语音样点进行不连续抽取;在代数码书的搜索上提出了一致脉冲替换法,采用脉冲位置预选和循环判断机制控制码书搜索的次数。以G.729A为实验平台进行仿真,仿真结果表明,改进的算法在保证语音质量的情况下,有效降低了ACELP码书搜索的复杂度。 基于矩阵的混合型数字匹配滤波器的研究 针对测井信号扩频传输的实际需求,在FPGA芯片上设计并实现了一种改进的混合型数字匹配滤波器。匹配滤波相干过程采用递归化矩阵运算的方式进行,并将滤波器中多路乘加器计算求值的结构改用查表寻值形式,以同时满足井场对简化设备结构与保证工作速率的要求。测试结果显示,该结构数字匹配滤波器的处理效果能满足设计要求。 基于ARIMA模型的Ad-hoc网络节点位置预测加权分簇算法 在加权分簇算法(WCA)中引入预测机制,即在算法的路由维护阶段嵌入时间序列模型(ARIMA),用以预测网络节点的地理位置。利用ARIMA模型实时预测出节点下一时刻的地理位置,并以此计算出节点的累计保持时间预测值。将通过预测得到的累计保持时间值与时间预警阈值进行比较,在簇结构即将不稳定时,即在链路断开之前,提前启动预修复过程,寻找新的路由,降低网络拓扑动态变化的影响,维护簇结构的稳定。仿真结果表明,相对于LO-WID以及没有加入预测机制的RLWCA,ARP-LWCA算法大幅度提高了网络的分组投递率,降低了网络的归一化开销,并且使得路由中断次数有了明显减少,改善了网络的整体性能。 基于BP神经网络的红外目标识别技术 论文主要研究的是基于BP神经网络的红外目标识别技术,红外目标的检测识别是红外搜索与跟踪系统、精确制导系统、红外预警系统等的一项核心技术。论文重点是介绍对空中飞机目标的识别,空中目标识别是防空作战辅助决策的关键环节之一。根据红外目标的各种属性,提取构建样本特征库,从而建立基于BP神经网络原理的空中目标识别模型。利用BP神经网络的训练函数采集样本特征数据,得到稳定的权值和阈值,为后续的目标识别分类提供依据。该模型主要利用神经网络的记忆、联想、容错功能,进一步提高目标识别的稳定性和可信度,降低了个别误判而造成目标识别错误的概率。 3DS文件特征提取器的设计与实现 深空探测可视化系统中存在着数以万计的三维模型,这些模型杂乱无章,将其规范化管理的方法之一就是分类编码。为此,选取天体模型作为3DS(3DStudio)格式的文件,并在进行分类编码时选择文件的十个重要特征作为分类点。为了科学地提取3DS文件特征并为后期分类编码提供准确的文件信息,设计并提出了一个3DS文件特征提取器。该提取器通过输入3DS文件解析其块结构,从中提取材质、贴图、顶点、对象数等十个目标特征信息,并根据块ID识别重要块并以txt格式输出。为验证所提出提取器的有效性和可行性,以土卫三作为验证实例,通过提取结果来检验所提出文件特征提取器的科学性、规范性和适用性。验证实验结果表明,所设计的提取器提取到的模型特征信息与实际模型属性信息相吻合,能够实现对目标模型的指定信息提取,且提取数据真实有效,为提取模型文件特征提供了一个科学、规范的方法,对于天体模型的规范化管理具有一定的参考价值。 关于混合动力挖掘机轴强度设计的仿真研究 混合动力挖掘机由于电动机的加入,改变了原轴系机械结构及其扭振频率,当实际工况频率与轴系扭振频率接近时则会引发共振,而对挖掘机造成不可估量的破坏。为解决上述问题,需要对轴系进行基于扭振频率的强度设计,在计算6t混合动力挖掘机相关技术参数的基础上,建立了多动力源复杂轴系扭振模型。以挖掘机实际工况参数进行了基于AMESim和Simulink的联合仿真,仿真结果表明动力轴系扭振频率为2500Hz。为避免轴系扭振频率与实际工况频率接近而引发共振,对比了弹性联轴器和附加飞轮对扭振频率的影响,结果表明:在发动机、电动机、液压泵连接处安装弹性联轴器,通过减小轴系扭转刚度,显著降低了轴系扭振频率;安装附加飞轮,对扭振频率影响很小,挖掘机轴强度设计提供了依据。 基于双线性配对的可验证签密方案 在传统的签密方案中,只有接收者能验证其签名的正确性,导致签密不能直接应用于公平交换协议的设计中。针对上述问题,提出一个可验证的签密方案及其形式化安全模型,基于双线性配对设计一个高效的可验证算法,在随机预言机模型下证明该方案的不可伪造性和安全性。 基于稀疏性的图像去噪综述 利用图像的稀疏与冗余表达模型去噪是当前较为新颖的去噪方法,在对国内外稀疏模型去噪文献进行理解和分析的基础上,回顾稀疏性去噪研究的发展,阐明稀疏去噪的原理与降噪模型。总结用于稀疏去噪中的各类方法,介绍利用稀疏性在图像去噪中的分解与重构过程,并将小波法去噪、多尺度几何分析法去噪、独立成分法去噪中所涉及的传统稀疏性与当前的稀疏与冗余表达模型去噪对比分析。最后基于对稀疏性去噪方法的分析,提出对稀疏去噪研究方法的一些展望。 两轮直立代步平衡车的设计与实现 针对市面上昂贵的两轮平衡车,提出一种廉价的两轮直立代步平衡车的设计方案。通过改进卡尔曼滤波算法对加速度传感器和陀螺仪输出的数据进行融合得到准确姿态,采用功率NMOS设计大功率电机驱动电路,设计PID控制器实现直立代步平衡车的动态平衡和转向控制。经过实际路况测试,车子运行灵活,能够适应各种路况,最大爬坡角度为30°,时速最大15 km/h,行程60 km。系统成本在1300 RMB左右,有很高的性价比。 可压缩液体反后坐装置液压阻力仿真研究 根据某火炮力学特性设计了一种可压缩液体反后坐装置,结构简单紧凑、动作可靠。采用某二甲基硅液作为驻退液,既能产生液压阻力消耗后坐动能,又能利用可压缩性储存部分能量以供火炮复进使用。针对基于一维准定常流动假设的液压阻力计算模型存在较大局限及误差的问题,建立三维双向流固耦合模型,对反后坐装置后坐复进过程中复杂流场性质及结构场动态特性进行了数值仿真,得到了内部流场及结构场相关数据并绘出液压阻力曲线,试验结果表明,上述法能够较真实地描述可压缩液体反后坐装置的工作特性,为进一步研究结构优化和故障分析提供了有效途径。 基于威胁情报平台的恶意URL检测研究 互联网应用已经渗透到人们日常生活的方方面面,恶意URL防不胜防,给人们的财产和隐私带来了严重威胁。当前主流的防御方法主要依靠黑名单机制,难以检测黑名单以外的URL。因此,引入机器学习来优化恶意URL检测是一个主要的研究方向,但其主要受限于URL的短文本特性,导致提取的特征单一,从而使得检测效果较差。针对上述挑战,设计了一个基于威胁情报平台的恶意URL检测系统。该系统针对URL字符串提取了结构特征、情报特征和敏感词特征3类特征来训练分类器,然后采用多分类器投票机制来判断类别,并实现威胁情报的自动更新。实验结果表明,该方法对恶意URL进行检测的准确率达到了96%以上。 基于FPGA的高效数据过滤技术研究 为了提高Hadoop的数据处理效率,在Hadoop集群中加入FPGA对Hadoop分布式文件系统中存储的数据进行过滤,这样MapReduce程序只需要对过滤后的数据进行自定义的操作,从而避免了扫描全部数据.实验表明处理海量的数据时,FPGA能够显著地过滤掉无用数据,从而提高数据处理效率. 基于802.11i的EAP-TLS认证机制的安全分析 为了有效解决无线网安全认证的问题,分析了无线网的新一代安全标准IEEE802.11i的RSNA建立过程。通过对关键步骤EAP-TLS实体认证机制的研究,指出EAP-TLS认证协议在使用过程中由于配置不当而导致的安全漏洞,以及数据帧没有加密可能受到的DoS攻击,并从降低攻击的发生和协议的改进方面提出了基于隧道的认证新方案。 参数模块和属性约简的应用服务器优化方法 现实的优化方法与策略往往是优化人员基于服务器厂商所提供的官方技术文档来分析各种参数的实际意义,优化比较漫长,缺少系统性和规律性,很难快速的确定所需调节的关键参数.本文针对常用的应用服务器分析了其性能下降的原因,提出了调节参数模块化思想并结合属性约简算法对参数模块进行属性约简,从实践中定量的找出影响系统性能的主要参数对其进行着重调节快速提高系统性能,提出了一种全新的服务器优化方法. 结合增广Lagrange罚函数的约束优化差分进化算法 利用增广Lagrange罚函数处理问题的约束条件,提出了一种新的约束优化差分进化算法。基于增广Lagrange惩罚函数,将原约束优化问题转换为界约束优化问题。在进化过程中,根据个体的适应度值将种群分为精英种群和普通种群,分别采用不同的变异策略,以平衡算法的全局和局部搜索能力。用10个经典Benchmark问题进行了测试,实验结果表明,该算法能有效地处理不同的约束优化问题。 在线密度敏感哈希算法研究 哈希算法在大规模的数据检索中得到了越来越广泛的应用,但目前无论是基于学习的哈希还是基于随机投影的哈希都是为静态数据集设计的,无法对动态的数据库或者动态的网页进行近邻搜索.为了解决这一问题,本文提出了基于在线k均值聚类的密度敏感哈希算法ODSH(Online Density Sensitive Hash).首先给出了固定聚类个数的在线k均值聚类公式;然后,根据在线k均值聚类量化后数据簇的表示点来划定超平面,并根据动态超平面推出该哈希算法的哈希函数以及对应的投影向量;最后根据投影向量划分的数据集求得各投影向量的信息熵值,根据其大小选出最合适的投影向量,并通过投影向量对数据集进行映射来获取对应的哈希编码.实验结果表明,与局部敏感哈希、谱哈希等哈希算法相比,本文算法在准确性和效率上均具有一定的优势. 一种移动P2P网络拓扑生成方法 P2P技术是当前研究的热点方向,众多科研机构和企业加入其中,取得了令人瞩目的研究成果。由于受到移动网络自身特点制约,一些在其他网络中应用良好的技术并不一定适合移动P2P网络。提出一种基于移动P2P网络拓扑生成方法,该方法通过改进Chord算法的方法生成覆盖网网络拓扑,并提出了一种处理节点移动的方法。实验表明,该方法在降低覆盖网平均时延的同时,显著提高了网络的搜索能力。 MIMO-OFDM的信道估计算法研究 关于无线通信问题,为提高容量和传输效率,提出了一种基于变步长的LMS的自适应信道估计跟踪算法,用于快时变衰落的MIMO-OFDM系统无线信道估计中。采用LMS分别跟踪信道经QR分解后的两个矩阵,迫使非上三角的元素为零,抑制了部分干扰与噪声。再通过将抽头输入向量的平方欧氏范数进行归一化,用来调整LMS自适应算法的步长,以达到有效跟踪的目的,同时避免了矩阵的求逆运算,降低了实现的复杂度。通过计算机仿真验证了改进的基于变步长的LMS自适应算法在精度和性能上优于原有的算法。 基于风驱动优化算法WDO的PID参数优化 鉴于PID控制器的优越性,其在工业控制领域中的引用越来越广泛。PID控制器的性能主要在于其参数优化设计,PID参数优化问题一直是研究热点。为了解决PID参数优化问题,提出了一种基于自然启发的风驱动优化算法(WDO)的PID优化控制方法,该算法以PID三个参量为控制对象,以误差绝对值和控制输入平方项的时间积分作为优化目标,经过迭代寻优计算得到系统最优控制量。通过计算机仿真,并与遗传算法和粒子群算法PID参数优化相比,结果表明:该算法提高了系统的控制精度、响应速度和鲁棒性,为控制系统PID参数整定提供了参考。 基于贝叶斯网络的运动想象脑电信号分析 传统运动想象脑电信号判别分析方法存在提取特征数量多、不能反映脑电信号本质特征等问题。为此,提出一种基于贝叶斯网络结构的直观判别分析方法,用于描述进行左右手运动想象时各个导联脑电信号所组成网络的结构差异。在结构学习中引入各个导联的位置信息,利用连续高斯分布对其进行描述,以充分反映脑电信号的高时间、空间分布特征,实现对左右手运动想象脑电信号的网络建模。分别在国际脑电比赛数据集及实验室采集的数据集上进行实验,结果表明,该方法能准确反映各个导联脑电信号的特征及导联之间的关联情况,与PCA+fisherscore方法相比,具有较高的识别率和稳定性。 航空煤油飞行消耗量准确预测仿真 研究航油消耗量预测准确度问题。由于航油消耗量受多种因素的综合影响,具有趋势性、非线性和随机波动性特点,仅用单一模型难以全面地揭示研究对象的发展变化规律。针对上述问题,提出一种改进的灰色马尔科夫预测建模方法。首先建立航油消耗量GM(1.1)模型,其次采用新陈代谢法,引入几何平均缓冲因子法和残差修正法三种不同方式对传统的灰色GM(1.1)模型进行改进,再将优化后的灰色模型与马尔科夫链模型进行结合。利用改进后的模型对航空煤油飞行消耗量进行预测及检验,仿真结果表明,采用改进后的灰色马尔科夫模型在预测值的精度和准确度上都有了较大的提升。 通过混合多目标优化算法优化本体映射结果 提出采用混合多目标优化算法以解决优化三类不同的相似度度量技术(基于语法学的相似度度量,基于语言学的相似度度量和基于分类学的相似度度量)的映射结果集成的问题.比起传统的基于遗传算法的方法,本文提出的方法能够同时实现三个目标,即最大化映射的查全率recall、查准率precision和f-度量f-measure值,获取的本体映射结果能够避免对于查全率或是查准率的偏好.实验结果表明本文提出的方法是有效的. 环F_p+uF_p+vF_p上的(1+u+v)-循环码 常循环码是一类重要的线性码,由于其纠错性能易于分析,因而广泛应用于实践中,同时,利用有限环上常循环码还可以直接构造最优线性码。定义了有限非链环F_p+ uF_p+ vF_p上(1+u+v)-循环码的相关概念,讨论了其生成多项式;引入了一种新的Gray映射?,证明了该环上(1+u+v)-循环码在此Gray映射?下的p元象是一个长为2pn的2-准循环码,并由此构造出了两个最优二元准循环码。 开放式RFID双向认证协议及安全性分析 针对射频识别(RFID)系统由于资源有限、广播传输等因素而存在的安全缺陷,提出了一种在开放环境中标签和阅读器之间进行双向认证的协议。采用对称加密结合随机数的方法可以很好地协调安全、高效以及成本问题。同时协议不要求阅读器与数据库之间的通信必须是安全的,从而提高了阅读器的移动性和应用范围。通过BAN逻辑对协议进行了形式化分析,证明了所提协议是安全可达的,能够有效避免窃听、追踪和重放等安全威胁。 基于循环迭代算法改进的TFIDF方法及应用 文本聚类是指自动地将文本集合分组为不同的类别,应用非常广泛。研究发现,传统的TFIDF文本分类方法存在很多的不足,针对这些不足提出改进。通过使用循环迭代算法根据特征词在类内和类间的分布情况不断优化特征词的选取,获得不断改进的分类。采用支持向量机分类器对文本分类。通过对大批量的数据集测试,该算法显示出较好的特征选择效果,能够有效地提高分类精度。 基于Loose r-sample的网格质量保持技术 为保证虚拟手术系统中的网格质量,提出一种基于Loose r sample理论的快速表面网格重建算法。记录满足Loose r sample采样定理的点集,用以描述物体的轮廓。通过约束Delaunay方法对该点集进行三角化,标记顶点和Delaunay单元,重构新的网格。实验结果表明,该算法能够保证生成网格的质量,简化仿真复杂度。 基于免疫机制的无线传感器网络路由优化 针对无线传感器网络节点能量受限,路由协议鲁棒性不强的特点,提出了一种基于免疫机制的路由优化算法。利用组播理论与人工免疫全局优化性能,建立路由优化与免疫系统映射关系,设计路由优化算法中的抗体表示、克隆繁殖、克隆选择和基因变异等免疫规则,并从计算方式、局部收敛预防和鲁棒性三方面分析算法的性能。仿真验证了算法的有效性。 基于力学模型的曲面展开通用算法 为了解决曲面展开算法实现复杂、累积误差和通用性不佳的缺点,提出一种在三维空间内基于能量模型的曲面展开算法,待展开的曲面以三角网格形式表示,将曲面简化为三角网格的顶点组成的质点系统,通过在每个质点上加上适当的力使得三角网格产生相应的变形,达到将曲面展开的效果。与现有算法相比,该曲面展开算法适用于任意形状曲面,不需要使用投影平面,整个变形完全由网格顶点受力来驱动,便于实现、适用面广、对于不可展曲面也能得到较好的展开效果,而不会出现裂纹现象。 基于改进轮盘赌策略的交互式演化算法 "根据轮盘赌策略提出了一种基于改进轮盘赌策略的交互式演化算法(RS-IEA),并将其应用于求解商业海报的设计上。商业海报的设计问题可建模为一个隐式性能优化问题,传统的优化算法不能直接对该类问题进行求解。由于RS-IEA算法有用户的参与,它既保留了传统演化算法固有的求解最优化问题的优势,又融入了""人的评价"",能够成功解决该类问题,并获得理想的设计方案。" 多投影显示系统结构光几何校正算法 针对带有非规则曲面显示墙的多投影显示系统的几何校正问题,提出一种基于结构光投影技术的自动非线性几何校正算法.该算法首先用结构光投影技术计算出每个投影机与显示墙的映射关系;其次使用相机拍摄显示墙上的经纬度点阵;最后通过提取拍摄的图像特征计算得到投影机帧缓存中每个像素点在全局坐标系下的经纬度值,并将其转换为纹理坐标,从而实现了非线性几何校正.模拟仿真实验和虚拟机场系统中的实际应用结果表明,文中算法能够有效地提高系统的几何校正精度,且无需进行相机的标定和具有显示墙解析式的先验知识,适用于任意光滑的曲面显示墙. 前后车辆最优速度差跟驰模型与数值仿真 为了克服经典最优速度(OV)模型仅依据车间距单一因素调整跟驰车最优速度的局限性,在考虑前导车与跟驰车最优速度差信息对交通流稳定性影响的基础上,提出了一种改进的最优速度差(OVD)模型,并通过小振幅摄动法对模型进行了稳定性分析,推导了模型的稳定性条件。最后对改进OVD模型进行了数值仿真。通过线性稳定性分析,发现考虑前后车辆最优速度差后,自由流稳定的临界驾驶员反应灵敏度系数明显减小,稳定区域显著增加。仿真结果表明,与OV跟驰模型相比,在相同初始扰动条件下,改进OVD模型能够明显增强交通流的稳定性。 JPEG2000码率控制截断预测算法及VLSI设计 针对JPEG2000低码率下的码率控制算法在运算量和存储量上冗余较大的问题,论文提出一种基于预测的码率控制截断算法。论文算法根据目标码率对图像数据样本采样,进行率失真斜率的预测,提前截断块编码过程,并提出一种JPEG2000系统两级码率控制的VLSI结构,第一级采用论文提出的预测算法截断码流,第二级确定精确的分层截断点。测试结果表明论文算法在码率低于0.5比特/像素时,可节省运算量和存储量70%以上,而峰值信噪比基本没有降低。 信息传递法求不确定系统中的状态可达关系 在不确定规划领域中,在求规划问题的解时,由于缺少引导信息,会导致许多无用状态和动作被搜索,造成冗余计算。所以在求规划解之前,找到不确定状态转移系统中状态之间的可达关系是很有意义的。以往的算法是通过矩阵相乘来模拟状态转移,但该类算法对于规模较大的系统开销较大。因此,提出了用信息传递法来求解可达关系,用矩阵来模拟不确定状态转移系统。其中每个状态记录了其他状态到达该状态的可达信息,通过状态之间的可达信息的传递,求得不确定系统的状态可达关系,以避免大量的矩阵运算。通过实验对比表明,当不确定系统规模较大时,所设计的算法优于矩阵相乘的算法。 基于连续查询的用户轨迹k-匿名隐私保护算法 针对用户轨迹隐私保护提出新的保护方法,该方法采用不可信第三方中心匿名器,用户获取自己的真实位置后首先在客户端进行模糊处理,然后提交给第三方匿名器,第三方匿名器根据用户的隐私需求结合用户某时刻的模糊位置信息生成虚假用户,然后根据历史数据生成虚假轨迹。为了进一步提高虚假轨迹与用户真实轨迹的相似性,该算法提出了虚假轨迹生成的两个约束条件:虚假轨迹距用户真实轨迹的距离约束和相似性约束。经大量实验证明,该算法与不同时刻k-匿名算法相比,不仅可以满足连续查询的用户轨迹隐私保护而且可以满足基于快照的LBS用户位置隐私保护。 员工加班强度与离职的关系建模仿真分析 加班的强度一直是离职的主要原因,单纯的加班强度与离职直接的关系受到员工心理、外部因素等复杂条件的影响,而影响因素都是非线性的,无法运用有限的约束表述之间的关系,导致两者的关系一直无法系统建模。提出采用条件概率算法对员工加班强度与离职的关系建模方法。先依据员工加班强度与离职影响性的关系测度与状态值组建因果关系模型。利用员工加班强度与离职影响关系的动态变化性对建立的模型进行拓展,引入D-optimal设计将因果关系样本集分为训练集和测试集两部分,并对拓展后的员工加班强度与离职影响因果关系模型进行预测,进而建立了精确的员工加班强度与离职的关系模型。仿真结果表明,提出的条件概率算法的员工加班强度与离职的关系建模精确度高。 一种改进的线性判别分析算法MLDA 线性判别分析(LDA)是模式识别方法之一,已广泛应用于模式识别、数据分析等诸多领域。线性判别分析法寻找的是有效分类的方向。而当样本维数远大于样本个数(即小样本问题)时,LDA便束手无策。为有效解决线性判别分析法的小样本问题,提出了一种改进的LDA算法——MLDA。该算法将类内离散度矩阵进行标量化处理,有效地避免了对类内离散度矩阵求逆。通过实验证明MLDA在一定程度上解决了经典LDA的小样本问题。 面向中美百万册数字图书馆的图书资源管理系统 中美合作百万册数字图书馆计划(简称CADAL)已建成了含有100万册电子图书、数据信息量达到150Tbytes的数字图书馆,具有很高的科学参考价值。本文围绕数字图书馆的海量信息管理这一课题,逐步探讨了电子图书数据查重、电子图书精确管理和数字图书馆系统容灾快速恢复等急待解决的研究课题,根据项目引用的数字图书馆标准,对CADAL电子书查重、CADAL图书元数据入数据库、CADAL数字图书馆数据库设计、CADAL数字图书馆的备份等系统需求进行了软件实现。研究成果直接应用在CADAL项目中,有力地支持了CADAL生产和发布系统的平稳运行,保障了CADAL图书馆提供内容丰富的知识服务。 面向非全互连3D NoC可靠通信的分布式路由算法 针对非全互连三维片上网络架构中存在的硅通孔(TSV)寻找困难和可能产生层间IP核隔离等问题,提出一种分布式容错路由算法.通过在每个路由器中添加TSV上/下表,可使层间通信数据包在发送前找出最优TSV的地址,保证层间IP核的有效通信和数据包的最短路径传输.若数据包到达非目的层,则在TSV上/下表中找出最优TSV的地址后继续传输;若是到达目的层,则使用文中提出的平面容错路由算法找到目的节点.实验结果表明,在均匀流量模式下网络故障率达到25%时,该算法仍与无网络故障的传统XYZ算法性能相近,且在网络无故障时的延时及吞吐率均优于参考对象. 基于秘密信息的WSN密钥管理方案 密钥预分配算法的目标是在保证安全性、密钥连通性、通信量、计算复杂度等性能的前提下,尽量减少预先存储的信息量。文章针对该目标提出了一个基于秘密信息的无线传感器网络密钥预分配方案。方案中节点只须和基站进行一次交互就可以和所有邻居节点形成安全的会话密钥,适合节点数量庞大的网络。通过比较分析,该方案与q-composite等其他方案相比在安全性,密钥连通性,通信量方面都有一定的优势。 基于多元线性回归的雾霾预测方法研究 提出了一种在线样本更新的多元线性回归分析的雾霾预测方法。首先搜集了北京市天气状况,包括平均气温、湿度、风级等气象数据以及PM2.5、CO、NO2、SO2等大气成分浓度数据,然后通过散点图对这些因素进行主要影响因素分析,筛选出对雾霾影响比较明显的因素作为雾霾预测的依据。通过在线样本更新的多元线性回归建立了PM2.5含量预测模型,并将气象要素作为雾霾的判断标准。最后给出实际例子,利用多元线性回归对北京未来一天、三天及一周的PM2.5含量进行较为精确的预测。 复杂背景下的人脸定位识别方法 现有的人脸识别算法多在标准库上进行,缺少对复杂背景下人脸识别问题的研究。提出一种快速的人脸定位识别方法,旨在解决复杂背景中人脸的定位和识别问题。在定位方面,提出一种新的自适应肤色分割的人脸定位算法,充分考虑类肤色背景对定位算法的影响,使该算法在户外环境下的人脸定位精度较传统方法有了一定的提高;识别方面,采用局部SVD方法提取人脸图像特征值,以PCA算法加以识别,新算法改进了传统PCA训练速度慢、内存占用大的缺陷。通过对ORL人脸库以及自制人脸库的实验分析,结果表明该方法不仅能解决复杂背景中人脸定位识别问题,并且高效、快速、有较好的实用性。 一种疵点实时检测系统的数据通信技术 为了搭建完整的疵点检测系统,除了疵点检测算法模块外,对检测到的疵点图片文件及生产信息的保存和管理也十分重要,论文给出了一种疵点实时检测系统图片文件和相关字符信息的通信技术方案。该方案利用VC++和Labview的多进程通信以及Labview的TCP通信、共享变量、LabSQL工具包等方法,使得两台计算机可以自动发送和接收检测到的疵点图片文件及相关信息并存入数据库,实现了疵点检测系统数据的远程访问和管理。 联网审计绩效的动态评价方法:基于AHP和GM(1,1)的组合应用 针对联网审计绩效动态评价的需要,提出了一种基于AHP和GM(1,1)组合应用的联网审计绩效动态评价方法:首先通过建立针对联网审计绩效评价的AHP层次结构模型,构造AHP判断矩阵,计算各评价指标的权重,评价已实施阶段联网审计项目的绩效;然后,采用GM(1,1)模型预测联网审计项目的潜在绩效值;最后,对联网审计绩效进行动态评价。为动态评价联网审计的绩效提供了一种有效的方法。 大规模混合数据库缓冲区替换方法优化仿真 为提高数据库数据使用率,对大规模混合数据库的缓冲区进行替换优化。由于数据库存储数据量较大,使得需要多个缓冲区进行数据间的替换。传统的替换方法,主要在数据传输过程中对缓冲区直接进行替换,忽略了数据滞留等原因造成的缓冲区替换不全面的问题。提出生命值敏感的大规模混合数据库缓冲区替换优化方法。对数据库缓冲区进行划分,定义数据库缓冲区内各个数据页的访问频度、新颖度和读写代价权重的生命值,将频率因素引入传统替换算法中,依据用户实时访问请求和历史访问记录预测其将来可能要访问的数据,并形成用户访问数据页预测对象集,利用改进的传统替换算法将权值较弱且不属于预测对象集的数据页进行替换。实验结果表明,采用改进方法相比传统替换方法,可有效提高数据库使用率。 美国研究团队成功实现果蝇幼虫全脑神经活动成像 [正文]佛吉尼亚爱邦霍华德休斯医学院的Janelia研究营地的科学家利用光片显微镜技术研究毫米长度果蝇幼虫的中枢神经系统。果蝇样本被从两边照射激光,然后照相机记录下图像信息。研究人员通过遗传技术修改神经元,以让其在放电的时候发光。他们还将中枢神经系统从幼虫的身体上剥离,以方便查看。系统成功记录了神经活动显示果蝇幼虫神经系统如何发出信号让其身体移动。 印刷电子压电喷墨喷头的建模与改进 针对高粘度印刷电子墨水易堵塞喷头甚至无法喷射的问题,从结构、材料两方面进行改进,设计出适用于印刷电子领域的微压电喷头,并利用ANSYS软件的仿真对喷头效果进行验证。仿真过程的技术难点主要有网格划分、高粘度墨水输入和PZT-5A特定材料添加。针对网格划分的难点,分重点部位采用不同划分方法,并在喷嘴处细化;针对印刷电子特殊性,输入对应的高粘度墨水系列性能;针对喷头优选的PZT-5A压电陶瓷材料,将PZT-5A各项属性添加到材料库进行使用。仿真结果表明,改进后的喷头产生形变量大于现有研究喷头,并且墨滴断裂耗时减少了7.3%,最大出口速度提高了35.3%、适用粘度范围扩大了1.73倍。改进后喷头拓宽了压电喷墨在印刷电子领域的应用范围。 基于嵌入式计算机的煤气炉风门模糊PID控制 针对煤气炉风门人工控制无法达到较好控制效果的问题,提出了一种模糊PID自动控制设计方案;分析了系统控制过程并建立了被控系统的数学模型,根据建立的数学模型在Simulink中对控制效果进行了仿真,结果表明系统响应快、无超调,对负荷波动导致的压力变化抑制能力强;在系统输出施加振幅为400Pa,周期为50s的干扰时,可将压力变动稳定在60Pa以内;设计了基于高性能嵌入式计算机LPC2925的系统硬件电路结构及软件,该系统体积小易于安装,方便构成现场总线的控制系统,同时对控制系统进行了可靠性设计。 基于联系数的位置不确定性数据UCNK-Means聚类算法 位置不确定性数据的聚类是一个新的不确定性数据聚类问题。其聚类方法主要包括获取对象的概率密度函数,通过积分计算对象间的期望距离来进行聚类分析和以区间数表示对象,通过区间数的系列运算来进行聚类分析这两大类。前者存在概率密度函数获取困难、计算复杂、实用性不强的缺陷;后者在区间数转化为实数过程中,忽略了区间数变化范围对聚类效果的影响,其聚类质量不佳。鉴于此,提出一种基于联系数的不确定对象聚类新算法UCNK-Means。该算法用联系数巧妙地表示不确定性对象,并专门定义了对象间的联系距离,运用联系数态势值比较联系距离大小,克服了现有算法的不足。仿真实验表明,UCNK-Means具有聚类精度高、计算复杂度低、实用性强的特点。 基于特征子空间邻域的局部保持流形学习算法 局部保持流形学习算法通过保持局部邻域特性来挖掘隐藏在高维数据中的内在流形结构。然而,对于缺乏足够训练样本的高维数据集,或者高维数据集存在非线性结构和高维数据特征中存在冗余、干扰特征,使得在原特征空间中利用欧式距离定义的邻域关系并不能真实反映数据的内在流形结构,从而影响算法的性能。提出利用正约束寻找特征子空间的方法,使得在此子空间中更多的同类样本紧聚,并进一步在该子空间中构建邻域关系来挖掘高维数据的内在流形,形成基于特征子空间邻域特性的局部保持流形学习算法(NFS-LPP和NFS-NPE)。它们在一定程度上克服了高维小样本数据集难以正确挖掘内在流形结构的问题,在Yale和ORL人脸库上的分类和聚类实验验证了其有效性。 基于电机辅助的纯电动汽车模式切换控制策略 为了提高纯电动汽车动力系统的工作效率,提出了一种基于电机辅助的纯电动汽车模式切换控制策略;首先,在传统纯电动汽车结构基础上配置一个小功率电机,得到一种双电机型纯电动汽车;其次,在双电机型纯电动汽车各驱动和各再生制动模式下,对其动力系统进行动力学分析和能量平衡分析,得出了系统在各模式下的工作效率模型;然后,以使动力系统工作效率最大为原则,制定了模式切换控制策略,确定了车辆的目标运行模式和两电机的目标转矩;最后,在Advisor仿真平台CYC_NEDC循环工况下,对该模式切换控制策略进行仿真,结果表明,与传统纯电动汽车相比,该控制策略使动力系统的工作效率提高了约6%。 基于语义依存的中文本体非分类关系抽取方法 为了解决中文本体非分类关系抽取问题,提出了基于语义依存分析的非分类关系抽取方法。利用语义角色标注和依存语法分析思想,分析得到了文本句子的语义依存结构,提取其中具有语义依存关系的动词框架,通过计算语义相似度,发现了动词框架中概念间的非分类关系和关系名称。实验结果表明该方法能够有效地实现非分类关系的抽取和关系的语义标注。 用于不均衡数据集分类的KNN算法 针对KNN在处理不均衡数据集时,少数类分类精度不高的问题,提出了一种改进的算法G-KNN。该算法对少数类样本使用交叉算子和变异算子生成部分新的少数类样本,若新生成的少数类样本到父代样本的欧几里德距离小于父代少数类之间的最大距离,则认为是有效样本,并把这类样本加入到下轮产生少数类的过程中。在UCI数据集上进行测试,实验结果表明,该方法与KNN算法中应用随机抽样相比,在提高少数类的分类精度方面取得了较好的效果。 微石英音叉陀螺感测电路研究 驱动电路和感测电路是微石英音叉陀螺(QRS)的两个重要组成部分;在了解QRS的基本工作原理及其电学特性的基础上,较为全面地分析了QRS输出信号的组成和特点,并根据输出信号的特点对QRS感测电路进行了研究,研究了拾取QRS感测叉指电荷信号的电荷放大器及其优化设计方法,重点研究了提取角速度信息的同步相敏解调电路,并比较了基于模拟乘法器的同步相敏解调电路和基于电子开关的同步相敏解调电路的优缺点,最后讨论了感测电路印制电路板的低噪声设计。 一个基于物联网的动力和环境监控系统的设计实例 以物联网的数据感知层、传输层和应用层的三层架构为依据,结合动力和环境监控的需求特点,提出了基于物联网的动力和环境监控系统的三层模型。在该模型的基础上,给出了一个基于物联网的动力和环境监控系统的具体设计实例。通过对动力和环境监控内容的分析,设计核心处理机功能,并给出了监控间和操作间的设计布局图。该模型和设计实例为企业实施一种可行的基于物联网的动力和环境监控系统提供了参考价值。 空间欠采样宽带线性调频信号二维DOA估计 宽带线性调频(LFM)信号较大的工作带宽会对传统数据处理造成压力。为此,提出一种在空间欠采样条件下对LFM信号进行二维波达方向估计的方法。根据分数阶傅里叶变换对宽带LFM信号的能量聚集特性,将信号源分离为平稳单频信号,并建立一种新的空间时频数据模型。在此基础上,构建空间均匀圆阵对入射信号进行处理,然后运用整数搜索法实现接收信号俯仰角和方位角的无模糊估计。仿真结果表明,相比非均匀L阵的阵列形式,该方法能够有效提升估计精度,降低计算量和运算复杂度。 基于优化理论的社区无标度网络模型 当前建模社区无标度网络的研究多基于组合法,即先构造无标度特征再构造社区特征,或者先构造社区特征再构造无标度特征.基于组合法的模型能生成令人满意的社区无标度网络,但是该方法需要满足社区大小相等、社区特征和无标度特征间的顺序依赖等特定条件,而这些特定条件在真实网络的演化中往往并不存在.值得注意的是,多数学者同意社区网络起源于网络节点之间的类别距离,如地理距离、兴趣距离、偏好距离等,但现有研究尚未确证社区结构与类别距离之间的因果关系.针对组合法的缺点和社区特征起源的问题,该文建立了一个优化模型,该模型以无标度属性为优化目标,以类别距离为约束条件.仿真结果表明该模型揭示了类别距离与社区特征间的因果关系,能生成多种参数下的社区无标度网络,更好地拟合了现实世界中的社区无标度网络. 面向对象的集成测试顺序的研究 首先分析了面向对象开发方法的特点及其对软件测试的影响,讨论了Kung提出的集成测试策略的优缺点,并在此基础上提出了一种改进的集成测试策略,其改进之处主要在于不仅考虑了类之间的静态依赖关系,而且还考虑了程序运行过程中可能存在的动态依赖关系,更加全面地考虑了类之间的依赖关系;并且设定新的规则对处于同一层的类再进行集成测试顺序的确定;最后通过实例分析得出,改进后的集成测试算法在一定程度上减少集成测试过程中开发桩模块的数量,提高了测试效率,缩短了测试周期。 基于DCIWPSO在谷底边缘泡沫图像分割的应用 矿物浮选过程中,为了预测矿物品位,需要提取大量泡沫图像特征参数,其中泡沫大小是十分重要的图像特征参数。图像分割就是把泡沫图像分割成若干气泡区域的处理技术。谷底边缘分割算法是泡沫分割中一种重要的算法,其中分割阈值是非常重要的量,标准粒子群算法对阈值计算容易陷入局部最优值,难以计算全局最优值,采用改进的粒子群算法,动态改变粒子群中的惯性权重值来得到适合边缘分割的阈值,达到了正确分割泡沫图像的目的。 H_∞与滑模融合控制算法在磁悬浮系统中的应用 磁悬浮系统在实际运行中会出现模型摄动和各种外界干扰,提高磁悬浮系统的鲁棒性非常重要,给出了磁悬浮系统的数学模型;结合H∞控制和滑模控制的优点,给出一种H$控制和滑模控制的融合算法,把该算法应用在磁悬浮系统中;通过Matlab仿真,验证了该算法能有效抑制磁悬浮系统中存在的确定性和不确定性干扰,从而使控制系统的性能得到提高。 圆锥可展直纹面侧铣加工算法研究 针对现有圆锥体表面数控加工方法,对五轴侧铣加工技术和直纹面数控加工技术进行了研究,分析了圆锥体表面几何特点,提出了一种适用范围更加广泛的圆锥体表面侧铣加工方法.以可展直纹面形成的过程建立圆锥可展直纹面侧铣加工数学模型,根据可展直纹面中母线的变化规律调整刀具姿态矢量并使用圆弧插补技术对圆锥体表面进行侧铣加工.通过仿真实验验证了该方法的有效性.此方法具有适用范围广,计算简单等优点,可适用于多种圆锥体表面的侧铣加工. 基于稀缺数据集下BN参数学习的目标识别 针对贝叶斯网络(BN)在目标识别参数建模中常常面临特征数据样本相对稀缺的问题,研究了将稀缺数据集与定性专家经验相融合来估算BN模型参数的方法——CSDE,并据此提出了一种目标识别算法。该算法在BN结构已知的情况下,将定性专家经验转化为BN条件概率之间的约束集合;随后引入凸优化求解方法完成BN目标识别模型参数的估算。在实验研究中,先通过对经典的BN模型的参数学习问题验证了CSDE算法的有效性;随后,针对实际稀缺样本数据集目标识别问题,进行了建模及识别实验。实验结果表明:所提出的算法能够较好地解决样本数据集相对稀缺条件下的目标识别参数建模问题。 两级定位-路径问题的人工蜂群算法 针对城市物流配送系统中的两级定位-路径问题,采用人工蜂群算法对其进行求解,并扩展算法中的选择策略,即在基于适应度选择策略和锦标赛选择策略2种常用策略基础上,提出一种带参数控制的锦标赛选择策略。通过对大、中、小规模算例进行仿真实验,证明人工蜂群算法能在合理的计算时间内有效求解两级定位-路径问题。其中,采用基于适应度选择策略的人工蜂群算法求解速度较快,采用锦标赛选择策略的人工蜂群算法求解到的最好解质量较高,采用带参数控制的锦标赛选择策略的人工蜂群算法求解得到最差解的质量及解的稳定性较好。 基于胞腔均匀度的清浊模式码书设计算法 清音和浊音线谱频率(LSF)参数分布具有差异性。为了提高声码器中LSF参数的量化性能,利用胞腔均匀度(CE)能定量表征清浊音LSF参数分布的差异程度,提出了一种基于CE的清浊模式码书设计算法。该算法首先根据CE推导出清音和浊音参与训练的LSF参数的数量比;然后剔除清音中指定数量的非典型LSF参数;最后重新训练出码书。实验结果表明,在相同码率情况下,该算法较码书共享算法谱失真降低2.5%,平均意见得分提高了2.3%,码书存储量下降了21.1%,并且适用于不传输清浊音标志的声码器。 一种改进的分布递阶无线传感器网络信息融合算法 信息融合技术是一个多学科高度集成的热点研究领域,目前针对煤矿井下环境监测系统的安全隐患问题,提出了一种基于无线传感器网络的分布递阶卡尔曼滤波信息融合算法,其中下层源节点采用改进卡尔曼滤波算法,上层汇聚节点采用方差自适应的加权信息融合算法,该算法能有效降低无线传感器网络能耗和网络信息冲突,实现信号重构.仿真结果表明,该算法具有很高的可靠性和信息融合精度,有较好的工程实用价值. 基于约束块重建的人脸超分辨率方法 在优化人脸图像识别问题的研究中,针对低分辨率人脸图像不利于对其观察和识别,采用超分辨率技术可使人脸图像的分辨率有效提高。提出了一种约束块重建的人脸超分辨率方法,使训练集由高低分辨率训练集图像对构成,将输入低分辨率人脸块表示为训练集中相同位置块的线性组合,将人脸超分辨率看作最小化重建误差问题。为避免解的不适定性,采用约束最小二乘法求解。为了克服已有方法中人脸手工配准的缺点,还提出了结合人眼定位的人脸自动配准方法。实验结果表明,改进方法有效提高了超分辨率,在客观指标和主观效果上均优于已有方法。 基于Agent的混合流水车间动态调度系统 针对敏捷制造调度环境的不确定性、动态性以及混合流水车间(HFS)调度问题的特点,设计了一种基于多Agent的混合流水车间动态调度系统,系统由管理Agent、策略Agent、工件Agent和机器Agent构成。首先提出一种针对混合流水车间环境的插值排序(HIS)算法并集成于策略Agent中,该算法适用于静态调度和多种动态事件下的动态调度。然后,设计了各类Agent间的协调机制,在生产过程中所有Agent根据各自的行为逻辑独立工作并互相协调。在发生动态事件时,策略Agent调用HIS算法根据当前车间状态产生工件序列,随后各Agent根据生成的序列继续进行协调直到完成生产。最后进行了发生机器故障、订单插入情况下的重调度以及在线调度等动态调度的实例仿真,结果表明对于这些问题,HIS算法的求解效果均优于调度规则,特别是在故障重调度中,HIS算法重调度前后的Makespan一致度达97.6%,说明系统能够灵活和有效地处理混合流水车间动态调度问题。 表观弥散系数值在直肠癌术前分期中的应用 目的:探讨磁共振弥散加权成像(DWI)的定量指标表观弥散系数(ADC)在直肠癌术前分期中的应用价值。方法:回顾性分析经手术病理证实的直肠腺癌患者34例(突破固有肌层19例为B组,未突破15例为A组),均于术前约一周内行磁共振检查,扫描序列包括T2WI和DWI(b=0,1000s/mm2)。两名医生分析图像,统计分析T2WI区分两组病灶的特异度、敏感度、阴性预测值及阳性预测值。DWI图像上测量并分析两组病灶ADC值是否具有差异,通过ROC分析得出最佳诊断阈值及敏感度和特异度。计算组内相关系数衡量两名医生的测量一致性。采用ROC方法比较ADC与T2WI在病灶是否突破固有肌层诊断方面的差异。结果:T2WI图像上诊断为未突破6例,突破28例,特异度、敏感度、阴性预测值及阳性预测值为40%、100%、100%、67.7%。A组ADC值(1.102±0.173)×10-3mm2/s明显高于B组的(0.880±0.008)×10-3mm2/s(t=4.588,P<0.05),ROC曲线分析获得最佳诊断阈值为0.923×10-3mm2/s,曲线下面积为0.902,敏感度及特异度为84.2%,86.7%。采用配对ROC方法比较ADC值与T2WI区分两组的准确性具有统计学意义(Z=2.025,P<0.05)。结论:与常规T2WI相比,ADC值的应用可提高判断术前直肠癌病灶是否突破固有肌层的特异度。 基于离散萤火虫算法的指控结构适应性调整 指挥控制组织结构的适应性调整是敏捷指控领域研究的热点问题。首先给出了指挥控制组织的基本组成要素,针对行动计划变化和战术决策实体失效两种战场突发事件,分析了这两种事件下的优化约束条件和优化目标,分别构建了指挥控制组织结构适应性调整问题的优化模型,设计了基于离散萤火虫算法的模型求解方法,给出了离散萤火虫算法的具体流程,最后通过具体算例对指控组织结构适应性调整模型和其求解算法进行了仿真验证和对比,仿真结果表明了求解方法的可行性和高效性。 基于计算机视觉的手势检测识别技术 对以计算机视觉为基础的手势检测识别技术展开综述,回顾手势检测识别技术的发展过程,介绍该技术的研究难点和研究动态,在此基础上着重归纳以计算机视觉为基础的手势检测识别技术的主要过程,介绍手势识别的主要方法并分析该技术近年的发展趋势。 基于发声模型的腭裂语音高鼻音自动检测算法 通过对腭裂语音发声模型进行研究,提出基于激励、声道、辐射模型特征参数的腭裂语音高鼻音等级自动识别算法。通过对基于激励模型的基音频率、基于声道模型的共振峰参数、基于综合发声模型的短时能量和Mel倒频谱系数等表征高鼻音特性的参数进行分析和改进,以K-最近邻算法为模式识别分类器,得出应用4种特征参数的高鼻音等级自动识别结果。实验结果表明,Mel倒频谱系数与腭裂语音临床生理特征相关性最大,对不同等级高鼻音识别率最高。 基于模拟退火粒子群算法的不可靠测试点优化 针对实际复杂系统测试与诊断时存在虚警和漏检的情况问题,提出在不可靠测试条件下,基于模拟退火粒子群(SA-PSO)算法的测试点优化方法。首先综合考虑不可靠测试条件下测试点的故障检测能力、故障隔离能力及结果信任度设计了评价测试点性能的启发函数;然后,将该启发函数与测试费用最小原则相结合,并根据测试性指标的要求,构建确保测试点最优的适应度函数;最后,设计基于模拟退火粒子群算法的不可靠测试点优化步骤,并用阿波罗发射系统实例验证了该算法的优越性。结果表明SA-PSO算法能够在满足测试性指标的要求下获得最小测试费用的测试点集,其故障检测率、隔离率都优于贪婪算法及遗传算法。 无线传感网络中节点自私行为检测与限制机制 在分布式无线传感网络中,节点的信息传输要靠中间节点的转发来实现,由于缺少中心控制节点的监督,节点的自私行为将会大大地影响网络中节点之间的公平性.针对这一问题,提出一种基于行为容忍的信誉度评定方法来判定自私节点,实施惩罚措施,自私节点的信誉度将会广播到其邻域中去.通过监测邻域广播自私节点的信誉度,根据判别条件决定是否采纳接收到的信誉度,避免了恶意谣言的存在.仿真实验表明,实施惩罚措施将会改变自私节点的行为,且使其自私行为比例大大减少. 基于群体动力学的协同过滤算法及应用 针对传统协同过滤算法稀疏矩阵和推荐精度不高的问题,根据一种社会心理学模型提出了基于群体动力学的协同过滤算法。该算法综合考虑了个体因素和环境因素对用户评分行为的影响,以此来调整传统的评分预测方法,然后为用户进行推荐。实验结果表明,该算法可以明显地提高推荐的精确度,有效地缓解稀疏矩阵问题;同时该算法还可以有效减少积累误差。最后将该算法成功运用在西安景点的推荐服务上。 遗传规划中遗传算子对种群多样性的影响 为了能有效地避免过早收敛并跳出局部最优,提出了一种改进的遗传规划算法来研究遗传算子(选择、交叉和变异)对种群多样性(主要是基因型和表现型)的影响。首先在基准问题(奇偶校验和符号回归中的四次多项式函数)中比较不同的遗传算子在离散和连续的适应度空间中的搜索寻优,然后使用斯皮尔曼相关系数来度量种群多样性与适应度的相关性。结果表明选择和交叉算子极大地减少了种群多样性,变异算子则能维持甚至提高种群多样性,这说明通过控制遗传算子来改变种群多样性从而找到最优个体是可行的。 基于DOM树序列值比对的SQL注入漏洞检测 为更好地防止SQL注入的危害,优化漏洞检测方法,提出一种基于DOM树序列值比对的SQL注入检测算法。对待检测的页面进行SQL注入,选取注入前后页面的DOM树中体现结构的关键参数;通过计算得到序列值,用比对序列值的方法比对页面是否相同,将节点比对转化成数值比对,简化网页比对。实验分析结果表明,该算法有效地提高了漏洞检测的准确率与效率。 白化主成分分析类算法在人脸识别中的应用 针对能量谱的不平衡性会影响人脸识别效果的问题,基于白化脸的概念提出了白化主成分分析类算法的框架。该算法框架使用1个白化滤波器和1个低通滤波器对原始图像进行预处理,然后结合传统的PCA类算法提取特征向量(或矩阵),最后通过k-NN分类方法进行人脸识别。利用ORL人脸图像库进行实验,实验结果表明该算法框架改善了人脸识别的效果,提高了识别的正确率。 基于改进量子遗传算法的整流电路故障诊断 针对传统BP神经网络在故障诊断中存在的易陷入局部极值,对初值要求高等缺陷,将基于双链编码的量子遗传算法(Double Chains Quantum Genetic Algorithm,DQGA)进行了改进,直接针对量子相位进行种群更新,优化空间限制在二维Hilbert的[0,π/2]区间内,改进算法在时间、存储量性能上有了明显改进;将该算法用于优化BP神经网络,提出了一种结合DQGA算法与BP神经网络对整流电路故障进行诊断的方法;仿真结果表明,与单BP、GA-BP算法相比,该方法在整流电路故障诊断中诊断精度高,收敛速度快,避免了BP算法易陷入局部极值的缺陷,适合故障自动诊断系统的建立。 基于任务能力排序的虚拟企业联盟生成方法 "将虚拟企业联盟生成问题转化为多Agent问题,针对传统求解多Agent问题过程中仅考虑成本和联盟值最优的局限性,引入""一企多标""和资源配置等实际问题并给出解决方案。重点论述了基于改进的蚁群算法及任务能力排序的虚拟企业联盟生成方法,在求解过程中首先根据任务所需的核心能力对Agent集进行划分,然后利用改进的蚁群算法来求解该问题,以使满足该任务能力要求的Agent优先被选择,从而避免优势资源得不到利用的现象,起到合理配置资源的作用。通过模拟算例分析表明,该方法能有效、快速求解多虚拟企业联盟生成问题,表现了该方法的正确性。" 多目标自适应和声搜索算法 提出了一种利用Pareto支配来求解多目标优化问题的自适应和声搜索算法(MOSAHS)。该算法利用外部种群来保存非支配解,为了保持非支配解的多样性,提出了一种基于拥挤度的删除策略,这个策略能较好地度量个体的拥挤程度。用5个标准测试函数对其进行测试,并与其他多目标优化算法相比较。实验结果表明,与其他的算法相比,提出的算法在逼近性和均匀性两方面都有很好的表现,是一种有效的多目标和声搜索算法。 永磁同步电机全速运行范围转速动态控制研究 在永磁同步电机转速调节优化的研究中,由于传统PID调节全速运行系统存在调速范围小、高速性能差等问题,本文研究了模糊PID参数自整定转速调节方法及最大转矩电流比和弱磁控制下的定子磁链动态给定调节方法以解决上述问题。首先给出模糊PID参数自整定的在线调整算法,并根据PID参数实际运行调节时所积累的经验,建立合理的模糊控制规则。然后给出最大转矩电流比及弱磁控制下的定子磁链给定值算法,建立电机恒转矩和恒功率调速运行时的定子磁链运行法则。最后进行仿真研究,结果证明上述方法的正确性,实现了转速动态准确控制下的系统宽范围全速运行。 基于模糊推理背景分割的目标检测方法 为解决传统背景差分法存在的背景更新缓慢问题,提出基于模糊推理背景分割的目标检测方法。该方法在传统的背景差分方法中引入帧间差分方法,结合IFTHEN推理规则进行模糊推理,实现了背景的快速更新及目标的正确检测。引入抗噪声推理机制,抑制跟踪目标抖动,增强方法鲁棒性。通过对鱼的运动检测实验表明,该方法能有效快速地提取干净的背景,对运动目标进行实时检测。 政务网站新媒体发布集成环境建设探讨 根据政务网站在各个媒体上信息发布的特点,提出一种集成各种媒体内容管理功能的综合内容管理解决方案,采用统一的信息资源池集中管理各种数据资源,实现信息的多渠道汇聚和一站式发布,形成新媒体平台间内容关联与分享的环境. 基于改进卷积神经网络的多源数字识别算法 现有的数字识别算法多是对单一类型数字进行识别,无法应对识别多源数字。针对包含手写体数字与数码管数字的字符识别场景,提出一种基于改进卷积神经网络(CNN)的多源数字识别算法。首先,使用从数显仪表生产企业现场采集的样本,结合MINIST数据集,建立起包含手写体和数码管的混合数据集;然后,考虑更好的鲁棒性,提出一种改进的CNN,并用上述混合数据集对其训练,实现了一个网络识别多类型数字;最后,训练好的神经网络模型被成功应用于RoboMaster机甲大赛的多源数字识别场景中。测试结果表明,所提算法整体识别准确率稳定且较高,具有较好的鲁棒性和泛化能力。 基于内容的发布/订阅系统的元数据索引和过滤 面向元数据流,提出有效评测用户订阅的方法。设计了索引结构对订阅进行分组索引,消除了一个订阅因为包含多个谓词而造成的多次索引、计数和比较;设计了新的基于分组的过滤算法,该算法通过缓存谓词匹配结果使得谓词匹配结果得以在订阅过滤过程中传播,取得了很高的过滤性能。实验结果表明,该系统可以有效地处理达上百万订阅的负载量,实验中引进提取词干和消除停用词,极大提高系统的查全率和精度。 多核环境下潮汐分析辅助软件并行绘制技术研究 为方便科研人员使用Baytap-G潮汐分析软件,基于C#.NET程序设计语言设计了一套潮汐分析辅助软件,实现了Baytap-G软件的封装,完成了输入数据格式的自动转换、输出数据的自动提取,以及水位、振幅、相位数据的可视化展示;在介绍C#.NET语言中任务(TASK)并行编程模型的基础上,详细讨论了绘制振幅、相位图任务分解、并行化程序设计考虑及其实现;实验结果表明,合理设计并行程序可以充分利用多核计算机的计算资源,提升程序运行效率,但是过多的任务数、不均匀的工作负载通常会影响并行程序的效率。 VSA和SDS:两种SDN网络安全架构的研究 软件定义网络SDN(Software Defined Networking)的软件编程特性和开放性带来很多新的安全挑战,也给网络安全带来了挑战和机遇.本文提出了两种演进的SDN网络安全架构:虚拟化安全设备(Virtualized Security Appliance)和软件定义安全(Software Defined Security),给出了两种架构的建设要点,并以常规网络入侵、拒绝服务攻击和高级持续威胁等三类典型攻击场景分析了相应的工作原理,以防火墙为例演示了两种架构下的实现,测试表明两种结构在云计算中心环境中性能上是可行的,并且SDN数据和控制分离的特性使防火墙可用更少的代码实现. 一种基于AKAZE算法的多视图几何三维重建方法 针对增量式运动恢复结构算法在多视图几何三维重建算法中运行效率低的问题,提出了一种基于AKAZE算法的多视图几何三维重建方法。首先对利用摄像机获得的目标图像使用AKAZE算法检测特征并匹配,并使用随机抽取一致性算法和三视图约束剔除弱匹配图像。然后根据匹配图间的相对位姿参数,通过最小二乘法解算全局旋转参数,并利用三视图约束关系求解全局位移参数。最后进行一次光束法平差优化。实验结果表明,该算法在改善重建效果的基础上提高了处理效率,能够满足快速处理的需求。 基于特定领域云服务库的研究 云计算的兴起,将Web服务的应用推上了更为广阔的平台,云服务通常被设计成Web服务,云服务化日趋得到关注.在云计算范型下,为解决云服务的语义信息更新、扩充困难,确保服务质量和按需的资源使用以及能高效地检索、管理云服务,论文结合水利领域业务,提出并实现了一个云服务库来管理各类基于水利业务的云服务,其中采用本体、元数据技术描述云服务的刻面及属性,开发了刻面动态生成机制便于增加新的刻面,进而扩展云服务的语义信息.当前,该云服务库已在多个项目中应用,展现了良好的应用效果. 基于三叉树划分技术的无损图像认证算法 在目前基于分块的无损图像认证算法中,在图像分块中嵌入水印需要合并较大的图像区域,这将导致窜改定位的精度降低。为提高认证精确性,提出基于三叉树划分的认证算法。该算法预先串联对图像三等分后产生的基本块,形成基本块链表;然后选定适当大小的图像块作为认证块进行水印嵌入,当水印无法嵌入时,从基本块链表中选取一个基本块进行认证块扩展。基本块的细粒度特性降低了为嵌入水印信息而对图像认证精度造成的影响。在对认证信息进行签名时,通过重复嵌入无损水印的方式来提高算法的鲁棒性,防止矢量量化攻击。实验结果表明该算法窜改定位能力优于基于自适应图像块组合的无损图像认证算法。 基于地理邻近性的自编码器在地点推荐中的应用 个性化地点推荐系统对于基于位置的社交网络(Location-based Social Networks,LBSNs)的发展至关重要。它不仅能够帮助用户挖掘新的地点,同时也有利于服务商更好地提供个性化服务。现存关于这方面的研究,将所有的地点同等看待。但是在不同类别中,签到频率的数据规模却不可同等看待。本文基于TF-IDF理论将签到频率转换成基于类别的偏好数据,提出一个基于地理邻近性的深度自编码器模型,利用签到数据中的地理信息构造推荐系统。在LBSNs真实数据集上进行实验分析,结果表明相对于对比算法,本文模型的实验结果更好,基于地理邻近性的深度自编码器模型适用于地点推荐任务。 基于小波变换的诱发电位信号去噪研究 基于一维小波变换的方法对诱发电位信号进行了去噪研究。采用小波多分辨率分析方法来处理信号的高频———即噪声部分,分别对仿真信号和实际信号进行消噪处理,比较了去噪前后信号的信噪比等性能指标。实验表明,小波变换方法能较好地消除诱发电位信号的噪声。 基于证据理论的脑部图像分割算法 针对在马尔可夫随机场和模糊聚类二维直方图方法中存在的像素点分割结果不一致的现象,提出一种基于Dempster-Shafer(D-S)证据理论的图像融合分割算法。利用模拟退火算法恢复出脑部轮廓,根据该轮廓从原图中提取出脑核部分,采用马尔可夫随机场和模糊聚类二维直方图方法分别对脑核部分进行分割,通过D-S证据理论将分类不确定的争议像素划归到似真区间,并进行融合分割。实验结果表明,该算法能解决争议点的归属问题,有效滤除噪声,稳健性较好。 基于分布式集成学习的入侵检测模型 针对入侵检测系统的高漏报率及高误报率问题,提出一种混杂入侵检测模型。该模型分别构造基于核主成分分析(KPCA)和核独立成分分析(KICA)的特征提取器,并采用集成学习对特征提取结果进行整合学习。采用分布式神经网络对集成结果进行再学习,从而实现对大规模数据的分布式处理。通过反馈机制调节KPCA和KICA的集成学习权重,达到最优检测效果。采用KDD CUP’99数据集进行测试实验,结果表明:该模型能够获得较高的检测正确率,同时具有较低的漏报率及误报率。 正交基带通信信号的调制方式盲识别 基于基带信号频率低,算法计算量小的优点,提出了一种在不需信号先验知识的情况下识别基带正交调制信号的方案。该方案利用接收信号的基带谱特性和统计特性,从中提取抗噪声,且对频偏和相偏不敏感的特征,对信号进行模拟数字的联合自动识别。对真实采集信号进行实验验证,结果表明:在信噪比不小于7 dB时,对数字和模拟调制方式都未知的信号,算法可以得到95%以上的正确识别率。 谱流形快速学习算法研究 谱流形学习算法的目标是发现嵌入在高维数据空间中的低维表示,其近年来得到了广泛的应用。虽然已经取得了许多令人骄傲的成绩,但是却存在一个很大的瓶颈——计算复杂度太高,这严重阻碍了算法在实际中的应用。提出了谱流形快速学习算法,该算法包括两个降低算法复杂度的技术:(1)通过随机选择或者k-means方法从n个样本点中选出p个锚点,把每个样本点表达为由锚点的邻域点线性组合的形式,从而设计了邻接矩阵的新形式,降低了邻接图的计算复杂度;(2)利用线性化的流形学习算法有效地计算高维数据到低维数据的映射,从而降低了优化特征值的计算复杂度。该算法在3个常用人脸数据集(Yale、ORL、Extended Yale B)上得到了验证,进一步证明了算法的有效性。 水、热、气、电四表合一数据采集系统的研究与应用 目前居民在用的水表、热表、气表、和电表四种表计虽然在抄表付费流程上相似,但由于分属不同部门管理,无法形成资源共享,既浪费工作量又给居民带来不便;文章论述了四表合一的技术构架,提出了从主站,通信信道,现场设备3个方面对现有系统进行升级的方法;首先,介绍了协议转换器的主要设计原理和用电信息采集设备—集中器的上下行通讯协议的改进方法,并且扩展协议转换器多种下行通讯方式以匹配市场上水表、热表、气表通讯方式多样化的现状,在用电信息采集系统平台上增加协议转换器及升级现有集中器程序,通过现场简单布线快速经济的实现四表合一数据采集;按此方案布局的四表合一采集试点,项目建设简洁、迅速,抄表运行稳定,一次性采集成功率在95%以上。 高阶调制APSK信号载波同步算法研究 随着卫星通信业务的发展,卫星宽带高速数据通信变得越来越重要,使得高阶调制技术逐渐应用于卫星通信中,但载波频偏对诸如APSK等高阶调制信号的影响很大,其多个幅度也使得载波同步更加复杂。在回顾载波同步算法理论的基础上,分析了载波频率和相位偏差对APSK信号系统的影响,并研究了载波频率和相位偏差的估计算法。分析表明,算法可有效地解决APSK信号多幅度和相位模糊较大的问题,可较好地适用于APSK信号的载波频率和相位同步。 适于任意深度电路结构的紧致属性基广播加密方案 为了简化传统的公钥加密体制,Shamir于1984年提出了基于身份的加密方案.属性密码学由身份密码学发展而来,利用用户属性信息和相应的访问控制策略来替代机制中需要身份参与的运算.基于一般电路来表示访问策略及构造相关的属性密码方案是目前的研究热点和难点.2013年Garg等人利用多线性映射和一般电路来描述访问策略,首次给出了基于一般电路能抵抗回溯攻击的属性基加密方案.受限伪随机函数的概念于2013年提出,利用其安全性功能,可以将其与双线性和多线性映射、不可区分性混淆、同态加密等技术相结合,在多种场景得到应用.如何将受限伪随机函数与其他密码技术相结合来构造新兴的密码协议和方案成为受限伪随机函数研究的重要课题.基于Garg等人的方案并将受限伪随机函数与基于电路的属性基相结合,文中基于现有的多线性映射给出了一个基于任意深度的一般电路访问结构的广播加密方案.主要创新点在于该方案的一般电路节点的深度l′不需要固定于电路的最大深度l,只需要满足条件l′<l即可,实现了一般电路中节点的跨层输入.该方案密文较短,与其他方案相比是密文紧致的,此外该方案不需要广播加密中的报头部件.该方案在标准模型下基于多线性判定性Diffie-Hellman假设被证明是具有选择安全性的.尽管在2016年的欧洲密码年会上,Hu等人给出了攻破基于分级编码系统实现多线性映射方法的具体方案,但是基于多线性映射的构造和相关安全性模型仍在进一步完善和发展中.我们相信下阶段会有更实用安全的多线性映射实现方法,因此目前基于多线性映射原语的各类理论研究和实现方案仍具有较大的研究意义. 基于多代表点学习的RSKNN分类算法 RSKNN算法是一种基于变精度粗糙集理论的k-近邻改进算法,该算法能够保证在一定分类精度的前提下,有效地降低分类的计算量,提高分类效率.但由于RSKNN算法只是简单地将每个类中的样本划分成一个核心和边界区域,并没有根据数据集本身的特点进行划分,因而存在极大的局限性.针对存在的问题,提出一种多代表点学习算法,运用结构风险最小化理论对影响分类模型期望风险的因素进行分析,并使用无监督的局部聚类算法学习优化代表点集合.在UCI公共数据集上的实验表明,该算法比RSKNN算法具有更高的分类精度. 一种正交混沌蚁群算法在群机器人任务规划中的应用研究 针对群机器人协作任务规划问题,提出一种正交混沌蚁群算法(OCACA)对其进行求解.该算法的思想是首先采用正交法对任务目标进行聚类,然后利用混沌技术对蚁群初始解进行优化,改进初始个体质量,并用混沌扰动策略避免搜索进入局部最优,最终获得了总代价最优解.该算法将正交混沌蚁群算法首次应用于群机器人的任务规划中,成功解决了中大规模任务规划问题.仿真实验结果表明:正交混沌蚁群算法能提高多机器人执行任务的效率,同时也是解决多旅行商问题的另种新思路. B/S系统表示层设计文档编制方法 由于B/S系统表示层的结构与其它软件系统有很大差异,使用常规设计文档编制方法很难清晰地描述其结构。本文首先分析B/S系统表示层的结构特征,然后提出符合其特征的规范化设计文档编制方法。本文提出的方法,可以比较清晰地描述B/S系统表示层的结构,目前,这些方法已经在工程实践中运用,并取得了较好的效果。 一种概念同现模型的多文档文摘研究 本文提出了一种概念同现模型的多文档自动文摘方法。该方法使用HowNet进行概念获取,建立概念向量空间模型,利用词汇的吸引与排斥现象和概念同现频率建立概念同现模型,并使用概念同现模型计算各概念的权重,利用建立的概念向量空间模型计算句子权重,根据句子权重和相似度情况产生文摘。使用改进的ROUGE-N评测方法、主题词覆盖(TWC)、高频词覆盖率(HFWC)作为评测指标对系统产生的文摘进行评测,结果显示这些方法是有效的。 基于STC15W404AS和电力载波的路灯终端设计 针对传统路灯照明系统采用集中控制方式存在严重的能源浪费、且开关灯方式单一等问题,论文设计了一款基于STC15W404AS和电力载波的路灯终端,并结合光照检测和红外感应,能够根据外界环境实现对单灯的开关控制,同时采集路灯工作时的电压和电流,及时上传监控中心,实现对整个路灯照明系统精细化管理。 Blackfin DSP嵌入式图像数据采集机制设计 针对Blackfin Dsp媒体信号处理器硬件资源,着重分析了SDRAM上数据总线的冲突问题,并且提出了解决方案,在软件架构上提出了利用Blackfin DSP自带的已经封装好了的API功能函数,实现了对CMOS图像传感器以及DSP内部资源的配置,试验表明采集程序稳定性高,DSP功耗更低,更加节省SDRAM的传输带宽,能够满足实时图像采集和编码的要求。 基于小波包分解及模糊支持向量机的红虫识别 水源中的红虫随输送管道进入给水系统,其在给水处理系统中繁殖并进入管网,对水质感官指标造成了重要影响。针对红虫特点提出了一种小波包分解与模糊支持向量机相结合的红虫图像识别方法。该方法采用多层小波包分解提取子图像的能量特征,同时结合生物图像颜色特征构造特征向量,然后选择模糊支持向量机作为分类器进行识别。通过对红虫、猛水蚤、剑水蚤等水厂中主要出现的浮游生物样本进行分类实验证明,该方法能够有效地识别红虫,为水厂的红虫防治提供有效依据。 激光精确跟踪平台控制系统的设计 通过研究以多自由度运动控制器为核心的高精度转台以及二维运动平台的控制系统,以编码器或光栅尺作为位置反馈信息,采用PID控制算法,实现了高精度转台及二维运动平台的全闭环控制。通过配有激光测距传感器的可水平、俯仰运动的转台作为基站,用可水平、竖直运动的二维运动平台模拟运动目标,利用CAN总线通讯构建激光跟踪系统,为激光跟踪方案的可行性研究提供可靠的实验验证平台。实验结果表明,上述激光精确跟踪平台,运行状态良好,能够达到较高的运动速度和精度,有很好的使用价值。 IEEE 802.16 Mesh网基于区分服务的拥塞控制机制 提出一种基于区分服务的拥塞控制机制(DiffServ congestion control,DSCC)用于解决Mesh网拥塞问题。该机制首先对到达节点的实时业务、非实时业务到达率进行周期性的统计,依据统计值对节点下一时段到达的主流业务类型进行预测,同时动态地调整缓存队列长度的阈值以监测拥塞。最后DSCC根据未来到达的主流业务类型,自适应地为节点设定传输避让指数,公平有效地缓解了网络拥塞。实验结果表明DSCC可以显著地缓解拥塞,提高数据传输性能。 结合距离与队列积压的无线mesh网络拥塞感知路由协议 针对无线mesh网络中路由的拥塞问题,提出了一种结合距离与队列积压信息的拥塞感知路由(DBCAR)协议。结合链路质量源路由(LQSR)协议中的距离度量和E-Backpressure协议中的队列积压度量构建一种新的链路质量度量;每个节点通过探测数据包来计算链路质量,并通过控制数据包和其邻居节点进行交互,以此来更新链路质量;最后,节点根据链路质量来选择下一跳节点,从而构建从源节点到目的节点之间的最佳路径。在MAC层中为控制数据包分配最高的优先级,同时保证控制数据包的安全性。仿真实验表明,在不同的链路负载下,该协议在网络传输时延和网络吞吐量方面都具有优越的性能,具有可行性和有效性。 采用自适应平滑约束的立体匹配方法 平滑约束是消除对应点匹配歧义性的最常用的约束之一,如何有效地保持视差图的非连续区域是使用该约束时所需考虑的重要问题.为此提出了一种采用自适应平滑约束的立体匹配方法,使得平滑项的大小随图像局部二维结构的不同而相应变化.首先获取一系列真实场景的彩色图像和对应深度图来构建实例库;然后对其进行分析统计,得到给定局部二维结构时对应于几何连续表面的条件概率;最后根据该条件概率由当前输入图像局部的具体内容来确定自适应的平滑项权值.通过将其添加到经典的基于图割的立体匹配方法中,证明了该平滑项定义方式的有效性. 基于XML的UUT测试需求描述方法研究 针对ATS开发过程中UUT测试需求缺乏标准规范的信息描述方法,致使ATS软件复用性、移植性差等问题日益突出的现状,参考有关国际标准,建立了UUT测试概念模型和基于XML的UUT测试信息描述模型,包括原子测试模型、组合测试模型等,阐述了模型之间的关系,给出了UUT测试需求描述模型应用实例;UUT测试概念模型揭示了UUT测试问题的本质,为UUT测试需求描述模型的建立打下了基础,UUT测试需求描述模型实现了UUT测试需求的标准化描述;该方法提高了UUT测试需求描述信息的复用性,改善了ATS的互操作性。 真实感动态海洋表面仿真研究 由于受到特殊的海洋环境影响,使得海洋表面具有特殊的不规性和运动状态的多变性,无法详细对海洋表面的不规则性和动态多变性进行描述,导致仿真准确度低的问题。提出采用基于三维声纳图像算法的真实感动态海洋表面仿真研究方法。先计算相邻的两帧海洋表面图像邻点迭代的次数,将全部的动态海洋浅表层声纳图像实现匹配组合,根据最近邻图像间的边缘坐标信息,分析两个声纳图像帧之间的叠层部分,融合于ICP算法对超出重叠阈值范围的两帧海洋表面声纳图像进行配准,利用统计模型和FFT的波浪生成方法进行细致化的真实感动态海洋表面仿真。仿真结果表明,三维声纳图像算法的真实感动态海洋表面仿真研究方法仿真精确度高,效果好。 基于sift特征描述符的多尺度图像配准方法 研究图像处理,提高图像的精确性,在基于特征的图像配准中,特征描述符用来对两幅图像的特征进行相似性度量。合适的特征描述符对于建立图像之间的配准映射关系和提高配准精度具有重要意义。为了适应图像的尺度变化,提高配准算法的精度,引入多尺度Harris角点检测算法,并对一种基于sift特征的描述符在向量构造和采样区域等方面进行改进,最后通过对比特征描述符的相似性建立特征点间的匹配关系,并进行仿真。结果证明,算法能够适应图像的尺度变化,增加了描述符在图像具有噪声、旋转时的鲁棒性,提高了图像配准的精度。 基于Grover搜索的无线Mesh网流量均衡路由算法 在无线Mesh网(WMN)应用中,用户通过Mesh网关接入到Internet,这种结构容易导致不同位置的Mesh路由器通过的流量不均衡,使部分路由器成为瓶颈,从而影响网络的性能和用户的服务质量(QoS)。针对这一问题,提出了一种基于Grover量子搜索算法的无线Mesh网流量均衡路由算法。算法中利用了量子计算的并行性,根据流量均衡函数模型构建了操作矩阵,通过Grover迭代获得流量均衡路径。仿真表明,算法选择的路径可以有效地平衡无线Mesh网流量,使每用户获得的最小带宽最大化,执行效率也优于同类算法。 基于三维栅格模型的最短距离等值面提取 通过研究三维最短距离分析及MC算法,提出基于三维栅格模型的最短距离等值面提取算法,通过导入费用数据和源点数据,即可自动输出到达源点任意有效取值的最短距离等值面。该算法允许输入多个源点,并可应用于非均质三维空间中。实验结果表明,该算法设计合理、可行。 基于深度神经网络的复杂光照下的蓝藻图片语义分割 水华问题是全球内陆湖普遍存在的问题,不但会造成大量的经济赤字,而且威胁到了人类的生存环境。传统的治理方式比如人工法、化学法或生物法等都依赖于明确蓝藻的爆发情况和重灾区域,针对这种情况,提出用深度神经网络模型实现基于图像的蓝藻的语义分割,用于定位蓝藻爆发的区域。实验过程中发现复杂光照条件对分割结果影响非常大的问题,故设计一种基于HIS图像格式的光照处理算法。借助I通道可以分离的特性,将图像的光强照度指数归一化到合理的光照区间内。实验证明该算法有效地提高了复杂光照条件下的分割准确率。 一种正则表达式编译器优化技术 正则表达式匹配在网络安全领域具有重要地位。传统的正则表达式匹配引擎通常采用NFA和DFA,由于具有匹配性能高的特点,DFA成为深度报文检测(DPI)的首选。但是DFA的生成首先需要由正则表达式转换成NFA,再由NFA转换成DFA,这个过程称为正则表达式的编译,且是一个计算非常密集的行为。针对构建DFA过程中耗时过多的问题,在Michela Becchi实现的编译过程的基础上,提出了一种基于多核平台的多线程并行化执行的方案,来降低构建DFA消耗的时间。同时针对所使用正则表达式中不能识别尾锚的不足,增加尾锚处理流程,提高正则表达式匹配的准确性。实验结果表明,经并行优化,构建DFA过程的加速比达到2.3及以上,且添加的尾锚处理流程经验证是正确的。 基于X3D的动态交互式虚拟社区技术研究 三维虚拟社区具有强大的功能和广泛的商业价值,是虚拟现实技术的应用之一。X3D是新一代互联网3D图形规范,为构建基于Web的3D应用提供了解决方案。本文从虚拟场景建模、动态交互的实现技术和虚拟场景优化三个方面讨论基于X3D构建动态交互式虚拟社区的技术。 一种快速有效的L2 Cache可靠性预测方法 随着集成电路工艺的不断进步,微处理器的软错误问题日益突出.体系结构弱点因子AVF(architectural vulnerability factor)作为可靠性评估指标之一,常用于软错误的评估.AVF在程序执行过程中呈现明显的动态变化特性,使得基于AVF预测的动态容错管理技术成为当前软错误研究领域的热门课题.即根据AVF的变化来动态选择是否对微处理器部件进行容错设计,从而在满足软错误可靠性要求的前提下尽量降低容错技术的开销.因此,基于L2 Cache AVF的动态特性研究,提出使用贝叶斯累加树模型BART(Bayesian additive regression trees)对L2 Cache AVF进行准确预测,并使用块搜索(bump hunting)技术来提取由少数几个性能参数组成的、对具有高L2 Cache AVF的执行阶段进行判定的规则,从而实现了对L2 Cache AVF的快速有效预测. 基于ARCGIS SERVER和FLEX的城市服务信息系统研究与设计 当前基于页面的瘦客户端Web GIS开发技术无法满足用户复杂的交互应用。提出以Flex技术和ArcGIS Server Flex API构建基于RIA的Web GIS模型,并结合J2EE开发平台实现了一个城市服务信息查询的原型系统,包括软件构架设计和关键流程实现。 基于FeaVer的MINIX3验证和改进 使用FeaVer对MINIX3文件系统源代码进行形式化验证,并找到其中的错误代码。在验证的过程中引入测试用具的概念,它的特点是高效性和可复用性。在验证结果的基础上对原来的验证模型进行修改,并建立新的模型。经验证新模型符合应有的正确性属性。以新模型为依据对MINIX3的源代码进行改进,使操作系统达到一个更可靠的状态。 面向弹性路由层生成的网络拓扑评价与优化方法 针对弹性路由层重路由技术在不同应用需求下的适用性问题及本身存在的路径次优问题,提出了一种面向弹性路由层的网络拓扑评价方法以及原拓扑结构优化方法。在介绍弹性路由层相关理论背景的基础上,给出了其规范的矩阵表述方式,提出了3个从不同角度反映生成的弹性路由层性能的评估指标,为了对原拓扑结构进行优化设计,提出了评判弹性路由层生成潜力的原全拓扑评估指标,基于此给出了面向弹性路由层生成的原拓扑结构优化方法。仿真结果表明,评估指标可以客观地评判出弹性路由层在不同情况下的适用程度,而优化的原拓扑结构能够以较少的资源满足应用需求,且很大程度上克服了路径次优问题。 基于参数化流形学习的压缩传感重构方法 压缩传感是一种新的信息获取理论,它突破了传统的采样理论,将数据采集和压缩合二为一,再利用重构算法将原始数据恢复。为了能够得到更好的压缩传感重构效果,把流形学习的思想和方法与压缩传感相结合,提出了一种基于参数化流形学习的压缩传感重构方法。实验结果表明,提出的方法对自然图像进行重构取得了很好的效果,充分验证了基于参数化流形学习的压缩传感重构方法的有效性。 电力拖动典型负载模拟系统设计与实现 动态高品质的负载模拟器是电力拖动实验的重要设备,电动负载模拟系统是负载模拟技术领域的一个新方向,因此研究电动负载模拟系统的设计和实现具有十分重要的价值。本文分析电机在实际运行中的恒转矩负载、恒功率负载和风机泵类负载的特征,通过实验实现了以磁粉制动器为负载模拟元件的恒转矩负载、恒功率负载和风机泵类负载的特性。 有限产能条件下闭环供应链渠道效率研究 为解决有限产能条件下闭环供应链渠道优化问题,以一个制造商和一个零售商的二级闭环供应链回收渠道为对象,把产能水平增加到模型优化的约束条件中,分析了不同产能水平条件下闭环供应链制造商和零售商的最优利润及渠道效率。结果表明,产能水平越高,闭环供应链的系统利润越高,但渠道效率越低。虽然较低产能在一定程度上限制了闭环供应链系统利润的改进,但是在供应链协调难以实现的条件下,有限产能能够实现制造商对零售商的有效控制,且可以通过改进工艺,降低再制造成本,提高再制造利润。 一种基于位置信息的分簇算法 用户分簇是移动自组网通信需要解决的基本问题。从分簇结构与频率规划的角度出发,提出一种基于位置信息的分簇算法。该算法采用估计节点运动速度、引入虚拟网络中心节点、预警簇头失效、均衡簇间负载等方法,达到既形成稳定合理的分簇结构又易于频率规划的目的。仿真结果表明,基于位置信息的分簇算法极大地改善了吞吐量、丢包率及延时等网络性能,可用于多节点、中高速移动环境。 基于内容自适应稀疏字典的图像集压缩算法 大数据时代巨大的图像信息量,给实际的存储、传输带来了相当大的困难。有效利用图像集自身内容,去除图像之间的信息冗余,是图像集压缩的主要目的。本文提出一种基于内容自适应稀疏字典的图像集压缩方案。通过对图像内容信息进行分类学习,得到分组稀疏字典,将稀疏编码替代传统的变换编码,并利用图像非局部相似特征优化图像解码,得到更高质量的重建图像。实验结果表明,与JPEG方法以及基于递归最小二乘字典学习算法(RLS-DLA)的压缩框架相比,本方案提出的图像集压缩方法有效提高了图像集编码性能。 社会应急资源监测系统及筹募机制设计 提出构建社会应急资源监测系统,建立信息系统对分布式的可应急社会资源进行监测,为资源筹募提供依据,提高社会资源的应急响应能力。分析监测系统的管理对象,建立其功能架构;设计社会应急资源的筹募机制,对可筹募资源进行评估;最后通过设计社会应急资源筹募流程说明筹募机制。针对社会应急资源参与应急救援的挑战,设计社会应急资源监测系统的结构和工作机制,为社会资源参与应急救援提供方法与系统,并为社会应急资源筹集优化、应急能力评价等问题的研究提供基础。 WSN中能量有效的分区聚簇多跳路由算法 针对无线传感器网络中节点能量及通信半径有限的特点,提出一种分区聚簇多跳路由算法。通过将网络进行分区使网络中簇的数量固定且分布比较均匀,通过构建树状结构及有序邻居列表选择路由节点,以多跳的方式进行通信,从而减少网络的能耗。仿真结果表明,该算法可以有效节省能量,延长网络的生命周期。 基于遗传算法的多车体机器人路径规划方法 带拖车的移动机器人系统是一种复杂的多车体系统,在不同的转弯角度下所需的路径宽度也不同,其路径规划是个难题;在给出带拖车轮式移动机器人稳态和暂态过程运动学方程的基础上,推导得出了多车体移动机器人在最大转弯情况下所需的最大路径宽度,并将之定义为等效尺寸;应用遗传算法,提出了基于等效尺寸的多车体移动机器人的路径规划方法;首先通过等效尺寸的多步障碍扩张,对障碍物的临近区域和狭窄通道进行可行性约束的分析;然后应用遗传算法进行全局的路径规划,将路径的长度和宽度综合在适应度函数之中;在一定程度上,多车体移动机器人的路径规划问题得到解决;文章通过建立三维网格化地图,对障碍物的临近区域和狭窄的瓶颈通道区域进行了可行性约束的描述,遗传算法在较复杂的环境中实现了良好的避障,并得到了相对较短的有效路径。 德士古水煤浆气化过程模拟 以神东长焰煤为反应原料,采用Aspen Plus流程模拟软件,选择反应平衡模型并应用Gibbs自由能最小化方法对水煤浆气化工艺过程进行了流程模拟,并将模拟数据与工业数据进行了对比,表明模型基本正确。以此模型分析了水煤浆浓度、氧煤比和压力对合成气组成、温度以及有效气流量的影响。结果表明,水煤浆浓度和氧煤比是影响出口合成气的主要因素,在气化炉不超温的情况下应尽量提高水煤浆的浓度以提高煤的利用率,针对不同的化工过程确定了氧煤比的适宜范围为0.84~1.05,压力对气化过程则基本没有影响。同时分析了碳洗塔压力和冷凝液流量对合成气水气比的影响,由于压力一般不多做调节,则冷凝液流量成为影响水气比的主要因素,适当降低冷凝液流量可以提高合成气的水气比。 基于连通支配集的WSN自适应数据调度算法 在无线传感器网络中通过构建连通支配集来组成虚拟的骨干,使网络数据的收集变得层次化,更可以防止节点的死亡造成数据链的断裂,然而最小的连通支配集不能均衡各节点的能量消耗,导致部分节点过早死亡。为此,基于连通支配集的无线传感器网络,提出一种自适应的数据调度算法,通过选择能量和度比较大的节点组成支配集,支配集组成较高能量的网络骨干,数据经过自适应的调度沿着较小规模的网络骨干寻找路由直到发给基站。实验结果表明,该算法在较小的网络规模中具有容错性,可以减少能量消耗并延长网络生命周期。 基于软件接收机的卫星导航信号模拟器性能指标测试 针对卫星导航信号模拟器的性能指标测试评估问题,提出了基于软件接收机的性能指标测试方法;通过分析模拟器性能,包括对动态性能、相位噪声、通道间时延一致性和静态定位精度的综合分析,给出了相应的测试方法和测试流程,并结合卫星导航信号模拟器产生的实际信号对各项性能指标的测试结果进行分析;经测试结果表明,软件接收机可以有效地对卫星导航信号模拟器各项性能指标进行测试,测试结果符合理论预期,满足模拟器性能指标测试评估的需求。 基于分布式缓存的消息中间件存储模型 消息中间件的存储模型对中间件性能影响极大。基于此,分析消息中间件的多种存储模型原理,并通过性能测试对各种存储模型进行比对分析。分布式缓存在读写速度和扩展性上较传统存储方式有较大优势。在传统存储模型的基础上,提出一种基于分布式缓存的消息中间件存储模型。实现基于该模型的原型系统,并通过性能测试数据证明该模型的可行性。 遥感地理图像采集目标精准提取仿真 对遥感地理图像的采集目标进行准确提取,能够得到完整性较好的图像采集目标特征。图像采集目标的提取,需要将图像的采集目标各特征分量加权融合,合并为完整特征向量,完成遥感地理图像采集目标的提取。传统方法标记图像采集目标的轮廓像素,估计采集目标特征的单元向量权值,但忽略了合并特征向量,导致提取精度低。提出基于结构元分析的遥感地理图像采集目标精准提取方法,根据能量守恒和散度定理推导出遥感地理图像能量随时间的变化情况;构造图像各向异性扩散方程;生成图像相应的映射子图,提取采集目标的结构元和连通性特向量征,并将采集目标的各个特征分量进行加权融合,合并为采集目标的一组完整特征向量,对不同特征具有较好的区分能力。仿真证明,所提方法具有较好的去噪性能,且大大提高了遥感地理图像的提取精度。 结合无符号Laplace谱特征的触觉步态识别算法 "针对单纯利用压力点分布特征进行触觉步态识别的不足,提出了一种结合无符号Laplace谱特征的动态触觉步态识别算法。利用足底压力数字化场地采集常速、快速和慢速三种情况下的触觉步态数据,生成足底压力分布图像,并根据足底解剖学的结构划分区域;以足底压力图像各区域为节点构造结构图,并采用无符号Laplace矩阵表示;通过对该矩阵进行奇异值分解(Singular Value Decomposition,SVD)获取谱特征,并结合形状特征得到触觉步态特征;选择""一对一""的支持向量机(Support Vector Machine,SVM)多分类方法,按照人在行走过程中不同的速度分别构造分类器,从而实现动态触觉步态的识别。实验结果表明该识别算法对不同速度样本数据的触觉步态识别正确率都较高。" 基于TSP的图的路包装问题的算法研究 图的路包装问题是一类有着重要应用背景的最优化问题,然而它在计算复杂度上是NP-困难的。受Hassin和Rubinstein的思想启发,在max-TSP问题的基础上给出了完全图的路包装问题的近似算法,分析了算法的复杂度和近似比;基于LINGO软件的算例表明了算法的可行性和有效性。 基于布里渊散射的结构应力光纤测试技术研究 作者通过分析一定功率的脉冲光射入光纤中的布里渊散射规律,介绍了分布式光纤测量测量轴向应力的基本原理;制作实验装置,测量单独的应变模型,分析散射回来的波形图,初步了解应力在光纤布里渊散射波谱上的图像特征;将应力作用于光纤的不同位置,对比它们与无应力作用诗所得到的散射波形之间的图像差异,研究其对光脉冲在光纤传输过程中的影响规律;结果表明,应力的作用大小,作用位置的不同都会对脉冲光的传播造成影响,主要在于影响布里渊散射的斯托克斯光和反斯托克斯光;本次研究所得可以为分布式光纤测量提供参考,为分布式光纤在测量微型形变的应用中有一定的促进作用;本次研究的创新点在于使用滑轮的方法,解决同等应力在分布光纤的不同位置作用效果。 基于交叠社团相似性的生物网络关键节点识别 生物网络是研究生物特性的一个重要工具,目前已经有许多方法用于研究生物网络,关键节点分析是其中最常用的方法之一。关键节点分析通常是根据一定的规则为网络中的各节点分配一个函数值,并由此来确定网络中各节点的重要程度,目前已经发表了一些方法。然而,这些方法在单独使用的情况下,获得的关键节点的生物学意义一般较低,存在一定的缺陷。本文从节点对社团贡献的角度建立关键节点识别方法,首先提取网络中富含生物学功能意义的社团,然后依据交叠社团的相似性为各节点分配贡献值,最后通过两个生物网络实例论证了方法的有效性。 无项头表的FP-Growth算法 针对FP-Growth算法中频繁模式树的遍历低效问题,提出了一种无项头表的频繁模式增长算法。该算法利用递归回溯的方式遍历频繁模式树以求取条件模式基,解决了对同一树路径多次重复遍历的问题。从理论分析和实际挖掘能力两方面,将新算法与FP-Growth算法进行了对比。结果表明,新算法有效减少了条件模式基的搜索开销,使频繁模式挖掘的效率提高了2~5倍,在时间和空间性能上均优于FP-Growth算法。将该算法应用于通信告警关联规则挖掘,较快地挖掘出了关联规则结果,且正确规则的覆盖率达到了83.3%。 基于改进交叉熵算法的随机需求车辆路径设计方法 传统的车辆路径规划方法无法有效地应对实时在线客户需求量随机变化的情形且收敛速度过慢,为了克服其缺点,设计了一种基于蒙特卡罗和重要性采样的交叉熵车辆路径规划方法;首先对随机动态车辆路径规划问题进行了数学建模,然后,描述了蒙特卡罗和交叉熵算法实现稀有事件概率估计的原理,并引入Tsallis熵实现对传统交叉熵的改进,采用蒙特卡罗多次采样获得的费用期望作为路径真实费用的估计值,通过交叉熵算法对重要性概率密度函数和分位数进行不断更新,以增加重要样本获取的概率,从而实现最优路径的获取;最后定义了具体的基于Tsallis熵的随机动态车辆路径规划方法;在MATLAB仿真工具下进行试验,结果表明文中方法能有效地解决随机动态车辆路径问题,与其它方法相比,具有收敛速度快和收敛精度高的优点,是一种有效的随机动态车辆路径规划方法。 军事概念模型的格式化描述方法研究 概念模型是对真实世界的第一次抽象,是仿真系统开发中的一个重要环节。针对当前军事概念模型开发中存在的建模元语缺失,描述不规范的问题,提出了关于系统六元的概念模型的格式化描述的建模方法,按照系统相似性的原理对作战系统的内涵、要素、结构、状态、运行、功能六个方面进行抽象,通过图、表等形式,构建出完备的、规范的军事概念模型,为军事概念模型的开发、军事领域人员与仿真技术人员的交流提供一种可供参考的方法。 大规模CFD多区结构网格任务负载平衡算法 针对现有负载平衡算法的适应度低、可扩展性差、通信开销度量不准确的缺陷,提出一种大规模CFD多区结构网格任务负载平衡算法。通过对网格块的分割、网格块之间的组合映射、进程上网格计算量的调整来实现并行CFD任务负载平衡。实验结果表明,该算法既适应同构平台也适应异构平台,既适应网格块数多于进程数的情况也适应网格块数少于进程数的情况,该算法可使得整个计算空间分配到各进程上的计算量负载平衡,同时使得各进程间的最大通信开销最小。 基于改进K-means算法的微博舆情分析研究 为避免初始聚类中心选取到孤立点容易导致聚类结果陷入局部最优的不足,提出一种基于密度的K-means(聚类算法)初始聚类中心选择方法。该方法首先计算每个数据对象与其它数据对象间的平均相似度,找出平均相似度高于某固定阈值的对象视作核心对象,再从核心对象中选取彼此间最不相似的作为初始聚类中心。通过自构建的新浪微博抓取工具,分别抓取不同类别的数千条数据,经过分词、预处理及权重计算后,用改进的K-means算法对其进行聚类分析,查准/全率较传统的K-means算法要稳定,聚类的平均时间也得到缩短。实验结果表明,改进后的算法在微博聚类中有更高的准确性和稳定性,有利于从大量的微博数据中发现热点舆情。 基于FTPS的实时图像传输软件的设计与实现 针对主流FTP软件功能无法定制,不能满足影像数据传输特有的需求,设计了实时图像传输软件。实时图像传输器RIT(Real-time Image Transmitter)软件基于FTPS协议,利用C#语言设计并开发。RIT客户端包括定时图像检索、图像压缩与发送管理、图像发送等模块;服务器端包括定时检索与解压模块;共同完成实时图像从客户端到SSL型服务器端的物理传输、自动查重及发送记录存储等功能。RIT作为医院病理信息系统的数据传输模块,在海量图像信息的备份与共享上发挥了重要作用。实验结果表明RIT应用在实时图像传输与管理上可以达到较好的效果,在工程上应用是可行的。 IMS可管理P2P流媒体应用服务器的设计与实现 基于IP多媒体子系统的流媒体系统采用的都是传统的客户端/服务器(Client/Server,C/S)架构,当大量用户同时请求流媒体服务时,中心媒体服务器将成为整个系统的性能瓶颈。在参考ETSI TISPAN制定的IMS-based IPTV架构基础上,给出了基于IMS的可管理P2P流媒体系统架构,并根据引入P2P技术的系统需求设计了可管理P2P流媒体应用服务器的软件模块结构及P2P分发树的构建算法。在原有基于C/S架构的流媒体应用服务器基础上,通过扩展集中管理和维护对等节点树P2P模块实现了媒体上传节点的选择,并以直播业务为例进行了原型系统验证。测试结果显示该系统能够有效地将终端节点组织成P2P流媒体分发网络,并有效地降低了媒体服务器的压力,提升了流媒体系统的性能。 自适应消除的高效UMHexagonS算法 针对当前H.264/AVC视频标准的非对称十字型交叉多六边形网格搜索(UMHexagonS)算法搜索点数多的问题,提出了一种快速搜索提前中止策略的UMHexagonS算法。采用渐进消除算法的自适应消除思想,对不满足快速匹配判别条件的子块提前结束搜索,仿真结果表明,与传统法相比,改进算法在不损失编码效率的情况下,运动估计时间速率提高了约30.52%~60.7%,有效提高了编码的实时性。 文件密级标识全程管控系统的设计与实现 为了解决涉密信息系统内文件密级标识缺乏统一管理、密级标识本身安全性差的问题,从静态管控和动态管控两个角度对密级标识制定相应的防护策略。通过在文件头部密级标识字段后添加若干标志位,结合HMAC完整性校验技术、HOOK技术、文件系统过滤驱动技术,设计了文件密级标识全程管控系统。测试结果表明,该系统设计方案可以实现对涉密信息系统内文件密级标识的全程管控。 基于快照隔离的分布式数据库同步协议研究与实现 针对分布式数据库系统中副本一致性的问题,结合快照隔离的性能优势和组通信技术的消息定序特性,提出了一种满足单副本可串行化的数据同步协议。首先,形式化定义了快照隔离可串行化的准则,并证明了该规则可以保证单副本可串行化。进而基于组通信系统的消息定序特性,提出了满足单副本可串行化的数据同步协议SSI-REP。实验表明,与两阶段协议(2PL)相比,SSI-REP协议提高了系统的性能,降低了事务的系统响应时间;与全局快照隔离算法GSI相比,SSI-REP协议在保证单副本可串行化的前提下,对系统性能的影响甚微。 动压-过载约束下无人机追逃最优机动决策研究 针对动压-过载约束下的无人机追逃机动控制问题,为优化设计,提出了采用微分对策的时间最优机动决策算法。首先建立无人机追逃质点动力学模型,然后根据双方极值原理,建立系统在动压-过载约束与追逃性能指标下的哈密顿-雅可比方程,通过此方程将无人机追逃机动控制问题转化为两点边值优化问题,并利用配点法求解两点边值问题的数值解,从而获得相应无人机的最优机动控制策略。最后以某型无人机纵向追逃控制为例进行数值仿真。仿真结果表明,改进算法不仅能有效求解无人机追逃双方的最优机动控制策略,而且满足期望的追踪性能指标和动压-过载约束条件。 基于联合多样性密度的汉语方言辨识 为了解决汉语方言模型设计较为单一的问题,提高方言辨识的效率,提出了一种基于联合多样性密度的汉语方言辨识方法。多样性密度算法是多示例学习中的一种经典算法,联合多样性密度算法是对其的改进应用。该方法首先将方言进行预分类为多个小类,然后将各小类方言进行多示例包生成,并通过期望最大多样性密度算法进行多示例学习,得到的多个多样性密度点作为方言的多示例模型,最后提出平均最近距离算法进行模式分类。该方法在训练模型时得到的方言模型更为全面、完整,在模式分类时考虑了未知包中每个示例的影响,提高了辨识系统的效率。 一种基于GPU的方差阴影映射改进算法 提出了一种改进方差阴影映射的方法.该方法在传统的方差阴影映射中引入透视阴影的概念,在后透视空间中生成深度纹理,以牺牲对远处景物的采样率为代价,获得较高的对近处景物的采样率,减少了因采样率不足而导致的像素与纹元之间的误匹配.实验结果表明,该方法减小了光溢出现象的发生,提高了方差阴影的生成质量. 基于优先级的组合映射方法研究 在组合映射方法的基础上,提出一种依据领域和相似度计算优先级(名称、属性、实例、结构相似度计算)的映射方法,该方法根据不同领域由领域专家提供对应优先级,进而得到映射方法,并产生计算结果,在某种程度上大大减少映射的计算量和计算时间。 面向汉维机器翻译的相关转换及匹配规则设计 汉语和维吾尔语是在句法结构和语序上差异较大的两种语言。对于一个完备的汉维机器翻译系统而言,进行源语言的分析和目标语言时态、语态的准确表达是有必要的。针对统计机器翻译模型中所包含的句法、语义成分较低导致的准确率及语序问题,通过建立相关转换及匹配规则,以期用于机器翻译的混合方法之中来提高翻译系统的工作性能。 基于本体的应急平台数据集成的设计与实现 "目前,重大突发事件频发,但是我国部门间、地区间应急信息不共享、不互动,""信息孤岛""影响了应急管理决策的科学性和运作的有效性。针对传统异构数据集成中存在的问题,提出基于本体的应急平台数据集成框架,并对关键实现技术进行了研究。利用OWL建立全局本体和局部本体,使用Jena解析本体,借助映射规则来指导数据集成,实现了应急平台数据集成。" FTP服务器枢纽中的文件处理引擎设计 为改善大型运营支撑系统之间文件传输效率低下的状况,在FTP服务器枢纽模型中,设计文件处理引擎。通过智能向导模式实现业务注册,建立后台驱动模块实现高效文件传输,采用MD5算法解决文件迁移过程中的完整性校验问题,利用Java线程池控制技术提高引擎多线程并发处理能力。该引擎设计较好地解决了FTP服务器枢纽分层结构之间的协调控制问题,具有较高的实用价值。 基于改进的混合高斯背景模型的运动目标检测 混合高斯模型在应对背景中存在扰动的情况具有优势,而其不足之处主要表现在对光线变化比较敏感和当场景中前景与背景之间发生转换时容易产生较长时间的虚影。针对上述问题,提出一种融合相邻帧差法和背景减法的算法。采用了循环周期和动态更新相结合的背景重建机制,通过运用Matlab对视频图像某个像素点的S值和V值的变化情况分析来体现背景更新和重建的过程,并对背景变化前后分别采用传统算法和改进算法进行对比分析。该改进算法解决了背景模型对光线变化敏感以及容易产生虚影等问题,实验结果表明了算法的有效性和鲁棒性。 基于能量比的小波域音频水印算法 利用人耳听觉掩蔽特性,采用小波变换和幅度调制的方式,实现了一种新的复杂度低、鲁棒性强的音频水印算法。该算法通过计算音频在不同分帧长度下攻击前、后的平均能量比,选择最佳的分帧长度,通过调制音频低频系数的幅度值来改变每帧音频信号的能量比,从而达到嵌入水印的目的。实验结果表明,该算法具有很好的不可感知性,且能够抵抗诸如低通滤波、加噪、重采样、回声、A/μ率转换、MP3压缩及各种去同步攻击。 基于Vega的虚拟小镇漫游系统设计 Multigen-Paradigm Vega是最出色的三维视景仿真软件之一,为了给使用该软件的系统开发人员提供开发参考,以小镇虚拟漫游系统为例,详细阐述了采用Vega进行系统开发的详细过程。首先对Vega及其升级版本Vega Prime进行了简单的介绍,在此基础上,介绍了虚拟小镇漫游系统的详细设计,其中包括添加对象模型、设置碰撞检测、观察者定位及多观察者设置、设定浮云、日出及昼夜更替等环境效果和画中画效果等。通过以上设计,实现了虚拟小镇漫游系统。在此基础上,针对该系统的缺点,文章最后对未来的工作和需要改进的地方进行了介绍,如添加声音、利用DI-GUY添加人物、更强的碰撞检测、仪表显示、指定路径漫游等。文中采用的系统开发方法对虚拟系统人员具有一定的借鉴意义。 不等参数认知AF中继通信系统的中断性能 分析了认知无线网络中单AF中继通信系统的中断性能,所分析的认知中继通信系统具有如下特点:多主节点并存、不等网络参数(如各信道具有不同的瑞利衰落参数、各主节点具有不同的干扰功率阈值、各接收信号具有不同的噪声功率)等.本文得出了该认知中继通信系统的端到端接收信噪比的概率分布的精确闭合表达式,以及当信噪比趋于无穷大时的端到端信噪比的渐近极限表达式.并针对各主节点的干扰功率阈值及各接收信号包含的噪声功率不相同的这类不等参数网络模型,提出模型转化算法以把不等参数网络模型转换为等效的等参数网络模型,从而可以用所得理论结果进行分析.仿真结果验证了理论分析结果的正确性,同时表明考虑多个主节点以及主要网络参数的差异程度对中断性能的影响是非常必要的. 基于网格搜索支持向量机的网络流量预测 网络流量预测在网络运行管理中具有重要作用。为提高预测准确性和可靠性,采用网格搜索法寻求支持向量机的最优平衡参数和核函数参数并在此基础上建立预测模型,以许昌学院校园网2010年9月30日至2011年10月9日的网络流量为实例测试预测效果。研究结果表明,基于网格搜索支持向量机预测法的预测结果能准确地反映网络流量的变化趋势且具有较好的预测精度,验证了其在网络流量预测中的可行性。 可视化搜索用户界面 可视化搜索用户界面直观且交互能力强,已在众多搜索引擎中被大量采用.文中对可视化用户界面在搜索系统中的应用进行了总结,分别就查询表达式如何可视化以及搜索结果的可视化组织进行了深入探讨;详细介绍了基于分类和基于特征的查询表达式可视化,以及可视化结果组织方法.最后对可视化搜索用户界面领域进行了展望,认为自然化的交互方式、非文本数据、数据规模以及社会化将对该领域产生巨大影响,相关技术值得进一步探索. 简化的滤波器查找表与神经网络联合预失真方法 针对功率放大器的记忆非线性特性给通信系统带来的失真问题,提出一种改进的滤波器查找表(FLUT)预失真方法。在FLUT方法的基础上,改进FLUT预失真结构及简化自适应更新部分。采用传输窄带序列训练神经网络模型弥补功率放大器的非线性特性,利用二维滤波器码表补偿功放的记忆效应。通过将功率放大器的非线性特性和记忆效应分开处理,降低神经网络模型的计算复杂度。仿真结果表明,改进方法能有效降低系统误码率,抑制带外频谱扩展,减少带内失真,与原FLUT方法相比,对记忆功率放大器有更好的线性化效果。 利用数值仿真改进铝合金再加载实验方法 传统再加载实验方法在高压下组合飞片容易分离,现铝合金最大实验压力只有22GPa。提出在样品前加装缓冲层、利用冲击波在缓冲层中的反射对样品实施再加载的新方法,并通过计算机仿真进行实验,优化参数。根据冲击压力仿真结果,对飞片、缓冲层的材料进行预选。根据界面粒子运动速度仿真结果对飞片、缓冲层、样品和窗口的几何尺寸进行调整。在二级气体炮上进行了验证。结果表明,仿真的冲击压力、粒子速度剖面与实际结果吻合良好,由仿真方法确定的实验参数合理,有效防止了来自飞片后表面、窗口前表面稀疏波以及缓冲层中多次反射波对实验结果的干扰,在60.8GPa的高压下得到了预期的实验结果。 基于谱相关函数的多循环频率合作频谱检测 为了避免干扰主用户的通信,认知用户在伺机使用主用户授权频段之前,需要检测该频段是否处于空闲状态,为此研究了基于谱相关函数的频谱检测方法。根据噪声谱相关函数的幅度确定检测门限,将接收信号在主用户某一循环频率处的谱相关函数的幅度与检测门限进行比较,若大于判决门限,则判断主用户存在。利用主用户信号的多循环平稳特性,多个循环频率之间通过合作检测来提高检测性能,并利用蒙特卡洛仿真方法验证该检测方法的可行性。仿真实验结果表明,该算法可有效检测到主用户,通过多循环频率的合作检测提高了检测概率。 一种结合反馈信息的贝叶斯分类增量学习方法 贝叶斯分类器形成初期,训练集不完备,生成的分类器性能不理想且不能动态跟踪用户需求。针对此缺陷,提出一种结合反馈信息的贝叶斯分类增量学习方法。为有效降低特征间的冗余性,提高反馈特征子集的代表能力,用一种基于遗传算法的改进特征选择方法选取反馈集中最优特征子集修正分类器。通过实验分析了算法的性能,结果证明该算法能明显优化分类效果,且整体稳定性较好。 求解CVRP的改进混合蛙跳算法研究 为了求解带有容量约束的车辆路径问题(CVRP),在建立CVRP数学模型的基础上,提出了改进的混合蛙跳算法(SFLA),并设计了新的初始群体构造方法。改进后的SFLA采用实数编码方式,融入自适应差分扰动机制及混沌局部搜索策略到局部搜索过程中,在保持SFLA全局收敛性的同时,增强了算法跳出局部最优解的能力,加快了算法收敛速度。通过与其他三种算法进行对比实验,结果表明了改进的SFLA在求解CVRP上的有效性和顽健性。 基于软测量的醋酸精馏过程串级预测控制策略 针对醋酸精馏控制中,产品成分无法在线检测并且对产品质量采用温度间接控制存在控制精度低的问题,提出一种基于在线更新小波核函数极限学习机软测量的DMc预测控制策略,其中,在线更新的小波核函数极限学习机软测量实现了塔底醋酸浓度的实时检测,仿真结果表明,在线更新模型的预测精度比离线模型提高52%。将上述在线更新的软测量应用于塔底醋酸浓度闭环预测控制系统中,实现对塔底醋酸浓度的直接质量控制,该系统采用DMc作为醋酸浓度控制器,其输出量作为再沸器蒸汽流量控制器的设定值,与再沸器流量控制构成串级调节系统。控制系统仿真结果表明,该软仪表具有良好的在线预测性能,预测控制系统控制精度高、可以实现产品质量的卡边控制。 教学用多关节机器人研究 论文研究的教学用多关节机器人采用模块化创意组装设计,能够实现腰及臂等六个自由度的运动,关节之间可以任意互换,机器人本体易于拆卸和重组,具有轻量性、灵活性和开阔性等特征。实验表明:教学用多关节机器人具有较高的自我定位精度,不仅可以开展机器人运动控制教学,而且还可以满足学生认知典型机械结构的组成和工作原理等,该机器人系统将机器人理论知识与工程实践充分融合,培养了学生的学习兴趣和实践能力,有效地提升了教学效果,可用于高校教学领域。 基于RGB分量统计的可变区域彩色图像分割算法 为了能够对彩色图像进行高效的分割,提出了一种可变区域的图像分割算法,利用基于图像全局RGB分量统计信息的活动轮廓模型进行曲线演化,并使用水平集表示轮廓。通过改变和缩小分割区域的策略,将分割过程分为多个阶段进行。在灰度图像的分割算法的基础上,将可变区域策略拓展到彩色图像。实验结果表明,图像中多连通区域的物体能够被准确且快速地分割出来。与现有模型相比,可以自动地完成工作而无须人工干预,并且算法快速方面有明显的改进。 基于制造商的协作创新模式比较与协调 从供应链协作创新的核心企业制造商出发,基于上游供应商对下游创新的影响,在需求对价格敏感的情况下考虑了供应商、制造商、第三方研发机构协作创新的博弈过程,对供应链创新协作的三种模式进行了建模分析。研究结果发现,在创新能力相当的情况下,无论从创新力度、各方期望利润,还是从满足需求量等来看,制造商与供应链上关系紧密的上游供应商协作创新的模式不但可以降低自己创新的投资和风险,而且提高了供应链协作伙伴的创新力度和水平,又可以在收益上双赢,其优于不进行协作创新和委托第三方研发机构的协作创新模式。最后进一步提出了供应链协调策略。 基于Android的智能家居安全监控系统的设计 针对传统智能家居的健康状态和安全监控主要依赖人工定检的问题,论文将基于Android的物联网技术引入了智能家居状态监测和安全评估中,构建了智能家居远程监控系统。该系统的Android远程操作基于MVP模式开发应用程序,利用神经网络处理用户日常使用操作数据建立起的网络数据模型,结合S3C2440A微控制器将网关中移植了嵌入式Linux以便于不同智能家居驱动程序的开发。最后运用电力线通信网络将各智能电器的网关进行连接,通过计算路由节点的组网成功率结果可得:15组各20个智能电器的网络节点接入成功监控率达到98.33%,该系统能够对智能家居众多电器进行同时监控,解决了系统的数据并发造成网络堵塞而无法安全监控的问题。 形状特征和置信传播在去除SIFT特征点错误匹配中的应用 针对SIFT特征点匹配错误问题,提出一种置信传播与特征点形状特征相结合去除SIFT特征点匹配错误的算法.该算法分为4步:1)根据每个特征点的尺度信息、主方向信息以及匹配邻居特征点信息确定每个特征点邻域窗口的大小和方向,并计算每个特征点在邻域窗口内匹配邻居构成的形状特征;2)连接每个特征点与其最近的3个邻居特征点,构成置信传播网的基本框架;3)利用每对待确定特征点对的特征描述符之间的距离与其形状特征之间的距离生成置信传播网的证据函数,利用每对待确定特征点对与其邻居之间的空间关系生成置信传播网的相容函数;4)迭代计算每个特征点的置信度以及传递给邻居的消息,直至整个网络收敛,并通过最后得到的置信度确定初始匹配特征点对是否为误配.利用真实拍摄的图像和牛津几何视觉组数据库中的图像进行仿真实验,并与RANSAC算法、GTM算法以及BP_SIFT算法进行了比较,仿真结果表明,在召回率、准确率、丢失率和效率上,文中算法总体上优于上述3种算法. 大规模词序列中基于频繁词集的特征短语抽取模型 目前,大多数文本特征抽取算法是针对特征词集进行抽取的,由于文本数据量大,且内容描述具有多义性和复杂性,以词为单元的特征抽取结果通常存在歧义.为了解决该问题,论文首先将文本生成词序列,综合考虑了词语在词序列中有序性、可重复性和同义性,利用加权关联规则挖掘方法,对频繁词集进行组合生成特征短语.为提高计算效率,针对大规模文本数据特征短语抽取问题,采用MapReduce计算思想对所提算法进行了扩展.实验表明,该算法具有较高的运行效率,而且可以获得较为准确的特征短语. 基于动态松弛时间回收的开销敏感节能实时调度算法 为适应实际系统中任务集的不断变化以及不可忽视状态切换开销的要求,针对多核多处理器系统中常见的周期任务模型,提出一种基于动态松弛时间回收的开销敏感节能实时调度算法DSROM,在每个TL面的初始时刻、任务提前完成时刻实现节能调度及动态松弛时间回收,在不违反周期任务集可调度性的基础上,达到实时约束与能耗节余之间的合理折衷。模拟实验结果表明,DSROM算法不仅保证了周期任务集的最优可调度性,而且当任务集总负载超过某一个值后,其节能效果整体优于现有方法,最多可节能近20%。 多层物流仓储设施供应链均衡优化管理仿真 对多层物流仓储设施供应链的均衡管理,能够提高物流仓储系统运行效率。对物流仓储供应链的均衡管理,需要构建商品供应链均衡管理模型,获取供应链网络模型达到均衡的条件,完成物流仓储供应链均衡处理。传统方法建立了具有时变时滞的供应链结构模型,获取物流系统的时变特性,但忽略了供应链结构模型的均衡条件,导致管理精度偏低。提出从价值流理论角度出发构建包含多层物流仓储设施和商品供需成员的供应链网络均衡模型,获取供应链网络模型达到均衡的条件,对于多品种、复杂仓储设施供应链拓扑结构,以及仓储设施库容的情况,在仓储设施外层对库存策略和内层对物流分配方案分别进行寻求的双层求解方法。实验结果表明,上述方法有效降低了供应链维持成本,提高了客户满意度。 改进RWP移动模型结合线性规划的无线网络能量均衡算法 针对无线网络中大多数现有方法不能很好地兼顾能量效率和能量均衡的问题,提出了基于改进随机路点(random waypoint,RWP)移动模型结合线性规划(linear programming,LP)的无线网络能量均衡模型。首先,使用方型网络拓扑和RWP生成移动性场景;然后,在最优路由条件下建模网络行为并构建了一种LP架构;最后,利用优化问题的全局知识获得了该架构的高效解。数值分析结果表明,移动性对无线节点的能量耗散趋势具有显著影响,移动性可提高能量均衡达到某一级别,但极端的移动性可能会导致无线网络能量均衡的劣化。 一种实现高速异步FIFO的FPGA方法 "在跨时钟域传递数据的系统中,常采用异步FIFO(FirstInFirstOut,先进先出队列)口来缓冲传输的数据,以克服亚稳态产生的错误,保证数据的正确传输。但由于常规异步FIFO模块中的RAM存储器读写寻址指针常采用格雷码计数器以及""空满""控制逻辑的存在,将使通过这两个模块的信号通路延时对整个模块的工作频率造成制约。提出了一种在FPGA内实现高速异步FI-FO的方法,该方法针对不可能产生满信号的高频系统,通过省略""满""信号产生模块和多余的存储器位深来简化常规的FIFO模块,而只保留""空""信号产生模块。仿真和综合设计结果表明,整个模块的工作频率得到一定提高。" 头部可自由运动的头戴式视线跟踪系统设计 为了实现头部自由运动下的屏幕凝视点准确估计,设计一套基于场景摄像机和屏幕四角红外灯的头戴式视线跟踪系统。利用投影空间的不变量cross-ratio将凝视点在参考屏幕上的位置转化为当前屏幕上的实际位置,并在场景摄像机坐标系下对头部转动造成的视觉误差进行补偿。实验结果表明,该方法可以将误差控制在一个较小的可接受的范围内,同时避免了复杂的多摄像机装置和立体匹配计算。 改进混合蛙跳算法在蔬菜总黄酮软测量中的应用 针对蔬菜总黄酮化学物提取过程复杂、非线性和生物参数难以在线测量等特点,提出了基于改进混合蛙跳算法优化最小二乘支持向量机的蔬菜总黄酮软测量模型。该模型对标准混合蛙跳算法进行改进,采用反向学习的种群初始化策略,确保个体分布的均匀性;并根据群体适应度方差大小,动态调整变异概率,使算法避免陷入局部最优;最后采用改进的混合蛙跳算法对最小二乘支持向量机的参数进行寻优,实现蔬菜总黄酮软测量。仿真结果表明,基于改进混合蛙跳算法的最小二乘支持向量机软测量模型具有测量精度高,稳定性好的优点,有利于蔬菜总黄酮化学物测量工程的实际应用。 PID控制器参数优化中的仿真研究 研究PID控制器参数优化选择问题。传统的PID参数优化多采用试验加试凑的方式,费时且难找到最优参数,同时,常规BP神经网络PID参数优化训练收敛速度较慢,控制效果不理想。为了获得最优PID参数,提高系统的控制性能,提出一种遗传算法BP神经网络PID参数优化方法。方法首先采用全局寻优的遗传算法对BP神经网络初始权值进行选择,然后采用参数最优的BP神经网络模型对PID控制参数进行优化,从而实现PID控制参数实时优化。仿真结果表明,相对于传统的PID参数优化方法和常规BP神经网络PID参数优化方法,方法取得了更满意的控制效果,提高了系统的控制精度,验证了算法的有效性。 基于RBF-ARX模型四旋翼飞行器的LQR控制方法 针对四旋翼欠驱动系统飞行过程中具有的非线性和强耦合性,提出了基于RBF-ARX模型四旋翼飞行器的LQR控制器设计方法。该法首先根据四旋翼飞行器的动力学特性构建四旋翼飞行器RBF-ARX的模型结构,并采用离线非线性参数优化方法辨识模型参数,获取满足工程精度需要的四旋翼非线性动态模型。然后,基于该模型设计了具有状态反馈的四旋翼飞行器的LQR控制器,并通过求解工作点的Riccati方程,获得状态反馈矩阵。最后通过仿真和实时控制结果验证了该方法的有效性和可行性。 基于Parzen核估计的最大后验概率分类方法 从概率密度函数的角度出发,利用Parzen窗法估计总体样本的概率密度分布,将核方法和Parzen窗法引入最大后验概率方法中,提出一种基于Parzen核估计的最大后验概率的高性能多分类方法。该方法不需要考虑样本数据的具体分布情况,能够得到分类的可信度,给出推理的不确定性依据。在3个国际标准UCI数据集和3个人脸数据集上的实验结果表明,该方法具有较好的分类效果。 基于视觉显著性的区域导向图像序列插值 为解决已有图像序列插值方法存在的插值图像细节模糊问题,提出一种区域导向图像序列插值算法。根据图像序列的时空特性,设计关注区域计算方法。构建基于区域的插值模式,有效地保持原始图像序列的局部细节,实现关注区域的高质量插值放大。给出基于引导滤波的关注区域细节增强方法,进一步提升关注区域的清晰度,获得符合视觉感知的高分辨率图像序列。实验结果表明,与图像签名检测算法和全局对比检测算法相比,该算法在不同插值倍数下都取得了更好的视觉效果和更高的客观评价指标值。 从头算及变分过渡态理论研究尿素和甲醛的反应 用从头算方法在MP2/6-31+G(d)水平下研究了尿素和甲醛反应机理,在从头算给出的信息基础上,用变分过渡态理论加小曲率隧道效应计算了(200~3000)K温度范围内尿素和甲醛反应的速率常数。脲与甲醛的反应是较简单的加成反应,反应的基元过程主要是氮氢键的断裂和氧氢键的生成。在MP2/6-31+G(d)理论水平下,反应的势垒高度为32.83kcal·mol-1。计算的速率常数展示出较强的非Arrhenius行为,速-温关系3参数公式拟合为:k (T )=(3.32×10(-43) )T (8.32)exp( -9027.8 / T) /(cm 3 ·molecule (-1) ·s( -1) )。 基于AUTOSAR架构的通信系统的研究与实现 AUTOSAR是汽车开放系统体系结构,已成为汽车电子软件的工业标准,在汽车电子控制器系统开发过程中具有重要地位,其中AUTOSAR COM通信规范为汽车电子通信系统的构建提供了依据和参考;介绍了AUTOSAR体系架构,对AUTOSAR COM规范基于信号的通信机制及其特有的传输模式切换系统进行了研究,并实现了一种基于AUTOSAR架构的通信系统,试验结果表明该系统能够提供基本通信服务,且符合AUTOSAR COM规范。 内容中心网络的分层缓存策略研究 提出了一种CCN网络的缓存分层内容放置策略,定义了一个分层的CCN网络架构.在此基础上,定义了一个内容缓存价值的概念,构建一个CCN网络的分层缓存模型,区别不同CCN节点在不同层上的缓存空间大小,通过对节点自带缓存空间大小和功能的分层配置,把不同流行度内容放置在不同层CCN节点上,提高不同层CCN节点的缓存性能,使得整体CCN网络缓存性能最优. 基于模糊综合评价的机场周界安全 基于模糊数学理论,运用模糊综合评价原理,构建民用机场周界防范系统模糊综合评价模型.对周界防范系统的子系统进行模糊评价,以期达到检测各个子系统的安全稳定性目的,将综合值划分成若干区间,并分别对应于机场组织的四种状态,即:危机状态[1,0.75]、低度危机状态[0.75,0.5]、基本正常状态[0.5,25]和正常管理状态[0.25,0].当计算出的综合评价值处于上述某个值域区间时,可直观地显示出机场周界安全的具体状态. 卫星初始速度阻尼智能控制器设计 针对卫星入轨后的初始速率阻尼问题,提出一种基于人脑中情绪学习模型的在线自主自适应控制器。该控制器模拟人脑对感官输入和情绪刺激的处理过程,自主选择适当的控制信号,完成控制任务。设计了基于PID控制和PWPF调制的人脑情绪学习模型智能控制器用以完成卫星初始速率阻尼控制。仿真结果表明,该智能控制器对于卫星转动惯量的不确定性具有较强的鲁棒性,在线学习能力使得智能控制器的性能明显优于PID控制器。 基于深度信念网络的异常点集间的匹配算法 在存在异常值、噪声或缺失点的情况下,损坏的点集中很难区分异常点与正常点,并且点集之间的匹配关系也会受到这些异常点的影响。基于正常点之间存在某种联系以及正常点与异常点之间存在差异的先验知识,提出将点集间匹配关系的估计问题模型化为机器学习的过程。首先,考虑到两个正常点集之间的误差特征,提出了一种基于深度信念网络(DBN)的学习方法来训练具有正常点集的网络;然后,使用训练好的DBN测试损坏的点集,根据设置的误差阈值在网络输出端就可以识别异常值和不匹配的点。对存在噪声和缺失点的2D、3D点集所做的匹配实验中,利用模型预测样本的结果定量评估了点集间的匹配性能,其中匹配的精确率可以达到94%以上。实验结果表明,所提算法可以很好地检测点集中的噪声,即使在数据缺失的情况下,该算法也可以识别几乎所有的匹配点。 动态攻击网络演化分析模型 将基于攻击图的脆弱性评估技术和动态网络演化分析相结合,提出了一种动态攻击网络演化与分析模型。该模型借鉴演变图的思想将攻击图拓展为随时间域和空间域同时变化的演变攻击图,在子图相似度定义的基础上构建攻击演化模式,分析模式内暂态变化的同时结合时序数据分析模式间的连接变化,以攻击演变挖掘算法为核心的模型应用分析过程,可以确定整个过程中攻击模式的数量,明晰每个模式的典型攻击结构,实例证明提出的模型和方法可以有效地模拟攻击发生的过程,当需要防御手段进行干预时,可有针对性地选择危害大的阶段或者节点来抑制攻击过程的发生。 基于时空关注的移动感知节点选择算法 针对移动互联网中移动节点选择忽略研究节点位置的关联性问题,利用移动节点代替固定节点作为感知设备,提出一种移动节点选择算法。该选择算法根据检测节点的历史移动轨迹预测其未来在该周期内的移动轨迹,并依据移动感知节点轨迹关联有效性选择最优的参与者集合。仿真结果表明,当感知节点的个数相同时,该算法覆盖率最大,优于部分周期参与感知选择算法及随机节点选择算法,将其应用于碳检测领域,可提高感知节点覆盖率。 基于测量体扩散的网络拓扑双向发现研究 计算机网络由于路由协议和访问控制的自身特点,使得网络拓扑具有单向和不对称的现象,为拓扑发现增加了困难.基于扩散测量体以及订阅发布机制,提出一种网络拓扑的双向发现协议(BTDP).针对协议构造自动机模型,形式地分析和验证了协议的逻辑可终止性,以此为基础给出了该协议的主要算法并予以实现.BTDP的相关程序在中国国内互联网上的实际运行结果表明,它能够发现上述网络存在的不对称路径.此外,多方运行BTDP后的融合结果还揭示了上述网络实际部署的一些链路. 密文域可逆信息隐藏综述 可逆信息隐藏是信息隐藏技术的新兴研究方向,密文域可逆信息隐藏作为加密域信号处理技术与信息隐藏技术的重要结合点,对于数据处理过程中的信息安全可以起到双重保险的作用,尤其随着云服务的推广,密文域可逆信息隐藏是实现云环境下隐私保护的研究重点之一。针对当前密文域可逆信息隐藏技术的技术要求,介绍了该技术的产生发展背景,指出并分析了当前的技术难点,通过对各种类型代表性算法的研究,对密文域可逆信息隐藏技术进行了系统的分类,分析了不同嵌入方式的技术特点、实现框架与应用上的局限性。最后,结合密文域可逆信息隐藏的技术需求与难点,提出几点该领域未来的热点方向。 带数据约束的概率实时系统的验证 带数据约束的概率实时系统是指一种既带有概率时间约束又带有数据变量约束的计算系统。目前将离散数据约束和连续时间约束统一在一个概率模型中的规范及验证研究较少。提出了一种既带有连续数据约束又带有离散数据约束的规范——基于连续时间的概率ZIA规范,并给出了它的时序逻辑。对于CTL和PCTL而言,尽管这些逻辑很强大,但是只能反映时序性质,因此提出一个新的形式化语言CTML来表达度量性质查询,同时保留表达时序性质的能力并给出概率ZIA规范的验证算法。 基于Rete算法的攻击图构建方法 针对现有攻击图构建方法适用的网络规模受限的问题,通过分析现有方法存在的缺陷及构建过程中的特点,使构建攻击图转化为威胁行动属性之间的模式匹配,将Rete引入到攻击图构建过程中,提出基于Rete的攻击图构建方法。实验结果表明,该方法具有较好的构建效率,能够适用于大规模网络的攻击图构建。 基于对比度的小波图像融合算法研究 图像融合可以集成多个源图像中的冗余和互补信息,增加图像理解的全面性,获得对同一场景的更为准确可靠的图像描述。传统的小波图像融合方法的融合规则是分别对低频和高频系数进行处理,忽略了其中的联系,论文定义一种对比度将高频系数和低频系数的处理联系起来,并以对比度的大小作为度量系数取舍的准则进行图像融合。经实验仿真研究表明,该文算法获得的融合结果都获得了良好的效果。 置信度判别嵌入式隐马尔可夫模型人脸识别 为了提高人脸识别率,提出了一种优化置信度的判别嵌入式隐马尔可夫(EHMM)人脸识别方法。提出的方法基于假设检验,通过最小化检验错误率得到优化置信度判别式训练准则。在优化置信度判别式训练准则的前提下,通过参数估计求解判别式转换矩阵,提取出具有判别性、低维度的图像特征,确保观察样本能正确地分配到其对应的模型状态,以提高所训练出的EHMM模型的正确识别率。理论分析证明了优化置信度判别式训练准则的有效性,详细的实验及与现有方法的比较结果表明,提出的识别方法具有更好的识别性能。 带软时间窗的开放式满载车辆路径问题研究 为满足某些生产制造企业的满载运输需求,针对运输任务对车辆具有独占性的特点,分析得到总运输费用的大小取决于车辆的空车行驶费用,在此基础上,将带软时间窗的开放式满载车辆路径问题转化为带软时间窗的多车场开放式车辆路径问题,在非对称图上建立了相应的数学模型,并设计了近邻粒子群算法对模型进行求解。设计算例对算法进行了验证,实验结果表明:该算法可以快速求得软时间窗的开放式满载车辆路径问题的满意解。 基于静态LOD的输电线路虚拟地形渲染优化算法 针对输电线路三维可视化系统中大规模地形场景的渲染问题,提出一种基于静态LOD的虚拟地形绘制优化算法.建立输电线路廊道内地形高程数据的分块模型,将视角移动速度纳入细节层级的评价因子中,结合视点距离、地形复杂度等因素改进评价函数.通过阈值判断网格内数据点高程值的方差,选择不同的线性插值函数完成地形曲面的模拟效果.实验结果表明所提算法有效减少了运动状态下地形三角面的绘制数,具有更加流畅的虚拟场景帧数和良好的地形仿真效果. 多尺度自适应加权与稀疏表示分类相结合的遥感目标识别 针对遥感图像中不同层次的空间结构差异及目标含有不同角度的旋转的情况,提出一种基于Gabor多尺度自适应加权与稀疏表示的遥感目标识别方法.首先对训练样本和待测样本进行Gabor小波变换,对各个方向的Gabor特征进行综合,使它们近似各向同性,根据各尺度特征包含信息量进行自适应加权求和并经过PCA降维求得融合特征,将原始的训练字典改为融合特征字典,从而使字典更加具有判别能力,提高识别率.实验表明,该方法对遥感图像目标识别具有较好的鲁棒性. 基于区域判定的超宽带井下高精度定位 为满足煤矿井下对高精度定位日益增长的需求,应用高精度无线收发芯片DW1000,设计实现了一套基于超宽带(UWB)通信的井下定位基站与标签。为提高基站和标签之间的测距精度,采用非对称双边双向测距(ADSTWR)算法,抑制节点时钟偏移引起的测距误差;针对井下多基站定位中,标签的每一次定位都需广播请求帧而产生大量无效通信的问题,提出一种基于ADS-TWR的标签区域判定策略,使得标签只与所在区域基站通信便可完成定位,并引入标签的区域异常自检和区域校正机制,保证系统的高效稳定运行;在标签坐标解析阶段,采用三角形质心算法,在高精度测距基础上进一步提高定位精度,减少定位处理时间。实验结果表明:标签的定位精度在15 cm以内,满足井下高精度定位要求。 汽车轮胎爆胎风险智能监测方法研究与仿真 研究汽车爆胎风险准确监测问题。汽车轮胎在不同路段运转过程中会发生不同程度的震动,一旦震动程度过大,汽车内气体压力信号波谱振幅增加,造成气体压力报警信号发生错误突变。传统智能监测算法是利用气压异常报警信号进行监测的,无法避免由于汽车震动程度过大造成的气体压力报警信号突变的缺陷,降低了轮胎爆胎风险报警监测的准确率。提出了一种利用粗糙集的支持向量机轮胎压力监测方法。通过粗糙集方法对轮胎压力采集点取值进行分类处理,利用支持向量机建立汽车轮胎压力异常监测模型,从而实现了汽车轮胎爆胎报警监测。仿真结果表明,改进算法能够提高汽车轮胎爆胎报警的准确率。 JTIDS信号的高效信道化接收及检测方法 根据联合战术信息分发系统(Joint Tactical Information Distribution System,JTIDS)信号的特点,提出一种基于多相滤波的JTIDS信号接收方法。该接收模型具有全概率接收JTIDS信号的特点,而且所需计算复杂度低。在信号接收后,通过能量检测对输出信号进行脉冲宽度检测,判断信号是否为JTIDS信号。仿真结果表明该方法在信噪比为正时具有较好的性能。 视频情感语义分析——类型-强度分解法 提出一种视频情感语义分析方法,利用强度和类型两个独立分量构造的二维空间来建立人类情感模型,通过多模态的听觉、视觉特征,分别构造连续型的情感强度时序曲线和离散型的情感类型时序曲线,从而建立低层特征空间与情感空间之间的映射关系,实现对视频内容的情感注释和分析。类型-强度分解曲线可客观、真实地描述视频情感内容随时间的变化情况。实验结果验证了该方法的有效性。 基于视频的道路识别新算法在交通监测系统中的应用 针对传统的道路识别方法过程复杂,易受干扰,识别效果不够理想等缺点;根据道路上会有大量车辆通过,而车辆通过的地方也必为道路这一特点。采用逆向思维,通过识别运动物体达到识别静态物体的目的,提出一种应用累积帧差技术通过识别道路上经过的车辆来识别道路位置的新算法。实验结果表明使用新算法识别出的道路效果比较理想,可以满足实际应用的需要。 基于IGAHP—熵—博弈论—Choquet积分的新型装甲装备通用质量特性评价模型研究 为实现新型装甲装备通用质量特性评价,在建立评价指标体系的基础上,结合新型装甲装备特点,明确了通用质量特性对评价的要求,运用改进群体层次分析法(Improved Group Analytic Hierarchy Process,IGAHP)确定了指标的主观权重,采用熵权法明确了指标的客观权重,并引入博弈论的思想融合了主客观权重,考虑到评价准则之间的关联性问题,引入了模糊测度的概念,运用Choquet积分实现了指标评价值聚合,构建了新型装甲装备通用质量特性评价模型,为新型装甲装备通用质量特性的改进研究奠定了基础。 改进的近似模式匹配算法 为了提高近似模式匹配算法在多次匹配情况下的效率,借鉴了文本快速过滤算法的思想,分析了平均情况下改进的动态规划算法(DP算法),并在此基础上设计实现了一种改进的DP算法,称为IMP-DP。该算法在匹配过程中,将上一次运算的结果存储起来,与上次相同的匹配可在原有成功匹配结果的基础上进行运算,忽略将不可能产生成功匹配的区域,只关注剩余的区域。由算法时间复杂性和实验对比分析结果表明,该算法在多次匹配情况下,效率远远高于其它算法,从而验证了该算法改进的有效性。 基于径向基函数的多帧图像超分辨重建算法 神经网络具有强大的非线性学习能力,基于神经网络的多帧超分辨重建方法获得了初步研究,但这些方法一般只能应用于帧间具有标准位移的控制成像情形,难以推广应用到其他实际情况。为了将神经网络强大的学习能力应用到非控制成像多帧超分辨重建中,以获得更好的超分辨效果,提出了一种利用径向基函数(RBF)神经网络进行解模糊的算法,并将其与多帧非均匀插值结合起来,形成了一种新的两步超分辨算法。仿真实验结果表明,该算法的结构相似度为0.55~0.7。该算法不但扩展了RBF神经网络的应用范围,还获得了更好的超分辨性能。 基于GPU栅格化的任意多边形布尔运算 任意多边形布尔运算大多基于CPU栅格化方法,而CPU的串行性会增加栅格化过程的耗时。为此,提出一种基于图形处理器(GPU)栅格化思想的多边形布尔运算算法。用GPU实现CPU中较耗时的二维图形栅格化过程并提取内外轮廓片元,构造GPU环境下的栅格数据结构及与之空间映射相对应的CPU环境下的顶点数据结构,采用CPU与GPU相协调的方式交替访问内外轮廓进行顶点跟踪及轮廓片元压缩,最终得到正确的布尔运算结果多边形。实验结果表明,与现有多边形布尔运算算法相比,该算法能有效控制精度,且具有更高的执行效率。 软件即服务流程模型的自动演化 针对信息技术的高速发展,研究了软件即服务个性化定制中的流程配置。引入可配置过程模型作为支持软件即服务应用流程配置的手段;针对业务流程变化引起的流程模型簇膨胀和预定义的基本流程模型过时的情况,提出了流程模型的演化方法,即根据上一个基本流程模型有效期内各个流程模型被执行的概率和流程模型簇中流程模型间的距离,产生新的基本流程模型并更新配置规则集,从而达到减少流程模型簇所需存储空间并提高流程模型实例化效率的效果。 基于任务执行截止期限的有向无环图实时调度方法 为了将任务映射到多个资源中运行,以提高任务的执行效率进而有效管理和组织一个业务流程。在传统有向无环图模型的基础上,首先给出一种新的模型,将调度任务分解成若干串行和可并行调度部分;然后在新的有向无环图模型基础上提取任务调度的一条有效主路径,按照有效主路径将任务并行展开;随后基于任务的执行完成时间和执行截止期限提出一种拉伸调度策略,使任务在满足执行截止期限的同时,尽可能减少对处理器资源的占用,使其他任务能有效地进行调度。通过仿真实例证明所提方法具有很好的适用性。 基于虚拟现实技术的钻井仿真系统设计 针对基于三维动画和OpenGL技术的钻井仿真系统存在画面沉浸感不强、交互性差等不足,开发了基于虚拟现实技术钻井仿真系统。在对钻井过程进行数学建模的基础上,设计了模型计算软件,该软件逼真地再现了钻井现场参数的变化情况。利用3D MAX建模软件构建了复杂的钻井设备模型,并在Virtools平台下利用行为模块控制各模型独立的运动,实现了动态的钻井虚拟场景。该场景在硬件平台的配合下,能够逼真地再现钻进、起下钻、接单根、上扣、卸扣等几十种钻井工艺流程。该系统的实现为油田安全作业的培训提供了一条新的途径,具有一定推广价值。 一种基于混合二叉树结构的多类支持向量机分类算法 为提高多类支持向量机的分类效率,提出了一种基于混合二叉树结构的多类支持向量机分类算法。该混合二叉树中的每个内部结点对应一个分割超平面,该超平面通过计算两个距离最远的类的质心而获得,即该超平面为连接两质心线段的垂直平分线。每个终端结点(即决策结点)对应一个支持向量机,它的训练集不再是质心而是两类(组)样本集。该分类模型通常是超平面和支持向量机的混合结构,其中超平面实现训练早期的近似划分,以提升分类速度;而支持向量机完成最终的精确分类,以保证分类精度。实验结果表明,相比于经典的多类支持向量机方法,该算法在保证分类精度的前提下,能够有效缩短计算时间,提升分类效率。 等周图像分割算法的一种加速改进 在等周算法应用于图像分割中,由于不能充分利用求解线性方程组所得到的状态向量,且对于多目标的图像进行处理时采用的二分迭代产生较大计算量的不足,通过对多目标图像的状态向量和等周率进行分析,提出一种加速改进k+1分迭代方式,经分析和实验表明改进后方法比原算法分割在计算次数和计算时间上效率更高。 基于CDMA无线网络的浮标数据通信系统 在海洋监测中,海洋仪器设备是人类认识海洋、开发利用海洋资源和保护海洋环境的重要技术手段。其中资料浮标是海洋监测的主要手段之一。随着CDMA技术的广泛应用,近年来也被应用到海上浮标的无线数据通讯中。相比之GSM、北斗等通讯方式,CDMA网络具有数据传输量大、成本低等优点。文中以Visual studio 2005为工具开发了基于CDMA无线网络的浮标数据通信系统,浮标数据通信系统已在海上正常运行6个月。同时对接收到的数据和波浪骑士及其他仪器进行了对比,通过对比可以说明此系统稳定、可行,接收到的数据真实可靠。 融合社会信任关系的改进推荐系统 推荐系统已经得到了广泛的研究和应用,但是大多数推荐系统中仍存在一些导致系统推荐质量低下的不足:用户-信息项矩阵的大规模性和数据稀疏性,假设所有的用户都是互相独立的,该假设忽略了用户之间的联系。为了提高推荐系统模型的准确性,提出一种新型的概率因子分析方法。该方法对社交网络图进行挖掘,并将挖掘出的信任关系应用到推荐系统中,从而把用户朋友的喜好与用户的兴趣融合在一起,用于提高推荐质量。理论分析和实验结果表明,该方法复杂度是线性的,相对于传统方法表现出了很大的优越性,适合应用于大规模数据处理。 基于缩略语分析的中文报道关系识别研究 分析中文缩略语的构词方式,定义2个词之间的词形相似度,提出一种基于最长字符串匹配的相似度计算方法,探讨该方法在中文报道关系识别系统中的应用。实验结果表明,该相似度计算方法能够改善中文报道关系识别系统的性能,使系统的归一化检测开销降低12.96%,取得较好的识别效果。 变桨距风电机组仿真模型与控制 针对变桨距风力发电机组,建立了包含风、空气动力、发电机、偏航系统以及桨距系统的模型,并对变桨距风力发电机组模型设计了控制逻辑和控制回路。偏航和变桨是影响发电机组功率的主要因素,在偏航模型和控制系统中充分考虑了偏航角的解缆问题以及风向突变时风机偏转方向的算法设计;在变桨模型和控制系统中,根据叶片的气动特性,进行了仿真。控制结果表明,设计的仿真模型和控制方案能够合理地展现变桨距风电机组的动态变化过程。 核字典学习在软件缺陷预测中的应用 提出一种基于核字典学习的软件缺陷预测方法,首先根据软件缺陷历史数据结构复杂、类不平衡的特点,利用核方法将软件缺陷历史数据映射到一个能代表原始数据分布的高维特征空间.然后在核空间中,通过学习得到一个核字典,利用这个核字典判定软件模块的属性,对软件模块进行缺陷预测.在核字典学习过程中,为了解决缺陷预测中的类不平衡问题,采用了一种核字典基选择策略,构造出一个类别平衡的核字典.在NASA数据集上的对比实验表明,核字典学习方法取得了较高的Fmeasure值和AUC值,有效地解决了缺陷预测中的类不平衡问题,取得了较好的预测效果. 二维超声速进气道数值仿真研究 研究混压式超声速进气道改善阻力性能问题,改变进气道构型,针对Kantrowitz极限标准在进气道收缩比的设计上都过于保守;针对粘性效应问题,通过改进方法来控制激波/边界层干扰所造成的边界层分离现象,可以有效的降低粘性效应所带来的负面影响,提高进气道的起动特性,设计点和非设计点(Ma=3.5)均能达到优化进气道性能指标的效果。应用CFD对超声速进气道的起动特性进行了数值仿真分析,并从定量角度给出了如何施加壁面开缝措施来控制进气道的边界层分离现象。仿真结果发现在无粘和粘性条件下,相对开缝前,进气道的总压恢复系数分别提升了8.1%和22.7%。同时仿真结果表明,对于超声速进气道的设计和改进有一定的指导作用。 基于最小边界扇形的移动对象轨迹实时化简算法 为了对全球定位系统(GPS)设备采集到的移动对象原始轨迹数据进行简化,提高轨迹数据的使用效率,降低移动终端的通信代价和计算开销,提出了一种基于最小边界扇形(MBS)的移动对象轨迹实时化简算法。该算法不同于用一条折线来近似原始轨迹的方法,它利用扇形预测范围来估计、简化原始轨迹,在角度和距离两个层面上对简化误差进行控制。提出了新的误差度量方法——基于等极径的误差度量方法,并讨论了GPS定位误差对简化算法的影响。实验结果表明,所提算法的简化轨迹高效、稳定,所得到的简化轨迹与原始轨迹之间误差较小(不超过误差阈值的20%),对GPS定位误差有较好的容错能力。 基于融合神经网络的传感器故障诊断专家系统 针对传感器抗振动差、易受干扰的故障特点,常规采用的基于模型的传感器故障检测方法的准确性易受到建模误差与外界扰动的影响,造成漏报或误报;提出了一种基于融合神经网络的传感器故障诊断专家系统;该方法采用常规电流残差信号和振动幅度信号作为传感器故障诊断的输入信号,应用融合BP神经网络进行传感器的故障方法,分别用局部故障诊断模型,对局部的传感器故障信息进行全局决策的融合,从而提高专家故障诊断系统的准确率;研究结果表明,该传感器故障专家系统具有诊断准确率高、诊断速度快等优点,抑制干扰对故障检测的影响,诊断准确性超过75%。 基于粒计算的哈夫曼树SVM多分类模型研究 针对多分类问题,将粒计算与最优二叉树相结合来构建SVM多分类模型。应用粒计算思想粒化多分类问题,计算出每个类别的粒度;以粒度为权值集合,构建哈夫曼树,以解决类内样本分布不均和分类效率低下的问题;对粗粒结点分别设计多个SVM分类器;最后,以低温存储罐材料多分类问题为研究背景,对模型进行了仿真验证。与其他方法的对比分析表明,该模型提高了分类效率,为多分类问题的处理提供了一个新的研究思路。 基于整数规划的层次式FPGA布线算法 为了避免由于布线线序处理不当而导致无法布通的问题,提出一种基于整数规划的层次式FPGA布线算法.该算法使用一种全局优化处理的方式对布线问题进行求解,通过分析层次式FPGA的结构特点和整数规划的算法特点,导出了FPGA布线算法问题与整数规划之间的关系;然后具体描述了如何将FPGA布线问题转化成二进制整数规划问题及其相应的求解过程,其中利用层次式FPGA的结构特点对得到的整数规划问题进行简化.与可满足性布线算法进行实验比较的结果表明,文中算法具有求解速度更快、求解规模更大以及求解质量更高等方面的优势. 基于混沌优化的LS-SVM非线性预测控制方法 针对非线性系统的控制问题,提出了一种基于LS-SVM的预测控制技术。该方法将LS-SVM和非线性预测控制思想有机结合,利用混沌映射的特性,通过引入混沌优化技术对LS-SVM参数进行优化,同时又将其作为滚动优化策略,避免了非线性预测控制中复杂的梯度计算和矩阵求逆问题,仿真研究说明了该非线性预测控制器的有效性及实时性。 具有回放功能的机载回波触发数据采集分析设计 提出回放功能的机载回波触发数据采集分析设计系统,通过系统的硬件设计和数据挖掘的算法实现,提高了对机载回波触发数据的实时在线采集和回放性能,基于VXI总线数据分析采集系统应用层软件结构,设计仪器驱动程序、采集通道组、采集触发设置、数据传输模式、数据端口设置和数据读取子模块等.得到机载回波触发数据挖掘算法改进实现,设计PXI总线的数据回放系统.实验结果表明,系统具有较好的机载多源回波触发数数据的挖掘性能,数据采集挖掘精度较高,界面友好,具有较强的数据采集和实时分析能力. 基于聚类分析的图模型文档分类 针对传统向量空间模型中的特征项孤立处理问题,首先通过χ2统计和特征聚类相结合的模式实现特征降维,然后使用图模型来建立词和词之间相互关联信息,最后运用KNN方法进行文档分类测试。该算法提高了稀有词对分类的贡献,强化了关联词的分类效果,并降低了文档向量的维数。实验证明,该算法提高了分类的准确率和召回率。 基于分词的关联规则预测系统研究 定向文本预测,往往存在预测不准、数据量大、针对性不高等问题。提出基于分词的关联规则预测方法,以煤化工行业为例进行发展方向的预测。对预测主题近期新闻标题进行层叠隐马尔可夫模型的初步分词,对得到的词集进行虚词修剪及喻词实化完成语义统一形成参与关联规则的事务集;通过提出的基于分词的关联规则算法Apriori_Split对事务集进行计算,最终得到预测结果。实验表明,该预测方法简单有效,可以极大提高预测准确性。 基于MapReduce面向列的数据库存储方案研究 将传统的并行DBMS技术应用到Hadoop框架,在此基础上将面向列的数据库存储技术引入Hadoop的复制和调度机制,进而获得高效的编程模型与编程API.实验表明,提出的方案主要应用在map phase阶段,同时能够使得MapReduce的性能提高两倍. 匹配发动机的液压泵复合式控制特性研究 研究液压系统优化控制问题,针对工程机械的复杂工况经常使得液压泵与发动机扭矩匹配不合理,造成发动机熄火或功率利用过低。为提高系统性能,提出了DA(排量转速控制)与EP(电比例控制)的复合式控制方案,调节泵排量使其扭矩与发动机外特性相适应。建立流量连续方程和力平衡方程复合式控制微分形式的数学模型,并导出其传递函数。在MAT-LAB/Simulink平台搭建模型,对控制特性进行了仿真研究。结果表明,复合式控制可使液压泵与发动机高效匹配,为发动机的液压系统优化控制提供了科学依据。 基于OLS与EPSO算法的RBF企业订单预测模型研究 提出了一种最小正交二乘算法(OLS)和进化粒子群优化算法(EPSO)相结合构建RBF神经网络的企业订单预测模型。OLS采用前向回归算法,从输入数据中选取适当的中心,动态地避免网络规模过大和随机选择中心带来的数值病态问题;EPSO方法调整网络中的参数,如RBF中心位置,RBF宽度和隐层与输出层之间的权值,以提高网络的泛化能力。 一种基于行为的B/S应用系统访问控制策略 随着计算机技术和网络技术的快速发展,B/S模式在各类应用系统中得到广泛应用。在基于B/S模式的应用系统中,为了确保数据的安全性和保密性,一般会对用户权限进行验证和控制。论文在研究常用访问控制策略的基础上,提出了一种基于行为的B/S应用系统访问控制策略。详细介绍了该访问控制策略的实现方法和技术途径,并对其特点进行了探讨。 TCG动态二进制翻译技术研究 动态二进制翻译是一种即时编译技术,能在运行时将一种指令集体系结构的二进制代码实时翻译成可在另一种指令集体系结构下运行的代码。针对TCG(Tiny Code Generator)动态二进制翻译技术,首先对其基本原理进行研究,分析它的分层机制和翻译过程;然后对翻译块查询与缓存策略、TCG上下文维护,以及中间表示的分类和属性特点进行研究,并给出目标代码的生成步骤和及其常用的两种优化方式。这两种优化方式均在翻译阶段进行,具有实际的优化价值。 耦合分布式仿真中任务调度的研究与实现 在耦合分布式仿真系统中,为解决任务对资源合理利用的问题,采用一种First-Available调度策略,即在对资源和任务有效管理的基础上,根据工况计算任务的资源请求,在资源表中选取第一个符合要求的计算节点,指定此节点运行某项工况任务。测试表明,该调度策略能够快速有效匹配任务的资源请求,有非常强的实用价值和实效性,能够有效合理地利用资源,满足了大规模多工况多任务耦合仿真计算的要求。 (V,R)-语言 "V是一个字母表。FV是V上的一个自由半群,R是FV的一个子集。首先,提出了(V,R)-半群的概念,证明了图半群和有向图半群都是(V,R)-半群。其次,提出了超图半群的概念,证明了超图半群是(V,R)-半群,超图半群把超图理论和自由半群理论联系起来。以此为基础,提出了(V,R)-语言和超图语言两个概念。超图语言把超图理论和形式语言理论联系起来。进而,证明了超图语言、无向图语言和有向图语言都是特殊的(V,R)-语言。第三,证明了无向图语言和有向图语言都是正则语言。这就回答了文献""无向图语言""和""有向图语言""中提出的开问题。(V,R)-半群和(V,R)-语言是研究自由半群和形式语言的新理论和新方法。" 基于投影梯度的非负矩阵分解盲信号分离算法 在盲信号分离过程中,基于乘性迭代的非负矩阵分解(NMF)存在运算量大、收敛速度慢等问题。为此,在投影梯度法的基础上提出一种新的NMF盲信号分离算法。通过增加行列式约束、稀疏度约束和相关性约束条件,将最优化问题转化为交替的最小二乘问题,将投影梯度法应用于基于约束的NMF盲信号分离过程。仿真结果表明,该算法能减小重构误差,在维持源分离信号稀疏性的基础上实现混合信号的唯一分解。与经典NMF算法和NMFDSC算法相比,其收敛和分解速度更快,重构信号的信噪比更高。 面向协同产品商务的零件信息表达与共享 根据协同产品商务中各方对零件信息统一表达和共享的需要,提出一种面向协同产品商务的零件信息表达与共享方法。该方法分析零件信息特征构成及特征间关系,并基于特征建模技术建立面向协同产品商务的零件信息特征模型,将零件信息特征模型转化为统一的XML Schema信息模式,阐述转化的基本规则,构建面向协同产品商务的零件信息共享与交换平台,该平台以XML Schema信息模式进行检验,零件信息由XML描述并通过各种XSL样式表映射,满足不同用户的显示需要,实现零件信息的共享与交换。应用实例证明了该方法的有效性和可行性。 医院信息系统综合评价体系模型 为推动医院信息化建设工作的规范化,加强医院信息化建设的管理、监督、指导和评审工作,构建出一个具有广泛代表性的医院信息系统评价体系模型,使得我区医院信息化进程能够持续健康的发展.我们运用一种改进的层次分析法原理,对指标体系中的每一个单一指标进行权重求解,从而得出一个可以求解出系统性能测试和功能测试总得分的指标体系,对医院信息系统进行综合评价.通过该模型对新疆医科大学两家附属医院信息系统进行计算评分,最终科学有效地评价了该两家医院的信息系统,找出医院信息化工作的不足之处并给出了科学的改进建议. 集成电路测试系统中波形数字化仪的校准研究 文章介绍了波形数字化仪的原理、结构、工作方式及特点,并针对其校准技术进行了深入的研究。通过多功能校准源、示波器及测试系统数字模块实现波形数字化仪模块的精确校准,解决了波形数字化仪模块的校准问题。校准结果表明,该校准装置及校准方法能够满足集成电路测试系统任意波形发生器的校准要求。 电控EGR阀综合性能测试系统的研制 电控EGR阀是废气再循环技术中重要部件,主要包括步进电机式、电磁式和直流电机式,目前针对电控EGR阀测试系统研究尚不充分;为了实现对电控EGR阀各项技术指标的测试,研制了一套电控EGR阀综合性能测试系统;系统由驱动单元、控制单元、测试单元组成;驱动单元以电控EGR阀驱动器为驱动核心,控制单元以可编程控制器为控制核心,测试单元由各项指标的测试模块组成;通过对各项技术指标测试工艺流程设计,系统实现了对电控EGR阀流量特性、内漏性能、响应时间和阀内位置感应器性能的自动测试;实验结果表明,该系统稳定可靠,各项参数的机器能力指数Cmk均超过1.67,满足技术要求;系统提供了一种针对电控EGR阀综合性能的测试方案,并成功应用于某企业电控EGR阀生产测试车间。 基于内容的物联网寻径模型及节点休眠机制 物联网现有的基于节点标识的寻径机制只能提供基本的传输可达性,在面向内容的监控及通告场景中传输效率较低.针对物联网最典型的树型拓扑结构,提出了基于传感内容的寻径模型(Routing Model based on Sensor Content,ROC),以子树为单位定期收集、汇聚传感内容值,并在树型结构中逐层实施.设计了物联网内容组播和内容任播消息的高效通告方法,利用内容寻径规则精准高效地传送消息到满足条件的节点.理论分析及真实节点组网实验验证了ROC模型能以较低的带宽和存储代价实现高效的内容寻径.根据内容敏感范围,提出了基于最大子树的节点休眠机制,可延长部分节点休眠时间.ROC模型与已有的基于节点标识的物联网路由机制完全兼容,可叠加实施,互不干扰. 人工鱼群算法在虚拟机分配中的应用 虚拟机分配是云数据中心的一个重要任务,它实现物理机和虚拟机的映射,这对整个云数据中心中计算集群的性能,响应时间和服务质量有重要影响。需要采用一定的虚拟机分配策略来保障在同一集群中自动地完成虚拟机分配,以便达到物理机集群的负载均衡,虚拟机迁移次数最小并且节能环保等目的。提出了一种添加新型行为策略的人工鱼群算法,并将该算法成功应用于虚拟机分配问题的求解。与多种虚拟机分配算法的对比结果表明,算法能更快获得符合云数据中心多个需求的分配方案,对于其他实时性要求较高的组合优化问题同样具有应用价值。 Web项目中的SQL注入问题研究与防范方法 基于B/S模式的网络服务构架技术的应用被普遍采用,许多该类型的应用程序在设计与开发时没有充分考虑到数据合法性校验问题,因此使其在应用中存在安全隐患。在横向比较SQL注入攻击模式的基础之上,分析了SQL注入攻击的特点、原理,并对常用注入途径进行了总结。提出在主动式防范模型的基础上,使用输入验证、SQLServer防御以及使用存储过程替代参数化查询相结合的形式构建出一种有效防范SQL注入攻击的思路和方法。测试结果表明该防范模型具有较高的实用性和安全性。 延迟容忍网络中一种基于节点介数的拥塞感知路由算法 确定性延迟容忍网络中,节点间的接触往往表现出一定的重复性和规律性.现有的确定性路由算法通常根据网络全局或部分的先验知识构建网络演化图,将路由选择过程转换为利用经典路由算法求解最短路径的问题.然而,这类算法中消息的转发一般采用预先计算好的路径,缺乏对网络状态的自适应性.网络流量可能集中于部分的活跃节点,造成这部分节点过度的资源消耗,从而导致网络拥塞.提出一种基于节点介数的拥塞感知路由算法,该算法通过网络拓扑的时空演化图计算出节点间延时开销最小的多条备选路径,同时引入节点介数来指示节点的负载情况.在转发路径的选择过程中,结合路径的延迟开销和介数值以不同的概率从备选路径集合中选择实际转发路径.仿真结果表明该算法有效地减少了网络负载不均造成的局部拥塞现象,提高了网络消息的交付性能. 乙二醇浓缩脱水与精制系统操作条件的优化 直接水合成法生产乙二醇过程中,浓缩脱水和精制系统的能耗在整个乙二醇装置中占了很大比重。利用Aspen Plus软件模拟了乙二醇浓缩脱水与精制系统的工业流程。通过工业实际值和模拟值的比较,找到了多个可优化的变量。在此基础上,通过灵敏度分析,确定影响该系统能耗的可调变量的调节范围,以系统能耗最低为目标函数,各塔技术指标和产品质量为约束条件,对整个系统进行优化计算,得到了优化的操作条件。在保证产品质量的前提下,采用优化的装置操作条件,系统降低能耗3382 kW,提高系统的操作稳定性。 新闻故事中的关键说话人发现方法 为了发现新闻故事中的关键说话人,用以提高多媒体检索效率,在说话人索引的基础上,提出了关键人发现方法:根据新闻故事中说话人的特点,基于说话人频率、说话人持续时间、平均每次说话人时长和说话人位置因子4个因素,综合定义了说话人关键度,用以判断说话人的重要性,把每个新闻故事中说话人关键度最大的人作为关键说话人。实验结果表明,该种算法可以找到故事中绝大部分的关键说话人,验证了该算法的有效性和可行性。 复杂环境下无人机快速航迹规划研究 针对一般航迹规划算法在复杂环境下适用性差、耗时长、容易失效的问题,提出了一种复合快速航迹生成算法。该算法采用新型的多逻辑威胁值网点法为环境建模形式,在此基础上将加以改进后的粒子群算法引入到航迹近似最优解的快速求取过程中,对所得的航迹近似解进行分段局部优化以及可飞性修正处理,将近似解变为最优解。仿真结果显示该复合算法通用性好、速度快、精度高,能较好地应用于复杂环境下无人机航迹的快速求解。 印刷哈萨克斯拉夫粘连字符的切分方法 哈萨克斯拉夫图像文本经过行切分和列切分后,存在水平方向接触和垂直方向重叠的粘连字符。为提高字符识别率,依据字符连通域的最小外接矩形切分开垂直方向重叠的粘连字符图像块;利用判决条件:字符宽度概率密度分布图、字符图像块垂直投影的波峰数目和字符图像块垂直投影波峰的对称性,分离初始粘连字符图像块中正确的单个字符图像块和实际接触的粘连字符图像块;在允许的字符宽度范围内,寻找粘连字符图像垂直投影图的极小值点,以切分实际接触的粘连字符。实验结果表明,该方法泛化能力较好且识别率有明显提高。 2014年度《计算机测量与控制》读者索阅申请表 [正文]读者朋友,为了便子与您及贵单位保持联系,在编辑部、读者、广告厂商之间搭起一座信息沟通的桥梁,烦您在百忙中将下列及反面资料填写清楚,寄回我社,我们将您的个人资料录入数据库,您可获得不定期的赠阅杂志,感谢您的支持与合作。 基于粗糙集的火灾图像特征选择与识别 针对图像型火灾探测方法检测准确度和实时性间的矛盾,提出了基于粗糙集的火灾图像特征选择和识别算法。首先通过对火焰图像特征的深入研究发现,在燃烧能量的驱动下火焰的上边缘极不规则,出现明显的震动现象,而下边缘却恰恰相反;基于此特点,可利用上下边缘抖动投影个数比作为火焰区别于边缘形状较规则的干扰。然后,选择火焰的6个显著特征构造训练样本,在火灾分类能力不受影响的前提下,使用实验所得的特征量归类表对训练样本进行属性约简,并将约简后的信息系统属性训练支持向量机模型,实现火灾探测。最后与传统支持向量机火灾探测算法做了比较。实验结果表明:将粗糙集作为支持向量机分类器的前置系统,把粗糙集理论的属性约简引入到支持向量机中,可以大大消除样本集冗余属性,降低了火灾图像特征空间的维数,减少了分类器训练和检测数据,在保证识别精度的同时,提高了算法的速度和泛化能力。 基于非齐次线性方程组的一次性口令认证协议 刘丽等提出的基于非齐次线性方程组的身份认证协议和消息认证协议(装甲兵工程学院学报,2005年第2期)是一类单向认证协议,不能抵御伪服务器攻击,只能针对已固定终端的计算机网络通信系统。在保留其对用户认证便利性及安全性等优点的前提下,利用RSA算法对原方案进行改进,使其成为安全的双向认证协议。改进方案能够克服原方案的安全漏洞,保证更高的安全性和可操作性。 基于相空间重构和柔性神经树的乐器分类 基于相空间重构理论和主成分分析理论,对不同乐器产生的音频时间序列进行处理。通过分析各类乐器的高维特性,采用概率密度函数来刻画各个乐器在相空间中的差异,然后将概率密度函数的参数与其他音色特征相结合,采用柔性神经树作为分类器,提出一种新的乐器分类方法。柔性神经树能够解决人工神经网络结构的高度依赖性问题,还具有较高的识别率。实验表明,该分类器与BP神经网络和支持向量机比较具有较高的平均分类准确率和较低的均方根误差值。 一种体系结构层方面组合的冲突处理方法 当横切关注点组合到主关注点时,可能出现冲突的情况。该冲突导致体系结构设计难以决策,然而目前也缺乏有效的对这些可能冲突进行处理的方法。针对这一问题,提出了一种体系结构层方面组合的冲突处理方法。该方法结合AHP和加权平均思想的优点,并在定量分析时对结果进行一致性评估,达到了有效解决问题的目的,从而有助于提高软件体系结构设计的质量。最后通过案例和实验证实该冲突处理方法的有效性。 图像点模式匹配的一种凸包序列的图谱方法 利用点集的凸包具有仿射不变性和局部可控性,针对图谱方法难以精确匹配旋转角度较大图像的问题,提出了图像点模式匹配的一种凸包序列的图谱方法,使得匹配在图像旋转角度较大的情形下仍具有稳定性。构建图像特征点集新的图模型(凸包),利用改进的图谱方法对凸包进行匹配,并减小原始特征点集,迭代上述过程,通过构造凸包序列,自特征点集的外围到内部逐步匹配,得到较精确的匹配对。实现基于凸包序列的图谱方法的图像点模式匹配。实验结果表明,该方法不但能精确匹配旋转角度较小的图像,而且对于旋转角度大的图像以及多光谱图像匹配精度也较高。 基于RBFCM的启发型协调器算法的研究 "用于知识发现的启发型协调器能够模拟认知心理学中的""创建意向"",提高机器的认知自主性。为了进一步提高启发型协调器算法的认知特性和运算效果,构造了一种基于规则模糊认知图的启发型协调器算法,该算法用规则模糊认知图表示知识和进行有效推理,发现知识库中的不关联态,进而对海量数据库进行""定向挖掘""。实验证明该方法与有向超图方法相比,缩小了知识发现算法的搜索空间,增强了智能化程度。" 书本图的偶匹配可扩性(英文) 该文得出的主要结论是:书本图Bm是偶匹配可扩的当且仅当书本图Bm同构于B1或者B2.并且书本图Bm是基本的。 海量异构科技文献信息资源的非结构化存储研究 在大数据环境下,科技文献信息资源具有分散、异构、动态、海量等特性,为解决传统关系型数据库存储这些数据时面临的不易扩展、性能较低等难题,进行了以非关系型数据库为核心的异构科技文献信息资源存储研究。形式化描述科技文献资源的结构;在此基础上研究非关系型数据库存储异构文献信息资源的可行性数据模式;结合科技文献信息资源的特点设计面向异构资源的No SQL(Not Only SQL)适配器来融合现有存量信息资源。实验验证了No SQL适配器的高效性以及非关系型数据库存储科技文献信息资源时的可行性、高性能以及高扩展性。 古籍书影中钤印提取技术的探讨 藏书钤印是古籍在流传过程中所钤盖的收藏印记,具有深蕴的文化内涵和艺术鉴赏价值,因此一直是古籍研究方面的重点。介绍一种基于HSV颜色空间的古籍钤印提取算法,通过该算法自动从古籍数字影像中提取印章图像。该算法包含了自适应设计,可用于不同质量的古籍文档图像的印章提取工作。同时,该方法通过颜色空间的投影分析可以有效去除正文对印章像素点所造成的干扰,从而生成清晰的印章图像。通过对200多张籍影像中的620枚印章的提取实验表明,该印章提取算法具有较好的提取效果,印章图像的召回率达到93.4%,准确率达到83.4%。 一种基于聚类核的半监督支持向量机分类方法 为了在标记样本数目有限时尽可能地提高支持向量机的分类精度,提出了一种基于聚类核的半监督支持向量机分类方法。该算法依据聚类假设,即属于同一类的样本点在聚类中被分为同一类的可能性较大的原则去对核函数进行构造。采用K-均值聚类算法对已有的标记样本和所有的无标记样本进行多次聚类,根据最终的聚类结果去构造聚类核函数,从而更好地反映样本间的相似程度,然后将其用于支持向量机的训练和分类。理论分析和计算机仿真结果表明,该方法充分利用了无标记样本信息,提高了支持向量机的分类精度。 一种飞行器电缆插接状态自动化检测方法 新一代飞行器的电气系统越来越复杂,依靠主观识别方法检测电缆插接状态,人工失误轻则烧毁星上设备,重则导致任务失败;提出一种飞行器电缆插接状态自动化检测方法,通过插接状态检测编码和信号变换设计,确保检测电路占用尽量少的电连接器资源和背板资源;通过信号走线优化设计,避免检测电路增加电缆分支数,降低电缆加工和敷设成本;通过电源走线优化设计,确保测试电路与星上电路隔离;文章方法无需飞行器加电即可自动检测电缆插错、漏插等问题,提高了飞行器综合测试的安全性和可靠性。 AES算法的差分差错攻击 针对高级加密标准(AES)算法的加密过程的安全性问题,提出了一种有效的差分差错攻击(DFA)方法;根据DFA攻击中4字节差分差错模型特点,设计了在4字节差分差错不同和相同两种情形下计算最后一轮子密钥的算法;通过计算差分差错的可能值,计算出最后一轮子密钥的可能值的集合,进而确定子密钥的确切值。实例结果表明了该方法的可行性和有效性。 CAN网络的车载控制平台设计 设计基于ARM11单片机的触控车载网络控制平台;通过直观、简洁和友好的图形用户界面对车载网络中各个节点设备进行触摸控制,以及对车载网络中ECU的动态信息的数字化显示,以此取代传统的机械式按键操作和仪表显示设备,减少了中控平台的空间,提高操作的便利性;设计由串口RS232连接作为CAN网络接口的PIC33F单片机,可对车门窗、车灯、后视镜、空调、导航等设备进行控制;车载网络控制平台的动态显示则提高了车辆智能性,实现了实时性监测和控制。 基于NSCT和泰勒级数的图像超分辨率重建 结合非下采样Contourlet变换(NSCT)的多尺度、方向特性、各向异性、平移不变性以及泰勒级数高效逼近的优点,提出一种利用泰勒级数插值代替双线性插值的超分辨率图像重建算法。实验结果显示,该算法可以较好地恢复图像的细节信息和纹理特征,有效抵抗高斯噪声的干扰。 程序设计与算法类课程建设模式探索 程序设计与算法类课程是计算机科学与技术专业的必修课,是综合性的专业基础课,在计算机科学与技术专业课程建设中的地位十分重要,因此针对该类课程的有效建设模式进行更深层次的探索是一项非常有价值的工作。从基于系统观的课程体系架构、基于全局观的建设规划设计以及基于局部观的课程内容建设三个层面,分析和讨论了有关程序设计与算法类课程建设模式的一些新探索,由此进一步提升学生对该类课程精髓的理解度,进一步提高这一重要课程群体的整体教学质量和教研水平。 一种自适应的双门限场面运动目标检测方法 为了有效解决在复杂环境下机场场面运动目标的精确检测问题,提出了一种自适应的双门限场面运动目标检测方法。首先采用混合高斯背景模型的方法来提取背景图像,然后使用两个门限值对差分图像进行前景目标分割,低门限阈值用于粗分割以检测出较明显的运动目标,在粗分割的基础上再用高门限阈值进行细分割以去除噪声目标和伪目标,最终得到场面运动目标的准确检测和分割结果。在复杂条件下的场景进行的实验,验证了该方法具有良好的噪声抑制能力和对慢目标良好的鲁棒性,同时能有效地分割出前景目标。 面向高层次综合的自定义指令自动识别方法 针对在高层次综合(HLS)过程中性能提升、功耗降低困难等问题,提出了一种面向高层次综合的自定义指令自动识别方法。在高层次综合过程之前实现对自定义指令的枚举和选择,从而为高层次综合提供通用的自定义指令识别方法。首先,将高层次源代码转换为控制数据流图(CDFG),实现了对源代码的预处理;其次,基于控制数据流图内的数据流图(DFG),采用子图枚举算法以自底而上的方式枚举出所有连通凸子图,有效提高了用户可灵活修改约束条件的能力;然后,分别从面积、性能和代码量三个角度考虑,利用子图选择算法选择部分最佳子图作为最终的自定义指令;最后,用所选的自定义指令重新生成新代码作为高层次综合工具的输入。与传统高层次综合相比,采用基于出现频率的模式选择可平均减少19.1%的面积,采用基于关键路径的子图选择可平均减少22.3%的时延。此外,与TD算法相比,所提算法的枚举效率平均提升70.8%。实验结果表明,自定义指令自动识别方法使高层次综合在电路设计中能够显著地提升性能,减少面积和代码量。 双目立体视觉系统的精度分析 为提高双目立体视觉系统的测量精度,分析双目视觉系统的工作原理以及该系统各个环节的误差模型。通过提高标定模板的精度、增加标定图像,可以减小标定误差及图像校正行对齐误差。分析图像识别误差对视觉系统测量精度的影响,导入视觉系统的结构参数与测量误差之间的关系,从而确定结构参数对测量精度的作用。讨论物距对测量精度的影响,并给出合适的物距范围。 XML关键词搜索结果的多样化 可扩展标记语言(extensible markup language,XML)数据的关键词搜索面临着搜索结果数量庞大,同质化严重和不易区分等问题,针对这些问题,提出了一种新的基于多样化的方法。首先从查询结果抽取原型以标识查询结果语义,然后根据结果原型的特点,定义了原型的兴趣度和原型之间的距离,在此基础上,实现了原型的多样化。进一步提出了一种XML关键词搜索结果组织方法,即按照原型聚集查询结果。这种组织方式能够解决上述问题。最后通过实验证明了所提方法的有效性。 基于TMS320DM642芯片的视频目标跟踪系统设计与实现 运动目标的检测与跟踪一直是在计算机视觉的研究领域占有重要位置,该技术被越来越广泛的应用到交通管理、军事、公共安全监控等领域中;文章主要研究基于TMS320DM642开发平台的视频运动物体目标的检测与跟踪,文章分为软硬件两部分进行设计,硬件部分主要包含视频采集模块、视频处理模块以及显示模块3个部分,通过CCD摄像头采集视频信号,接着将采集的模拟视频信号传输到SEED VPM642视频处理模块,在VPM642中通过高性能视频解码器TVP5150将模拟视频信号转换成BT.656格式的视频信号,并将该信号传输给DM642的视频接口;在系统软件部分,系统采用TI的DSP集成开发环境CCS2.2作为系统软件开发平台,最终在DM642视频图像处理平台上实现运动目标的实时检测与跟踪;实验结果表明,该文提出的算法移植和优化方法效果明显,可以在DSP开发平台上实现运动目标的实时检测与跟踪。 改进的嵌套分区算法求解旅行商问题 嵌套分区算法是近年来提出的一种求解大规模优化问题的新型全局优化方法。介绍了嵌套分区算法(NPM)的基本思想,将其应用于求解旅行商问题。分析确定了嵌套分区算法各个算子的策略,提出了一种改进的嵌套分区算法。该算法采用加权抽样法求得初始最可能域,用全局数组记录下每个区域的历史最优解,用3-opt局部搜索算法改进每个区域解的质量。对TSPLIB中部分实例仿真结果表明,所提出的结合3-opt算法的改进嵌套分区算法在求解TSP问题时可以获得高质量的解。 基于单目仿人机器人的障碍物测距方法 为了能够实时测量机器人与障碍物的距离,提出一种单目视觉的方法.首先将机器人获取的RGB图像转换为HSV图像,在HSV颜色空间对障碍物进行检测和识别,然后利用小孔成像原理和几何坐标变换,计算障碍物与机器人的距离.最后将该方法应用到实验室Darwin仿人机器人上,实验结果表明该方法具有可行性和有效性. 基于主成分分析的代谢网络中心化 中心化分析有助于识别复杂网络中的重要节点,已经被广泛应用于代谢网络研究中。当前,人们已经提出了多种中心化指标,然而如何合理地综合使用它们是一个严峻的挑战。本文使用主成分分析来整合多种中心化方法。首先简单介绍了主成分分析的基本概念及其原理等,随后构造了人类代谢网络的巨强连通成分,并使用10种中心化指标计算了该模型中各代谢物的中心化值作为样本进行主成分分析。最后,我们以第一主成分为例,论证了主成分分析可以合理地整合多种中心化方法用于代谢网络研究。 基于申威1600的3级BLAS GEMM函数优化 BLAS是当前科学计算领域重要的底层支持数学库之一,其中的3级BLAS函数应用最为广泛.本文基于国产申威1600平台,提出了一种基础线性代数库BLAS的三级函数通用矩阵乘GEMM的高性能实现方法.在单核上,使用乘加指令、循环展开、软件流水线指令重排、SIMD向量化运算、寄存器分块技术等与平台架构相关的技术手段,实现汇编级手工优化;在多核上,提出了适用于该平台的多线程加速方案.实验结果显示,在单核串行性能测试中,与知名开源数学库Goto BLAS相比,我们实现了平均4.72倍的加速效果;在多核并行扩展测试中,4线程版的性能则平均达到了单线程版性能的3.02倍. 一种结合Web内容主题的会话识别及切分方法 通过Web日志文件,识别用户及用户会话序列,然后提取会话序列所对应的Web页面内容,得到Web页面内容的核心概念,用核心概念描述会话主题,基于会话主题实现会话的切分。最后结合一个消费平台消费者的会话记录及Web内容,验证了该方法的准确性。 基于加权TextRank的新闻关键事件主题句提取 为了在大量的新闻中快速找到自己感兴趣的内容,提出在单文档中基于加权TextRank算法提取主题句的方法,以得到新闻关键事件信息。通过计算新闻文本句子关键词的互信息值,对新闻报道进行事件句和非事件句的分类,过滤出非事件句。基于TextRank算法的思想,构建一个事件句有向图,引入句子位置、句子相似度和关键词覆盖频率3个影响因子,以此计算句子之间的影响权重,利用TextRank模型对图中的每个点计算权重,并选取排序最靠前的句子作为关键事件的主题句。实验结果表明,该方法的抽取效果优于基于词频-逆文档概率和新闻标题的主题句抽取方法。 使用危险理论的多传感器故障检测 现有的免疫故障检测理论用于多传感器检测对象时,易产生计算开销过大的问题。引入危险模式理论对其进行建模。将免疫算法与危险模式理论相结合,提出了一种基于危险信号的多测点免疫故障检测算法。通过定义和计算危险信号和危险程度信号提高系统故障识别的准确性。仿真结果表明:使用该文提出的模型和算法不但简化了系统计算过程,而且能有效地提高系统对虚假故障的甄别能力。 一种改进的运动目标跟踪算法 为了有效地解决目标颜色与背景颜色区分度较低而引起的目标定位不准确的问题,提出了一种改进的运动目标跟踪算法。该算法利用Harris-mean shift跟踪算法进行目标搜索,然后根据目标已知位置信息采用最小二乘法得到目标在下一帧中的位置,最终实现目标跟踪。实验证明该算法能够有效地提高跟踪的准确性和实时性。 一种融合多模式单演特征的人脸识别方法 为了更好地利用单演幅值和区域主方向信息,分别提出了一种单演韦伯差异激励局部块二值模式和单演区域主方向模式,并在此基础上进一步采用分块子模式策略融合两种特征。该方法首先对单演幅值求取差异激励,将差异激励分解为正值和幅值图像;然后对正值和幅值图像采用基于分块的局部二值模式编码,采用主成分分析方法求取单演区域主方向,并对主方向进行均匀量化,再采用异或编码。在获取两种特征后,采用分块子模式的策略对两种特征进行加权融合。在AR和CAS-PEAL上的实验表明,MWLMBP和MDOP两种特征提取方法能够有效提取图像的判别信息,进一步融合两种特征的方法能够有效增强特征的分类能力,提高特征的识别性能。 基于小波尺度相关的图像清晰度判别方法 为提高图像清晰度判别函数的单峰性和抗噪性,提出了一种基于小波变换尺度相关的清晰度判别方法。该方法利用信号的小波系数幅值随尺度增大而增大,噪声的小波系数幅值随尺度增大而减小的特性,取相邻尺度间小波系数乘积构造清晰度判别函数,实现了增强信号抑制噪声的目的。通过CCD采集的图像数据对该方法与传统方法进行对比实验,结果表明提出方法具有较好的单峰性和较强的抗噪性,能够准确地评价数字图像聚焦程度。 基于蓝牙技术用手机控制电脑的软件设计 利用手机控制电脑会给人们生活提供便利。本文主要讨论如何利用蓝牙技术在手机与电脑端建立连接,并让电脑对手机发来的指令数据做出响应操作,从而实现手机对电脑的控制。在此基础上,还讨论实现如何将电脑屏幕实时地显示在手机上,并对图像显示方式和按键响应模式做出分析与优化。 电子商务交易评估算法 研究电子商务交易风险的准确评估问题。当前电子交易的复杂性日益增加,各种影响因素的潜在相关性越来越复杂,传统的电子商务交易风险评估方法是将电子商务的技术安全作为准确定量,定量标准模糊,造成风险评估不准确的问题。提出一种小堆最优区间权重熵的电子商务交易评估算法,对交易评估中引入风险惩罚因子的概念,并对其进行定量分析,按照交易风险惩罚因子的信息熵差进行风险因素小堆区间的划分,使用小堆区间优化技术对各个相关因子权重最优求解,计算交易中的最大风险。实验证明,改进评估方法对规模较大的电子商务交易风险起到了较好的评估作用。 群组集线器多级多平面自路由交换模型 基于多级多平面交换通用架构,提出一种群组集线器Banyan类多级多平面自路由交换模型。采用单元状态描述分路器、合路器和交换单元,群组集线器下的Banyan类网络描述单个交换平面,比特置换描述级间互连,导向传输描述自路由特性。基于该模型推导多种Banyan类交换网络的导向传输特性和阻塞率迭代算法。对该模型进行仿真实验,结果表明该模型在低负载强度的情况下,时延低于BG-CRRD调度算法,在高负载强度的情况下,其阻塞率高于10–2,导致时延的增加。 基于Web的集群管理系统结构 介绍高性能计算集群管理现状,并根据目前管理缺点与不足,对集群管理系统进行了总体框架设计,利用Linux中/proc文件系统、My SQL数据库及Web技术,对管理数据采集、数据存储及应用接口进行了功能设计,最终实现了在统一Web界面中对集群系统运行实时情况、历史数据统计与分析进行管理. 基于深度卷积神经网络的数字调制方式识别 针对非协作通信条件下信号调制方式识别问题,提出了一种基于深度神经网络的调制方式自动识别新方法;该方法对接收到的信号进行预处理,生成星座图,并将星座图形状作为深度卷积神经网络的输入,根据训练好的网络模型对调制信号进行分类识别;与以往的识别方法相比,该方法利用卷积神经网络自动学习各种数字调制信号的星座图特征,克服了特征提取困难,通用性不强,抗噪声性能差等缺点,处理流程简单,并对星座图的形变具有不敏感性;针对4QAM、16QAM和64QAM三种典型的数字调制方式,进行了仿真实验,当信噪比大于4时,调制方式的识别正确率大于95%,实验结果表明,基于深度卷积神经网络的信号调制方式识别方法是有效的。 表面波法海浪绘制综述 将海浪绘制方法划分为计算流体力学法与表面波法,对表面波法近年的发展进行综述。表面波法又可分为Gerstner波法、快速傅里叶逆变换法,前者绘制结果为规则波,后者绘制结果为不规则波,两者都适于实时绘制大尺度海浪,且能实现波浪破碎。对快速傅里叶逆变换法所用海浪谱进行改进,使绘制的海浪能体现风距、风速的影响。对波数向量采样进行优化以提高绘制效率;改进海浪破碎方式,使绘制结果与观测数据更接近。 《计算机技术与发展》投稿须知 [正文]1《计算机技术与发展》是中国计算机学会会刊,中国科技核心期刊、中国科技论文统计源期刊、RCCSE核心期刊。主要报导国内外权威专家、学者对计算机科学技术和发展方向的评述,刊载国内外计算机科学研究和技术创新的最新成果、计算机软件研制和应用开发的成功经验以及各大专院校和国家重点研究院所的博士、硕士论文。为广大计算机专业和应用人员提供一个良好的讲坛 冥函数变换在短时交通流组合预测中的应用 实际交通流是一个明显含有噪声的非线性时间序列。针对这一特点提出对此时间序列进行冥函数变换,变换之后的噪声会比原始信号的压缩程度更大,从而降低白噪声对预测结果的不利影响;利用最小二乘支持向量机(LS-SVM)对自回归求和滑动平均(ARIMA)模型的预测结果进行循环补偿;通过冥函数反变换对输出结果进行相应的信号还原。实验预测结果表明,经过冥函数变换后的组合预测模型具有较高的预测精度。 网球视频中记分牌的检测和定位 为了在网球视频中精确地检测和定位记分牌,在总结和分析网球记分牌特点基础上,提出角点区域直方图的概念并利用其进行记分牌的检测和定位。首先通过角点和角点区域直方图获得记分牌模板,其次根据自适应阈值进行模板匹配检测记分牌;最后利用滑动窗口对记分牌进行精确定位。实验结果表明该方法查全率和查准率都达到了99%以上,且对有一定透明度的记分牌也有明显的效果。 军队任职教育院校教员教学评估研究 评估手段作为教学评估的重要组成部分,不应设定统一的标准和模式。为了更有效地评估教员教学,应根据该院校的实际情况、教学特点,分别设定适合的评估手段,结合层次分析法和主成分分析法,选取12种评估手段中的任意多项(或单项),并得到多种评估手段结合的最终结果,使得对教员教学的评估更加合理,解决了军队任职教育院校的评教手段较为单一等问题。 中轴提取方法综述 中轴作为描述几何对象的一个有效工具最初由Blum提出,并被作为一个形状识别工具应用于图像领域.随后它被推广到高维的几何模型,并被广泛应用于图形识别、模型检索、模型分割、模型变形、机器人路径规划等领域.经过最近20多年的发展,已经有许多高效实用的模型中轴提取方法.文中工作对中轴提取方法进行了较为全面的归纳总结,并对未来的工作提出进一步需要解决的问题. 混合式远程边缘无线接入点研究与应用 混合式远程边缘无线接入点(H-REAP)是为企业的分支机构及远程办公室AP部署的一种设计方案。可以通过广域网(WAN)配置并控制在一个在分支或远程办公室的AP访问点,而无需再为每个分部都部署无线网络控制器。通过对H-REAP的配置,可以实现多种模式下的无线网络的灵活应用。 最小化板坯盈余问题的约束满足求解算法 针对热轧薄板生产中板坯设计环节的最小化板坯盈余问题,建立了问题的约束满足优化模型;通过0-1背包问题的多项式归结,证明了问题的NP难复杂性。针对问题的特殊性质,给出了变量选择策略和值选择策略,在此基础上,提出了基于约束满足的求解算法;通过数据实验进一步验证了算法的可行性和有效性。 三维模型最优视角选择的粒子群优化方法 三维模型最优视角选择是指选择符合人类主观倾向最大信息量的三维物体视角.文中在预分割模型的基础上,提出一种采用粒子群优化的三维模型最优视角选择方法.首先设计了一个对候选最优视角打分的权重函数,其中的特征选取不仅考虑了视角应能够看到三维模型尽可能多的几何特征,同时也考虑了视角的舒适性;然后采用粒子群优化算法对模型进行分类别学习,获取最适合于该类模型的权重值,并获取权重函数中各个特征的权重配置.对比实验结果表明,该方法所选出的视角更加符合人类的主观倾向且不失几何信息量. 统计分析及关联挖掘在大学生心理健康中的应用 为深入了解影响大学生心理健康的主要因素以及心理症状之间的关系,以某高校2011级的学生心理测试数据为基础,采用统计分析和关联规则挖掘两种方法,从性别、学生干部、独生子女、来源地、家庭结构、家庭月收入等方面进行了分析研究,根据研究结果为高校开展大学生心理健康教育的规划、决策提供依据. 电力营销信息整合平台的设计与实现 "为了消除黑龙江省电力公司存在的""信息孤岛""问题,开发电力营销信息整合平台,平台的信息来自各个不同的业务系统。业务服务器分布在全省各个地市,网络状况各不相同,平台对这些复杂的状况进行了细致的分析和处理,实现供电局到电业局、电业局到省局的三级信息整合,为辅助分析决策提供全面、详实的数据。" 一种结合关联限制的最近邻分类策略 研究关联限制在最近邻分类中的应用,提出结合关联限制的最近邻分类算法PCNN.算法分成两个阶段:首先通过自学习过程,成对地添加施加关联限制的样本对;然后再进行一般的最近邻分类.引入最大半径和有效距离,并进一步给出自学习时样本对的评估方法,并且基于实验结果进行了分析.由于来自运输企业的行车数据能够较容易地施加关联限制,本算法比行车数据分类算法CIRP更为经济.对4个UCI数据集的分类结果也显示了算法的有效性. Vega Prime结合OpenGL的导弹尾焰实时模拟 研究基于Vega Prime的导弹作战系统仿真实验,针对使用Vega Prime的粒子特效模拟高速飞行导弹尾焰会出现尾焰不连续而影响仿真可视化效果的问题,提出将Vega Prime与OpenGL相结合的方法。基于粒子系统基本原理,对导弹尾焰进行建模;依据Vega Prime的事件发布订阅机制将OpenGL引入到Vega Prime中。模拟的尾焰形态逼真,动感十足,满足仿真实时性与真实性要求,解决了Vega Prime模拟导弹尾焰存在缺陷的问题。 交通路径诱导系统中最优路径选取研究与仿真 研究交通路径诱导系统优化问题。复杂路况高峰时多条道路车辆同时汇聚造成交通拥塞,但是拥塞信息的实时变化存在高度的非线性和突变性。传统的交通路径诱导系统,仅仅是针对最短距离进行路径选取,非线性和突变性拥堵信息不能在模型中得到反应,一旦拥堵发生,会降低交通路径诱导的效率。提出了一种基于自适应t分布变异人工鱼群算法的交通路径诱导系统。引入t分布变异算子将高斯变异和柯西变异的优点结合起来,提取交通路径拥塞参数,并将其反馈到控制端,使用AFSA方式进行迭代处理,将迭代次数作为t分布的自由度参数,从而提高了交通诱导的效率。实验证明,鱼群算法提高了交通路径诱导的性能,避免了交通拥塞的缺陷。 应用于数据库安全保护的加解密引擎系统 针对系统业务数据安全存储问题,采用加解密引擎服务对应用端发送数据进行加密,根据用户ID来识别不同用户的传输命令,利用用户私有的KEY进行加密存储。该方案在云计算平台下具有保护用户数据存储安全、隔离数据的功能。当应用端用户查询已加密数据时,加解密引擎服务端根据用户ID读取缓存区用户密钥,解密数据返回给应用端明文数据。当加解密系统和第三方应用进行集成时,加解密引擎将载入用户定义的加密算法、加密矢量等信息,按照用户自身的密钥加密敏感数据,可保证用户敏感数据存储安全并隔离不同用户的业务数据。以某市人口库系统集成为例,验证了方案的可行性。 网络接口跨平台移植扩展控件设计 采用传统方法对网络接口跨平台移植扩展控件设计时,存在各层协议设计的兼容性不好的问题,对此提出基于中间件技术和线性规划调度的网络接口跨平台移植扩展控件设计方法.进行跨平台网络的体系结构构建,进行网络接口跨平台移植的物理体系和通信体系分析,采用中间件技术进行网络节点结构的优化部署设计,然后采用线性规划调度算法进行网络接口跨平台移植的动态扩展资源调度设计,并以此为基础实现对网络接口跨平台移植扩展控件设计方法的改进.实验结果表明,采用该方法进行网络接口跨平台移植扩展控件优化设计,有效提高了系统的兼容性和收敛性. 输电线路在线监测WSN能耗均衡研究 在输电线路在线监测的应用中,无线传感器网络存在严重的能耗不均衡问题。利用这些无线传感器网络在线状拓扑上呈现出的线性、规律性的局部密集的特征,提出了基于密集簇的分簇及簇首轮换算法和基于命名机制的路由算法。该算法具有很好的可扩展性,可通过增加少量的转发节点来缓解簇间能耗不均衡的问题。仿真结果验证了算法在能耗均衡方面的有效性,能够延长网络的生存时间。 结合用户聚类和评分偏好的推荐算法 针对推荐算法中用户评分矩阵维度高、计算量大的问题,为更加真实地反映用户本身评分偏好,提出一种结合用户聚类和评分偏好的推荐算法。先利用PCA降维和K-means聚类对用户评分矩阵进行预处理,在最近邻选取方法上,添加用户共同评分数量作为约束,利用用户和相似簇的相似度对相似簇内评分加权求和生成基本预测评分;再综合用户评分偏置和用户项目类型偏好,建立用户评分偏好模型;最后通过多元线性回归确定每部分的权重,生成最终的预测评分。对比实验结果表明,新算法能更真实地反映用户评分,有效减少计算量并提高推荐系统的预测准确率,更好地满足用户对于推荐系统的个性化需求。 潜孔钻机工作装置运动学分析与可视化仿真 为获得某型号潜孔钻机工作装置的结构运动特性,采用D-H坐标变化方法对工作装置关节位置进行坐标系标定,得到潜孔钻机钻架定位点的坐标及其运动学方程,通过随机数法对方程进行求解,得到潜孔钻机工作装置运动空间的理论解。基于虚拟样机技术建立了潜孔钻机整机运动学仿真模型,实现了潜孔钻机工作装置的可视化仿真分析,得到钻架定位点的运动轨迹,以及钻孔范围和极限工作空间。虚拟样机仿真结果在运动学方程所得结果范围之内,从而验证了运动方程和运动学仿真模型建立的合理性。采用的分析方法和得到的分析结果为潜孔钻机工作装置动力学分析及结构优化提供参考依据。 机器人及其仿真 机器人是20世纪的一项重要科技成果,也将是21世纪各国必争之知识经济制高点。我国机器人技术的发展业已紧紧跟随着世界先进水平,无论是工业机器人,还是特种机器人,都有许多可喜的成果;机器人仿真、水面无人艇和水下机器人的仿真、空间机器人仿真、无人机仿真、多机器人仿真都开展得相当红火。机器人技术属于高技术范畴,可是,高技术是买不来的,所以欲上一层楼,只能以自立更生为主,再接再厉,努力发展机器人及其仿真技术,使中华民族屹立于世界之林,起到一个大国应有的作用。 增加度量元的迁移学习跨项目软件缺陷预测 目前,结合机器学习方法和软件缺陷预测技术自动地学习模型来发现软件中的缺陷,已经成为跨项目缺陷预测的主要方法。由于源项目和目标项目之间的特征分布差异,跨项目相关性预测的表现通常较差。针对该问题,可以使用从源项目中提取知识并将其转移到目标项目的转移学习技术来提高预测性能,并提出了一种增加度量元的迁移学习方法进行跨项目的软件缺陷预测。该方法首先使用分类器对数据集进行一次项目内预测,并将预测结果作为新的度量元加入数据集。然后采用迁移学习方法将源项目中提取的知识转移至目标项目,并使用分类器预测目标项目。在AEEEM数据集上的实验结果表明,该算法提高了跨项目软件缺陷预测效率。 基于Agent的快速公交系统仿真研究 "针对目前公交系统仿真模型中存在的建模复杂、数据搜集困难等问题,构建了一个基于agent的快速公交系统仿真模型。将乘客、公交车、信号灯抽象为不同的agent,并引入车辆调度agent和站台管理agent,通过各agent之间的交互来仿真快速公交系统的运行。为了解决个别站点可能出现的""涌现""现象,模型中给出了一种基于动态调整的车辆调度算法,从而减少了乘客的等待时间。实验和分析表明,该模型建模简单,易于理解,不仅可以真实地模拟快速公交系统运行现象,而且对如何充分合理利用公交车资源有一定的借鉴作用。" 基于粘着性模糊规则的维汉机器翻译最大熵调序研究 针对维汉机器翻译中未登录词和译文乱序问题严重的现象,结合维吾尔语粘着性语言特点以及最大熵分类算法,提出了一种基于粘着性模糊规则的维汉机器翻译最大熵调序模型。该模型以最大熵模型为基础,在维吾尔语词级别构建粘着性规则约束,从训练语料中提取更加有效的调序规则来指导翻译解码过程。实验证明,与当前主要MSD(mono、swap、discontinuous)等调序方法相比,该方法较好地体现了维吾尔语的粘着性特点,提高了译文质量。 内容适配系统设计及性能评价研究 内容适配技术是实现通用多媒体访问的主流技术,如何实现内容适配系统是内容适配技术研究中的重要问题。基于SOA架构设计并实现内容适配系统。系统具有数据管理、服务管理、内容适配和内容服务功能。采用客观和主观指标结合的评价体系,对内容适配系统的服务质量进行评价。 欺诈网页检测中基于遗传算法的特征优选 针对网页欺诈检测中特征的高维、冗余问题,提出一个基于信息增益和遗传算法的改进特征选择算法(IFS-BIGGA)。首先,通过信息增益(IG)给出特征重要性排序,设定动态阈值减少冗余特征;其次,改进遗传算法(GA)中染色体编码函数和选择算子,并结合随机森林(RF)的受试者工作特征曲线面积(AUC)作为适应度函数,选择高辨识度特征;最后,增加实验迭代次数避免算法随机性,产生最佳最小的特征集合(OMFS)。实验验证表明,应用IFS-BIGGA生成的OMFS与高维特征集合相比,尽管RF下的AUC减小了2%,但是真阳性率(TPR)提高了21%,并且特征维度减少了92%;同时多个常用分类器的平均检测时间减少了83%;另外,IFS-BIGGA的F1值相比传统的遗传算法(TGA)和帝国主义竞争算法(ICA)分别提高了4.2%和3.5%。实验结果表明,IFS-BIGGA可以进行高效特征降维,在实际的网页检测工程中,有效减少计算代价,提高检测效率。 基于RBF神经网络的可疑交易监测模型 针对国内外金融领域可疑交易的低检测率问题,通过对RBF(Radial Basis Function)神经网络技术的分析与研究,提出了一种基于APC-III聚类算法和RLS(Recursive Least Square)算法的面向反洗钱的RBF神经网络模型并加以实现。APC-III聚类算法用于确定RBF神经网络隐含层的中心向量,RLS算法用来调整隐含层与输出层之间的连接权值。RBF神经网络与支持向量机(SVM)和孤立点检测相比,有更高的检测率和较低的误检率,因此,提出的模型具有重要的理论和实用价值。 面向移动设备的远程渲染技术综述 移动设备以其轻便、便携、易联网等特点成为人们生活的主宰并极大的影响着人们的生活方式,却因其内存、硬件处理能力、分辨率、电池续航等方面的弱势限制了移动应用程序的开发和普及.因而,面向这些移动设备的远程渲染技术是计算机图形学领域的一个热门研究课题,具有很大研究意义的同时也极具挑战性.文中回顾了远程渲染技术的研究进展,对其关键技术以及应用进行概括和对比分析,最后对未来工作中的研究难点和发展趋势进行了展望. 国内首幅小动物活体肺部磁共振成像“点亮肺部” "[正文]在中科院武汉物理与数学研究所实验室,周欣研究员(后)正在和团队成员研究获得的国内首幅小动物活体肺部磁共振成像。周欣研究员领衔的研究团队是目前国内唯一开展超极化气体肺部磁共振(MRI)成像的研究组,他们的研究目的是""点亮肺部"",不仅获得目前胸透、CT和PET等肺部成像手段可以获得的肺部结构信息,还将对肺部气体交换功能进行可视" 基于角点运动约束的感兴趣区域提取算法 传统光流法提取感兴趣区域时运算量巨大,不能满足实时性的要求。针对这一问题提出一种基于角点运动约束的感兴趣区域提取算法。算法利用Harris算法对视频图像进行角点检测,通过对角点区域进行预处理,提取出前景角点区域,在此基础上利用光流法建立角点区域光流场,通过建立运动约束和阈值处理提取运动目标前景。算法仿真结果显示:算法可以准确提取感兴趣区域,抗干扰能力强,可以满足实时性的要求。 端到端IP组播技术及其在PIS中的应用 针对IP组播在网络层中使用UDP协议不能有效保证数据可靠传输的问题,提出一种高效、稳定的在端系统间保证数据可靠传输系统。使用CRC-32、端到端差错恢复和端到端拥塞控制等关键技术。该技术成功应用于沈阳地铁乘客资讯系统(PIS)。测试结果表明,该系统保持IP组播技术节省带宽资源、提高数据传输效率等优点,保证了数据的可靠传输。 网络空间通信节能优化管理算法研究仿真 对网络空间通信进行节能优化管理,可以降低网络运行所需的能耗,达到节能的目的。进行网络空间通信节能管理时,应组建网络状态迁移空间观测矩阵,求出状态空间迁移最优限制度,对状态空间进行约简达到节能目的,但是传统方法通过获取网络空间迁移过程的初始约简集,按照空间到达路径的重要性消除无用通信信息完成节能,但是无法利用空间观测矩阵获取状态空间迁移最小约简,节能管理效果差。提出基于改进量子的网络空间通信节能优化管理算法。上述算法先采集网络状态空间迁移条件集的信息熵,搜索网络状态迁移空间序列,组建网络状态迁移空间观测矩阵,结合粒子群方法求出状态空间迁移最优限制度,给出状态空间迁移最小约简,完成了网络空间通信节能优化管理,计算出网络状态空间约简适应度函数,并完成了对网络状态空间约简。仿真结果表明,上述方法不仅具有较高的全局优化性能,可以达到网络空间通信节能优化管理的目的。 基于积分不变量的断裂面匹配算法 提出一种基于积分不变量的断裂面匹配算法。根据在多尺度下特征点的体积积分不变量,得到初始匹配点对,利用相容性约束比较点的相似程度,排除伪匹配点对,并组成匹配点对列表,对于匹配列表中的每一点对,计算出将其法矢方向映射为一致的所有三维空间变换集合,通过双层几何哈希,为匹配点对及其对应的空间进行投票,当得票数大于给定阈值时,两断裂面匹配。实验结果表明,该算法能实现断裂面部分和完全匹配。 谱熵和小波熵算法在混沌序列结构复杂性分析中的应用 为了分析混沌伪随机序列的结构复杂性,分别采用谱熵和小波熵算法,研究了三种混沌伪随机序列的结构复杂度.分别计算了Logistic映射、Hénon映射和TD-ERCS离散混沌系统的结构复杂度大小;采用窗口滑动方法,分析了混沌系统随时间演化的复杂度稳定性.研究表明,两种结构复杂度计算算法是有效的;TD-ERCS混沌序列的结构复杂性与Logistic系统相当,且对m参数的复杂度稳定性好;结构复杂度大的混沌系统时间稳定性好.为混沌序列在信息安全中的应用提供了实验依据. 融合色调和局部特征的军人图片识别方法 针对目前军人图片识别方法在遮挡、光照变化情况下鲁棒性较差的问题,提出一种军人图片快速识别方法。在利用快速人脸识别和定位算法对图片进行过滤并提取出人物图片上半身区域的基础上,融合该区域SIFT-BoW和Hue直方图特征并用支持向量机进行分类。实验结果表明,该方法在不同光照强度、分辨率、人物姿态及部分遮挡条件下仍能够达到90%以上的识别率。 界面元素布局设计的美度评价方法 通过分析当前界面美学研究与美度计算的进展和不足,结合感性工学、图形学、系统工程学、心理物理学等领域的理论和方法,提出一种界面设计美度评价方法.首先分析了界面美度的感性意象结构,指出界面的平衡、比例、简洁、呼应为影响用户美感体验的关键性潜在因子,提出12个美度指标并构建了界面美度的计算体系;然后运用灰色关联分析研究设计方案的美度优势次序,进行美度综合评价;最后开发了交互界面美度计算原型系统,并介绍了界面信息编码、美度计算和方案综合评价的相应功能模块.与心理物理学常用的对偶比较法进行比较的实验结果表明,文中的系统和方法是可行和有效的. 用于实时异常检测的树突状细胞算法 为了提高异常检测系统的实时性能,在尽可能短的时间内对出现的异常做出反应,对传统的树突状细胞算法的离线分析过程进行了改进,提出了一种实时分析算法。当抗原被足够多的树突状细胞提呈后立即输出评估结果,从而到达实时或接近实时分析的目的,足够的评判次数减少了误判的影响,与时间序列相关的抗原信号池消除了无关数据的相互干扰,和生物免疫相关的一些随机因素的考虑更加近似地模拟了生物系统的表现。实验结果表明,该算法在实时分析的基础上还具有可观的检测精度。 基于MapReduce的改进DBSCAN算法 针对聚类算法DBSCAN中存在的Eps参数问题和处理海量数据集时的效率问题,提出OPDBSCAN算法。OPDBSCAN算法通过交叠分区获取局部Eps以降低全局Eps参数对聚类质量的影响,并结合MapReduce并行框架提高算法效率。实验结果表明,OPDBSCAN算法的效率和聚类质量都高于原DBSCAN算法。 基于动态规划法求解动态0-1背包问题 随机时变背包问题(RTVKP)是一种动态组合优化问题,也是一种典型的NP-hard问题。由于RTVKP问题中物品的价值、重量和背包载重均是动态变化的,导致问题的求解非常困难。在动态规划法基础上,提出了一种求解背包载重随机变化的RTVKP问题的确定性算法,分析了其复杂度和成功求解需要满足的条件。对两个大规模实例的计算表明,该算法是求解RTVKP问题的一种高效算法。 改进的Online EM背景减除算法 为有效提取复杂场景中的运动前景,提出基于贝叶斯理论的Dirichlet共轭先验,结合在线最大似然估计(Online EM)改进基于高斯混合模型参数的背景减除算法。改进算法避免了陷入局部最值,在线自适应地调整高斯个数,并生成运动全景图,实验结果表明,该算法能有效提高前景检测率。 基于旋转模型的植物叶子卷曲变形模拟 为实现植物叶子卷曲变形实时仿真,提出了一种基于叶脉骨架的旋转模型。基于叶片图像构建叶子的三维模型,并利用轮廓中轴提取算法生成叶子主脉,由主脉节点自动分生二级叶脉。在此基础上,将叶脉骨架旋转模型拓展到整个叶膜,即叶片三角网格顶点绕叶脉骨架节点向量逐步进行空间旋转,从而实现整个叶片模型的动态变形。元宝枫仿真实验结果表明,该方法模拟的叶子卷曲变形效果具有较强的真实感,且算法的运行速度基本达到了实时性的要求。 复杂环境路径规划的改进蚁群算法 为了克服传统蚁群算法在路径规划中容易出现早熟收敛、陷入局部最优、算法运行慢等缺点,提高算法在路径规划中的性能,提出了改进蚁群算法的距离启发因子、权值系数动态调整的方法,并且利用模拟退火算法的思想,改进蚁群算法的信息素挥发系数。该算法通过这种改进可以避免出现早熟收敛和陷入局部最优,且可以提高算法的运行速度。利用改进的蚁群算法进行路径规划仿真,仿真结果表明,该算法是一种有效的路径规划算法。 基于流演算的Web服务自动组合方法 近年来,基于语义的Web服务组合,尤其是Web服务的自动组合方法已成为服务计算领域的一个研究热点.实现了从一个OWL-S过程模型到流演算概念的映射,并给出了相应的转换算法.在此基础上,提出了一个新颖的、基于流演算形式化体系的Web服务自动组合方法.该方法采用前推推理机制对状态和动作进行推理,有效地克服了以传统的情景演算为代表的人工智能规划算法执行效率较低的问题.设计实现了一个实验性的原型系统,结合一个旅游行程规划的实例说明了本文提出的方法的有效性.对提出的BCABFC(Backward-Chaining Algorithm Based On Fluent Calculus)算法与基于情景演算的同类算法进行性能比较,实验结果表明该算法具有较好的性能. 基于嵌入式的纯电动汽车CAN总线通信及实现 为保证纯电动汽车内各设备间通信的实时性、稳定性和互操作性,设计了基于CAN总线的实时通信系统;以ARM9处理器为控制核心搭建了CAN总线通信网络的硬件,以嵌入式Linux系统完成各个节点间的通信;车载试验结果表明,该系统能够有效地实现对电机控制器、电池管理系统的实时监测和对车内其他设备的通信控制;试验结果证明了基于嵌入式的CAN总线在噪声大的纯电动汽车里工作性能稳定、实时性高、数据传输准确,满足车内各设备间通信的要求。 煤炭企业供应链优化建模的仿真分析 准确建立供应链模型,能够有效的加强产品的快速流通。煤炭企业中的供应链较为复杂,当前的煤炭企业供应链中,存在着模块化、分布式、易变、非结构化的特点,传统的集中式多是静态建模,无法反映煤炭企业供应链的动态变化,造成模型不准确,产品流通较慢。为了解决上述问题,提出了一种基于Petri网的煤炭企业绿色供应链优化模型。利用Petri网相关理论,描述煤炭企业供应链中的动态变化,描述煤炭开采企业、经销商、分销商以及顾客等四个不同的层次。计算煤炭企业之间的流通路径,优化模型。仿真结果表明,利用该算法建立煤炭企业绿色供应链优化模型,能够降低煤炭企业之间的物流成本,优化企业之间的供应关系,最终提高了产品流通的速度。 具有射频识别功能的嵌入式低功耗智能钥匙设计 针对多把钥匙和多个锁的匹配性问题,设计一种用于主动身份认证的低功耗智能钥匙。使用授权注册和主动双向认证方式实现钥匙对多把锁的管理,采用密码词典加密和准动态密码索引字确保认证过程的可靠性。根据钥匙低功耗要求,提出1 s周期定时休眠与0.005 s唤醒机制,以尽可能降低身份认证卡的功耗。实验结果表明,该智能钥匙睡眠模式功耗小于2μA,且满足多把钥匙与多个锁之间相互匹配和安全认证的要求。 空时分组编码在多入多出系统中的容量分析 研究瑞利衰落信道下正交空时分组编码的信道容量,针对收端已知信道状态信息(CSI)以及收发两端均知CSI的2种情况,给出相应的容量分析及计算公式的推导。在收端已知CSI情况下,采用泰勒级数展开方法,给出一种信道容量的近似表达式,该式可有效简化原有精确公式的计算,获得与真实值非常相似的结果。在收发两端均知CSI情况下,提出一种基于最陡下降算法的简单计算方法,用于求解最优中断门限值,该方法可避免原有求解方法的大量搜索和计算。仿真结果表明,所给出的信道容量精确表达式以及近似表达式均与相应的计算机仿真结果一致,从而验证了所推公式的有效性。 一种求解约束优化问题的遗传算法 提出一种求解约束优化问题的遗传算法。通过可行解与不可行解算术交叉的方法对问题的决策空间进行搜索,对可行种群和不可行种群分别按照适应度和约束违反度进行选择。传统变异操作使得解往往偏离了约束区域,因此引入对可行解的边界变异和对不可行解的非均匀变异,并通过维变异方法保持种群的多样性。数值实验结果说明该算法的有效性。 基于REESSE1+公钥密码体制的概率加密 针对确定性公钥密码体制不能抵抗选择明文攻击的弱点,基于REESSE1+公钥密码体制设计2种概率加密方案,使同一明文对应的密文具有不确定性。方案1在明文比特序列的奇数位置插入相同长度的随机比特串,产生新的随机明文序列,并对该序列进行加密;方案2对公钥序列进行重新排列,使用新的公钥序列对明文进行加密。证明2种方案的正确性,并对其安全性和性能进行分析,结果表明,2种方案均可抵抗选择明文攻击,密码强度至少等价于基于离散对数问题的密码方案,同时,其加解密运行时间均少于基于RSA和剩余问题的概率密码方案。 免疫粒子群算法在污水生化处理过程中的应用 针对污水生化处理过程,设计了一种新型算法。以粒子群算法对控制过程进行寻优,利用粒子群的快速搜索能力,保证了寻优速度;以混沌序列对粒子群进行初始化,提高了初始粒子群的质量,促进粒子群快速寻优;以免疫算法对粒子群进行克隆、变异及抑制,保证了粒子群的多样性,提高了粒子群的全局寻优能力,克服了粒子群的早熟问题。仿真结果表明,该算法大大提高了优化的性能和质量,在保持出水水质符合标准的前提下使污水处理的经济成本达到最优。 基于ArcSDE的卫星遥感影像数据库研究 随着遥感影像数据的不断获取,如何有效地存储、管理和发布这些海量数据,已成为国家基础地理信息建设中迫切需要解决的一个难题。针对传统关系模型数据库在数据共享上存在的问题,尤其是在对数据访问的并发性、安全性和备份恢复上存在的弱点,提出基于Oracle 10G的ArcSDE的方式建立遥感影像数据库,经过与传统建库方式的比较,实验验证基于Oracle 10G的ArcSDE方式建立遥感影像数据库比传统建库方式更能提高遥感影像入库的时间和效率,具有较好的安全性和稳定性。同时,该方式在工程应用中能发挥出显著的效果。 粒子群APIS-3D算法在三维传感网络中的定位研究 无线传感网络三维空间定位算法大都是将二维向量转化为三维空间,其原理与平面定位基本相同,实现简单,没有考虑节点移动对定位偏差的影响。提出一种基于粒子群APIS-3D定位算法,利用粒子模拟节点移动状态,并根据RSSI信号指纹的动态反馈过程建立适应度函数,通过定位球体交集区域重心以计算节点坐标。仿真实验证明,新算法在保持抽样概率不变情况下,对移动节点平均定位偏差在2.42%以内,能很好满足工程应用要求。 Curvelet变换在多聚焦图像融合中的应用 综合分析Curvelet变换的特性,提出一种基于Curvelet变换的多传感器图像融合算法。首先将图像进行Curvelet变换,然后基于子块区域方差和区域能量对图像的低频系数和高频系数采用不同的融合规则将Curvelet系数融合,最后进行重构得到融合结果。对该方法得到的融合图像进行了主客观评价和对比。实验结果表明,该方法得到的融合图像在图像边缘等细节上比传统方法和小波算法得到的图像具有更好的视觉效果,在均方根误差、偏差指数、峰值信噪比等客观指标上都优于其他方法。 基于手机轨迹数据的人口流动分析 随着通信技术的发展和智能手机的普及,运营商基站所采集的大规模手机轨迹数据在城市规划、人口迁移等领域中发挥了重要价值。针对城市人口流动问题,提出一种利用手机轨迹数据的基于轨迹行为特征的人口流动判定(MF-JUPF)算法。首先,可对手机轨迹数据进行数据预处理,以提取用户活动轨迹;然后根据进出城市的行为模式提取重要特征,再根据真实标注数据集合利用多种分类模型进行参数训练;最后,根据模型训练结果判定用户轨迹是否为进出城市行为。所提系统使用MapReduce框架进行数据分析,以提高性能和可扩展性。基于真实数据集合的实验结果表明,对于进出城市的判定,该方法的准确率和召回率可达80%以上,与基于信号消失时长的人口流动判定(SD-JUPF)算法相比,在判定进入城市的准确率上提高了19.0%,召回率提高了13.9%;在判定离开城市的准确率上提高了17.3%,召回率提高了6.1%。相比非过滤算法,根据手机轨迹数据特点进行的数据过滤算法可减少处理时间36.1%以上。理论分析和实验结果表明MF-JUPF方法精度高,可扩展性好,因此对城市规划等领域有重要应用价值。 基于马尔可夫随机场框架的单幅图像去雾 雾或霾等天气会降低场景的能见度,给机器视觉的后续处理造成影响。针对图像雾霾退化的恢复及现有基于马尔可夫随机场图像去雾算法的缺陷,提出了一种新的基于马尔可夫随机场和暗通道先验的图像去雾算法。该算法以雾天条件下退化模型为基础,通过介质传输图和原始无雾图像的约束条件,利用暗通道先验获取介质传输图的粗估计,构造MRF框架下的代价函数。为使去雾图像保持更多的纹理细节,引入纹理检测函数改进代价函数,最终求得去雾图像和介质传输图。实验结果表明,该方法可以得到较好的去雾效果,同时保持较多的纹理细节和更快的运算时间。 行星轮系损伤动力学仿真与特征提取 目前行星齿轮箱已经在军用和民用装备中广泛应用,研究行星齿轮箱的故障诊断方法意义重大。为了研究行星齿轮传动的故障机理,揭示其故障特征,建立了行星齿轮系统的动力学模型,研究了齿轮裂纹对齿轮啮合刚度的影响,得出了正常、太阳轮裂纹和行星轮裂纹等三种状态下系统的振动响应。提出了基于包络排列熵的特征提取方法,以判断行星轮系的运行状态。最后通过实测信号验证了所提特征的有效性。 Freeman链码描述的曲线匹配方法 针对普通曲线匹配算法不能处理旋转和缩放曲线的不足,提出Freeman链码描述的曲线匹配方法。该方法为Freeman链码设计一种基于差别累加值及链码差的拐角点快速检测算法,能够快速地检测出曲线拐角点;通过计算曲线起点和曲线方向,得到不随曲线旋转、平移和尺度变化的标准拐角点序列;根据拐角点的长度序列和夹角序列进行相似判断实现曲线匹配。Freeman链码描述的曲线匹配方法不受曲线旋转和缩放的影响,计算量小,易于实现,仿真实验证明该算法合理有效。 Script Control在自动阅卷系统中的应用研究 为解决自动阅卷系统的通用性和独立性问题,对Script Control进行了深入的研究,提出了一种新的自动阅卷系统设计方案。介绍了基于Script Control的阅卷描述处理器的设计思想和实现原理,以及阅卷信息处理模块的一般结构,给出了基于Script Control的自动阅卷系统的阅卷描述样例。提供了该设计方案基于VB语言的关键实现,并通过实际测试验证了新的自动阅卷系统设计方案的有效性。 基于任务特征的云计算资源分配策略 云计算中存在大量的服务资源,高效利用这些资源是资源分配的主要任务。通过对任务流的资源占用和执行过程建模分析,掌握任务流特性,提出伙伴关系任务流资源分配方案。多任务流执行过程中出现资源需求增减的时间同步,这种现象持续不断将产生波动共振,本文采用资源申请-仲裁机制来解决这一问题,把共振的任务流转变为伙伴关系任务流来协作使用资源,保持资源的高效利用。实验结果表明,本文算法消耗的资源比静态方式要少,溢出数也要少,实现了资源的充分利用,验证了算法的正确性。 基于负载预测的虚拟机动态调度算法研究与实现 在云计算系统中为了实现负载均衡和资源的高效利用,需要在虚拟机粒度上对云计算系统进行调度,通过热迁移技术将虚拟机从高负载物理节点迁移到低负载物理节点。把负载预测技术和虚拟机动态调度技术相结合,提出了LFS算法,通过虚拟机历史负载数据对虚拟机未来的负载变化情况进行预测,然后根据预测结果对虚拟机进行调度,能够有效地避免云计算系统中高负载物理节点出现,实现负载均衡,提高资源使用率。 基于海量牧区羊群轨迹的区域属性挖掘研究 现代畜牧业在实际放牧中依靠定位设备对牲畜进行管理。为了从牧区牲畜海量日常移动轨迹中挖掘出潜在的有用价值,提出一种新的基于轨迹速度扰动划分与聚类方法。该方法首先将连续定位轨迹以所设速度阈值进行划分;然后以聚类方法将所划分轨迹数据进行地理位置聚类,识别出牲畜不同生活区域。为处理海量数据,以云计算进行数据挖掘。最后为展示各区域不同强度,以核密度分析法对不同轨迹聚类区域进行可视化。理论与实验表明,提出的方法可以有效从海量牲畜定位轨迹中发现其不同生活区域及强度关系,并对后续草场分布及牲畜习性研究具有指导意义。 利用相位一致性实现纹理分类的方法 提出了一种利用相位一致性(Phase Congruency,PC)实现纹理分类的方法。首先计算图像的PC值,然后将连续的PC值离散化,接着统计离散化PC值的直方图,最后将该直方图作为特征来实现对纹理图像的分类。PC值的直方图反映的是一种全局特征,因此可以将该方法与局部二元模式方法(Local Binary Pattern,LBP)相结合来提高纹理分类性能。在Outex、Brodatz以及CUReT纹理数据库上的实验表明,提出的方法与LBP结合后可以得到更好的纹理分类结果。PC值对噪声具有良好的抗干扰能力,实验表明,提出的方法在噪声情形下对纹理分类也具有较高的鲁棒性。 一种永磁同步电机非光滑控制器设计 针对参数摄动、外部扰动等因素对永磁同步电机运行性能的影响,应用非光滑控制方法设计了连续的非线性位置跟踪控制器。根据李亚普诺夫稳定性理论,证明了所设计控制器能够实现闭环系统的有限时间稳定性,并通过仿真验证了控制器的有效性。对所设计的控制器进行了数值仿真,并与传统控制器进行响应性能和抗扰动性能对比。仿真结果表明,所设计的非光滑控制器可以实现电机系统有限时间内稳定,具有良好的鲁棒性和抗干扰效果。 基于物联传感网压力波油管泄漏温差虚报警解决 输油管道进行长距离油品传输的过程中,传输管道内流体的温度随传输距离变化发生改变,造成压力波的不均衡,存在泄漏检测虚报警的问题;为此提出一种基于物联传感网的异常参数动态映射压力波提取算法,通过提取输油管道异常系数,建立压力波数据与输油管道空间位置的映射联系,进行管道异常定位,从而实现输油管道异常监测;根据实验结果分析可以得知,这种算法可以有效降低了虚报警,取得了令人满意的结果。 图论的算法和应用研究 图论在学科中属于离散数学,因此它具有离散数学的许多特点。图论中许多概念和理论的产生和发展是相互独立的,因而被分成许多相互独立的专题,其算法是解决问题的一系列步骤的集合,是离散数学重要的组成部分。文章首先介绍一些图论的理论以及图的相关概念,然后对图论中经常使用到的算法作了研究和讨论,最后,并以一个具体的图论模型论述通过建立图论模型来解决实际问题了。 基于改进模拟退火算法的均匀设计表构建 当n为偶数时,使用好格子点法不能产生因素数较大的设计表,且只能在解空间的子空间内产生设计表,所产生的设计表无法保证最均匀。针对上述问题,引入智能计算方法,包括粒子群优化算法和改进的模拟退火算法。对3种算法的优化性能进行比较,结果表明智能算法可以对较大范围的因素数产生设计表,与好格子点法相比,智能算法能够得到均匀性更好的设计表,并且相对粒子群优化算法,改进模拟退火算法构建的均匀设计表的偏差更小。 基于预筛选的海量分子结构检索算法 针对大数据环境下,化学分子结构检索低效、通用图同构VF2算法检索量过大的缺陷,提出了基于预筛选的ASVF2算法,建立了基于分布式的分子检索模型。实验结果表明,在包含20万个化学结构的数据中,该方法可以快速准确地检索包含特定信息的分子,大幅降低了分子结构检索的复杂度,模型具有稳定的可扩展性。 Web服务组合QoS优化中的改进遗传算法 结合模拟退火算法与传统遗传算法,提出一种应用于Web服务组合质量优化的改进遗传算法。在选择算子和变异算子的筛选过程中引入模拟退火算法选择更优解的思想,并在算法选择和变异过程中通过设置过滤劣质基因的概率以及逐渐增加变异比率,保证算法种群的多样性。实验结果表明,与传统遗传算法、模拟退火算法、粒子群优化算法等相比,改进算法的收敛速度更快,并且获取的Web服务组合质量更高。 基于费用函数的航电系统可靠性优化分配方法 可靠性优化分配是航空电子系统可靠性设计中必须解决的问题,需要协调各组成部分的可靠度及其它设计因素。针对计算机辅助设计软件BlockSim,深入剖析其在最小费用下达到目标可靠性的优化分配算法以及根据重要度进行可靠性优化的方法。以某机载电子系统为例,分别给出通过提高电子元件可靠性和元件冗余两个方面进行可靠性优化分配的计算结果,对研究系统可靠性优化分配具有一定的参考价值。 基于SVD分解的小波数字水印算法的研究 提出了一种基于SVD分解与小波分解的小波隐形水印算法.通过奇异值分解实现盲提取,把水印图像嵌入小波分解的中频子带,最后运用归一化互相关函数(NC)和峰值信噪比(PSNR)进行定量分析,验证该算法有很好的不可见性与鲁棒性. 基于改进的萤火虫算法的PID控制器参数寻优 PID控制器的参数寻优是当代反馈控制系统设计的核心内容。PID控制器参数寻优意味着在三个参数空间域中寻找最优解,使得控制系统的性能达到最佳。为更好地对PID控制器的参数整定,引入自适应变步长策略的群智能萤火虫算法。利用MATLAB进行仿真实验,与粒子群(PSO)算法和经典的Z-N参数整定方法进行比较。实验表明,所提算法精度高、原理简单,可高效整定PID控制器参数。 基于布局相似性的网页正文内容提取研究 合理的网页正文提取技术可以将海量互联网数据中冗余的、重复的、无用的信息去除,获取更加有实际意义和价值的数据。经过对网页的观察,发现同一网站下的网页具有在内容布局和样式结构上非常相似的特点,提出并实现了一种基于布局相似性的网页正文提取方法,即通过比对来自同一网站同一专题的网页DOM树中节点数据信息的相似性来实现正文提取,并对相关问题进行了尝试性的研究和实现。实验证明该方法思路简单、实用性强、普适性好,在满足较高准确率的同时,能为众多互联网内容分析应用提供支撑。 基于分项可变权函数的各项异性去噪模型 "全变差(TV)理论对图像降噪处理的效果不太理想,同时为了克服边缘的过度平滑和抑制降噪后图像易产生的""模糊现象""和""块状效应""的问题,在四阶导数对""阶梯效应""抑制优势的基础上,提出了一种基于四阶微分全变差的图像去噪模型。首先论证了传统的去噪模型及其他各阶去噪模型的优缺点;然后为了更好地保护图像边缘细节,将边缘指标的理论与四阶全变差模型相结合,提出了一个带有边缘指标的新的自适应TV模型,利用边缘指标设计分项控制权函数,使得图像的边缘区域和平滑区域具有不同的去噪效果,用松弛下降法对所建立模型进行求解。实验结果表明,该方法在去除图像噪声的同时,抑制了""阶梯效应""的产生,并有效地保留了图像的边缘细节及纹理信息。" 一种有效识别MIDI文件中主旋律音轨的方法 互联网上存在着大量的MIDI文件,这使得构建以乐谱信息为存储对象的音乐数据库成为可能。MIDI文件通常由多轨组成,为实现旋律的检索、分析、比较等功能就需要从多轨中提取出主旋律。针对人声音乐,提出一种自动识别MIDI文件中主旋律音轨的方法,该方法先考察MIDI文件的各个音轨,如果该音轨具有旋律特征的片段总长度超过规定阈值,则将其加入到候选音轨集,再通过比较,从中择优选出主旋律音轨。通过测试各种音乐类型的MIDI文件证明了该方法的可行性。 数据交换平台数据传输器的设计与实现 为解决辽河流域水环境综合监管系统数据交换平台的数据传输问题,设计并实现一个简单实用的数据传输器组件,简单描述组件的消息结构和消息传输的具体实现,重点介绍消息传输的可靠性传输机制,最后提出基于日志的事务部分回滚策略。 基于双基地雷达探测的跟踪优化建模仿真研究 在雷达探测性能的研究中,双基地雷达具有隐蔽性能好、抗干扰能力强等特点。但由于当前的双基地雷达目标跟踪模型大多采用发射站和接收站在同一坐标轴上的坐标系,不具有通用性和扩展性。为解决上述问题,提出一种采用通用直角坐标系的双基地雷达跟踪模型,选取坐标轴可任意设置的通用直角坐标系。在此基础上推导了目标直角坐标与雷达可直接测量的目标参数之间的转换关系,并对量测方程进行线性化,实现了对双基地雷达跟踪模型的优化。计算机仿真和实测处理验证了该跟踪模型的正确性和有效性。 基于擦除编码和节点社会特征的机会社会网络路由机制 为了在机会社会网络中实现高效的数据转发,提出了基于节点社会特征和编码的混合路由算法。该算法的核心思想是先将源文件编码成较小的数据块,进而在节点的转发选取上采用基于节点社会特性的效用值转发,利用贪心选择使数据沿着网络中效用值大的路径转发,直到目的节点。理论分析和仿真结果证明,该算法网络吞吐量大,转发成功率更高,网络时延小,信息冗余度低。 一种自适应的RFID防碰撞算法 "在射频识别的(radio frequency identification,RFID)的应用中,当多个标签同时出现在读写器范围内进行信息传输时,会出现""碰撞""现象,使阅读器无法正常工作。为解决射频识别应用过程中多个标签同时存在引发的碰撞问题,在自适应二四叉树防碰撞算法的基础上,将八叉树引入,提出了一种改进的自适应的二四八叉树算法。该算法通过计算标签的碰撞因子,自适应地选择最优树的叉树,然后进行搜索,从而大大减少了空闲时隙。对改进后的算法进行复杂度分析后,针对不同标签数量的搜索过程,在总时隙数和吞吐率两个方面对算法进行仿真。仿真结果表明,在一定条件下,与自适应的二四叉树相比,改进后的算法可以在减少空闲时隙数的同时提高算法的吞吐率。" 双层可展剪刀结构的设计与建模 利用剪刀结构生成的可展物体能够起到节省空间的效果,在医疗、建筑、航空等领域有广泛的应用.为了使2层多边形线框结构能够折叠至一个平面并进行一体化打印,提出一种生成可展的2层多边形剪刀结构的方法.对于给定的2层多边形线框,通过对其进行旋转,平移和放缩变换,同时满足连接2层多边形的线段长度在变形过程中保持不变并避免碰撞,使其能够通过变形尽量压缩至一个平面;然后根据变换之后的线框结构生成相应的剪刀结构,并设计关节与连杆,将2层剪刀结构连接起来;最后建模得到一个完整的3D几何模型,进行一体化3D打印,无需后期组装.实验结果表明,该方法生成的免组装可展模型可在较短时间内打印完成,并实现预期变形,效果较好. 基于空间自相关性和模糊集的空间数据噪声点检测算法 大数据时代数据纷繁复杂,同时在数据挖掘过程中数据质量又至关重要,数据质量的高低将直接影响数据挖掘结果的好坏,但现实中数据缺失和噪声数据的现象在所难免。针对上述问题,通过引入空间对象的自相关性理论和模糊集理论,提出一种基于空间自相关性和模糊集的空间数据噪声点检测算法。该算法首先运用邻域对象的空间自相关性理论,计算出特定对象与邻域内其他对象的距离,进而将距离以模糊隶属度的概念予以表达,最后通过与该属性的置信水平进行比较,以此来判定噪声数据。理论分析和实验对比结果均表明,该算法对于处理空间数据噪声点问题是有效可行的。 基于UML模型的系统级测试用例生成方法 采用基于UML模型的软件测试方法,主要整合用例图与顺序图进行系统级的软件测试。首先提出用例执行图(UEG)的生成算法、顺序执行图(SEG)的生成算法,及基于UEG和SEG,生成系统测试图(STG)的算法;其次,根据制定的三层次准则,遍历UEG、SEG、STG生成测试用例,主要解决交互错、场景错、用例执行错和用例依赖错等问题。最后,经实例分析和实验验证,该方法可以基于用例图和顺序图进行系统级的软件测试。 Buck开关变换器混沌和分岔现象研究 电力电子电路与系统中普遍存在着分岔和混沌现象。论文对于工作在电流连续模式下的Buck变换器通过离散迭代映射建立非线性动力学模型。通过Matlab/Simulink仿真,从时域图相图及庞加莱截面图的角度对变换器中的分岔和混沌行为进行分析。改变参数,对系统时域图相图,庞加莱截面及根轨迹分布进行分析,对系统混沌分岔行为进行仿真,分析了参数变化时系统的稳定性。 基于天空分割的单幅图像去雾算法 针对暗通道先验算法在天空区域失效和复原图像色彩变暗的问题,提出一种基于天空分割的图像去雾算法。首先,采用基于边缘检测的分割算法将原始图像区分为天空区域和非天空区域;其次,在暗通道先验算法的基础上,改进对大气光和透射率的估计方法,进而对非天空区域采用改进的暗通道先验算法去雾;最后,利用基于成本函数的对比度增强去雾算法处理天空区域。实验结果表明,去雾后图像在方差、平均梯度、信息熵等指标上相对于暗通道先验算法均有较大提升,所提算法在保持较高运行效率的同时,能有效避免天空区域的Halo效应,还原真实的景物色彩。 基于ColdFusion的虚拟实验室设计 提出一个基于ColdFusion的虚拟实验室开发模型。该模型以Flash作为客户端,改善实验体验和表现效果,以ColdFusion为服务器,集成ColdFusion组件、Matlab、COM/DCOM、JavaBean作为计算后台,以提高专业虚拟实验室的开发效率。实验结果证明,该模型可以使设计人员快速开发与实现虚拟实验室,并为用户提供一种不受时间、地点、实验设备限制的实验环境。 非负张量分解的快速算法 作为非负矩阵分解的多线性推广,非负张量分解已被成功地应用在信号处理、计算机视觉、数据挖掘和神经科学等领域中。提出了非负张量分解的一种快速算法。首先,将大的张量数据视做多元连续函数的离散化,对其进行采样得到一个小张量;其次,对小张量执行非负分解,可得到它的重构张量;然后,对于采样后的重构张量,使用二维线性插值方法对原始张量进行重构;最后,实验结果表明快速张量分解算法的有效性。 普适计算环境中防护策略的信任决策机制研究 普适计算信任模型中,存在自私用户为最大化自身利益而故意策略性谎报推荐信息的问题.文中提出了一种基于VCG(Vickrey-Clarke-Groves)机制的防护策略信任机制,用以获得用户的真实推荐.该机制实现了交互结果观测前的快速支付.一种基于连续多数加权算法的加权VCG防护策略机制被用于调整推荐权重.该文还给出了一般形式的信任决策机制并研究其激励相容特性以便可以构造更多的信任机制,这些信任机制结合已有的信任模型可以实现真实推荐.模拟结果显示,提出的信任机制有效,能保证自私用户提供诚实推荐. 汽车空调面板按键装配在线检测方法的研究 为检测汽车空调控制面板按键装配是否正确,提出了一种基于颜色特征和形状特征相结合的快速在线检测方法。根据面板上按键位置的已知信息和不同按键上图案的特点,在面板图像上提取按键目标区域进行预处理,减少不必要的运算量,并提取按键上图案的颜色特征和形状特征,分析了不同颜色模型和几何特征受在线检测环境的影响,实现了在Lab-view平台上运用Vision模块对汽车空调面板是否有按键装配缺陷进行自动检测。相对于运算量比较大的模板匹配,该算法更具快速性,符合了在线检测的要求。 基于显著区域的敏感图像识别方法 依靠先进的技术识别和过滤网络上大量的色情信息正是时下政府相关管理部门急需解决的问题,而敏感(色情)图像在网络色情信息中占有相当大的比例。依据敏感图像的显著区域具有丰富的肤色信息这一特点,利用一种基于肤色信息的投票机制提取出显著区域,在显著区域上进行特征提取,最后采用支持向量机的方法对图像进行分类,从而完成敏感图像的识别。实验结果表明,该方法的正检率达到88.2%,能有效地识别出敏感图像。 基于RPCA视频去噪算法的自适应优化方法 传统去噪算法不能在尽量滤除噪声的同时很好地保持原始图像信息。针对这种情况,提出基于鲁棒主成分分析的自适应视频去噪算法。首先根据视频数据的低秩性和噪声的稀疏性,利用加速近端梯度方法重建出原始视频的低秩部分和稀疏部分,实现噪声的初步分离;其次利用自适应中值滤波器进行预滤波处理,提高块匹配精度,进一步去除视频噪声;最后引入自适应奇异值阈值法,增强图像细节边缘信息,降低迭代优化算法的时间复杂度。实验结果表明,该方法不仅能极大程度地恢复出原始视频序列,还能自适应地去除干扰噪声。不论从客观指标PSNR值还是从主观视觉,该方法与传统去噪方法相比都具有很大的优势。 基于GPS轨迹的盲用导航算法与实现 利用在Windows CE嵌入式平台下接收到的全球定位系统(GPS)轨迹数据,提出了一种适用于盲人以及视障人士的室外GPS导航算法。通过对已知GPS轨迹数据的分析,判断出轨迹上的拐点位置以及拐弯方向,在实际导航时采用通过语音播报的方式向盲人提供实时导航信息。实验测试结果表明,该算法能够有效筛选出GPS轨迹中的拐点,并为用户播报有效实用的导航信息,指导其安全准确地到达目的地。 TADS装备测试性分析软件平台 随着装备复杂程度的不断提高,装备诊断维护的难度越来越大.为了保证复杂装备系统的可靠运行,必须要有自动化测试分析软件的支持.国内在这方面一直依赖于国外软件产品,无法实现与本地使用流程的紧密结合,使自动化程度受到影响.本项目设计的TADS软件在参照国外现有测试性分析软件功能实现的基础上,充分考虑了相关单位的实际使用需求.软件具有良好的可扩展性,并支持多种标准输出格式.简要介绍了TADS软件的设计架构,给出了软件在建模分析中的具体使用流程. 综合多层语义特征与深度卷积网络的手绘图像检索方法 针对手绘图像检索领域中手绘图像的语义特征,为了深度发掘手绘图像的语义特征,并获得高效、准确的检索结果,提出一种基于多层语义特征和深度卷积网络的融合网络的方法.首先提出针对手绘图像语义特征的分层的概念,并构建与多层语义特征相对应的多层深度卷积神经网络来学习不同层次的深度特征,然后通过特征融合,实现多层深度语义特征的融合,形成最终的特征描述子,达到高精度的检索.在基准数据库Flickr15k上的实验结果表明该方法是可行、有效的. 判定粗糙XML函数依赖的一种算法 为了刻画和处理不确定XML数据,利用粒计算方法研究粗糙XML树信息系统中粗糙XML函数依赖的判定问题。基于粗糙集给出粗糙XML树信息系统的上近似、下近似的定义,借助粗糙相似关系进一步给出粗糙XML函数依赖;分析如何利用位模式表示粗糙XML树信息系统中的信息值;提出粗糙XML树信息系统中路径间的依赖关系的判定算法,并对算法的时间复杂性进行了分析。实例分析表明,信息值采用位模式时,数据格式更接近机器的内部表示,该方法可以快速判定粗糙XML函数依赖,算法的运算效率与速度也得到了提高。 基于隐狄利克雷分配的情感分析 提出了一种用于中文情感分析的词典资源生成方法,在此基础上,提出了一种基于LDA模型的情感分析算法LDASA。采用了一种自动翻译的方法将已有的英文情感词典翻译为中文;迭代地纠正在上步中翻译的错误,生成基于主题的情感词集合。使用分类算法,对文本表达的情感进行分类。在电子商务网站上抓取的宾馆、手机以及电子相机的数据集上的实验结果表明,该文所提的算法优于使用一元语法特征的支持向量机分类算法,平均的情感识别准确率提高了10百分点。 基于改进深度信息的手势分割与定位 针对基于Kinect深度信息分割的手势往往包含手腕易造成后续手势误识别的问题,提出一种改进深度信息的手势分割与定位算法。首先,基于深度信息阈值限定在实验空间中检测出手势二值图;然后,根据普通手势特征,提出基于手势端点检测和可变阈值算法分割出准确手势。为得到稳定的分割效果,对分割手势进行形态学处理,最后选取基于手势重心坐标和最大内切圆圆心坐标的联合手势定位法定位手势。实验结果表明,该手势分割方法比已有分割方法更准确可靠,联合手势定位比Kinect软件开发工具包骨骼数据定位和手势重心定位稳定,无奇异点。 C语言在化工原理离心泵特性曲线测定中的应用 "离心泵特性曲线的测定是化工原理的重要内容,涉及到伯努利方程和连续性方程在流体流动中的实际应用,公式复杂,计算量大。本文利用C语言的强大结构化编程和计算功能,处理了""离心泵特性曲线的测定""实验数据,给出了程序设计的思路和方法,得到了离心泵的特性曲线。从曲线可以看出,随着流量的增大,离心泵的压头减少,轴功率升高,和教材完全一致。而离心泵的效率随流量增大而增大,并未出现先增大后减小的情况,可能是由于流量较小所导致。通过分析曲线可以得到离心泵操作相关的知识,离心泵必须在流量较小的区域工作才能获得较大的压头,大流量的离心泵需要配置大功率的电机,离心泵启动之前应关闭出口阀等。此外还发现压力表和真空表的读数随流量的增大而变小,解释了此现象。该程序结构简单,维护方便,通过简单修改可用于处理其它化工原理实验的数据,充分发挥了C语言的特长来解决化工原理计算复杂的特点。" LSPSA:基于局部结构保持的共享子空间分析 多输出正则投影(MORP)算法将输入特征向量和由类标签形成的多输出特征向量经过因子分解方法映射到一个共享子空间,从而建立输入特征与类标签的关联。在MORP的基础上,通过引入图约束,提出了一种基于局部结构保持的共享子空间分析方法(LSPSA),该方法在获取共享信息的同时,保持原始多视角特征空间与共享子空间中的数据具有相近的局部几何结构关系,从而避免多视角数据在共享子空间的过拟合问题。此外,还提出了一种图模型逼近方法,实现了LSPSA的在线扩展,解决了在线获取新测试样本在共享子空间中表征的高复杂度问题。在UCI多特征手写体数据库上的分类及检索实验验证了所提出的算法的有效性。 新的信息熵属性约简 在粗糙集不确定性度量公式中,模糊熵和模糊度是重要的度量方式。根据粗糙集不确定性度量中模糊熵和新的模糊度公式,提出了在决策信息系统中修正条件信息熵和相对模糊熵的概念,并分别用两种方式证明了熵在属性约简过程中的单调性。然后利用向前添加属性算法进行属性约简,约简结果在RIDAS(roughset based intelligent data analysis system)平台上进行识别率测试,通过实验对比分析了两种新的信息熵与条件信息熵的约简结果,为基于信息熵的属性约简提供了参考。 一种基于规则的柔性工作流模型的设计 论文对工作流管理系统的发展情况、存在的缺陷和不足等方面进行了全面的研究,从工作流的驱动方式入手,把专家系统规则库引入到工作流管理系统中,以基于规则的推理机驱动工作流,利用规则驱动的灵活性,改善工作流流程定制的僵化问题。构建了基于规则的支持工作流动态变化的工作流管理系统模型,包括过程模型、组织模型、资源模型和规则模型及其形式化定义,并重点分析了模型中规则驱动的工作流运行方式。把论文提出的基于规则的柔性工作流管理系统模型与实际相结合,通过大庆油田安全生产隐患管理系统的开发,验证了该模型,体现了系统的工作流流程动态修改和异常处理能力。 基于节点传输性能的机会网络自适应转发策略 基于机会网络中喷洒等待(spray and wait,SW)算法的优势,针对其在选择中继节点时的盲目性和随机性,提出一种改进的基于节点传输性能的自适应转发策略(TP-ASW)。考虑节点的剩余能量和邻居变化率,选择剩余能量大、邻居更新快的节点作为中继节点;根据节点对的邻居相似度调节阈值的大小,控制不同节点传输性能和不同网络环境下的转发条件,实现自适应转发决策。仿真结果表明,相比于喷洒等待,提出的TP-ASW转发策略在取得较高传输成功率的同时,大幅降低了开销率。 智能手机碰撞检测及在汽车事故自救中的应用 针对现有汽车事故自救需要装配特定传感零件的缺点,提出一种基于智能手机的自动报警方法。该方法以反映手机用户物理运动的加速度传感信号为样本输入,引入时间窗口计算阈值,当信号超过阈值后,自动借助手机的拍照和3G联网功能实时向救援中心报警。在Symbian平台上实现原型系统。实验结果表明,该方法具有较好的碰撞识别效果,在手持设备上能耗较小,能够利用现有设备,在不增加汽车制造成本的前提下增强其安全性。 多接口多信道无线Mesh网络中面向协作通信的接口分配算法 多接口多信道和协作通信技术是两种可以显著提高网络传输可靠性的通信技术,但是两者的结合仍是极具挑战性的问题.为了最大化网络传输可靠性,本文研究多接口多信道协作无线网络中联合协作节点选择和接口分配的问题,并将该问题建模为一个整数非线性规划问题.本文提出联合协作节点选择和基于分支限界的协作接口分配算法(JRSIA)来解决该问题.JRSIA算法首先为每条数据流链路确定传输方式并选择协作节点,然后根据链路可靠性最大化要求为不同传输方式的链路分配接口.该算法公平对待直接传输和协作传输的接口分配需求,可以利用协作通信增益最大化提高网络可靠性.仿真实验结果表明,相比现有的COD-CC算法和直接传输,本文算法可以平均降低网络丢包率近80%和1.7倍. 基于熵值模糊评判法的地域通信网脆弱性评估 地域通信网作为一种复杂网络系统,具有复杂性、模糊性和多变性等特性,对其脆弱性评估指标赋权时,如果主观性较强,就容易出现与实际数据不相符的现象。针对这一情况,提出将熵值模糊综合评判法应用于地域通信网脆弱性评估。依据地域通信网的实际情况,建立层次化的脆弱性指标体系,在此基础上建立模糊集合及隶属度矩阵。运用熵值法对评价指标进行赋权,依次得到各层指标模糊评价,求得评估结果的数值。该方法充分保证了评估指标权重的客观性,能够更准确、方便地描述地域通信网的脆弱性。 基于LSTM的动态图模型异常检测算法研究 传统异常检测模型往往基于内容特征,随着攻击手段的提高,该方法易于被绕过,因此图挖掘技术逐渐成为了国内外学术研究的热点。为了提高异常检测的准确率,提出了一种基于长短时记忆网络的动态图模型异常检测算法。首先通过对动态图的变化特征进行分析,总结了Egonet图结构距离和编辑距离两类特征,高效地表示动态图结构的变化情况。其次,通过基于LSTM的时间序列分类算法,进行模型的训练。最后对抓取的网络数据流进行入侵检测,对超过6万节点和300万条边的拓扑图进行测试。最终实验结果表明,该算法具有更高的准确率和召回率,可以有效地检测出网络入侵事件。 基于ALS模型协同过滤推荐算法的优化 推荐系统可以根据用户的基本信息与行为分析用户的兴趣,向用户提供个性化推荐服务,因而成了近年来的研究热点。本文研究基于ALS模型协同过滤推荐算法。算法采用分布式平台实现,对比以往单节点实现,实验结果表明该算法在计算速度上有了很大的提升。本文通过在损失函数上融合物品的相似性来减少隐形因子物品属性信息的丢失,同时在最优模型得出的预测评分中引入兴趣遗忘函数,通过实验对比结果表明,本文的优化算法有效提高了推荐系统的准确性。 机票数字图像化管理系统的设计 探讨航空公司机票数字图像化管理的新模式及意义,包括系统主要功能和技术性能指标、系统设计与架构、关键技术等。 一种软/硬模板相结合的定义抽取算法 术语定义抽取是信息抽取研究领域的重要内容之一。文中提出了一种结合硬模板匹配和软模板匹配技术的综合术语定义自动抽取方法。文中首先使用硬模板库对待抽取文本进行了初步的定义句匹配抽取。接着,通过使用基于N元语言模型的软模板匹配模型来计算待匹配文本中每个句子与软模板之间的匹配度,并通过设定匹配得分阈值来抽取定义句或过滤掉错误召回的非定义句。实验结果表明文中的术语定义抽取方法远远优于单纯的硬模板匹配或软模板匹配方法。 瑞利信道下线性分组码的分集增益研究 比较AWGN信道与瑞利信道的误码性能,研究瑞利信道下分集支数与信息传输可靠性之间的关系。推导线性分组码在瑞利信道下误码率上界的理论表达式,得到分集增益与其最小汉明距离的关系。基于蒙特卡洛法对误码性能进行仿真,并与理论误码率上界进行比较,结果表明线性分组码在瑞利信道下获得的分集增益等于其最小码距。 基于遗传匹配追踪分解的汉语孤立字声韵分割 汉语实际发音中声母能量小,其频率成分多且分布分散;而韵母能量相对较大,其频率成分较少且集中于中低频。运用时频分析方法,将声母和韵母发音的这些差异同时体现在时频平面的时频原子特征上,提出利用所得到的Gabor原子参数的不同对汉语孤立字进行声韵分割的新方法,并通过引入遗传算法降低匹配追踪算法搜索原子的运算量。对115个汉语孤立字的仿真实验显示,该方法的分割正确率可达80.87%。 基于MCI患者脑功能网络的分类研究 为辅助轻度认知障碍(MCI)的诊断提供新的方法,构建了早期轻度认知障碍、晚期轻度认知障碍及正常对照的静息态脑功能网络。基于复杂网络理论计算了脑功能网络的节点属性并进行了组间差异分析,将具有显著差异的属性值作为分类特征,使用支持向量机算法对所有被试进行了分类研究。实验结果表明,该方法可以用于MCI的辅助诊断,具有一定的应用价值。 基于图像特征的各向异性扩散去噪方法 对图像去噪滤波方法,J.Weickert模型未考虑图像光滑区域与其他图像特征的区别,在光滑区域的扩散也按照局部结构特征值进行,因而在光滑区域不可避免地产生虚假边缘,为此,提出一种改进的各向异性扩散方法。该方法首先用维纳滤波减弱噪声对图像的影响,再利用相干性正确判断边缘区域、光滑区域和T形拐角等图像特征,并依据图像特征设置相应区域扩散张量的特征值。实验结果表明,改进方法在消除噪声和保护边缘方面能取得较好的效果,并有效消除光滑区域的虚假边缘,可得到较高的峰值信噪比。 边基点单元算法求解挡墙特征问题的专用程序软件开发 通过对基坑挡墙特征问题进行分析研究,将一种新的数值计算方法——边基点插值法引入计算过程中,推导出对应的边基点有限元算法理论,编制前处理和后处理的可视化交互界面,编制专用算法的求解器,开发此类通用问题的出专项的程序软件。 基于超声波检测的传感器网络定位测距系统 为了实现无线传感器网络节点内部、节点与节点之间有效的通信,根据定位网络的应用需求以及数据命令的用途,制定了节点消息格式、消息类型和消息内容,明确了消息的具体走向,确定了节点的应用层框架结构。其中,Sink节点主要负责监控网络的建立、上位机命令的分析处理和操作、数据的收集等任务,是整个网络的数据存储和处理中心,在上位机和监控网络之间起到桥梁的作用;每个节点由无线数据传输模块和主控DSP模块组成,同一节点的两个模块之间通过UART总线进行通信,节点之间通过无线RF射频方式进行通信;Sink节点与上位机使用UART总线进行通信,通过超声波相关定位测距的算法来对网络节点的定位测距性能进行实验分析。定位测距实验结果表明,构建系统的节点可测得最远距离为19 m,平均定位测距误差为3.6 cm,最大定位测距误差为17.2 cm,相对定位测距误差范围为0%~0.91%。 数据挖掘技术在网络安全中的应用 研究网络安全问题,网络入侵方式具有多样性。未授权滥用网络资源,给网络安全带来影响,对网络入侵检测系统实时性要求比较高。而当前网络入侵检测系统存在检测速度慢,检测正确率低,不能适应网络入检测发展。为了保证网络安全,根据入侵检测系统要求和人工免疫原理提出一种基于人工免疫原理的网络入侵检测系统,用于弥补目前在网络入侵检测方面的一些缺陷。最后对网络入侵检测系统进行构建和仿真。仿真结果表明,人工免疫原理的网络入侵检测系统加快了网络入侵检测速度,提高了入侵检测的正确率,有效的降低入侵漏报率,为网络入侵检测提供了一种新的检测工具。 渲染农场研究进展综述 作为高性能计算领域的新兴应用,渲染有着计算密集和数据密集的应用特征,如何提高渲染农场的效率进行大规模快速渲染,近年来逐渐成为研究的热点问题。对渲染农场的国内外应用现状作了介绍,阐述了渲染农场的组织结构和工作流程。针对渲染农场在优化作业调度算法、改善负载均衡策略以及完善渲染管理平台等三个方面的研究,进行了全面的分析和比较。探讨和总结了在云计算背景下渲染农场在渲染作业调度策略、异构集群渲染任务分发算法、基于云模式的渲染农场新架构和负载均衡策略这四个方面中有待进一步研究的问题。 三维流场的流线提取算法 为了有效地解决三维流场可视化中由于播种流线所产生的众所周知的遮挡和杂乱问题,呈现出清晰的流场模式与流场的重要特征,提出一种基于迭代最邻近点(ICP)与K均值聚类的流线提取算法.首先利用ICP算法实现流线间轮廓特征上的配准,并根据几何相似性进行排序;然后利用K均值聚类算法对流线分组;最后根据用户指定密度约简多余相似性流线,并以此结果重构矢量场来评价文中算法的精确度.将文中算法应用到多个数据集进行实验并与已有的流线分布的最新算法进行比较,结果表明,该算法能更有效地反映流场的关键特性,大大提高了三维流场数据集的可读性. 基于物联网的智能交通流探测技术研究 为更好地分析和统计动态交通流以获得道路交通信息,将物联网技术与智能交通系统相结合,提出一种基于物联网的智能交通探测系统ITFDS(Intelligent Transportation Flow Detection System Based on Internet of Things)。ITFDS通过车载传感器节点获取原始交通参数并进行初次融合,汇聚点作为信息收集、分发与数据二次融合的中心节点,物联网中心控制机房则负责系统数据的统计与管理。仿真实验证明,ITFDS能有效、即时地获得道路交通流量值并将其发送至各车辆,作为车辆选择行进线路的依据。 基于云模型的高速公路隧道车辆位置估计 为实现对高速公路隧道照明系统的节能控制,提出基于云模型的车辆速度与位置估计方法。结合正向云与逆向云算法,对车辆通过线圈时的脉冲波形数据进行处理,获得均速估计值。将车速估计结果与行车时间作为车辆行驶位置的判定依据,采用云推理估计车辆的行驶位置。对云估计误差进行实时修正,从而精确判断车辆行驶的位置。仿真和实验结果表明,该方法能实现对高速公路隧道内车辆位置的正确估计,且估计精度高达99.230 9%,可提高云模型算法在实际应用中的效率,适用于高速公路隧道照明系统的节能控制。 基于物联网的嵌入式酒驾自动检测系统设计 针对目前酒驾造成的交通事故频发问题,为准确及时地对此进行监控和有效预防,提出了一种基于基于物联网的嵌入式酒驾自动检测系统。该系统利用物联网技术,以ATmega16单片机为核心,通过对酒精浓度的检测判断是否酒驾,若酒精超标则发出声光报警并禁止发动汽车,同时,通过通用分组无线服务GPRS将酒驾车辆信息实时地发送到监控中心。实验结果表明,该系统检测灵敏度和准确率高,达到防止酒后驾驶的目的。 基于SOA的实时动态专题地图服务生成方法 针对用户不能在线实时获得个性化专题地图的问题,以ArcGIS的SOA架构为技术支撑,提出一种实时动态专题地图服务生成方法。采用契约优先的Document样式封装服务,利用支持OGC标准的Web服务发布地图数据,使用扩展的二维数据表的叠加操作策略,实现地图数据与第三方渲染数据的融合,以及渲染服务与地图数据发布服务的在线组合。以江苏省农林渔牧总产值为例在线制作系列专题地图,验证了该方法的有效性。 基于超图正则化模型的本体概念相似度计算 概念的语义相似度研究,是知识表示以及信息检索领域中的一个重要内容.选择核函数计算本体图边的权值.求解超图正则化模型得到优化函数,从而将本体图中每个顶点映射成一个实数,通过比较实数间的差值判断两概念的相似程度.实验表明该方法对于计算本体概念间的相对相似度是有效的. 最小风险贝叶斯决策的二值化人脸识别算法 提出了一种最小风险贝叶斯决策的二值化人脸识别算法,该算法通过设定图像灰度级的阈值对图像进行二值化,统计其出现的频率,计算其类条件概率密度,根据图像的相似性估计其损失函数,利用贝叶斯公式求最小风险,最后根据最小风险判断其所属类别。该方法克服了传统贝叶斯方法难求类内和类间协方差矩阵的缺点,简单易用。实验结果表明,该方法具有可行性,比传统的基于代数的人脸识别算法(PCA、LDA和PCA+LDA)的识别率高,并能有效减少相似类的重叠。 基于粗糙集属性约简的海洋数据融合算法 研究海洋参数数据检测评价融合问题。在海洋环境监测系统中,由于监测项目繁多,测量数据存在着大量的冗余,使海洋环境的监测准确性差。传统的数据融合算法复杂度较高,难以实时利用,为了消除数据冗余性,针对钦州湾海洋数据的特点,提出了一种粗糙集的属性约简改进算法。结合贪心算法和遗传算法,在编码、交叉、变异、选择方面做了改进,能生成属性个数较少的约简,提高算法效率。通过钦州湾海洋数据融合实例,提出的算法可有效挖掘钦州湾海洋数据间的关联性,通过实验,结果表明了算法的有效性,为海洋环境监测及后续处理提供有力的决策依据。 模糊神经网络在光伏发电MPPT中的应用 研究光伏发电系统最大功率点跟踪(MPPT)问题,由于存在随机性,且往往不够准确和充分,容易导致系统无法准确跟踪或稳态剧烈震荡。针对传统的人工总结模糊控制规则有一定难度,提出模糊神经网络控制算法,将神经网络理论和T-S模糊推理方法相结合,选择网格法作为生成算法,混合法作为训练方法,由实测数据自动生成模糊控制规则,并将其嵌入模糊控制器当中去,以实现MPPT控制功能。仿真结果显示,采用该方法生成模糊规则准确实用,系统动态性能和稳态性能均十分优越。实验证明,模糊控制技术与人工神经网络法相结合实现光伏发电MPPT准确高效。 移动机器人路径规划仿真研究 "在移动机器人路径规划问题的研究中,传统移动机器人路径规划算法收敛速度慢、路径不够平滑等问题。为提高工作效率,提出一种改进蚁群算法进行复杂环境下移动机器人的路径规划。上述算法首先通过引入预规划路径,在预规划路径上增加一定量呈高斯分布的信息素,从而避免初期规划的盲目性;其次在传统蚁群算法的信息素更新方式里加入拐点参数,使其与路径长度共同决定信息素的更新;最后建立死锁禁忌表,存储发生死锁节点,并用惩罚函数代替传统的局部信息素更新方式。仿真结果表明:上述算法能够在成功寻得最优路径的同时,有效避免""死锁""问题。通过与其它算法进行比较,改进算法在搜索效率和寻优能力上具有一定优势。" DCT域内视频分形脆弱水印算法 针对视频完整性认证问题,提出一种DCT域内视频分形脆弱水印算法。首先把视频I帧的RGB色彩模式转换为YUV色彩模式,并对Y分量进行DWT变换;然后提取I帧的分形特征,结合每一帧的位置特征生成认证码,用Logistic混沌映射将认证码置乱;最后将置乱的认证码嵌入每一帧DCT块最后2个非0系数的LSB位上。在视频认证过程中,无需参考原视频,实现了盲检测。实验结果表明,该方法在保证原视频质量的情况下,能有效检测到对原视频的篡改和攻击,并能定位篡改和攻击的位置。 基于小生境布谷鸟搜索算法的神经网络建模 针对化工过程的高度非线性以及传统建模方法拟合非线性模型时易陷入局部最优、迭代慢等问题,提出采用小生境布谷鸟搜索算法的神经网络建模方法。首先利用布谷鸟搜索算法参数少收敛快的优异参数寻优特性,优化传统BP神经网络的权值,用以改善算法的局部最优问题。并利用小生境策略进一步优化布谷鸟神经网络算法,以加快参数优化收敛速度,提高神经网络建模精度。通过对DISO对象的仿真建模,表明布谷鸟算法显著改善了传统BP神经网络容易陷入局部最优的问题。对TE化工过程的建模结果显示,加入小生境策略后明显加快了算法的收敛速度。 城市轨道交通网络路径生成方法 结合城市轨道交通网络的结构特点,构建了城市轨道交通路网模型,以及满足路径费用计算要求的路网实体数据结构;制定了路径表达二项组以及符合乘客路径选择行为的有效路径判定条件;设计了基于路网模型的广度优先遍历搜索算法以得到全部有效路径,通过比较路径费用舍弃高耗路径而利用低耗路径。通过北京市轨道交通网络的数据对模型和算法进行验证。 基于GIS的房地产空间决策支持系统的研究 地理信息系统(GIS)在房地产管理中的应用主要集中在房地产测绘管理等方面,缺少对数据进行进一步分析以及在此基础上的建模应用,从而不能为房地产管理中的许多决策问题提供有效的支持。本文对房地产空间决策支持系统进行了系统分析,研究了空间决策支持系统的体系结构及其相关技术。结合GIS的基本功能,建立精炼高效的分析决策模型,从而实现知识获取和分析决策的有机结合。在可视化的人机交互界面上为城市房地产建设提供决策依据,使房地产管理部门的决策更准确、更快速和更具科学性。 多径环境下直扩信号PN码捕获性能分析 针对多径环境下极微弱动态长周期伪码直扩(DS-SS)信号的捕获问题,拓展了单径环境下基于部分相关值与功率谱累积平均相结合的捕获算法,提出了多径环境下直扩信号的伪码捕获算法。该算法通过部分相关,得到了反映多普勒频偏的正弦信号,然后将部分相关值的功率谱累积平均,从而达到在多径环境下检测微弱信号的目的。给出了基于该算法的多径直扩信号模型,分析了该算法在多径环境下的捕获性能,通过计算机仿真验证了多径环境下该算法的可行性,并对比了多径和单径环境下的捕获效果。 基于在线回归学习的轮廓跟踪算法 针对目标快速运动以及严重形变导致跟踪失败的问题,基于在线回归学习提出一种轮廓跟踪算法。以当前跟踪区域为中心,通过循环矩阵对其进行循环平移形成训练样本,进行基于核的相关性回归训练。检测帧根据上一帧回归模型计算待测区域与目标区域的相关频域。将相关矩阵返回空域,形成目标定位特征图,将其与待测区灰度图进行融合形成轮廓置信图。利用置信图作为辅助信息,通过水平集模型提取目标轮廓。设计轮廓评价方案判断轮廓质量,当发生畸变时进行轮廓修正。将轮廓所在位置反馈至核相关滤波跟踪器并更新跟踪模板,从而准确得到下一帧特征图。实验结果证明,该方法能快速准确地跟踪目标及其轮廓,并且具有较好的鲁棒性。 深度学习降维过程中的信息损失度量研究 深度学习是当前智能识别、数据挖掘等领域最重要的研究方向,通过组合低层特征,形成更加抽象的高层表示属性类别或特征,以发现数据的分布式特征表示.数据降维是深度学习过程中最为常见的一种过程,通过降维,能够去除数据间的相关性,便于提取更为有用的数据特征,提升识别率,加快识别速度.数据降维过程中,必然导致数据信息的损失,如何统计运用这个信息损失,目前还少有相关文献进行研究.通过对栈式自编码器深度学习算法进行研究,提出一种深度学习降维信息损失度量方法,将香农信息理论运用到降维信息损失度量中,计算深度学习降维过程中信息损失量,并研究其与算法性能的关系,为深度学习算法的改进提供数据支撑. 航空弹药贮存环境温湿度远程智能监测仿真 航空弹药在贮存环境中易受温度和湿度变化影响,会使弹药出现裂解、脱粘等,极大地影响了航空弹药爆破性能的有效发挥。现有温湿度监测方法主要以温湿度测量仪为主,通常存在测量误差较大、响应时间长等问题。针对以上问题,提出基于数据分簇融合的温湿度远程智能监测方法。采用疏失误差对采集的温湿度感知数据进行预处理;当簇头节点接收到融合数据后,利用自适应加权算法对融合结果进一步融合。对融合后的温湿度感知数据分别构建支持向量数据描述模型,以上述模型构造统计量并确定其控制限。依据测试样本对各类温湿度感知数据的局部离群概率选取合适的模型进行远程监控。实验结果表明,所提方法响应时间快,有效降低了冗余和可信度较低的温湿度数据对测量精度的影响,提高了航空弹药贮存环境温湿度远程智能监测信息化程度。 宽幅度摩擦力控制触觉再现系统 触觉再现能够表达虚拟物体的表面特征,提高虚拟现实系统的真实感,因而成为人机交互领域内的研究重点。本文将空气压膜效应与电磁激励相结合,提出一种新颖的摩擦力控制触觉再现方法,并研制一种宽幅度摩擦力控制触觉再现系统,其中电磁激励用于增加摩擦力控制范围。经过一系列触觉感知实验验证了本系统的有效性,实验结果表明本文提出的方法相对于传统的摩擦力控制方法可以实现更宽泛的摩擦力系数控制。 基于人工智能的生物发酵控制系统方法 研究发酵控制优化问题,发酵控制系统是一个复杂的大时延系统,发酵过程具有动态性、时变性,传统难以获得较高的控制精度。为了提高生物发酵控制精度,提出一种人工智能的生物发酵控制算法。采用神经网络的智能性捕捉发酵过程的时变性、动态性变化特点,并采用智能算法中的粒子群算法对控制模型参数进行快速优化,最后采用仿真对控制系统进行测试。仿真结果表明,提出算法提高了生物发酵控制系统的控制精度高,系统鲁棒性强,为生物发酵控制优化设计提供了参考。 一种改进的云存储数据完整性验证方案 云存储为用户提供了便利的存储服务,但使用户失去了对其数据的直接控制,难以保证数据的完整性和可用性。本文在分析现有完整性验证方案的基础上,提出一种基于RSA签名体制的改进验证方案,该方案能有效检验服务器是否完整存储用户数据,支持公开审计,保护用户私密信息,并能准确定位发生错误的数据块。通过安全分析和性能分析表明,本方案是一个高效可行的数据完整性验证方案。 基于扫描流量统计的本地网蠕虫检测方法 为了准确检测外网蠕虫对本地网的传播,在研究蠕虫扫描行为模式的基础上,提出一种基于扫描流量统计的本地网蠕虫检测方法,并给出蠕虫检测方法实现的总体思路、关键算法和检测过程。该检测方法分为异常流量检测和扫描包特征匹配检测两个步骤,即首先使用马尔科夫和坎泰利不等式在网络边界检测进入本地网的扫描流量,提取异常流量中的可疑扫描包的特征;然后监控本地网,检测与可疑扫描包特征相匹配的本地网扫描活动,进而判定本地网是否感染外网蠕虫。分析与初步实验证明,该方法能够检测准确检测外网蠕虫对本地网的传播。 基于FPGA的高速数据传输系统设计与实现 "为了满足国家重点专项""量子科学实验卫星""中""量子存储板""高速串行数据传输的测试要求,提出了一种以Nios II嵌入式处理器为控制核心,TLK2711、RS422、USB2.0和千兆以太网为传输接口的高速数据传输解决方案;系统采用TLK2711完成高速数据的串并转换,采用RS422完成命令和控制信号的传输,实现与""量子存储板""的高速数据传输;利用Xilinx公司Zynq-7000芯片独有的ARM+FPGA架构实现千兆以太网完成数据的高速传输,利用EXAR公司XR21V1414USB转串口芯片实现命令、遥测等数据的传输;采用Labview编写上位机控制整个系统的运行,实现命令发送、指令解析、运行状态显示、数据帧产生、高速数据传输、解析和存储等功能;实测结果表明,此系统数据传输速率高达600 Mbps,满足高速串行数据传输的要求,且具有稳定性高、可靠性好等优点。" 基于SA-FQL算法的区域交通控制方法 将模拟退火算法的Metropolis准则用于平衡模糊Q学习中探索和扩张之间的关系,提出基于Metropolis准则的模糊Q学习算法Simulated Annealing Fuzzy Q-learning(SA-FQL)。利用SA-FQL算法优化区域的公共周期,在给定周期的基础上再用SA-FQL算法优化区域中各干线相邻两路口的相位差,最后根据交通流量确定各路口的绿信比。TSIS仿真结果表明,相比基于Q学习和模糊Q学习的控制方法,该方法能显著提高学习速度和交通效率。 GPRS网络中恶意代码监测技术研究 移动通信网络中的恶意代码对智能终端安全构成了巨大威胁.对GPRS网络中恶意代码监测技术进行了研究,重点是选择适合的监测点.通过分析GPRS网络结构与接口协议,作者认为在GPRS核心网内的Gn或Gi接口较适宜部署监测系统,可对某一PLMN内所有用户感染恶意代码的情况予以监测.进一步,对在Gn或Gi接口进行监测的优劣进行深入技术分析,最后作出结论. 利用关键因子过滤的正则表达式匹配算法 正则表达式(regular expression,RE)是一种能够提供复杂查询能力的技术,其通过特定的语法结构来描述一类文本的共同特征。正则表达式强大的表达能力和简洁的语法,使得其在各个领域都被广泛地应用。为了提高正则表达式的匹配效率,提出了一种利用关键因子进行过滤的匹配技术,关键因子指的是在文本中具有最小出现频率的有效过滤因子。由于实际文本中字符并不是均匀分布的,子串在文本中出现频率的差异将影响过滤因子的过滤能力。通过考虑有效过滤因子在文本中出现的频率,关键因子能获得更好的过滤能力。提出了利用正则表达式的划分来求取关键因子的算法,进而通过关键因子来过滤候选位置。通过在真实的蛋白序列和英文文本上进行实验,说明了基于关键因子过滤的匹配方法可以有效地提升正则表达式的匹配性能。 基于降阶观测器的双线性系统H_∞补偿器设计 讨论了多输入多输出双线性连续时间系统的基于降阶观测器的H∞补偿器设计问题。利用线性矩阵不等式和Lyapunov方程,得到了保证闭环系统全局渐近稳定且满足给定干扰抑制水平的bang-bang控制律的设计。仿真验证了所给理论结果的有效性。 基因表达式编程在重金属形态预测建模中的应用研究 提出一种改进的GEP(Gene Expression Programming)算法。根据重金属(HM)形态随时间变化(HMFT)的特点,建立基于跳跃基因表达式编程(JM-GEP)的重金属形态预测模型。跳跃算子是该模型的关键。为了保持种群多样性,研究最优保留策略GEP的收敛特性,跳跃算子采用自适应的跳跃概率。针对改进后的JM-GEP算法,提出一种基于GEP的重金属形态预测建模方法。仿真结果表明新模型更适合于HMFT的特性函数,找到全局最优解,且明显优于经典GEP算法及其他算法。该新模型方法还可广泛用于其他时间序列预测问题的研究。 云计算数据中心网络的端到端流量计算 云计算数据中心网络的流量特征是研究和设计云计算网络的基础,现有的流量测量研究方法通常要求交换机支持额外功能模块或具备可编程能力,而目前大多数云计算数据中心网络的交换机并不满足此要求。提出一种基于网络层析技术的端到端流量推理算法,仅使用交换机普遍支持的SNMP(简单的网络管理协议)数据,就能快速准确地计算出端到端的流量信息。并通过仿真实验与已有的网络层析算法进行比较,结果表明新算法更适用于大规模的云计算数据中心网络,可以在较短的时间内得到更准确的计算结果,从而为云计算网络的设计和研究提供了重要的参考依据。 用平滑方法改进多关系朴素贝叶斯分类 为消除朴素贝叶斯分类时的零概率以及过度拟合问题,分析了各种概率平滑方法,给出了基于M估计的多关系朴素贝叶斯分类方法(MRNBC-M)和基于Laplace估计的多关系朴素贝叶斯分类方法(MRNBC-L),分析探讨了M平滑和Laplace平滑方法对多关系分类的影响情况,为进一步优化分类,方法基于扩展互信息标准对数据进行属性过滤。多关系标准数据集上的实验显示,MRNBC-M可以有效改进分类性能。 移动互联网的业务访问特性 随着移动网络的快速发展和智能手机的不断普及,移动互联网的用户规模与日剧增,各类业务应用也层出不穷.为分析移动互联网中各类业务的访问特性,文中首先引入复杂网络的研究方法,建立了一个加权用户-业务二分网络分析模型;然后对现有移动互联网的主要业务应用进行了分类,并利用从某移动通信运营商的互联网网关上采集的2010年和2011年部分数据集,基于该模型从用户访问兴趣、业务点击量特性、业务流量特性、访问关联性等方面分析了移动互联网的业务访问特性,并比较了用户访问行为的变化.结果发现:门户网站、搜索引擎、社交网站和网络文学是用户访问的主要业务类型;用户访问兴趣范围服从指数分布,用户访问的兴趣强度服从幂律分布;点击量大的网站具有流量相似性而点击量小的网站则没有,但当点击量达到一定规模时则会呈现出流量相似性;在访问关联性方面,门户网站和搜索引擎是最容易被关联访问的业务类型,其次是电子邮箱和社交网站. 一种低信噪比下DSSS的载频估计方法 直接序列扩频信号具有较低的功率谱密度,信号淹没在背景噪声中,侦查难度较大。四阶累计量2-D切片理论上可以有效地抑制高斯白噪声,但是当信噪比较低时,抑制效果不是很明显。对低信噪比下直接序列扩频信号的检测和估计提出了一种改进思路:在常规四阶累积量2-D切片前加设自适应干扰消噪器。此方法能改善白噪声背景下信号的信噪比。在此思想上基于SystemView平台构建了仿真模型,实现了低信噪比下DSSS信号载波频率的准确检测。仿真结果验证了其有效性。 云计算环境下混合多样木马群检测技术仿真 提出基于粒子辨别树联合算法的云计算环境下混合多样木马群检测方法.对云计算环境下的木马进行有效的分类处理,根据分类结果构建关联粒子入侵辨别树,利用攻击特征更新理论和更新后密度分布理论完成云计算环境下混合多样木马群的检测.实验结果表明,利用该算法进行云计算环境下混合多样木马群检测,能够有效提高检测效率,降低漏检率. 基于LS-SVM的位置指纹室内定位 基于无线接入点(Access Point,AP)接收信号强度(Received Signal Strength,RSS)的位置指纹室内定位技术近几年已经成为国内外位置感知研究的热点。提出了基于最小二乘支持向量机(Least Squares Support Vector Machines,LS-SVM)的位置指纹定位方法。给出了基于LS-SVM的指纹定位模型,描述了LS-SVM指纹样本训练的具体实现过程。重点在于将定位问题转化为一个多类别分类问题,并分别采用一对一(OAO)和一对多(OAA)方法将其转化为多个二值分类问题。仿真结果表明,LS-SVM较传统支持向量机(SVMs)、K近邻(k-Nearest Neighbors,K-NN)定位方法的分类准确率高且计算代价小,平均分类准确率达92.00%。 二三维联动战场可视化系统的研究与实现 采用动态分页调度算法结合LOD技术实现了海量三维地形数据的加载与显示,研究三维军标绘制技术,提出了一种基于可视范围动态计算投影区域的方法,解决了三维线、面标号纹理模糊不清问题。采用MVC设计模式搭建二三维联动战场可视化系统框架,实现了二三维在视域范围、态势标号、地理信息查询之间的联动。 基于人工鱼群的无轨迹粒子滤波算法 针对粒子滤波算法中粒子退化现象及重采样所带来的粒子贫化问题,提出一种基于人工鱼群的无轨迹粒子滤波算法。采用无轨迹变换选取优化的重要性密度函数,将人工鱼群的智能思想引入到粒子滤波中代替重采样过程,通过觅食、聚群和追尾行为找到全局最优位置,驱动粒子向最优点靠近,从而增加粒子多样性。仿真结果表明,与传统的无轨迹粒子滤波和常规粒子滤波相比,该算法在估计精度上有显著的提高。 自适应编码蜂群算法求解连续批量统一模型 针对连续生产过程与间歇生产过程混合的生产调度问题,建立了统一优化模型。使用随机比例法对调度任务序列进行二次编码,并使用波动修正稳定设备生产效率。对最大完工时间评价准则进行修正,引入最小停机次数准则,细化解的评价层次。使用蜂群算法使种群搜索最优解,并通过逆向解码得到调度序列。将所提算法应用于化工企业烧碱生产过程,并与文献所给出的结果进行比较分析,证明了算法的有效性。 基于扩展格框架标注的视频事件多维关联规则挖掘方法 针对视频复杂运动事件进行了分析,对用于自然语言处理的格语法理论进行了扩展,增加时间格结构,并采用扩展后的格框架对复杂事件进行了标注,并将数据存储在数据立方体中,最后采用MDFP-growth方法对多维概念格进行关联规则的挖掘。在实验部分,对比了扩展后的格框架与扩展前原方法对复杂事件标注的结果,并比较扩展了时间格后的四维数据〈PRED,Ag,T,Loc〉和扩展前三维数据〈PRED,Ag,Loc〉挖掘其关联规则中系统运行时间,产生规则数对比结果及视频检测的准确率和召回率对比。实验证明,提出的方法能更加准确地标注出复杂事件,并具有更高的处理效率。 基于分布式烟花算法的WSN布局优化方法 无线传感器网络具有广泛的应用,然而如何有效部署无线传感器节点,提高节点利用率和网络覆盖率,仍是一个亟待解决的问题。针对传统无线传感器网络部署方法存在节点冗余率高、覆盖率低等问题,以网络覆盖率为优化目标,将烟花算法良好的结果搜索能力与分布式高效的计算速度相结合,实现对网络覆盖率优化模型的高效求解。实验表明,该算法相比于普通的烟花算法具有更好的计算结果和更快的收敛速度。 基于多维状态参量和时间序列对电机的异常检测 针对传统对电机的异常检测常常出现误判或滞后的情况,采用基于时间序列对电机单一状态参量用AR拟合,同时利用SOM神经网络无监督的方式量化电机数据;然后,利用得到的量化序列结合AR曲线得到序列的转移概率,及早发现某种状态参量的异常变化;之后,DBSCAN算法挖掘多维参数之间特征关系来确定电机是否出现异常;最后结合实例说明该方法的检测过程,并对比验证了该方法的优越性。 机载多路视频PCM遥测传输技术 针对航空武器试验过程中视频遥测能力不足的现状,采用了多路数字视频时域复用方法,实现了单频点PCM通道传输多路机载视频的功能。将不同格式和来源的机载视频进行采集、压缩,压缩后的数据经过二次编码、合流,使其符合IRIG 106规范后使用PCM通道遥测下传。 普适环境中基于云理论的信任模型 现有服务选择模型仅计算信任和不信任条件下的信任度且计算比较复杂,针对此问题,借助云理论的定性定量互换模型,提出云理论信任服务选择模型,给出普适计算服务选择中信任云的计算、评估和更新方法。该信任模型计算简单,可以较好地表达信任的不确定性、提高网络平均信任密度和合作成功率,减少网络欺骗。 基于多判别参数混合方法的散乱点云特征提取 针对以往散乱点云特征提取算法存在尖锐特征点提取不完整以及无法保留模型边界点的问题,提出了一种多个判别参数混合方法的特征提取算法。对点云构建k-d tree,利用k-d tree建立点云K邻域;针对每个K邻域计算数据点曲率、点法向与邻域点法向夹角的平均值、点到邻域重心的距离、点到邻域点的平均距离,据此四个参数定义特征阈值和特征判别参数,特征判别参数大于阈值的点即为特征点。实验结果表明,与已有算法相比,该算法不仅可以有效提取尖锐特征点,而且能够识别边界点。 基于点到直线距离的直线扫描转换算法 直线扫描转换算法是计算机图形学和计算机辅助设计等领域最基本、最重要的算法之一,直线反走样算法也是光栅化图形算法中的重要内容。文中提出了一种基于点到直线距离的直线扫描转换算法,给出了算法的推导过程及代码表示,并介绍了算法在直线反走样中的具体应用。该算法基于增量技术,采用点到直线的距离作为判别式,在扫描转换过程中,可方便地根据点到直线的距离,采用加权区域采样的方法进行直线的反走样,提高了反走样的效率;具有只使用整型变量、不涉及乘除运算的特点,适合硬件实现。 一种将羽毛球比赛的2D视频转换到3D视频的算法 文中提出一种羽毛球比赛的2D视频转换到3D视频的算法。在这类视频中,前景是最受关注的部分,准确地从背景中提取出前景对象是获取深度图的关键。文中采用一种改进的图割算法来获取前景,并根据场景结构构建背景深度模型,获取背景深度图;在背景深度图的基础上,根据前景与镜头之间的距离关系为前景对象进行深度赋值,从而得到前景深度图。然后,融合背景深度图和前景深度图,得到完整的深度图。最后,通过基于深度图像的虚拟视点绘制技术DIBR来获取用于3D显示的立体图像对。实验结果表明,最终生成的立体图像对具有较好的3D效果。 三次插值样条曲线拟合多核并行算法 充分利用多核技术提升多核处理器的资源利用率,缩短执行时间,发挥多核系统的优异性能。在多核计算机上设计了解三对角方程组的奇偶约化多线程并行程序,实现了三次样条曲线拟合的快速计算。通过实验结果的加速比对比,可以看出并行后缩短了求解方程组的时间,多核资源得到充分利用。结果表明,奇偶约化多核并行算法在三次样条曲线拟合中的应用是有效及可行的。 大规模配电网电力系统配置合理规划仿真 对大规模配电网电力系统配置的合理规划,能够有效提升大规模配电网稳定运行质量。对配电网系统配置的规划,需要对配电网内部进行准确诊断,结合用户报装和规划项目库,模拟项目实施后电网的运行情况。传统方法先处理用户端的快速响应,取代主从结构,但忽略了模拟电网的运行情况,导致规划精度偏低。提出基于改进时间模糊的云计算平台下大规模配电网诊断方法。给出配网诊断设计框架,采用保护断路器信息和电气量来纠正断路器动作信息,确定可疑故障元件,对配网固定串联电容器中电容器内部结构进行了数学建模,给出大规模配电网内部诊断的判据,利用潮流计算,在电网运行基础上结合用户报装和规划项目库,模拟项目实施后电网的运行情况,完成对云计算平台下大规模配电网诊断。仿真证明,所提方法诊断精度较高,为配电网规划建设提供有效的技术支持。 基于Asp.net的权限系统的设计与实现 在Web系统开发中,由于系统资源的差异性和对权限管理要求的复杂性导致产生一些问题,如同一个系统中不同的子业务设置不同的权限,从而需要对不同的子业务模块编写权限控制代码,而这些代码存在着重复设计冗余编写以致降低了Web系统的开发效率。针对上述问题,在ASP.net中已有的基于角色的访问控制部分上,结合RBAC授权模型进行系统扩展,设计并实现了一个可以在中小型Web应用系统中通用的权限管理系统子模块。该模块采用三层结构,实现了权限控制和业务的分离,可以很方便地在其他Web系统中使用。 基于二维靶标的管路端点位置测量方法 针对管路系统中端点位置的高效高精度测量难题,提出一种基于二维靶标的管路端点位置测量方法。该方法引入机器视觉技术和二维靶标,将二维靶标装配在导管两端,通过图像采集和处理获得靶标上标识点的图像坐标,通过建立的靶标模型与相机模型的关系求解得到管路端点在相机坐标系下的坐标,在此基础上计算出管路端点的相对位置。测量实验表明,使用该方法有效提高了管路端点的位置测量精度。 室内机器人动态手势指令识别系统研究 针对目前室内移动机器人手势指令识别系统存在的问题,对图像传感器与机器人相分离的图像采集方案进行了研究,并利用动态手势指令对机器人进行控制。动态手势指令识别方法是对手的不同运动轨迹进行识别,通过皮肤颜色模型和手势中心点方向向量法追踪得到手势运动轨迹,提取手势运动轨迹的特征向量,通过基于动态时间规整(DTW)实现对轨迹的识别。实验结果表明,该系统可以实现对机器人前进、后退、左转、右转的实时控制。 基于社区云的按需个性化流程构建方法研究 针对传统流程模式在互联网应用的局限性,为提高Web服务发现组合能力,提出了社区云的概念,用社区云组织管理Web服务,可以屏蔽服务多样性和多变性带来的复杂性。研究了服务的抽取和社区云服务池的构建方法以及相应的组织和管理机制。在此基础上,构建了一种适用于个性化按需流程生成的云计算支撑平台框架,给出了各个部分的作用及相互间的关系。通过该平台自动生成个性化按需流程,并验证了流程的正确性。 认知科学的发展及研究方向 研究认知科学的起源、发展、学科结构及研究方向。提出了基于过程的认知定义,探讨了认知科学的起源;将认知科学的发展划分为计算理论、符号处理理论、多理论三个阶段;论述了认知科学的学科结构及研究方向,指出认知模型、大脑存储模型、认知计算是当前认知科学的主要研究方向。研究发现,基于过程的认知定义能够体现认知的本质。一元事件认知模型能够模拟人类的认知,是一种理想的认知模型。 Android应用程序的组件间通信行为检测 Android组件间通信为应用程序带来了便利性的同时也增加了安全隐患,可能导致多个应用程序协作进行共谋攻击.针对该问题,提出一种形式化的方法对Andriod应用程序的组件间通信行为进行建模和检测.通过组件级的数据流分析,为每个组件生成组件数据流图.通过跟踪和分析跨组件边界的数据流找到ICC调用者和ICC被调者.基于Pi演算为组件数据流图进行形式化映射,建立了Android应用程序的行为模型.基于该行为模型,通过分析Intent的异常对基于通信的攻击进行检测.最后,分析和实验了该方法的检测效率,并和相关方法进行了对比,并通过对57个Android Apps的分析和检测,部分的验证了该方法的有效性. 嵌入式P2P VOD系统上面向内容的动态缓存策略 随着P2P技术的发展和IPTV的逐步推广,两者的结合应用越来越广泛。P2P流媒体的实质是基于分享的精神,充分利用每个客户的上传带宽,以减少流媒体服务器端的负载。关于VOD点播中的P2P技术,现有的大部分研究都集中在节点的选择策略、P2P网络的拓扑结构以及各个客户端的上传带宽和上传质量等。这些研究显著地改善了P2P点播系统的性能。采用基于嵌入式系统的面向内容的P2P点播缓冲机制,可以最大限度地减少嵌入式系统弱点(存储容量非常有限)的影响,并基于内容热度做出智能的缓冲机制。使用这种新的机制,嵌入式P2PVOD系统可以得到一个较小的网络组建时间和更高的数据共享率。 (,p~1)约束规范的动态调度算法研究 (m,p1)有效解决了μ-pattern中第一个数字为0时部分约束规范失效的问题。在弱硬实时调度算法研究的基础上,针对(m,p1)约束规范,提出了一个动态弱硬实时调度算法,分析与仿真结果表明,算法效果良好。 一种多智能体系统一致性协议仿真系统的设计 介绍了一种对多智能体系统一致性协议进行快速仿真的软件系统,给出了该仿真系统的组成框架、工作流程、系统设计中的关键问题及处理方法。该仿真系统界面友好,采用Matlab软件语法描述一致性协议,用图形化方式输入系统邻接矩阵,以Matlab标准图形用多种形式展现仿真结果,用户易于掌握使用并能用该仿真系统快速开发一致性协议仿真方案。 基于故障检测率的主元个数确定方法 主元个数是PCA模型的关键参数,其选取直接决定PCA的故障诊断性能;针对传统主元个数选取方法主观性较大,且不考虑故障诊断要求的缺点,提出一种改进的主元个数确定方法;该方法将传统的累积方差贡献率与故障检测率相结合,首先利用累积方差贡献率初步确定主元个数,然后确定满足故障检测率要求的主元个数,将两个主元个数进行比较,从而获得最佳主元个数;与单纯累积方差贡献率方法相比,提高了主元模型的精度,减少了以往方法中人为因素的影响;通过对卫星控制系统的故障检测,证实了该方法可大大提高故障检测准确率。 自适应混合粒子群优化算法求解大规模旅行商问题 基于随机搜索策略的改进增强型自探索粒子群优化算法难于获得大规模旅行商问题的高质量近似解。为此,引入变异和利用进化过程信息缩减问题规模等机制,提出自适应混合粒子群优化算法。进化搜索分多批次自适应进行,每个批次包括两个阶段。第一阶段,多次搜索获得多个不同的局部最优解,并记录于周游边结构中。第二阶段,学习记录的信息,获得多个关键边序列段,每个段归约为一个整体,以此重新初始化种群,并在其基础上进行下个批次的进化搜索。上述过程反复进行,直到在某第一阶段多次进化中都收敛于同一解为止。实验结果对比分析表明该算法能够获得比同类算法更高质量的近似解。 生产成本和需求同时扰动下供应链的协调 为提高供应链的运作效率,在市场需求为零售价格的线性函数假设下,考虑一个由单供应商单零售商组成的两级供应链,当干扰事件造成生产成本、市场规模和价格敏感系数同时扰动时,构建了一个两级供应链的博弈模型。研究了在集中化决策下供应链的最优应对措施,以及分散化决策下当供应商为Stackelberg博弈主导者情形时的供应链的协调机制。研究结果表明,在稳定情形时供应链制定的生产计划有一定的鲁棒性,但当扰动超过一定的范围时,供应链应该调整生产计划并设计新的契约才能达到协调。通过算例验证了相关结论。 基于团结构的三维关节人手跟踪方法 针对传统图模型建模人手中约束函数冗余度高的问题,提出一种基于团的图模型表示关节人手。该模型充分利用人手特有的生理结构和运动约束表示人手,在一定程度上消除了传统人手图模型中的冗余信息,降低模型表示的复杂度。给出人手团势函数用于建模人手各个部分之间的约束关系。实验结果表明,该模型提高跟踪算法的效率,能够满足基于视觉的精细人手跟踪的要求。 家用饮水机智能设计与节能改进 "饮水机是家庭及办公场所的常用电器,饮水机反复加热饮用水容易形成""千滚水"",长期饮用""千滚水""对身体极不健康。针对""千滚水""问题,分析了目前占多数温热型饮水机的电路原理,结合对饮水机的不同个性需求,考虑了三种模式,设计了家用饮水机智能控制系统。该系统以单片机为主控芯片,分析研究了系统构成及模块连接、软件流程图、系统调试等重要内容。目前的饮水机还存在热量浪费问题,在饮水机出气管上可连接一个密闭容器进行节能改进,提高热量的利用率。" P2P网络激励兼容的声誉评价及服务分配 由自私节点组成的P2P网络常常采用基于声誉的机制激励节点间的合作。当前已经提出了一类基于声誉的方案,但其中大部分方案都忽视了方案的分布式实现中惩罚的激励兼容性问题。在社会学或生态学领域,该问题常被称为二阶搭便车问题:拒绝低声誉节点的服务请求会降低惩罚者自身的声誉,因此对于自私节点而言,这种惩罚并不总是有利的选择。以P2P文件共享为例对该问题进行了分析,提出了一种连续型二阶声誉评价模型及两种服务分配规则,即概率分配规则和比例分配规则,实验表明只有概率分配规则是激励兼容的。 电力用户数据中用电特征数据挖掘模型仿真 对电力用户中用电特征数据的准确挖掘,可以提高电力用户数据安全性能。由于电力用户数据的不断增加,用电特征数据特征数目也逐渐增加,导致用电特征数据呈连续型属性,并使传统的CURE算法进行电特征数据的挖掘时,必须对连续型数据进行离散化处理,这需要花费大量的预处理时间、并且离散化过程可能会丢失一些重要数据信息,导致用电特征数据的挖掘精度下降。提出一种新的电力用户中用电特征数据的挖掘建模方法,在电力用户数据的用电特征数据属性基础上,引入信息熵原则分析法,获取用电特征。通过Kohonen神经网络模型提取电力用户数据的用电特征数据参数曲线,采用斜率修正法将用户特征数据进行校正,随机选取具有周期性的电路用户数据参数,挖掘用电特征数据,实现电力用户数据中用电特征数据的挖掘建模。仿真结果表明,改进建模方法相比传统的CURE算法,用电特征数据挖掘准确度高。 基于跟踪式R-D转换器在舵伺服系统中的应用 针对现代飞行器对舵机的高性能位置伺服要求,文章对基于旋转变压器及跟踪式R-D数字转换器的舵伺服系统进行研究,并通过设计控制系统来验证其工作特性;在不同阻尼条件下,比较该系统与传统电位计舵系统的实测结果,系统单位阶跃响应时间由0.4s缩短到0.04s,系统带宽由3Hz提高到17.5Hz;表明文章提出的这种舵伺服系统可以提高电动舵机的精度和动态性能,具有非常好的应用前景。 基于服务标识的中间级缓存多级多平面分组交换时延保证调度机制研究 "基于服务标识的网络交换调度机制是当前一个重要的研究课题.文中分析了中间级缓存多级多平面交换结构内部阻塞性、模拟最优OQ结构、链路加速比的技术特性,建立了基于均衡指针和动态时延指针的调度模型,设计了采用""请求-响应-确认""策略的均衡迭代和时延保证迭代调度算法.该算法克服了iSLIP和PPS方法在高负载强度下时延发散问题,在突发流量和非均匀diagonal流量下均可实现时延保证性能,在交换平面数p为4和迭代次数Iteration为4条件下可收敛至最优时延曲线." 嵌入式内存数据库恢复机制的设计与实现 根据嵌入式系统环境的特点及其恢复需要,提出一种基于逻辑日志的嵌入式内存数据库恢复子系统设计模式。该子系统采用一主两副的节点模式,保证了数据对象恢复时状态与逻辑日志写时状态的一致性。经过验证试验表明该子系统有效减少了日志信息量,缩短了系统的恢复时间,提高了系统的性能。 皮肤表面血流三维可视化仿真研究 研究虚拟手术系统中皮肤表面血流三维可视化问题。在以往的研究中,多数集中于血液在光滑皮肤表面流动的研究,而对皮肤表面粗糙度考虑甚少,导致真实性低的问题。为解决真实性问题,提出一种改进牛顿流体控制方程外力项的方法,将皮肤表面粗糙度的影响量化为摩擦力后附加在控制方程的外力项上,提高仿真的真实性。模型渲染时使用改进的Marching Cubes等值面构造算法来进行绘制,提高仿真的实时性。实验结果表明,改进方法能够很好地体现出皮肤表面粗糙度对血液流动的影响。 基于不变式生成的循环停机性验证 循环的停机性验证是程序验证中的一个难点。程序不变式用来描述程序变量的取值关系,其中线性不变式可以帮助描述程序变量间的线性关系,循环不变式能够有效刻画循环中的变量关系。本文基于线性不变式和多项式循环不变式的生成,将循环的停机性验证转化为求解一个最优化问题,给出了一个实用的程序停机性验证框架。基于该框架可以自动地验证程序的停机性,并给出循环的复杂度上界。实验结果说明了该方法的实用性。 基于可截取签名和属性加密的云存储访问控制方案 现有的云存储访问控制方案只能根据用户属性的不同发送不同的消息,因而消息利用率不高。针对这一缺陷,首先设计了一个基于ElGamal的可截取签名方案,然后基于该可截取签名方案结合属性加密体制提出了一种新的云存储访问控制方案。新方案在实现数据加密的同时,提供了细粒度的访问控制,将符合属性的子消息发送给相应的用户,提高了消息的利用率,具有更强的应用性。 虚拟手术中软组织切割模型研究进展 软组织切割是虚拟手术仿真训练系统中的重要组成部分,也是手术中最常见的操作。软组织切割模型建立的质量如何,直接决定了虚拟手术仿真的精确度和仿真度。为更好地对软组织切割模型进行深入探索,分析了虚拟手术仿真中软组织切割的研究难点。针对当前14种有代表性的切割模型进行了研究,在此基础上对这些模型的优缺点进行了比较,并对软组织切割模型的发展趋势进行了展望。 基于面向服务架构的分布式业务部署平台 针对分布式环境下业务系统运营中资源利用率低、可靠性差和扩展性弱等问题,设计了一种基于面向服务架构的分布式业务部署平台。该平台基于面向服务架构(SOA)组件模型,可自动化地部署和管理分布式业务系统;根据服务组件运行状态,动态为其分配计算资源,实现业务能力的动态扩展和收缩;同时,采用双机热备、高可用集群机、业务迁移等机制保证了高可靠性。仿真实验表明,该平台能在保证业务服务质量(QoS)的同时具有较高资源利用率。 基于物联网的高速公路安全预警系统研究 高速公路与一般公路相比,在交通量大、气候恶劣的情况下,极易发生交通事故和交通阻塞。该文设计具有自主产权的高速公路安全预警系统,通过物联网与云计算服务,及时、准确、完整地收集并预告前方道路的各类信息,如交通量、事故、路况等。弥补国内智能交通物联网核心技术发展相对滞后,交通信息化相关技术的集成化程度比较低,相应软件设计不够成熟以及交通信息缺乏标准化等缺点,使交通管理朝着低成本、可靠性、节能型、智能化和环境友好型等五大方向发展,提高我国智能交通的发展,减少交通事故发生率。 基于PIC的微小倾转旋翼机辨识建模设计 文中的研究目的是建立倾转旋翼飞机的模型,从而解决倾转旋翼飞机的控制问题。介绍了基于PIC18F单片机和微机械(MEMS)传感器的微小倾转旋翼机纵向通道辨识建模系统设计。其中系统设计采用了实验建模的方法,利用PIC单片机的CCP模块实时捕捉遥控输入信号,利用MEMS传感器实时采集飞行姿态信号,并在MATLAB/SIMULINK环境下进行了仿真。仿真结果表明系统能够准确采集输入和输出数据。通过精密低速转台测速实验,证明该系统工作稳定,精度可靠,实时性较好。 一种轻量级网格平台参考模型研究 "基于OGSA的网格平台具有""富特征(feature-rich)""结构,软件冗余度高,在适应性、重配置和重部署等方面具有很大局限性。以构件作为功能实现载体,以服务作为功能组织手段,提出了一种用于指导Internet环境下轻量级网格平台建设的参考模型。深入地阐述了参考模型的有关概念和工作过程,分析了基于本体的构件交互机制,建立了基于分层排队网络的性能分析模型和基于依赖关系的可靠性分析模型;最后,总结和展望了参考模型的研究工作。" 图像去雾技术研究综述与展望 图像去雾技术是图像处理和计算机视觉领域共同关心的重要问题。通过从图像处理和物理模型的研究角度对图像去雾技术进行综述,综合了近年来提出的典型的图像去雾方法的基本原理和最新研究进展,并对一些典型的及最新提出的去雾方法,给出了其视觉上的去雾效果和客观的评估数据。最后展望了图像去雾技术的未来研究方向,并给出了一些建议。 基于神经网络的电厂烟气二氧化碳捕集过程建模 以乙醇胺(MEA)作为吸收剂的化学法捕集工艺为研究对象,利用Pro Treat软件对其进行过程模拟,在获得大量数据的基础上,运用BP神经网络建立了捕集过程的工程模型,对模型进行了有效性验证,并进行了敏感度分析,找到了影响装置性能的关键变量,对二氧化碳捕集装置的安装调试、技术改造和工艺优化可起一定的指导作用。 熔盐堆临界能谱与通量分布的仿真计算 研究熔盐反应堆在临界工况下的堆芯中子能量和中子通量分布。由于熔盐反应堆的几何结构与目前的热中子反应堆存在很大差异,导致热中子反应堆能谱的求解方法在对熔盐堆进行计算时存在几何处理上的困难。针对上述问题,提出采用具有任意几何处理能力的中子输运计算软件MCNP对熔盐堆堆芯结构进行建模,采用能量区间上的平均通量代替该区间内通量分布的方法,计算了两种熔盐堆在临界工况下的堆芯中子能谱。结果表明,MSBR堆芯能谱为典型的热堆能谱;MOSART堆芯能谱介于快堆能谱和热堆能谱之间。结果能够作为堆芯扩散计算中能量分群方法的依据。同时分析了堆芯内中子通量分布情况,结果表明熔盐堆中子通量分布具有中间高、四周低的特点。 LTE系统中上行资源调度算法研究 3GPP LTE采用了多种新技术来提升系统的性能的同时,也增加了LTE系统无线资源管理的复杂度.主要研究了LTE系统中上行无线资源管理算法,针对上行BSR汇报机制误差带来的问题,提出一种更精确的基于happy bits补充汇报BSR的方案来改进其上行资源调度的性能.该机制利用BSR控制单元对应的MAC子头中的两个保留比特(happy bits),通过一定的映射规则,不需要额外的开销,更加精细的反映UE上行缓存状态信息,提高了用户和无线资源调度的效率.仿真结果表明,本文所提出的改进方案比原方案在资源利用率,吞吐量和用户公平性方面都有较为理想的提升,有效地改善了系统资源利用率. 两种网络环境中权限提升攻击分析与对比 为了分析分离映射网络对权限提升攻击的缓解作用,提出了一种基于损失期望的攻击图建模评估方法。首先根据网络状态和脆弱性信息确定属性节点和原子攻击节点,生成攻击图,然后根据攻击者选取的攻击序列计算其对目标网络造成损失的期望值。基于该方法对两种网络环境中权限提升攻击情况进行了建模分析对比,结果表明分离映射网络对权限提升攻击起到了良好的缓解作用,较传统网络具有明显的安全优势。 震后过渡阶段应急物流系统的定位-运输路线安排问题研究 针对震后过渡阶段中,回收救援物资与保护灾区环境的问题,在满足灾区民众基本生活需求的前提下,提出了一个正逆向结合的应急物流设施定位-运输路线安排问题(LRP)模型。首先,结合回收物资可分批运输的特点,建立以应急系统耗费总时间最小为目标函数的数学模型;然后,利用两阶段启发式算法对模型进行求解;最后,算例分析验证了模型和算法的可行性。实验结果表明,与传统单向LRP模型相比,所提方法的目标函数值减少了51%。所提模型能够有效提高应急物流系统运行效率,并为应急管理部门提供辅助决策支持。 基于捕获/重现技术的微分单元测试研究 针对单元测试和系统测试各自具有的局限性,提出一种基于捕获/重现技术的微分单元测试方法。在系统测试时,捕获相关的状态序列,并在单元测试中重现该序列对于目标单元表现行为的影响,通过比较输出结果进行错误识别。仿真实验结果表明,该方法的测试成本较低,故障检测的覆盖率较高。 基于蜂窝的多角色交互性动画合成 为了合成应对不确定数目对手和不确定攻击类型动作的多角色交互性动画,提出基于蜂窝结构和咏春拳的四门原理方法.该方法将复杂网络和人群动画相结合,分别提出了基于蜂窝结构的随机图和均匀网络来合成多角色交互性动画;采用将运动重建和动作识别相分离的策略,并使用一个双级结构来重建用户运动,使得用户可以控制虚拟角色参与交互性动画合成.实验结果表明,文中方法可以实时地合成逼真的多角色交互性动画. 基于自适应SIFT算法的全局运动估计方法 为了更好地实现全局运动估计快速、准确的处理,根据全局运动中视频图像序列的时间冗余特性,提出一种自适应SIFT(Scale-invariant feature transform)算法。基于最近三次模型匹配的结果,采用Lagrange抛物线插值来预测需要匹配的参考帧和当前帧图像的重叠区域。在重叠区域上提取特征点和进行特征匹配,既能够消除视频图像序列中存在的大量信息冗余,加快每帧图像的处理速度,又可以提高待匹配特征点的有效性,减少误匹配。实验结果表明,改进后的算法自适应能力强、速度快、匹配精度高,基本满足实时定位。 不同参数下GNSS软件接收机跟踪环性能分析 针对用户接收机实际跟踪卫星信号过程中跟踪环路参数设置模糊性问题,着重分析了GNSS(Global Navigation Satellite Sys—tem)接收机跟踪环路参数设计的范围,然后通过Matlab仿真环境对不同环路参数下卫星信号跟踪效果进行了仿真,得出了接收机环路参数的经典取值(阻尼系数ξ=0.707,环路带宽BL=25 Hz),最后通过软件接收机实验平台对实际采集到的卫星中频信号进行了跟踪验证,实验结果证明了跟踪环路参数选择的有效性。 面向Web的远程图像可视化系统的研制 当前主流医学影像可视化系统都是基于平台或可视化插件,难以实现跨平台及远程访问。然而由于WebGL功能越来越强大,它可以通过调用显卡的计算资源,轻松创建高性能计算机图形。基于这个原因提出并实现了基于HTML5和WebGL的面向浏览器的医学图像可视化系统。基于B/S模式设计系统整体架构,提供DICOM格式医学影像可视化服务。系统通过采用Fetch API中Global Fetch.Fetch()方法实现远程数据获取并通过Promise对象进行异步传输图像,利用WebGL对硬件加速的支持,在浏览器端实时绘制二维医学图像和运用光线投射算法进行三维重建,采用加速度步长确定采样点以及不透明度提前截止法来提高光线投射重建速度。在不同的浏览器、多组临床DICOM影像上测试了此系统,表明系统支持跨浏览器可视化。测试二维和三维可视化结果表明:系统支持医学影像体数据的二维实时可视化以及相关的交互操作,同时支持基于光线投射的直接体绘制可视化以及相关交互操作。在系统中,提出一个基于Web的软件解决方案来解决医学影像可视化的问题,实现了对医学影像的浏览器访问。该系统不需要任何可视化插件,在不同操作系统平台上,用户都可以通过浏览器操作远程医学图像体数据。 基于流演算的多Agent通信动作的研究 为扩展多Agent系统中Agents之间的交互特性,在流演算理论框架下研究了多Agent的通信动作。根据流演算理论和主体通信语言ACL,结合其它Agent的知识表示,引入了通信动作,给出了形式化描述,并讨论了通信动作如何影响通信双方的知识状态,并通过一个智能日程安排实例验证了上述理论,为构建适应动态、不完全可知环境下复杂的多主体系统提供了理论基础。 大业务流识别方法研究综述 大业务流识别(简称大流识别)方法是网络流量测量与分析中必不可少的一种方法和手段,在学术界和工业界都引起了广泛的关注。针对大流识别问题展开研究,对适用于网络监控和管理需求的大流识别的方法、成果和相关问题进行综述,分类分析和总结了典型的大流识别方法,并指出这些方法存在的局限性和不足,对下一步研究趋势进行了预测。 基于低功耗技术的工业无线温度变送器 针对工业应用领域中无线传感器网络节点功耗较大的问题,以常见的温度参数测量为背景,通过在变送器节点硬件设计中采用低功耗的元器件,设计并实现一种大量程、低功耗的无线温度变送器,在节点运行机制方面采用定期休眠的方法,将变送器节点的测量范围控制在-50°C~900°C之间。实验结果表明,该低功耗方法是可行有效的。 基于B/S的课程网络化教学平台的设计与实现 首先对课程网络化教学的现状作分析,然后在分析UML建模技术的基础上,提出基于B/S的课程网络化教学平台,该平台采用B/S结构、.NET架构和SQL数据存储技术,能全面支持教学的各个环节,提供教学资源共享、网络课堂、师生互动、作业管理、在线自测和网上答疑等多种综合教学服务,该平台有效提高了教学的质量和效率,具有较高的实用价值。 滤波器组UMTS系统的信道估计研究 3G的UMTS系统相比4G LTE系统,主要在系统带宽和数据速率上存在劣势,而在语音业务和系统覆盖上优于后者。为了保持UMTS演进系统的持续竞争力,3GPP-LTE国际标准化组织针对2G时代迁移过来的零散频谱,近期启动了S-UMTS的研究项目。在频谱聚合条件下,无线通信系统采用的多址技术必须能灵活支持非连续占用的频谱资源分配,同时保持较好的抗干扰能力。而采用滤波多音调制技术(FMT)实现窄带UMTS信号的聚合传输成为3GPP中的候选方案之一。在所提出CDMA-FMT系统中,传统WCDMA系统中的信道估计方案无法直接适用。因此,文中根据UMTS系统和FMT系统各自的传输特点,提出了基于滤波器组传输的窄带CDMA多载波系统中的信道估计方案。理论分析和仿真结果表明,该方案的计算复杂度较低,具有良好的信道估计性能。 基于随机森林的层次行人检测算法 针对视频和图像中快速、准确的行人检测问题,提出了一种分层次的、全局信息和局部信息相结合的行人检测算法。该方法以随机森林分类器为基础,利用图像金字塔模型融合行人的多层信息。首先,在低尺度空间利用主方向模板(DOT)特征和随机森林算法训练行人的全局分类器,第一层检测在低尺度空间中进行,找到行人的候选区域;然后,在高尺度空间提取图像块集合,基于部件随机森林训练行人的局部外观和几何约束模型;最后,基于上层的候选区域,在高尺度空间利用霍夫投票进行第二层精确检测。实验结果表明,该方法有更低的时间复杂度,并提升了行人检测的准确率,全局信息和局部信息的层次融合,能有效解决快速、准确的行人检测问题。 一种动态可重构Reed-Solomon译码器的设计 针对RS译码器结构复杂,资源消耗大的问题,提出了一种基于动态可重构技术的RS译码器;该译码器将伴随多项式计算和钱氏搜索算法在同一个可重构模块RSCM中通过动态改变电路结构,以时分复用的方式实现;给出了基于状态机的译码控制器,实现各功能模块的调用;采用VHDL语言实现,在Quartus Ⅱ 7.2环境下进行仿真;结果表明,该译码器能有效降低硬件资源占用率,最高时钟频率达到124MHz。 一种基于LEACH的改进无线传感器网络路由协议 为了在无线传感器网络(WSN)中降低能耗和提高网络生存期,针对LEACH协议中簇头选举不合理和随机分簇的不足,提出了一种LEACH的改进协议。该协议首先计算无线传感器网络的最佳分簇数量,然后与遗传算法相结合对网络进行分簇,再根据簇中节点的剩余能量等情况选出簇头节点。仿真结果表明,与经典的LEACH协议相比,改进后的协议减少了网络的能量消耗,延长了网络生命周期。 高动态GPS接收机的设计与仿真 与一般环境下卫星定位信号的接收相比,高动态环境下GPS信号产生较大的多普勒频移,产生较大的定位误差,因而对卫星定位信号接收机提出了较高要求:一是要更可靠地捕获卫星定位信号;二是接收机要具有低功耗、小型化、通用性的特点。针对上述要求,提出了采用FPGA的GPS接收机方案,给出了系统的总体设计以及各个主要模块的设计与仿真过程;并根据设计平台,进行了相关实验。实验结果表明,所设计的高动态GPS接收机能够很好地捕捉到卫星信号。系统具有体积小、灵活性强、易升级扩展等特点,在高端民用领域和相关军事领域都具有广阔的应用前景。 一种地下爆破震动信号检测及处理系统的研究 采用软硬件结合的设计手段,设计了一种用于地下爆破震动信号检测及处理的系统;系统硬件部分由传感器、存储器、USB等部分组成,负责采集原始震动信号;软件部分是以LabWindows/CVI为平台的虚拟示波器,不仅具有传统示波器的功能,还能完成对震动信号不同方向轴的分量进行合成、滤波、提取震动信号初至波时间等数据处理与分析;实验结果证明,在20m*20m*3m浅层区域内,系统能够无失真的获取地下多维震动矢量信号,并能够对震动信号进行处理显示,达到了预期的目标。 基于PLM的车间工时管理系统 本系统以企业制造机加工车间为对象,分析其业务需求,构建车间工时管理信息系统模型及面向制造过程的工时信息管理方法。并结合WEB技术、基于EXCEL的VBA开发方法等,构建了基于PLM的车间工时管理信息系统框架,论述了该系统的功能体系结构、数据库结构。 一种基于互信息的图像质量评价改进方法 针对结构相似度方法在图像评测时基于平均加权策略的不足,基于信息论的思想,提出了一种改进的基于互信息的图像质量评价方法。该方法采用高斯尺度混合模型对图像进行建模,将参考图像与失真图像的互信息作为质量评价函数的视觉权重,设计了一种基于互信息和人类视觉特性的质量评价测度函数。该方法充分利用了人类视觉的感知特性以及图像内容特性,从整体上更好地反映了人的主观感受。仿真结果验证了该改进方法的有效性,并且在性能上优于传统的方法。 外-残缺数据的生成-辨识 P-集合具有动态特性,它是研究动态信息系统的一个新的数学工具。利用P-集合结构中的外P-集合与F-元素补充集合,给出外-残缺数据与外-残缺数据圆的概念。外-残缺数据是由(x)F与(x)+构成的一个数据对((x)F,(x)+);外-残缺数据圆是由数据圆OF与O+构成的一个数据圆对(OF,O+)。利用外-残缺数据与外-残缺数据圆讨论外-残缺数据的生成与辨识,给出相关定理与外-残缺数据辨识准则,及外-残缺数据辨识的应用。 基于遗传算法的飞机脉动式装配线平衡 为解决飞机脉动式装配线平衡问题,建立了飞机脉动式装配线站位内平衡问题模型。在考虑装配线节拍和日可用工时的约束下,以最大化班组效率和班组任务分配均衡为目标,建立多目标优化数学模型,求解站位内最佳的班组数量和最优的作业顺序,从而实现脉动式装配线站位内的装配线平衡。在求解模型时采用基于可行序列编码方式的遗传算法,在染色体的初始化、交叉和变异进化过程中均考虑了染色体基因序列的可行性,有效提高了遗传算法的求解效率。通过案例验证了算法的可行性和有效性。 基于几何流多级树Bandelet分割编码的医学图像压缩方法 为进一步提高医学图像压缩效率,减少对网络存储和带宽的要求,提出了一种基于几何流多级树Bandelet分割编码的医学图像压缩方法。首先,结合图像结构的几何规律,利用稀疏表示方法构建图像的灰度级有规则变化的方向几何流表示方法,获得图像的二元方形四叉树表示形式;其次,针对小波分解结果在几何正则化情况下存在较少的显著系数问题,使用二维向量场表示正则性的方向,并用样条表示法获得方向几何流的逼近;最后,在对图像进行方向几何流分解基础上,利用M-band离散小波变换构建多级树Bandelet分割编码方式,实现了Bandelet系数计算改进。实验结果表明,所提方法在平均PSNR指标上相对于对比算法提升6.3%以上,在SSIM指标上相对于对比算法提升7.5%以上,并且具有更高的计算效率,实验结果验证了所提方法的有效性。 基于纹理和灰度差分相结合的运动检测 运动目标检测在智能监控系统和交通检测系统中发挥着极其重要的作用,是视觉系统中的一个重要研究课题。文章提出了一种新的思路,利用图像的纹理信息,并结合图像的灰度差分,对运动图像进行分割,从而检测出运动目标。 基于前向搜索的快速视差范围估计方法 在立体匹配中,设置合理的视差搜索范围能够提高匹配的速度和精度。为此,提出了一种基于前向搜索的图像迭代细分方法用以估算视差范围的上下限。将参考图像均分为若干个图像块,在对每一块的匹配过程中,采用前向搜索策略,对当前匹配块继续均分成若干子块,并对其子块进行相似度匹配。在计算视差范围上限时,用当前块的子块视差中的最大值来表示其视差值,找到视差最大的图像块后继续迭代细分,直到得到稳定的视差范围上限。在计算视差范围下限时,用当前块的子块视差中的最小值来表示其视差值,找到视差最小的图像块后继续迭代细分,直到得到稳定的视差范围下限。实验结果表明,采用前向搜索策略计算视差范围的方法,在搜索空间降低比率达到28.8%的同时能够达到98%的命中率,相较直接进行匹配误匹配率降低了47.4%,能够得到更精确的视差范围。 一种基于OPENACC的GPU加速实现高斯模糊算法 针对使用底层API进行GPU加速时存在的编码复杂以及效率低下等缺陷,文中试图利用基于中间层的OPENACC加速技术对传统的串行代码进行改写,从而达到改善开发效率,简化代码之目的。文中以传统的串行高斯模糊算法为处理对象,在其中添加OPENACC指令,提出基于OPENACC指令的GPU加速算法,并对算法流程进行了分析和说明。通过与原生CUDA和串行高斯的结果对比之后,发现随着处理像素数量的增加,串行高斯性能呈指数变化,而CUDA和OPENAC则呈线性变化。结果表明,该算法能在不改变原有非并行代码结构的基础上,通过增加高效的OPENACC指令即可获得与CUDA近似的图像处理质量和处理性能,且较CUDA具有更高的代码开发效率。 视障人士户外行走辅助系统研究 为了解决视障者的出行问题,设计了一种便携式智能户外行走辅助系统模型:AudioGuide。系统利用GPS接收器获取用户的当前位置信息,利用地图信息数据库存储环境信息,并利用最佳路径分析算法为用户提供安全可靠的路径。AudioGuide系统通过控制乐音的参数来实现可听化,用可听化、听标或耳标来传递环境信息,具有结构简单、携带方便、易使用等特点。 一种嵌入式实时系统软件能耗建模与分析的方法 随着嵌入式实时系统低能耗研究的不断深入,软件能耗已经成为影响系统的主要因素,并朝着定量分析方向发展.针对嵌入式实时系统缺乏有效的软件能耗建模与分析的方法,提出一种基于进程代数的嵌入式实时系统软件能耗建模与分析的方法.通过在时间通信顺序进程上扩展价格信息得到价格时间通信顺序进程,将嵌入式实时系统指令的功耗映射成价格时间通信顺序进程的价格,利用价格时间通信顺序进程对嵌入式实时系统软件能耗建模并进行量化分析,提出的最优路径算法可以对建模结果进行指令功耗可满足性检查,并计算当前最低能耗可达路径.该方法可以从很大程度上提高嵌入式实时系统软件能耗计算和分析的准确性,计算结果有助于嵌入式实时系统软件能耗的量化分析和优化设计. 共轴非对称球面光学系统仿真模型设计 为了优化共轴非对称球面光学系统的设计,对共轴非对称球面光学系统进行了数字化模型的开发,设计了基于计算机仿真的数学模型。在此基础上运用模块化程序设计思想,设计了仿真模型的模块化结构,利用交互技术解决了实验参数的人机交互,并开发了仿真模型核心模块的程序代码,建立了完整的基于计算机系统的共轴非对称球面光学系统仿真模型。该仿真模型实现了系统参数变化时成像效果的自动跟踪模拟,可以为共轴非对称球面光学系统的优化设计提供效果仿真。 面向高速公路大数据的短时流量预测方法 针对高速公路传统的短时交通流预测方法适用数据规模小、全网预测效率较低、数据的时空关系被忽视等问题,提出一种结合了K近邻(KNN)模型且面向高速大数据的短时交通流预测方法。首先,对模型的K值和距离度量进行调优,利用交叉验证进行模型参数的对比实验;然后,考虑数据内在的业务时空关联,建模基于时空特性的特征向量;最后,在大数据环境下建立回归预测模型,以最优参数的模型实现预测。实验结果表明,与传统时间序列模型相比,所提方法一次可预测出全站点的流量,单次运行速度快,效率提高了77%,平均绝对百分比误差(MAPE)和绝对百分比误差中位数(MDAPE)均有明显减低,且具有良好的水平扩展性。 基于几何结构的自适应空域错误隐藏算法 针对视频传输时容易发生损坏或丢失数据的问题,提出一种基于几何结构的自适应空域错误隐藏算法,以提高恢复图像质量。利用受损宏块的相邻2层像素提取几何结构,依据受损块相邻像素区分平滑块和边缘块。对平滑块采用双线插值,对边缘块根据内外两层的转折点寻找边缘方向,从而划分区域插值运算。实验结果表明,对于不同宏块丢失率和不同的视频序列,该算法所恢复的视频序列的峰值信噪比比双线插值、方向插值算法提高了0.5 dB~3 dB,不仅避免了虚假边缘,而且也提高了方向插值的准确性,改善了恢复图像的主观效果。 网格环境下一种基于T-RAG图的关联任务迁移算法 网格计算中的大型应用程序往往被分解为多个关联任务.关联任务提交到网格环境后,由于网格环境的异构性和不确定性,当任务执行发生异常时,需要对该任务实施迁移.通过分析任务的迁移代价,以Total-Copy算法为基础提出了基于T-RAG图的关联任务迁移算法.实验结果表明,对于大规模的关联任务,该算法具有较高的协调性能,并且提高了关联任务的执行效率. 欢迎订阅2017年《计算机工程与应用》 [正文]中国科学引文数据库(CSCD)来源期刊、北大中文核心期刊、中国科技核心期刊、RCCSE中国核心学术期刊、《中国学术期刊文摘》首批收录源期刊、《中国学术期刊综合评价数据库》来源期刊,被收录在《中国期刊网》、《中国学术期刊(光盘版)》、英国《科学文摘》(SA/INSPEC)、 基于状态观测器的混沌同步保密通信 基于状态观测器方法和Routh-Hurwitz判据,设计一类混沌系统的同步和保密通信的简单有效的方案,并分析系统的稳定性。通过设计状态观测器,使2个混沌系统保持同步,从而实现加密信号的再现。仿真结果表明,该方法在混沌同步及保密通信中具有同步速度快、系统精度高等优良性能。 虚拟大肠镜横跨式交互导航方法研究与应用 虚拟大肠镜检查时存在漏诊,致使虚拟大肠镜无法满足临床需求。为了提高虚拟大肠镜的检查质量,提出一个用于促进诊断的虚拟大肠镜横跨式交互导航方法。允许操作者交互性地控制虚拟相机沿着导航路径横跨式地观察病人大肠的内表面,克服了传统导航方法的缺陷,能够最大限度地减少观察死角,并应用于三维医学可视化系统中。通过临床数据验证表明本方法能够更精确地完成虚拟大肠镜检查,作为一种辅助诊断的方法是有效的。 基于LIN总线的主从式自适应前照灯控制系统 "自适应前照灯系统(Adaptive Front-Lighting System,AFS)是一种和行车安全息息相关的主动式安全系统;根据其功能要求,开发了一套自适应前照灯系统的硬件结构,使用基于LM2576的电源系统为整个系统供电模块,采用由AFS主控模块和电机驱动模块组成的主从式结构,其中AFS主控模块用于采集车辆信息,动力学模型计算和电机控制信号输出,电机驱动模块接收控制信号,并驱动电机运动,两者之间采用LIN总线作为通讯链路;实验表明,该系统能够实现""车灯随动""的功能,车灯偏转角的误差约为0.2°,满足自适应前照灯系统的控制要求;该系统的研制将为后续实车动力学控制模型研究和算法设计奠定基础。" 基于时间和负载的虚拟化系统自愈分析模型研究 软件自愈分析模型作为自愈技术研究的核心内容之一,为如何实施自愈提供了决策依据。采用随机回报网,建立应用三种不同自愈策略的分析模型,分别刻画不考虑自愈、考虑基于时间的自愈策略以及考虑时间和负载因素的自愈策略情形下系统状态变化过程。数值仿真实验表明:基于时间的自愈策略在选取合理的自愈间隔的前提下在系统稳态可用性方面优于不考虑自愈的情形,自愈是一种提高系统可用性的有效方法;进一步地发现,基于时间和负载的自愈策略在可用性和吞吐率方面均优于基于时间的自愈策略,不同自愈策略对于系统可用性的改善效果显著依赖于系统负载。 基于高精度室内位置感知的大数据研究与应用 随着室内定位技术的发展,室内位置数据和用户消费行为数据的大量产生为室内位置大数据(LBD)研究和应用提供了可能。基于高精度室内位置感知,突破了室内定位位置数据不准确的瓶颈。通过对室内位置数据聚类、降维等预处理,建立挖掘模型分析并提取了室内商圈区域的聚散和流动等特性,进一步通过特征关联预测用户的消费等行为,提出了室内位置大数据协同挖掘的方法和架构。在某机场商圈、西单某商场亿级用户位置数据集上进行了有效性实验和应用,通过实测数据对比验证了基于此架构室内定位数据的精准性和挖掘方法的可行性。 多标号学习矢量量化的食用油掺伪检测 为了提高食用油掺伪检测效果,基于食用油的高效液相色谱数据,提出了一个新的多标号学习矢量量化算法(ML-LVQ),并应用于食用油的掺伪检测中。它每次调整两个原型使排序损失的上界最小,并通过元标号分类器确定多标号的数目,从而达到同时优化ranking准则函数和bipartitions准则函数的目的。在9类纯油以及它们的混合油样本的数据集上测试的结果表明,ML-LVQ取得了比改进的AdaBoost.RMH算法更好的性能。 风电结构特性参数影响的暂态稳定性分析 关于大容量并网风力发电对电网稳定性影响问题,由于环境条件的影响,风力发电引起的暂态稳定性变化较大。为解决上述问题,在采用PSS/E软件构建异步风力发电机及IEEE-9节点仿真平台的基础上,考虑风力发电机自身特性及参数,分析风电结构特性参数对自身暂态稳定性的影响力。指出异步风力发电机的松弛刚度及发电机定子电抗X S、转子电抗X r、转子电阻R r对风力发电机的暂态稳定性影响力较强,而定子电阻R S与励磁电抗X m的变化对风力发电机的暂态稳定性影响力较小。 无线传感器网络具有跟踪质量保证的节点选择算法 目标跟踪广泛地应用于无线传感器网络的各个领域.该文研究无线传感器网络目标跟踪中的节点选择问题,提出了具有跟踪质量保证的跟踪节点选择算法.该算法在保证给定目标跟踪可靠性要求的同时对网络生存期进行优化.文中首先分析了影响传感器节点生存期的3个因素,包括节点感知数据的可靠性、节点剩余能量以及节点通信和采样的能量消耗.在此基础上建立节点生存期函数,在满足用户给定目标跟踪可靠性要求的前提下选择使网络生存期最大化的节点参与目标跟踪.实验结果表明该文所提出的节点选择算法可以有效延长网络生存期. 基于Gabor小波和稀疏表示的人脸表情识别 通过分析Gabor小波和稀疏表示的生物学背景和数学特性,提出一种基于Gabor小波和稀疏表示的人脸表情识别方法。采用Gabor小波变换对表情图像进行特征提取,建立训练样本Gabor特征的超完备字典,通过稀疏表示模型优化人脸表情图像的特征向量,利用融合识别方法进行多分类器融合识别分类。实验结果表明,该方法能够有效提取表情图像的特征信息,提高表情识别率。 ILDM:数据生命周期动态管理 在完全自动化的数据生命周期管理(Information Lifecycle Management)中,数据的价值以及随着时间改变而带来的价值变化是进行数据分级的重要依据。与以往多数考虑文件的使用等影响数据价值的因素的数据价值模型不同,在ILM价值模型基础上,考虑磁盘的数据分布随时间变化对数据价值的影响,提出了数据生命周期动态管理价值模型ILDM(Information Lifecycle Dynamic Management),它综合考虑数据的最近使用、数据的使用频度、数据的分布等因素。通过实验验证表明,ILDM可有效地减少数据迁移工作量,提高系统资源利用率。 强抖动环境下的接口冲突检测模型 在战地通信系统信号检测的研究中,对接口的冲突进行检测,保证设备的正常运行。战地环境多是伴随爆炸、强震动等强抖动环境,强抖动的外部环境对接口的信号形成了较大的外部干扰,使得接口的冲突信号与外部信号形成了纠缠,并且伴随高度的相似性特征。传统的通信接口检测方法无法应对高度相似的信号进行分类,检测的盲目性也随之增加,降低了接口冲突检测准确度。提出利用通信数据量预测的战地强抖动环境下接口冲突检测方法。对通信接口的数据量进行分时段预测,针对当前时间间隔内通信接口冲突的概率进行计算,根据计算结果设定不同的过滤器,最终得到通信接口冲突避免时的最优结果,实现了战地强抖动环境下通信接口冲突检测。试验结果表明,利用改进方法进行战地强抖动环境下的作战单元通信接口冲突检测,能够有效提高检测的准确率。 基于行为模型的工控异常检测方法研究 目前,工业控制系统(Industrial Control Systems,ICS)网络安全已经成为信息安全领域的重点问题,而检测篡改行为数据及控制程序等攻击是ICS网络安全的难点问题,据此提出了基于行为模型的工控异常检测方法。该方法从工控网络流量中提取行为数据序列,根据ICS的控制和被控过程构建正常行为模型,通过比较分析实时提取的行为数据与模型预测的行为数据,判断是否出现异常。通过实验分析,验证了所提方法能有效实现对篡改行为数据及控制程序等攻击的异常检测。 自动分析软件缺陷报告间相关性的方法研究 针对缺陷报告相关性分析的研究主要采用计算其文本信息相似度的方法使其查全率和查准率并不理想,提出了一种将结构化信息相似度与文本信息相似度计算相结合的方法,即同时提取出缺陷报告中的文本信息(包括主题和详细描述)以及结构化信息(包括补丁、异常堆栈和代码片段),从缺陷外部表现和内部特征两个角度共同衡量缺陷报告间的相关性。通过对Eclipse系统中的1000个缺陷报告进行实验,结果显示,增加结构化信息相似度计算,可以有效地将缺陷报告间相关性分析的查准率和查全率均提高到90%左右。 基于数据流分析的网络协议逆向解析技术 对未知网络协议进行逆向解析在网络安全应用中具有重要的意义。现有的协议逆向解析方法大都存在无法处理加密协议和无法获取协议字段语义信息的问题。针对这一问题,提出并实现了一种基于数据流分析的网络协议解析技术。该技术依托动态二进制插桩平台Pin下编写的数据流记录插件,以基于数据关联性分析的数据流跟踪技术为基础,对软件使用的网络通信协议进行解析,获取协议的格式信息,以及各个协议字段的语义。实验结果证明,该技术能够正确解析出软件通信的协议格式,并提取出各个字段所对应的程序行为语义,尤其对于加密协议有不错的解析效果,达到了解析网络协议的目的。 基于裁决门限辨析的无线传感网信号判定算法 为解决当前无线传感网节点识别算法中因信号强度低等因素导致难以有效识别恶意节点信号,且识别收敛性差,使其准确度不高的不足,提出基于裁决门限辨析机制的无线传感网sink抽样特征信号判定算法。定义信号接收模型,实现对sink节点接收信号的复原,还原对应的节点特征信号函数;利用正常信号分量的正交特性,采取复数域共轭处理的方式从正常信号解析形式中提取出恶意节点信号分量,对该分量的进行分组处理,提高该分量在背景环境中的强度;从分组中抽取最强的信号作为建立裁决门限的样本,当特征信号较弱的节点(恶意节点)触发裁决门限时,将直接通过节点特征信号函数进行特征信号频率还原,提高网络对节点特征信号的识别率。仿真结果表明,同AGDAM算法、AGDAM_Plus算法相比,所提算法能够有效降低恶意信号筛选过程中的信噪比,提高恶意节点正确筛选概率。 基于软件共享存储的Co-Array Fortran编译器实现 Co-Array Fortran(CAF)已经成为Fortran语言标准的一部分,在科学计算领域逐渐被接受。基于软件共享存储实现了一个CAF编译器,其通过直接的数组赋值实现Co-array数据通信,利用数据垫塞技术提高数据局部性,减少伪共享,优化CAF程序性能。典型科学计算程序测试表明,CAF能够获得和MPI相当的性能。 布尔函数代数免疫度的研究 代数免疫度是度量布尔函数抵抗代数攻击的重要指标。为了抗代数攻击,布尔函数应具有较高的代数免疫度。对于给定的奇数n,得到一个具有最大代数免疫度的布尔函数重量的可除性结果,同时,在任意有限域上,针对关系式fg=h,研究了它的代数免疫度,给出了一些重要结果。 定量图谱磁共振成像技术MAGiC在中国上市 [正文]2018年5月19日GE医疗宣布,业内首个多对比度的定量图谱磁共振成像技术MAGi C在中国正式上市。MAGiC定量图谱技术,首次实现了磁共振的图像从常规灰阶图到组织定量图谱的转变,可以得到纯粹的定量化数据。MAGiC技术一次扫描可以得到多种不同对比度的图像,包括T1、T2、T1 FLAIR、T2 FLAIR、STIR、DIR(双翻转)、相位敏感翻转恢复 采用单笔画草绘的三维模型编辑方法 为提高三维模型的编辑交互性,提出一种采用单笔画草绘的三维模型编辑方法.该方法根据三维模型轮廓的数学特征,采用基于对象空间的三维模型轮廓提取方法,通过检测三维模型的轮廓点和暗示性轮廓点特征提取简洁清晰的三维模型内外轮廓;再根据用户的纸上绘制习惯,采用基于端点邻近性的笔画匹配方法获取三维模型中待修改的轮廓段.最后根据笔画与待修改轮廓段之间的对应关系对模型形变参数进行计算,采用拉普拉斯形变方法重建三维模型得到形变结果.实验结果表明,文中方法能有效地支持用户对三维模型内外轮廓进行单笔画编辑和修改. 基于扩展OWA算子的数据信息聚合方法研究 在数据信息聚合的过程中通常会用到有序加权平均聚合算子,然而有序加权平均聚合算子只是考虑了数据信息所处聚合位置的重要度,却很少考虑数据本身的重要度。针对这种缺点和不足,提出了一种扩展的有序加权几何平均聚合算子,证明了该扩展聚合算子的一些基本性质定理;从理论上分析了该扩展聚合算子的科学性和合理性;通过一个算例的对比分析,证实了该扩展的聚合算子在数据信息聚合时更能真实地反映实际情况。 复杂社会网络节点重要性研究 复杂网络中的关键节点对整个网络有重要影响。论文分别对无向图、有向图中的网络节点进行研究,采用接近中心度、介数中心度和节点重要度等参数作为评估无向图的度量指标,而对有向图采用PageRank作为评估指标。实验数据采用某微博上获取的数据构造了一个网络结构,通过对结构相似的有向图和无向图计算结果对比,发现上述度量指标都能很好地对关键节点进行评估,进一步证实了算法的有效性和可靠性。 分布环境下的Gossip算法综述 Gossip算法简单、高效,同时具有很好的可扩展性和鲁棒性,很好地适应了无中心、大规模、高度动态的分布式网络环境。近些年在分布计算领域中涌现出了大量Gossip相关的研究成果。介绍了Gossip算法发展的历史;总结提出了Gossip算法的衡量标准和影响因素;对Gossip算法在分布环境下的应用进行了综述;最后指出Gossip算法研究存在的挑战。 面向特定应用的计算加速器虚拟化 近年来,专用指令集处理器(application specific instruction set processor,ASIP)在嵌入式系统中得到了越来越广泛的应用.这些ASIP提供了面向某个领域定制硬件计算加速器的功能.通过利用加速器提供的扩展指令,可以大幅提升ASIP面向领域的处理能力.然而,这些计算加速器只能加速那些在编译时加入了扩展指令的应用程序.对于在编译时没有加入扩展指令的应用而言,得不到任何性能提升.利用软件动态二进制翻译来解决这一问题,即将计算加速器虚拟化.与传统的静态编译方法所不同的是,以动态虚拟化方式利用计算加速器面临许多新的问题.针对这些问题,提出了一系列解决方法,并用实验加以验证. 三角形对的快速相交测试 为提高碰撞检测的响应速度,提出了一种基于Ayellet算法的改进算法。该算法从代数的角度出发,首先快速排除掉三角形对不相交或共面的两种情况,然后分别计算一个三角形与另一个三角形所在平面的相交线段,最后检测这两条线段是否有公共点。如果有公共点则三角形对相交,反之则不相交。该算法也可以应用于类似的问题,如矩形对的相交测试、多边形对的相交测试。实验结果表明,该算法的速度优于改进前的算法。 面向中文客户评论的评价搭配识别研究 评价搭配识别是评论挖掘的研究热点之一。针对现有方法存在的不足,通过对真实语料的观察和分析,提出了一种基于语法模板的评价搭配识别方法。该方法借助HowNet和语法分析等资源和工具,从大规模的真实评论语料中自动获取反映评价搭配规律的候选语法模板,对候选模板进行泛化和遴选,通过生成的模板识别待分析的评论句的搭配关系。在手机和数码相机两种电子产品领域上的对比实验验证了该方法是有效的。 一种基于领域知识的非功能需求建模辅助方法 随着信息化的普及,人们对软件系统质量要求越来越高,由于体现涉众对软件质量要求的非功能需求具有抽象、主观、不确定等特性,纯粹依赖人工方式对非功能需求建模是一项十分困难的任务.利用领域工程具有的内聚性和稳定性,提出一种基于领域知识的非功能需求建模辅助方法,通过挖掘隐含领域知识,层次化地组织领域知识,能够弥补个人知识的不足,更准确、完整地建模非功能需求.该方法包含两个部分:(1)基于不确定性理论的领域知识获取及分析模块;(2)基于NFR(Non-Functional Requirements)框架的非功能需求辅助建模模块.通过建立非功能属性分解树、设计基于三角模糊数的评价语言值量化机制和传递依赖关系计算方法,实现了对领域知识的准备;建立了非功能属性及非功能需求间依赖关系的形式化定义和推理规则,提出了基于RE-Tools的NFR扩展,实现了对领域知识的应用.案例研究表明,文中方法具有良好的可操作性,能够辅助建模需求关联,减轻需求工程师的工作负荷;同时,交叉实验结果表明,该方法可提升传统NFR框架方法的效率和准确度. 基于AS5643协议的Mil-1394仿真卡设计与实现 仿真卡是现代地面仿真系统的重要组成部分,Mil-1394仿真卡则在机载军用1394总线网络地面仿真系统中发挥着非常重要的作用。结合军用航空领域广泛应用的SAE AS5643协议,文中系统性地介绍了一种Mil-1394总线仿真卡的解决方案,叙述了其硬件和逻辑构架,详细阐述了仿真卡的软件设计,并进行了大量的仿真实验和数据分析。实验结果充分表明该仿真卡达到了较高的传输速率,功能完备,性能良好,全面满足地面仿真系统的应用需求。 基于谱减法的装甲车辆语音降噪研究 为降低装甲车辆内部强噪声对语音通信的影响,结合Mel算法、频率短时能量差、听觉掩蔽效应和经过改进的谱减法,提出了一种语音激活检测和语音增强方法,对传统谱减法噪声估计不精确和语音失真等问题进行了改进。该方法采用Mel频率对带噪语音进行语音激活检测,对噪声进行保守估计,替代一般谱减法采用的噪声统计均值。结合听觉掩蔽阈值对谱减法的相关系数进行动态调整,避免传统算法系数保持不变的不合理性。实验结果表明,该方法能很好抑制音乐噪声,提高带噪语音信噪比,改善语音的清晰度和可懂度。 基于ARM微控制器的无线随动控制系统 为精确地跟随或复演某种过程的自动控制,所设计的无线随动控制系统由手动部分和随动(自动)两部分构成;微控制器采用LPC2148,角度传感器选择5kΩ精密电位器WDD35D-4,线性度达到0.1%,测量角度的最大偏差为0.345°;随动部分节点A使用步进电机STH-39D213-15,节点A使用步进电机20BYG,步进电机驱动模块采用TA8465 H驱动电路,最小步进角为0.225°;随动时间小于1s,无线数据传输采用nRF905,工作在433 MHz的ISM频段,最远传输距离可达1km,数据速率为50kbps;具有触摸屏人机交互模组(HMI)和语音播放模块,可以实现手动与随动的跟踪控制。 基于粗糙集的带决策规则边界的邮件过滤算法 针对垃圾邮件过滤的准确率和稳定性不高,以及为了解决邮件过滤算法在语料分类上存在漏报和误报等问题,提出基于粗糙集的带决策规则边界的邮件过滤算法(RARM)。该算法运用粗糙集理论对语料库进行直接分析,并采用启发式方法提出了粗糙集理论的三种不同决策规则的执行计划,确保当邮件内容的词汇语义较为模糊时,仍能保证一定的分类准确度。在实验仿真中,通过与基于支持向量机(SVM)、Ada Boost和贝叶斯分类的邮件过滤算法相比较,该算法在垃圾邮件过滤上的准确率优于对比算法。 《计算机应用》2016年度主要组稿方向 [正文]本年度我刊将特别关注:大数据、深度学习、移动互联网方面的稿件。主要组稿方向如下:网络与通信Network and communications移动互联网、物联网、移动通信、移动支付、软件定义网络、近场通信、互联网、无线传感网、通信;先进计算Advanced computing类脑计算、云计算、移动计算、社会计算、海计算、绿色计算、生物信息学、粒计算、网格计算、普适计算、可信计算、可视计算、 一种联合LTR和社交网络的Top-k推荐方法 个性化推荐可以有效地解决网络中数据爆炸问题,绝大多数的工作利用用户评分信息来降低评分预测误差值(MAE).相比于精确的评分预测,用户更关心推荐给他的top-k项目排序列表,而最小化MAE并不意味着良好的top-k项目列表.由于评分数据存在稀疏问题,社交网络中信任信息在top-k推荐系统中起着越来越重要的作用.本文提出了一种基于信任的面向top-k排序的推荐方法,BTRank.该算法基于LTR方法,结合用户评分以及用户信任信息来构建项目排序模型,有效地提高对所有用户的top-k排序列表质量.同时,考虑到用户兴趣会随着时间演变而变化,本文设计了时间效应模型函数用于处理用户历史评分数据.在真实数据集上的实验中表明本文提出的算法效果明显优于传统的推荐算法以及同类top-k排序推荐算法. 一种基于改进直方图均衡化的显著图提取算法 针对偏暗、低对比度图像视觉显著性图提取效果不理想的问题,提出一种基于改进的直方图均衡化的图像显著性图提取算法.作者通过分析发现某些偏暗、低对比度图像的视觉显著性图提取效果不理想的主要原因是由于图像背景与前景差异不明显导致的,因此本文提出采用直方图均衡化方法对图像的质量加以改善,并对传统直方图均衡化算法存在的曝光过度等问题有针对性的进行了改进,并以此提高图像前景与背景之间的差异,使得图像的显著区域更加突出,再对处理后的图像进行显著性图的提取.为了验证算法的有效性,本文在ASD1000数据集和LBE数据集上分别进行了仿真实验,实验结果表明本文提出的算法是有效的,并具有较高的鲁棒性和准确性. 基于节点表的FP-Growth算法改进 "针对FP-Growth算法在构建FP-tree过程中需要对事务数据库扫描两次,同时在利用FP-tree挖掘频繁项集过程中产生大量条件模式基和条件模式树的问题,提出一种改进的FP-Growth算法。该算法只需扫描一次事务数据库,就能构建一棵无相同节点的新的FP-tree;弃用项头表,新增与新的FP-tree关联的节点表,将构建新的FP-tree过程中""多余""的项信息存入节点表;利用新的FP-tree和节点表挖掘频繁项集。实验结果表明了该算法的可行性和有效性,其提高了数据挖掘的效率。" 基于非均匀切割的HiCuts分类算法 数据包分类技术广泛应用于许多网络服务当中,HiCuts算法是多维包分类中最具有代表性的数据包分类算法。但由于规则集分布不均匀,通过简单地随机等分某个域很难将规则划分到不同的节点去,从而导致决策树树深度急剧增加,使算法查找的时间效率和空间效率大大降低。通过大量统计分析发现,规则集中的规则域并非均匀分布在其取值范围内,为此,在HiCuts算法的基础上提出了一种利用非均匀切割技术的N-HiCuts算法来构建决策树。算法对于分布不均匀的域依据统计规则进行非均匀切割,对规则集中分布均匀的某些域采用等分函数来进行切割,从而提高每次对规则集进行切割的效率。实验证明,该算法的整体性能得到较大的提高。 基于实例相似度的概念语义挖掘方法 本体在知识表达、共享、重用以及语义查询中具有重要作用,但在本体融合过程中存在概念层融合难的问题。为此,提出一种挖掘本体概念语义的方法。该方法从实例数据出发,以实例相似度矩阵为基础,在实例层和概念层交替进行概念语义挖掘,将挖掘结果通过属性语义反馈到实例层,并对其进行修正和补充。利用OAEI2012提供的测试本体进行实验,结果显示查全率与查准率均得到提高,证明了该方法的可行性和有效性。 WSN中基于可靠性评估和路径自适应配置的多径路由协议 针对无线传感器网络(WSN)中传统多径路由协议不能满足网络可靠性和能耗需求,提出了一种基于可靠性评估和路径自适应配置的新型多径路由协议。首先,通过因子分解法将WSN建模成有序二元决策图(OBDD)表示模型,并以此根据链接可靠性来评估网络可靠性;然后,提出一种可靠性感知的自适应多径路由配置机制(RA-MRC),根据网络可靠性和路径权重,适当地激活或失活一些路径,以此来满足预定的网络可靠性,并降低网络的传输和能量开销。仿真实验结果表明,在网络可靠性、网络开销、传输时延等方面,该方案比传统固定路径数量的路由协议具有明显提升。 基于Hadoop的公共建筑能耗数据挖掘方法 针对建筑能耗数据无法有效利用这一问题,提出利用Hadoop分布式架构,结合建筑基本信息对公共建筑能耗数据进行数据挖掘的方法.对基于Hadoop的公共建筑能耗数据挖掘系统进行了初步设计,并对系统的基本架构和各模块的功能进行了设计和说明.同时,对Apriori算法和C4.5算法实现Map Reduce分布式设计.以山东省100栋办公建筑制冷期的空调系统耗电量为例进行实验分析,得到6类建筑信息属性对空调系统能耗的影响规律,并生成空调系统耗电量判定树,可判别建筑空调系统耗电量等级,并对样本建筑的节能改造提供具有针对性的建议. 基于ARIMA与BP的水利工程投资预测模型研究 为了提高时间序列短期预测的精度,提出了把ARIMA模型和BP神经网络模型进行组合预测的思路。将该组合模型应用在南水北调在建工程项目投资预测中,利用多种定阶准则对不同ARIMA模型的预测效果进行比较,指出多种定阶准则各有利弊;然后利用BP神经网络将不同ARIMA模型预测值进行进一步组合预测。实验结果表明,组合模型充分发挥了两种模型各自的优势,比单一的预测方法具有更高的精度,在时间序列短期预测中预测效果良好。 实时虚拟化环境中的负载均衡与准入控制算法 虚拟化技术在实时嵌入式系统中的应用日趋广泛,但是目前虚拟化环境中常见的调度与负载均衡算法并不适用于硬实时系统中。为满足多核平台上虚拟化环境中对实时任务的支持,通过对Xen虚拟化环境中的SEDF调度算法进行改进,提供了一种在多核硬件平台下虚拟化环境中的准入控制与负载均衡算法。该算法能够保证每个处理器核上的工作量不会超载,并保证每个虚拟机中任务的实时性及其服务质量。算法实现较为简单、运行时开销较小。 某存储系统高效FAT32文件系统实现技术研究 在某存储系统中,设计了一种高效的FAT32文件系统,其系统的实现采用了层次化设计思想,实现了物理实现层、缓冲层和文件实现层的数据交换,设计了服务函数接口,编写了服务函数实现流程图。该设计对硬盘的缓冲区采取较为先进的访问策略,这种策略非常有效,大大提高了文件系统的读写效率。最后对缓冲层和文件层进行了测试,测试结果证明访问效率有所提高,创建、查找、写文件和读文件功能正常,满足设计要求,为系统的后续研究打下了基础。 中央空调系统温度控制器优化设计 对中央空调系统的温度控制器进行优化设计,能够有效提高温度控制器的控制精度。对温度控制器优化设计,需要得到输出变量的最大值和最小值,引入误差和权重,完成温度控制器优化设计。传统方法首先采集温度信息,给出稳态差,但忽略了引入误差和权重,导致优化不理想。提出中央空调系统温度控制器优化设计方法。计算温度设定值与实际输出值偏差,得到数学模型及差分方程,获取模糊误差变化量化值,得到输出变量最大值和最小值,引入误差和权重,实现中央空调系统温度控制器的优化设计。仿真证明,该方法有效提高了温度控制器的控制精度。 一种基于请求大小的固态盘I/O调度算法 对于同类型的I/O请求,基于闪存固态盘的请求响应时间与请求大小基本呈线性比例关系,并且固态盘的读写性能具有非对称性。针对该特性,提出一种基于请求大小的固态盘I/O调度(SIOS)算法,从I/O请求平均响应时间的角度提高固态盘设备的I/O性能。根据读写性能的非对称性,对读写请求进行分组并且优先处理读请求。在此基础上首先处理等待队列中的小请求,从而减少队列中请求的平均等待时间。采用SLC和MLC 2种类型的固态盘进行实验,在5种测试负载的驱动下与Linux系统中的3种调度算法进行比较,对于SLC固态盘,SIOS平均响应时间分别减少18.4%、25.8%、14.9%、14.5%和13.1%,而对于MLC固态盘,平均响应时间分别减少16.9%、24.4%、13.1%、13.0%和13.7%,结果表明,SIOS能有效减少I/O请求的平均响应时间,提高固态盘存储系统的I/O性能。 基于危险理论的入侵检测系统误报率研究 入侵检测系统误报率高是一个普遍存在的问题。本文从概率论的角度出发,通过对入侵检测系统误报产生的原因进行分析,论证基于危险理论的入侵检测系统在保证检测率的同时,有效地降低入侵检测系统的误报率。 监狱中人员异常情况视觉监控跟踪方法仿真 监狱中人员异常情况视觉监控,可加强对犯罪人员的强制管理。监狱中的人员受到自身条件的限制,犯人会发生异常情况,使得人员运动随机性较大,在监狱中的人员行为特征存在较强的快速突变性,使得对监狱中人员图像特征的提取和跟踪过程必须满足快速变化的需要。传统的视觉监控跟踪方法在运用到监狱中人员异常情况发生的区域中,无法避免由于监狱中人员快速移动造成行为迅速转换,带来的跟踪丢失问题,无法大幅简化跟踪过程,使得经常不能对监狱中人员进行实时准确的视觉监控跟踪。提出采用模糊区域识别跟踪算法的监狱中人员异常情况视觉监控跟踪方法。首先对异常情况发生区域中的监狱中人员图像进行分割,筛选出优质的小特征,降低运算成本,为监狱中人员图像的特征提取提供了准确的数据基础。针对视觉监控图像中部分监狱中人员身体纹理特征进行提取,建立跟踪模型。仿真结果表明,利用改进算法能够有效提高监控的实时性,能满足监狱中人员异常情况视觉监控跟踪的要求。 基于Contourlet变换和仿生模式识别的纹理图像识别方法 纹理图像的分类是目前一个非常活跃的研究课题。针对现有纹理图像分类算法的局限性,本文提出了一种基于Contourlet变换和仿生模式识别方法的纹理图像识别算法。首先应用Contourlet变换获得能量特征的方法提取能量特征,进而利用仿生模式识别算法实现对纹理图像的识别。采用Vistex纹理库数据进行仿真实验,结果表明:与传统的分类方法相比,利用Contourlet变换和仿生模式识别结合进行纹理图像的识别能获得更高的正确率和速度,最佳正确率可达100%。 基于微博文本的词对主题演化模型 "针对传统主题模型忽略了微博短文本和文本动态演化的问题,提出了基于微博文本的词对主题演化(BToT)模型,并根据所提模型对数据集进行主题演化分析。BToT模型在文本生成过程中引入连续的时间变量具体描述时间维度上的主题动态演化,同时在文档中构成主题共享的""词对""结构,扩充了短文本特征。采用Gibbs采样方法对BToT参数进行估计,根据获得的主题-时间分布参数对主题进行演化分析。在真实微博数据集上进行验证,结果表明,BToT模型可以描述微博数据集中潜在的主题演化规律,获得的困惑度评价系数低于潜在狄利克雷分配(LDA)、词对主题模型(BTM)和主题演化模型(ToT)。" 单客户机-多服务器模式下IOCP的应用与研究 对特定网络应用中的单客户机-多服务器模型进行了分析,给出了基于IOCP的客户机基本实现,又给出了线程调度、异步连接等IOCP框架中的实现方法。针对不定长数据接收的要求,对内存池管理、缓冲区设置等问题进行了分析与设计。连接测试和吞吐能力测试的结果表明,本设计具备高效较大数量连接和数据处理的能力。通过实验方式给出了IOCP线程数设置的建议。 一种基于GMM-DNN的说话人确认方法 针对说话人确认中话者建模问题,提出GMM-DNN的混合建模方法。该方法先通过GMM提取原始语音特征的统计特征,然后进一步通过DNN非线性映射的方式将统计特征变换到一个与说话人相关的线性可分空间。选用栈式自编码神经网络SAE(Stacked Auto-encoder Neutral Network)作为深度神经网络的基本模型。在注册阶段从已训练的DNN网络中抽取最后一层作为说话人模型,称为p-vector。测试阶段,通过抽取测试语音的p-vector与注册说话人p-vector进行匹配,从而作出判决;另外还详细说明了DNN隐藏层的作用。通过对NIST语料库的实验表明,采用GMM-DNN的说话人确认方法相对于传统的GMM-UBM话者建模方法具有一定的优势。 一种基于R-tree的空间索引方法 为进一步提高R-tree的空间索引数据检索性能,提出一种基于R-tree的MRD-tree索引,它的结构中包含空间对象的外部近似图形和内部近似图形,同时允许数据入口存放在中间结点。在检索时既能减少I/O次数,也能相对减少一定的CPU时间。通过实验分析,该索引能提高空间数据的检索效率。 利用改进DA算法FIR滤波器的仿真与实现 针对传统乘法运算在FPGA中占用大量硬件资源的弊端,根据FIR滤波器的线性特性,对串行、并行和改进的分布式算法进行研究,利用改进分布式算法在FPGA上对FIR滤波器进行设计,通过查表法完成FIR滤波器的设计,用改进分布式算法设计了16阶FIR滤波器,并在Quartus II 7.0下进行仿真,仿真结果表明,与传统方法相比,该方法能够有效减少硬件资源的使用。 基于移动信息化的安全接入平台建设 为提高移动信息化接入的安全级别,保障组织内部业务的安全运作,在传统网络安全架构的基础上,使用第二层隧道协议和混合加密技术构建一个安全接入平台。根据平台的功能及其安全性,将移动信息化区域分为5类,并为每一类区域制定安全策略,使原本限制在内网中的业务系统可以安全地在移动终端上使用。实际应用结果表明,该平台可以保证用户身份的匿名性、数据机密性、数据完整性、数据新鲜性及不可抵赖性。 基于特征聚类集成技术的在线特征选择 针对既有历史数据又有流特征的全新应用场景,提出了一种基于组特征选择和流特征的在线特征选择算法。在对历史数据的组特征选择阶段,为了弥补单一聚类算法的不足,引入聚类集成的思想。先利用k-means方法通过多次聚类得到一个聚类集体,在集成阶段再利用层次聚类算法对聚类集体进行集成得到最终的结果。在对流特征数据的在线特征选择阶段,对组构造产生的特征组通过探讨特征间的相关性来更新特征组,最终通过组变换获得特征子集。实验结果表明,所提算法能有效应对全新场景下的在线特征选择问题,并且有很好的分类性能。 基于PHOG特征的行人检测算法研究 HOG特征对行人轮廓有很好的描述能力,但基于HOG特征的行人检测存在检测速度慢、漏检率较高的问题,使得该算法的实践应用范围受限;针对检测速度慢、漏检率较高的问题,提出了一种基于PHOG特征的行人检测算法;首先,提出了PHOG特征,该特征对cell内的梯度特征进行强化,增大了目标与背景的梯度分布区别,从而使目标更容易被分类器学习和识别;然后提出了构建特征金字塔的方法,并对PHOG特征进行有效地降维,大幅度减少了检测时间;实验结果表明,提出的PHOG-PCA特征将漏检率从35%降到了22%,检测速度也比一些流行算法快。 基于背景骨架特征的粘连米粒图像分割算法 为了提高粘连米粒图像的分割准确率,提出一种基于背景骨架特征的粘连米粒图像自动分割算法。首先,通过图像预处理与图像连通区域形状分析,得到米粒粘连区域的二值图像;然后,计算粘连米粒区域的最小凸包图像,提取最小凸包范围内的背景区域图像,对得到的背景区域图像进行提取骨架处理,通过骨架特征图像获取骨架端点作为分割端点;最后,通过端点匹配规则,匹配检索出最优分割位置,绘制分割曲线得到粘连米粒分割结果。实验结果表明,所提算法对于复杂情况下的不同粒形米粒的粘连分割能很好适应,综合分割准确率为93.5%,相比经典距离变换的分水岭算法和改进型分水岭算法,算法准确率分别提高了14.4和4.6个百分点,并且形成的米粒分割边界更平滑,对形状影响更小。 基于信誉模型的WSN密钥管理方案 针对无线传感器网络(WSN)的安全问题,结合椭圆曲线密码体制,提出一种基于信誉模型的WSN密钥管理方案。依据Beta分布建立节点信誉模型,采用分布式技术使方案支持节点的移动和密钥的动态管理,以避免分组密钥管理中的单点失效现象。分析结果表明,与E-G和IBC方案相比,该方案不仅能抵御外部节点的攻击,而且能防止内部节点的恶意行为。在保证网络安全的同时,还能提高网络抗毁性和节点连接概率,降低存储与通信开销。 基于混合ABC-CS算法的彩色图像多阈值分割 将人工蜂群算法中引领蜂和跟随蜂的开采行为融入布谷鸟算法(CS)的每一次莱维飞行结束后进行寻优引导,对发现概率和步子因子也采用了相应新的变异因子随着布谷鸟算法运行而动态改变,以此为基础,提出了一种混合人工蜂群算法的布谷鸟算法(HACS),并以此HACS算法作用于彩色图像多阈值分割,实验表明,HACS算法有效解决了布谷鸟算法收敛时间较长、精度不高的问题,在彩色图像多阈值分割中取得了较好的效果。 关联影响力传播最大化方法 社会网络中影响力传播最大化是社会网络分析领域所关注的重要问题。针对多个影响力同时进行传播,且影响力间存在传播促进的情况,提出关联影响力传播最大化问题。首先,对经典线性阈值模型进行扩展,提出关联影响力线性阈值模型对关联影响力传播过程进行建模;其次,定义了关联影响力传播最大化问题,证明了该问题是NP-hard的,以及问题目标函数满足子模性;再次,针对该问题提出基于结点激活贡献估计的求解算法;然后,利用结点激活贡献估计存在相互独立性,进一步提出了并行化求解算法,并在Spark GraphX并行图计算框架上实现了该算法;最后,在真实的社会网络数据集上,通过实验测试验证了所提出方法的有效性。 X线摄影和超声检查对早期乳腺癌诊断的价值 目的:探讨单独与联合应用X线乳腺摄影(MMG)及彩色多谱勒超声(US)检查对于早期乳腺癌的诊断价值。方法:收集27例经病理证实的早期乳腺癌(病灶直径≤20mm)病例,回顾性分析经单独采用MMG或US检查以及两者联合应用对于诊断结果的准确程度。结果:X线乳腺摄影及彩色多谱勒超声单项检查对早期乳腺癌的检出率无明显差异(均为85.2%),而联合应用X线乳腺摄影及超声检查对早期乳腺癌的检出率(92.6%)明显优于单项检查的检出率(85.2%),两者检出率的差别无统计学意义(P>0.05)。结论:X线乳腺摄影和彩色多谱勒超声联合检查综合诊断可明显降低早期乳腺癌的漏诊率。 装备虚拟操作训练的过程建模方法研究 "针对装备虚拟操作训练系统中对过程建模的研究仅停留在针对具体仿真任务的应用技术层面,缺乏深入的建模理论研究的现象;首先分析了虚拟操作训练仿真目的和装备操作的特点,叙述了过程模型在虚拟操作训练中的重要性;然后提出了一种面向操作训练的过程建模方法及其实现方法,为虚拟操作训练系统过程建模提供了理论支撑;基于此过程建模方法开发的""某型防空高炮虚拟操作训练系统""验证了建模方法的可行性。" 有限元法在人脸三维建模及表情动画中的应用研究 将机械工程中的有限元方法用于人脸的三维建模,利用人脸上提取的99个特征点和一些辅助点,用光滑的样条曲线模拟人脸表情肌分布位置连结成线,由线生成三角面片和四角面片组合的有限元建立的人脸几何模型。给该模型定义合适的单元类型、实常数等参数,定义能与人脸皮肤等效的材料属性,并在眼睛、嘴等动作敏感位置加一定量载荷求解,模拟人脸表情动作。从求解的应力图中可以看出,该几何模型变形均与人脸表情动作时皮肤弹性变形一致。该方法可以快速、准确的进行三维人脸参数化建模,可方便提取特征点进行人脸识别。这种建模方法为人脸三维建模提供了一种新的思路和可靠的理论依据,同时降低了后期人脸识别的难度。 移动社交网络中隐私保护的用户相似度计算协议研究 在移动社交网络中朋友推荐的过程中,为了提供更好的服务,通常需要计算两个用户之间的相似度值。为了避免在相似度计算过程中泄露用户的属性等隐私信息,设计了基于Paillier加密算法的三方点积计算协议。利用两个用户隐私属性向量的点积值来代表用户间的相似度,对两个用户间的隐私向量进行加密后再计算其点积值,然后判断点积值是否满足系统或用户设定的某个阈值的要求。在此过程中,保证用户的隐私信息和点积值不被泄露给任何一方。性能分析和实验测试结果表明协议具有较高的安全性和运行效率。 基于密度网格的数据流聚类算法 针对基于密度网格的数据流聚类算法中存在的缺陷进行改进,提出一种基于D-Stream算法的改进算法NDD-Stream。算法通过统计网格单元的密度与簇的数目,动态确定网格单元的密度阈值;对位于簇边界的网格单元采用不均匀划分,以提高簇边界的聚类精度。合成与真实数据集上的实验结果表明,算法能够在数据流对象上取得良好的聚类质量。 结合法向聚类的大叶片植物重建 根据大叶片植物自身的特性,提出一种从3D点云中重建大叶片植物的方法,主要包括点云聚类和叶片重建两部分.首先根据叶片3D点之间的距离对叶片的3D点云进行初始聚类;然后依据叶子大而平、不同叶片法线方向相差较大的特性,通过计算点的法线将3D点云细分为多个聚类,每个聚类表示一片叶子;最后利用一个通用叶子模型将每个聚类拟合成叶子.实验结果表明,该方法可以重建真实感很强的大叶片植物. 基于可见光与红外数据融合的地形分类 针对单传感器地形分类效果不佳的问题,提出一种基于可见光与红外数据融合的地形分类方法。分别对可见光图像与红外图像提取特征,使用最近邻分类器和最小距离分类器进行后验概率估计,将来自不同特征、不同分类器的后验概率加权组合,通过散度计算得到特征的权重,实验确定分类器的权重,并在最小距离的后验概率估计中,使用马氏距离代替欧氏距离。实验结果表明,该方法对水泥路和沙子路的识别率分别达到99.33%和96.67%,均高于同类方法。 基于离散粒子群的DNA编码序列组合优化方法 本文分析了DNA编码序列设计的目标及需要满足的约束条件H-measure、连续性、相似度、发夹结构、GC含量等约束,建立一种组合优化评价模型,通过引入基于权重的适应度函数来评价DNA序列集合的优劣,最后提出基于该模型的离散粒子群优化算法(DPSO)生成有效的DNA编码序列。根据优化问题的约束条件及离散量的特点,对粒子的位置、速度等量及运算规则进行了重新定义。实验结果对比表明,本文所述DPSO算法生成的DNA编码序列具有较高的质量。 "基于偏好的资源动态融合选择方法""" 动态决策转化为粗糙性信息下的分类问题,并基于简单赌局和融合分类法来实现动态选择.该方案不仅可以帮助决策者有效降低因决策信息的粗糙性给决策带来的影响,而且还可以实现资源的动态选择.矿产资源动态选择全过程的仿真实验表明,该方案在多种矿产资源选择的动态决策中具有可行性、有效性和优越性. 煤矿井下运输管理系统 针对煤矿井下机车数量多、流动性大难于管理以及司机与调度员通讯困难等问题,提出了一种基于无线射频识别技术的煤矿井下运输管理系统,系统实现了井下车辆定位、调度员远程遥控道岔以及与司机通讯的功能。论文详细阐述了系统的结构设计、数据库设计、机矿车定位以及文字、语音通讯功能模块的实现。该系统操作界面友好、工作稳定,能够保证车辆安全、有序、高效地运行,提高了煤矿生产效率。 Spark内存管理及缓存策略研究 Spark系统是基于Map-Reduce模型的大数据处理框架。Spark能够充分利用集群的内存,从而加快数据的处理速度。Spark按照功能把内存分成不同的区域:Shuffle Memory和Storage Memory,Unroll Memory,不同的区域有不同的使用特点。首先,测试并分析了Shuffle Memory和Storage Memory的使用特点。RDD是Spark系统最重要的抽象,能够缓存在集群的内存中;在内存不足时,需要淘汰部分RDD分区。接着,提出了一种新的RDD分布式权值缓存策略,通过RDD分区的存储时间、大小、使用次数等来分析RDD分区的权值,并根据RDD的分布式特征对需要淘汰的RDD分区进行选择。最后,测试和分析了多种缓存策略的性能。 异步消息机制原理挖掘与应用范畴分析 消息机制是面向对象系统和面向对象语言的基本特性,中间件的异步消息通信方式在现今异构、分布式的网络环境中异步通信得到了广泛应用.结合GUI框架对异步消息通信原理做了剖析,对其核心框架回调技术做了原理挖掘并不依赖语言、框架给出了实现,最后给出了异步通信的应用范畴分析,指出即使在单宿主机内的对象间通信,采用异步消息通信可改善性能及帮助解决某些基本和特殊问题. 基于ARM和ZigBee的WSN节点设计与实现 设计一种基于ARM和ZigBee技术的WSN硬件节点,并根据该硬件平台的特性,有裁减地移植实现了Microchip ZigBee协议栈。对节点的性能进行组网测试,结果表明所设计的节点达到了无线传感器网络的设计要求,并具有良好的可扩展性和移植性,可以为工程应用和实验教学提供基础平台。 基于双边缘检测的车牌识别算法 随着智能交通的不断发展,车牌识别系统已经成为其中的重要组成部分。车牌识别分为车牌定位、字符分割以及字符识别三个部分。提出了一种新型车牌识别方法。在车牌定位方面,采用双边缘检测车牌定位方法;对于字符分割则提出了寻找连通域与传统投影分割相结合的方法;在字符识别上,将分类器分为三组,同时对于易混淆的字符进行了再次分类,这种做法缩短了训练时间,提高了准确率。实验结果表明,所提出的方法具有识别率高和速度快等特点。 海洋生态本体建模 "分析海洋生态领域知识体系特点,提出了海洋生态知识组织模型;借鉴工程领域文献中的""功能""概念描述海洋生态功能过程;参照已有研究提出的功能知识表示框架,确定""设备功能""视点,提出海洋生态领域上层本体,指导构建了海洋生态本体模型和海洋生态形式化本体。扩展基于描述逻辑的Web本体语言OWL-DL,提出了面向过程的OWL-Process模型,构建了海洋生态功能过程-光合作用过程本体的实例。基于海洋生态本体设计开发了海洋生态知识管理系统,实现了海洋生态领域知识的查询和生态危机预警功能,该本体应用系统也验证了海洋生态领域本体构建的有效性、合理性和正确性。" 基于蚁群聚类的历史灾害分级方法 针对历史灾害记录的描述性、简约性问题,提出一种基于蚁群聚类的历史灾害分级方法。利用灰色关联分析方法对灾害数据进行归一化处理后,再通过蚁群自动聚类的结果来划分历史灾害的等级,以避免人为的主观任意性干扰。通过与其他分级方法的性能对比,实验结果证明该方法具有较高的精确性和实用性。 基于仿射变换的多姿态人脸矫正和识别 为了解决随着人脸姿态的变化,人脸识别率迅速下降的问题,提出了利用仿射变换和成像原理相结合对待识别的多姿态人脸图像进行姿态调整,将其调整为近似于正面人脸的方法,该方法能够有效地将45°范围内的多姿态人脸图像调整为正面人脸图像。同时,使用改进的SURF(加速鲁棒特征算法)算法对校正后的人脸图像进行识别。在FERET等人脸库及拍摄的人脸图像上进行实验,实验结果表明该方法能够在一定程度上克服姿态变化带来的影响,使平均识别率最高可提高7.0%左右。 《计算机科学与探索》投稿须知 "[正文]《计算机科学与探索》是由中国电子科技集团公司主管、华北计算技术研究所主办的国内外公开发行的计算机学报级高级学术期刊,中国计算机学会会刊,工业和信息化部优秀科技期刊,北大中文核心期刊,中国科学引文数据库(CSCD)核心期刊,中国科技论文统计源期刊(中国科技核心期刊),并被""万方数据——数字化期刊群""、""中国学术期刊网络出版总库""、""英国《科学文摘(SA/INSPEC)》""、""美国《剑桥科学文摘(CSA)》""、""波兰《哥白尼索引(IC)》""收录。月刊,大16开。欢迎踊跃投稿。" 改进积分通道特征的快速多尺度行人检测 针对积分通道特征冗余信息多,在多尺度行人检测中检测速度较慢的问题,提出了改进积分通道特征的快速多尺度行人检测算法。该方法首先采用快速特征金字塔计算图像不同尺度下的特征通道,避免对图像重复缩放计算特征通道;然后将检测窗口分为单元和块来对图像进行整体描述,代替原始方法的随机位置和大小矩形来减少冗余特征,最后计算单元和块内的像素和作为特征向量送入软级联Adaboost分类器进行分类。仿真实验结果表明,该算法检测精度优于积分通道特征算法,同时检测速度提高了15.4倍,在640×480大小图像上检测速度达到18.5 f/s。 提升二进小波滤波器的构造方法研究 在二进提升方案相关理论的基础上,结合消失矩条件,提出一种构造提升二进小波滤波器的新方法。此方法由二进小波滤波器出发,可以构造具有更高阶消失矩的提升二进小波滤波器。同时,考虑小波滤波器所具有的特性,通过选取适当的提升参数,具体构造了具有紧支撑、对称性和高阶消失矩的提升二进小波滤波器。 基于PPCT混合编码的防篡改水印方案 简单介绍了动态图水印的特征,概括了典型的PPCT的编码特征和纠错属性,分析了分别将PPCT与排列图和k-基数相结合的编码方案,提出一种改进的PPCT防篡改水印方案。该方案在不改变PPCT结构的前提下,引入基数编码提高了水印的数据率;利用防篡改技术弥补了PPCT纠错属性的局限性,实现了水印的双重防篡改功能;采用水印的冗余嵌入实现了水印的容错提取,增强了水印的鲁棒性。 消防接警员模拟训练系统设计与实现 分析消防接处警操作员训练现状,阐述建立消防接处警模拟训练系统的必要性。在此基础上,提出消防接处警模拟系统的结构框架,介绍模拟训练系统业务流程,实现模拟训练系统。本系统提高了消防接警员通过非实时系统应对各类灾害事故的消防指挥调度的效率和准确性。 遥感图像飞机目标候选区域选取方法研究 目标建议作为目标检测任务中的预处理算法,可高效提取候选区域用于后续检测任务,提高检测效率。针对遥感图像飞机目标检测计算效率低下的问题,基于目标建议提出了一种飞机目标候选区域选取方法。首先基于多尺度局部非极大抑制算法,从多个尺度通过均值滤波提取局部梯度幅值极大的区域作为初始候选区域;然后利用图像边缘信息计算初始候选区域得分;最后根据飞机尺度特征设计尺度权重,结合非极大抑制剔除冗余窗口。利用机场遥感图像数据当提取1000个候选区域时取得了93. 7%的召回率,证明了该算法能够高效生成少量优质的候选区域,为进一步利用卷积神经网络等深度学习算法实现遥感图像飞机目标检测减少了计算量,提高了计算效率。 基于能力成熟度的信息系统监理过程改进研究 结合信息系统工程监理的业务特点,以过程管理为驱动来实现信息系统工程实施的里程碑监理目标。分析了信息系统软件监理过程的改进方向,提出了基于CMM模型的信息系统软件监理过程的改进方法,并完善了各个成熟度级别的监理业务活动模型,探讨了在CMM模型下设计和优化监理流程的问题,最后提出了监理过程持续改进措施。文章研究的成果,有利于实现对信息系统工程的质量控制、投资控制、进度控制的最佳目标,为提高信息系统工程项目监理的服务质量提供参考。 城市车辆网络下一种基于道路划分的多信道分配算法 城市车辆网络下多信道分配问题是指在城市车辆网络中,每个节点配置多个网卡,如何调节每个网卡使其在可用的正交信道(如802.11a可用12个正交信道,802.11b/g可用3个正交信道)之间进行切换以充分利用多个信道而达到减少节点之间的干扰和提高网络吞吐量的问题.本文提出了一种适用于网络拓扑具有高度动态性的城市车辆网络的基于道路划分的多信道分配算法(mimc-road).该算法按照道路划分进行多信道分配,将一个二维网络多信道问题简化为以一维空间为主的多信道分配问题,并将基于动态网络链路的信道分配问题转化为基于静态道路的信道划分问题.模拟实验结果表明:对比传统单信道单网卡模式和fix-switch算法,mimc-road算法显著提高了车辆网络的性能. 基于超像素的Grabcut彩色图像分割 针对以像素为节点建立图模型进行图像分割耗时的特点,文中提出了一种基于超像素的Grabcut彩色图像分割方法。首先用户在目标所在区域手动标定一个矩形框;然后用两次分水岭算法将图像过分割成区域内颜色相似的小区域(超像素),用分割得到的超像素作为图的结点构建图模型;以每个超像素的颜色均值代表所在分块的全部像素点估计GMM(高斯混合模型)参数;最后用最小割算法求得吉布斯能量的最小值达到最优分割。实验结果表明,该算法以极少数超像素代替海量像素,在得到较好分割结果的同时,极大地缩短了运行时间,加快了分割速度,提高了效率。 可调整个体优先级的双边匹配算法 "针对传统双边匹配算法单边占优、缺乏最低保障以及无法精细调控个体优先级等问题,提出了可通用于一对一、一对多、多对多双边匹配的WYS算法。WYS算法通过外生给定优先级,使得每个参与主体都有机会遍历自身偏好序中全部对象,从而显著提高匹配结果中最差群体的效用以及全体总效用,并能够对个体效用进行精确调控。随后按照诺奖得主Roth提出的""经济工程学""范式设计实验对WYS算法的性质进行了深入探讨,大量随机实验表明WYS算法匹配结果稳定,能够给予参与主体某种程度的最低保障,且不存在单边占优问题。WYS算法对于维持市场厚度、兼顾效率与公平有重要意义,拓宽了匹配理论的应用范围。" 基于蜂群算法的多目标加权优化负荷频率控制研究 为进一步提高两区域互联再热火力发电系统中工作频率和联络线功率的稳定性,提出了一种加权和多目标对负荷频率控制的优化方法;采用人工蜂群算法(ABC)和加权和方法实现LFC的多目标优化,而后将其转化为复合目标函数;根据负荷需求变化和系统参数变化情况,利用加权和方法对复合目标函数做归一化处理,使得两区域PID控制器增益达到同步调谐,获得了频率响应的调节时间和超调量达到最佳折中条件;仿真结果表明,该方法简单有效,在不同的运行条件和系统参数变化情况下具有良好的鲁棒性。 网络管理中一种互认证密码协议的安全性分析 基于串空间模型的极小元和理想理论,对网络管理中的一种互认证密码协议进行保密性和认证性分析,通过分析发现该协议存在冗余部分,并提出相应的改进方案;同时在协议的认证性设计方面,指出基于非对称密码系统和对称密码系统的认证协议的区别。 高压饱和空气发生装置饱和室控制系统数学建模 对高压饱和空气发生装置中的饱和室进行控制系统的数学建模研究,利用热力学及传热学数学公式,推导出饱和室气体的压力--流量控制系统和温度控制系统的传递函数,并根据对模型的分析给出控制方案,作出matlab仿真图,通过仿真试验证明采用此传递函数的控制策略能够获得良好的控制效果. 欢迎订阅2015年《计算机工程与应用》 [正文]中国科学引文数据库(CSCD)核心期刊、中国科技核心期刊、RCCSE中国核心学术期刊、《中国学术期刊文摘》首批收录源期刊、《中国学术期刊综合评价数据库》来源期刊,被收录在《中国期刊网》、《中国学术期刊(光盘版)》、英国《科学文摘(SA/INSPEC)》、俄罗斯《文摘杂志(AJ)》、美国《剑桥科学文摘(CSA)》、美国《乌利希期刊指南(Ulrich’s PD)》、《日本科学技术社(JST)中国文献数据库》、波兰《哥白尼索引(IC)》,中国计算机学会会刊、计算机工程与应用学会学报,中国期刊方阵双效期刊、 基于计算机视觉的汽车仪表指针检测方法 最常用的汽车仪表为指针式仪表,工业上汽车仪表指针的检测主要是对指针角度和指针导光性能的检测。汽车仪表检测的准确性是汽车质量控制的关键。目前我国汽车行业普遍采用人工仪表检测方式,劳动强度大,质量控制不稳定。根据指针式汽车仪表图像的特点,采用最小灰度相减法从两张背景相同而仪表指针位置不同的图像中提取到仪表指针,然后运用二值化、细化和Hough变换识别出指针角度。同时,利用提取的指针实现对指针的导光性能检测。实验结果表明,算法具有鲁棒性强、准确性高、实时性好等优点,已成功应用于基于计算机视觉的汽车仪表自动检测系统。 基于网络的冷轧辊系信息化管理系统 辊系管理好坏直接影响钢铁企业生产效益,建立辊系管理信息化系统,不仅指导现场合理经济的使用辊子,使得钢铁企业从横向和纵向规范辊系管理,而且能去除繁琐复杂的人工记录,降低辊系故障率,减少误操作,提高产品质量.本系统通过Asp语言编写,结合Sqlserver2008强大的数据库系统,利用局域网连接IE浏览器进入系统操作,及时动态跟踪轧辊状态、库存情况和轧辊消耗,对辊系的检测、报废、更换、验收和故障查询管理,利用Flash曲线记录历史趋势,生成报表打印和导出Excel文件,最终实现辊子的全流程、全寿命管理. 语言真值直觉模糊逻辑的知识推理 针对格蕴涵代数、直觉模糊集及知识表示、基于语言真值直觉模糊代数的相关性质及运算方法,提出了六元语言真值直觉模糊代数的相关逻辑性质,并在六元语言真值直觉模糊知识表示的基础上,将模糊推理的CRI方法进行扩展,研究得出了六元语言真值直觉模糊推理的方法即6LTV-CRI算法。而后将直觉模糊推理与六元语言真值直觉模糊推理方法进行对比分析,验证了6LTV-CRI推理算法的合理性,并分析了其优缺点。 基于伽马分布的传感器网络信任反馈收集算法 为了解决无线传感器网络信任管理系统信任反馈收集不足的问题,提出一种基于伽马分布的信任反馈收集算法。对时分复用节点休眠调度进行了认知与分解,指出了节点的严格异步唤醒策略是信任反馈收集不足的主要原因。在此基础上建立了总体设计模型,对节点休眠调度进行了调整,采用基于伽马分布的覆盖异步随机休眠调度来增加节点收集的信任反馈数量,从而避免了节点异步唤醒造成的信任反馈收集盲区。通过J-Sim仿真实验结果表明,该算法以较少的能耗代价增加了信任反馈收集数量。 统计独立性的离散化新方法 连续属性离散化方法能够提高数据挖掘和归纳学习等算法的分类预测能力。提出一种统计独立性的离散化新方法,该方法改进了基于卡方统计的区间合并函数,不仅考虑了各对合并区间中卡方自由度对离散化结果的影响,而且考虑了数据类分布的影响,很好地衡量了类-属性之间的相互独立性。实验结果表明,新方法显著地提高了Nave-bayes和SVM分类器的学习精度。 平面波导型1×4光分路器的优化设计 针对光通信系统中光分路器的优化设计问题,提出一种在附加损耗和信道均匀性上性能优良的参数设计方案。基于Opti BPM仿真软件,采用Y分支波导结构设计平面波导型1×4光分路器,分别在不同的芯层折射率和包层折射率下进行仿真,分析得到了相应的性能变化规律,包括附加损耗、信道均匀性等;并讨论了采用隔离波导的结构设计对1×4光分路器性能的影响。通过研究分析,将不同条件下的最佳参数及结构进行整合优化,最终得到最佳的设计结构参数,包层折射率为1.478、芯层折射率为1.484时,其附加损耗为1.47 d B,信道均匀性可到0.019 d B。这种优化设计下的光分路器均匀性好、结构简单、易于制造,可以在对均匀性要求较高的系统中得到广泛应用。 应用Delaunay图的拓扑控制 无线传感器网络拓扑控制的主要任务是减少节点的能量消耗,从而延长整个网络的生存时间。而无线传感器网络的能量消耗主要集中在无线通信模块上,因此,通过降低无线通信模块的能量消耗和控制邻居节点集,减少通信链路,把通信限制在重要链路中,可以减少节点的能量消耗。基于以上因素,将MG模型与Delaunay图结合,在Delaunay图中限制通信链路并保留最优能耗路,得到MEDel算法。该算法具有强连通性、对称性和平均度有界的优点。 VFRS:一种面向虚拟计算环境的入侵容忍方法 虚拟计算环境的开放性、复杂性和动态性向入侵容忍提出了新的挑战,提出VFRS方法以解决虚拟计算环境中数据对入侵的容忍问题.设计SCSFA算法分析虚拟计算环境的系统调用行为序列,以识别虚拟计算环境下的入侵企图,预测敏感数据的高危区域;其次,将要保护的数据划分成若干片数据,并以容忍虚拟计算环境随机错误为目标对每个片数据冗余备份;然后将冗余片数据分散到不同虚拟机上.VFRS方法能有效预测虚拟计算环境下的异常入侵,并能较好地容忍虚拟计算环境下的复杂性错误.对VFRS方法实现的关键问题进行了详细的讨论和分析. 一种基于身份的改进高效签密方案 分析一种基于身份的高效签密方案S-IDSC的安全特性,指出其不满足前向安全性和公开验证性。为此,提出一种改进的基于身份的高效签密方案E-IBSC。安全性分析表明,改进方案能满足签密方案的一般安全要求。性能分析表明,改进方案保持了与原方案相当的计算复杂度,增加的通信负载在可接受的范围内。 利用全局运动估计和Canny理论压缩显微图像 随着全自动生物显微镜的应用越来越广泛,高分辨率显微图像数据量大的问题越来越突出。为了使其便于存储及网络传输,针对全自动生物显微镜下图像步进式移动的特点,提出了基于全局运动估计和Canny边缘检测算法的显微图像压缩算法,利用Canny边缘检测算法、全局运动矢量估计及运动补偿等技术对图像进行压缩。实验结果表明,该算法对高分辨率生物显微图像的压缩比可以达到600倍以上。 基于Mobiwan的IPv6移动性 移动Ipv6作为下一代互联网协议,具有十分重要的的作用。介绍了移动Ipv6的协议流程,分析了Ipv6的切换时延,用Mobiwan模块构建了NS2[1]下的仿真环境,对Ipv6的移动性进行了模拟,仿真结果表明移动Ipv6具有良好的移动性。 手机银行短信息的BCH-NAF-RSA快速编译与加密及其安全实现 智能手机的快速普及推动着通信运营商不断开发新的诸如手机银行类的增值业务以增长利润,这些业务往往通过短信中心来完成.为了安全快速的实现这些业务,这里改进了信号发射台短信息的编译码算法,引入一种优化的BCH迭代译码算法,来设计和实现手机短信寻呼台译码器,这种译码算法错码率较小,能大大增强纠错能力,提高了短信的发送质量.同时对短信息的加密和解密算法进行了优化,提出了一种优化的低存储NAF点压缩数乘算法,大大地缩短了点乘运算的运行时间,节约了存储空间,经过NAF和BCH快速编译后,利用安全性较高的基于圆锥曲线的RSA公钥密码来进行加密,以提高手机短信寻呼台信号呼出和呼入的速度及其安全性,保证了手机银行短消息业务的安全快捷实现. 基于图像块分类器和条件随机场的显微图像分割 针对花粉显微图像处理提出了一种自动分割方法,将有助于花粉识别系统的开发。使用归一化颜色分量训练图像块分类器,并且结合条件随机场和图割进行建模和优化,利用最大化后验概率(MAP)的方法实现花粉显微图像中花粉区域的分割。对于实验中的133幅图像,自动分割同人工分割的结果相比较,统计得到距离误差均值为7.3像素,准确率的平均值为87%。实验结果表明,使用图像块分类器和条件随机场模型可以用于花粉图像的分割。 面向倾向性分析的基于词聚类的基准词选择方法 现有的基准词选择方法存在着随机性和主观性的缺陷,提出了一种基于词聚类的基准词的选择方法:从目标领域本体中选出一组初始种子词进行扩展,聚类得出二代种子词,对二代种子词再进行扩展、聚类,依次迭代直至得到最优的聚类种子词,并作为最终选取的基准词。实验结果表明该方法提取的基准词在词的情感倾向分类中具有较高的准确率。 基于模糊集的P2P全局信任模型 为了解决传统的集中式信任机制不适合P2P网络的问题,提出了一种应用于P2P网络的分布式信任模型FAHPA。该模型利用模糊集合理论,结合层次分析法、贴近度等知识,同时考虑到激励机制,构造了一个完整的主观信任模型。仿真实验结果表明,该模型不仅能够抵御冒名和诋毁等非法行为,而且能够抑制搭便车现象,可以极大地提高整个系统的工作效率,实现了负载平衡。 基于内容检索的图像自动标注方法研究 图像标注技术是近年来的研究热点。为了更好地解决图像自动标注问题,提出了一个基于检索和重排序的标注方法。在检索阶段,通过使用基于MSF的全局特征对待标注图像进行基于内容的检索,从而在图像数据库中得到一系列的相似图像数据集;在重排序阶段,利用随机漫步方法对相似图像数据集的标注信息进行重排序,最终排序后的关键词则为待标注图像的标注信息。该方法不仅跳过了漫长的训练阶段,而且充分利用了网络上那些已经具有标注信息的图像,具有较好的稳定性和可扩展性。实验结果显示了该方法的有效性。 LT码编译的改进方法 LT码的无速率特性使其可在删除率未知的删除信道下高效传输信息,但译码代价会因R集合为空集概率的增加而增加。针对该问题,提出一种LT码编译的改进方法,使信息单元的度数近似服从均匀分布,并去除生成矩阵中出现长度为4的短环,从而降低R集合为空集的概率。仿真结果验证,采用该方法能降低LT码的译码代价。 基于专家系统的导弹装备电路板维修测试系统研究 针对传统的导弹装备电路板检测系统检测型号单一,检测时间长,需要维修人员较强的专业知识和丰富的维修经验的缺点,设计了一套导弹装备电路板维修测试系统;系统以专家诊断法为基础,建立了一个故障实例数据库,并设计了一个通用测试仪;实验结果表明,该诊断系统故障检测速度快、定位准确,系统通用性强,对维修人员专业知识、技术水平和维修经验要求比较低。 基于数据报指纹关系的未知协议识别与发现 目前,关于窃密防范措施基本上只针对已知协议,为了保证网络的安全运行以及对攻击与危害行为的预警,迫切需要在当前结构复杂的网络环境下为决策者准确提供一种能高效地对未知协议进行识别的方法。为此,在整合已有的网络安全和数据挖掘技术的基础上,设计了基于数据报指纹关系的未知协议识别发现的解决方案。 面向容器化PaaS平台的智能监控技术研究与实现 为了弥补现有的容器化PaaS平台监控方式在监控粒度和深度上的不足,研究并实现了一种智能监控方法。在该方法中,监控模块通过动态调整采样周期,使采样点集中于应用系统资源占用状况波动幅度较大的时段;对于波动幅度超过阈值的情况,采集应用系统调用级别的数据并加以分析;将统计分析结果及原始监测数据按时序整合并对外提供查询接口,进而为用户定位异常或发现性能瓶颈提供帮助。相较于现有的监控方案,该方法能更加细粒度地反映应用运行时状态的变化,同时动态的数据采集机制能有效节省计算和存储资源的开销。 基于网格的数据流连续约束Skyline处理技术研究 作为数据流上的一种重要查询,skyline对于很多在线应用都非常重要,包括移动运算环境、网络监控、传感器网络、股票交易等。与大多数数据流skyline处理技术不同,本文着重于约束skyline的处理。约束skyline支持用户定义在某些属性上的偏好,系统中存在多个约束skyline查询,为skyline查询处理技术带来了新的挑战。为了在高速数据流上对约束skyline进行高效处理,本文使用了一种网格索引存储元组,并提出两个算法用于计算和维护skyline集合,我们还为每个查询定义了影响区域,以减少在新元组到达和旧元组失效时需要处理的网格数目。理论分析和实验证明了该方法的有效性。 砀山酥梨黑星病综合管理专家系统的设计与实现 "梨黑星病(Venturia pirina)是砀山酥梨最为严重的病害之一,梨种植户每年因为这种病害遭受了很大的损失。随着农业信息化的发展,国内外目前已有很多关于农业专家系统报道,但关于砀山酥梨黑星病综合管理专家系统尚未见报道。在搜集梨黑星病相关资料和领域专家生产实践经验的基础上,结合黄河故道地区砀山酥梨黑星病发生发展的现状,基于CBR和RBR混合推理模式,利用Visual Basic6.0编程和Photoshop图像处理等软件,开发了砀山酥梨黑星病综合管理专家系统。该系统由""砀山酥梨黑星病预测与防治子系统""和""砀山酥梨黑星病综合防治决策支持子系统""两个子系统构成,系统涵盖内容全面、界面简洁、针对性强、操作容易,可为黄河故道地区农业技术人员和梨种植户在防治梨黑星病实践中提供决策咨询。" 基于Android的云考勤系统设计与实现 "学生考勤工作是课堂教学管理的一个重要环节。目前,高校大多采用传统手工的方式进行考勤,这种方式存在易出错、效率低、共享性差、无法解决代考、脱岗等问题。随着""移动互联网""时代的来临,迫切需要更科学先进的解决方案。为此,开发了一款基于Android的智能云考勤系统,该系统基于百度云平台和百度Map服务,利用GPS定位技术,采用Android移动开发框架,借助SQLite轻量级数据库及MySQL关系数据库。同时,系统还提供精确的基于位置服务(LBS)的定位考勤服务。该系统在实际应用中不仅方便学生使用,而且方便教师和相关工作人员可以随时随地使用以了解学生的出勤情况,从而使教学管理更加科学和有效。" 基于实时时钟方差算法的网络时钟同步 作为网络系统同步的重要参数,时钟方差表征了某时钟的稳定性特征。针对传统时钟方差计算方法在实时性和计算复杂度方面的不足,利用指数平滑的滞后特性,设计了自适应指数平滑算法,应用于时钟方差的计算,设计了时钟方差的实时计算算法。基于PTP协议V2版本,采用STM32F407微控制器作为核心,构建了以太网同步系统,实现了同步网络对环境变化的实时响应。通过软件仿真验证了算法的可行性,通过组网测试验证了实时时钟方差算法应用于PTP同步协议的优良性能。 股骨内髁软骨缺损对膝关节软骨、半月板应力的影响 目的:研究股骨内髁软骨缺损对膝关节软骨、半月板应力的影响,为临床治疗提供理论依据。方法:在Mimics中建立包含骨骼、关节软骨、半月板及膝关节主要韧带的膝关节三维模型,经Geomagic打磨抛光及构建一个直径为8mm的股骨内髁软骨缺损三维模型,并采用有限元方法对在股骨内髁软骨完整,缺损两种情况下,股骨内髁软骨缺损对膝关节软骨、半月板应力的影响进行了分析。结果:股骨内髁软骨发生缺损后,膝关节软骨、半月板的应力呈比例显著增大,从生物力学角度分析,股骨髁软骨损伤后进行修复的必要性。结论:关节软骨的几何平整度对胫股关节软骨、半月板的力学环境有重要影响,对膝关节软骨损伤的治疗及康复具有指导意义。 基于粗糙集的学生评教结果统计方法研究 学生评教在目前的高校教师评价中占有重要地位,文章讨论了利用粗糙集方法进行学生评教结果统计算法研究,利用信息熵的概念,对数据进行分析与整合,改变了原本简单的数字相加的统计方法,用更加合理科学的统计方法完成学生评教,并以简单实例进行方法论证。 一种改进的2DPCA人脸识别方法 在小样本情况下,传统的2DPCA算法中采用的训练样本的平均值不一定就是训练样本分布的中心,为了解决这个问题,提出了一种基于样本中间值的2DPCA人脸识别算法(M2DPCA),该算法采用训练样本的中间值代替训练样本的平均值,以此重建总体散布矩阵。在ORL和FERET人脸数据库上的实验结果证明,新方法可以有效改善识别性能,优于传统的PCA和2DPCA方法。 基于Android平台的访问权限机制优化方案 为提高Android平台访问权限机制的安全性,提出一种基于Android平台的访问权限安全优化方案。将应用程序权限定向分为4类,获取不同权限组合的种类,量化其权限组合的安全威胁值,同时考虑免费应用程序更有可能是恶意程序的特点,通过应用程序权限安全威胁值判断其安全威胁级别。实验结果表明,该方案能有效区分应用程序的安全威胁级别,准确判断应用程序的安全威胁程度,提高Android访问控制安全性。 基于污点指针的二进制代码缺陷检测 污点指针严重影响二进制代码数据流和控制流的安全。为此,提出一种二进制代码缺陷检测方法。引入指针污点传播规则,结合路径约束条件和边界约束条件得到缺陷引发条件,构造能够引发4类污点指针代码缺陷的输入数据。在Linux系统下实现ELF二进制代码缺陷检测工具,测试结果表明,该方法能降低测试用例生成数量,并发现Linux系统工具的1个虚函数调用控制缺陷和2个指针内存破坏缺陷。 阿尔泰金莲花三维可视化模拟 阿尔泰金莲花主要分布于新疆北部,具有很高的药用价值,对于开发天然来源的抗炎药具有良好的前景。目前对阿尔泰金莲花研究主要集中在药理性方面,在三维可视化模拟方面的研究尚处于空白。本研究通过提取阿尔泰金莲花各器官形态特征参数,基于图像造型方法构建叶片,基于贝塞尔曲面方法构建花萼和花瓣,基于多边形变形方法构建花蕊和茎。以Qt为平台、open GL为图形库、mysql为数据库,应用参数L系统来表现阿尔泰金莲花的拓扑结构,并结合各器官的几何模型实现了阿尔泰金莲花三维可视化模拟。同时利用Assimp模型加载库实现模型的导入导出功能,使系统具有更好的兼容性。实验结果证明,该方法能够较为真实的展示阿尔泰金莲花的形态结构以及动态生长过程,并为阿尔泰金莲花更深入研究提供借鉴。 基于高速单片机的GPS系统在望远镜上的应用 对于很多望远镜系统来说,系统本身需要准确的时间、经纬度等GPS信息,为了满足某望远镜系统的应用,设计利用C8051F120高速单片机及U-blox公司的GPS接收机设计完成了此GPS系统;重点介绍了GPS数据的提取、与望远镜系统的通信以及GPS信息在望远镜系统上的应用,通过提高系统工作频率、数据信息的压缩处理、秒信号触发单片机外部中断等方式,提高了GPS系统的时间精度;该系统已经在两个不同望远镜系统中得到应用,实验结果表明可以满足不同系统的通信协议,在实际运行中稳定可靠,能够达到望远镜系统所要求的时间精度。 基于改进的Zernike矩的局部描述符与图割离散优化的非刚性多模态脑部图像配准 针对脑部图像中存在噪声和强度失真时,基于结构信息的方法不能同时准确提取图像强度信息和边缘、纹理特征,并且连续优化计算复杂度相对较高的问题,根据图像的结构信息,提出了基于改进Zernike距的局部描述符(IZMLD)和图割(GC)离散优化的非刚性多模态脑部图像配准方法。首先,将图像配准问题看成是马尔可夫随机场(MRF)的离散标签问题,并且构造能量函数,两个能量项分别由位移矢量场的像素相似性和平滑性组成。其次,采用变形矢量场的一阶导数作为平滑项,用来惩罚相邻像素间有较大变化的位移标签;用基于IZMLD计算的相似性测度作为数据项,用来表示像素相似性。然后,在局部邻域中用图像块的Zernike矩来分别计算参考图像和浮动图像的自相似性并构造有效的局部描述符,把描述符之间的绝对误差和(SAD)作为相似性测度。最后,将整个能量函数离散化,并且使用GC的扩展优化算法求最小值。实验结果表明,与基于结构表示的熵图像的误差平方和(ESSD)、模态独立邻域描述符(MIND)和随机二阶熵图像(SSOEI)的配准方法相比,所提算法目标配准误差的均值分别下降了18. 78%、10. 26%和8. 89%,并且比连续优化算法缩短了约20 s的配准时间。所提算法实现了在图像存在噪声和强度失真时的高效精确配准。 基于IEEE802.11e EDCA的下行信道动态无竞争接入机制 为了改善无线局域网络服务质量,IEEE工作组形成了增补标准IEEE802.11e,但是其增强的接入机制仍然不能完全满足IPTV、视频点播等类似场景的应用。为此提出了针对这一类应用场景的一种新的简单易行的下行信道动态无竞争接入机制,该机制基于MAC层队列长度信息动态调整下行信道接入参数,能有效地保护下行流媒体数据的传输,通过仿真结果验证了该机制有效并且实用。 事件驱动的并行多机模具热处理生产调度 "为满足准时交货与节能生产的复合需求,构建了反映加权拖期、炉子装载水平和工件重量偏差程度的优化指标;建立了事件驱动调度机制,对机器完工和任务到达进行实时响应,并通过预测时间窗选取体现前摄性的调度任务集,同时采用""先分后合""的方式解耦工件族间的相互干扰;构造了启发式算法,在每个决策时刻进行滚动求解,基于任务到达时间组批,并用折衷规划计算各工件族的最佳批次,再综合评价确定全局最佳批次。仿真结果表明,与两类常用规则相比,所提方法具有明显的优越性。" 基于综合度量的Ad Hoc网络跨层路由协议 Ad Hoc网络路由协议普遍采用最短路径准则,无法有效支持多速率传输并容易导致网络局部拥塞。针对该问题,利用协议栈跨层设计的思想,提出一种基于综合度量的路由协议SMRP。该协议综合考虑链路速率值、MAC竞争度和网络队列长度多种因素选择路径,能有效利用多速率传输能力,避开拥塞节点。仿真实验结果证明,该协议能从网络吞吐量、分组时延等方面有效提高网络性能。 EDDPC:一种高效的分布式密度中心聚类算法 聚类分析是数据挖掘中经常用到的一种分析数据之间关系的方法.它把数据对象集合划分成多个不同的组或簇,每个簇内的数据对象之间的相似性要高于与其他簇内的对象的相似性.密度中心聚类算法是一个最近发表在《Science》上的新型聚类算法,它通过评估每个数据对象的2个属性值(密度值ρ和斥群值δ)来进行聚类.相对于其他传统聚类算法,它的优越性体现在交互性、无迭代性、无数据分布依赖性等方面.但是密度中心聚类算法在计算每个数据对象的密度值和斥群值时,需要O(N~2)复杂度的距离计算,当处理海量高维数据时,该算法的效率会受到很大的影响.为了提高该算法的效率和扩展性,提出一种高效的分布式密度中心聚类算法EDDPC(efficient distributed density peaks clustering),它利用Voronoi分割与合理的数据复制及过滤,避免了大量无用的距离计算开销和数据传输开销.实验结果显示:与简单的MapReduce分布式实现比较,EDDPC可以达到40倍左右的性能提升. 基于本地安全关联的移动网络接入认证机制 为减少网络移动中身份认证对性能的影响,提出了一种基于本地安全关联的接入认证机制。该机制通过认证消息携带地址注册信息,整合认证和绑定更新过程,采用本地移动性管理策略,通过建立本地安全关联,实现了域内切换流程本地化,保护了地址注册信息,避免了隧道嵌套。性能分析表明,该机制在实现双向认证的同时能够抵抗重放等多种攻击,相比其他方案,该机制减小了计算开销,缩短了切换时延。 基于MicroBlaze嵌入式系统的WCDMA评估系统 MicroBlaze软核是一种针对Xilinx FPGA器件而优化的功能强大的32位微处理器,它支持CoreConnect总线的标准外设集合,具有兼容性和重复利用性。介绍了以FPGA协同MicroBlaze嵌入式软核为开发平台的系统设计方法,并在此平台上实现了WCDMA评估系统的设计和验证。 底盘故障诊断专家系统的设计与实现 本文针对某装备底盘,设计并实现了故障诊断专家系统,提出了一种代码和通配符相结合的基于规则的知识表示方法。根据这种知识表示,利用数据库SQL语言的模糊查询进行推理,实现的故障诊断专家系统具有良好的诊断正确率和较快的诊断效率。 基于集成学习的入侵检测方法 为解决传统入侵检测中存在的检测效率低、对未知的入侵行为检测困难等问题,提出了将改进的BP神经网络算法和支持向量机集成的入侵检测模型。实验表明,集成改进的BP神经网络和支持向量机与检出率最好的单个神经网络、单个SVM相比检测率有所提高,同时提高了对未知入侵行为的识别。 多媒体系统多资源分配中效率与公平性的权衡 针对多媒体系统多资源分配问题,提出了一种权衡效率与公平性的有效方法。分析α-公平性与系统效用及公平性指标的关系,提出利用α-公平性来实现两者之间的权衡,并验证其合理性。为了获得满足α-公平性的资源分配,提出了一种基于定价机制的多资源分配算法。仿真结果表明,所提出的多资源分配算法能够在较短时间内获得近似最优的资源分配;通过调整α值能够实现效率与公平性之间的权衡,α值越大,越强调公平性。 基于路径函数和BDD的网络可靠度分析 现有的二终端网络可靠度评估方法,多数基于不交积和,由于没有充分利用普遍存在的同构子网特性,导致存在大量冗余计算,无法适用于大型网络.为此,本文提出了一种基于路径函数和BDD的网络可靠度分析方法,利用图Hash技术识别同构子网,从而简化路径函数的构建,再利用BDD高效地操纵路径函数计算网络可靠度.实验结果表明,该方法性能稳定且高效,适用于更大规模的网络可靠性分析. 无线传感器网络质心定位新算法及性能分析 针对锚节点非均匀分布的无线传感器网络质心定位算法定位精度较差的缺陷,提出一种新的质心定位算法——基于最小包围多边形定位(SEPL)算法。该算法以包围未知节点邻居锚节点的最小多边形质心作为未知节点的估计位置。仿真结果表明,SEPL算法可以有效改善锚节点分布不均匀时质心定位算法误差较大的问题,平均定位精度比一般的质心定位算法提高15%。 截止时间约束云工作流调度代价优化遗传算法 为解决云环境中科学工作流调度的代价优化问题,提出一种满足截止时间约束的工作流调度代价最优化遗传算法CODC-GA。设计新的工作流任务调度编码方式和种群初始化策略,为满足种群多样性,定义种群个体的遗传交叉和变异操作,优化遗传搜索方向和空间,利用符合工作流调度目标特征的适应度评估标准对进化个体做最优选择。实验结果表明,该算法在截止时间约束满意度、降低执行时间和执行代价方面均优于其它算法。 延迟容忍网络的安全网络编码方案 当前针对污染攻击的解决方案需要公钥基础设施支持,但这对于移动Ad hoc网络而言并不可取,因此提出了无需公钥基础设施的网络编码方案。所提方案允许数据包相互验证,从而使中间节点可判断这些包是否可以未经源验证即可共同编码。分析和比较了其他签名方案,表明无需公钥的网络编码签名功能足以防止污染攻击。 改进的Apriori算法在电子商务推荐系统中的应用 针对目前电子商务的推荐系统不能适用于中小电子商务网站,文章使用改进的Apriori算法对电子商务交易事务数据库中的数据进行挖掘,首先对不同类的事务数据库中的最小支持度和最小置信度阈值进行区分设置,寻找最优值;然后对事务数据库中的数据进行稀疏性设置,转换成稀疏性矩阵的形式,以加快算法的执行效率,并每次都对与候选集中无关的项进行删除,再扫描修剪后的稀疏性矩阵,这样进一步提高挖掘效率。最后通过以某中小洁具用品电子商务网站的交易数据为对象,给出详细的操作方法和实验结果。 支持综合训练的JLVC联邦构建技术研究 在综合训练系统技术问题的研究中,综合训练是提高训练效果和节省训练经费的有效途径,可采用计算机仿真与实兵训练相结合的方法。为探求综合训练的支撑技术,在综合分析美军JLVC(Joint Live virtual and Constructive)联邦技术发展的基础上,给出了LVC的定义,介绍了JLVC联邦建立背景、发展过程和现状,描述了JLVC联邦的概念模型,研究建立JLVC联邦的系统体系结构,对JLVC联邦的主要特点进行了分析总结,最后给出了相关结论。 基于用户属性的个性化教学智能辅助研究和设计 本文针对网络学习中如何个性化使用资源库的问题,从解决用户真实需求获取的瓶颈问题出发,通过分析此类应用环境下资源特性和用户特性,引入资源分布矩阵和偏好矢量概念来表征个性化特性,进而构建用户属性结构特征模型。然后采用用户属性结构和资源特性描述之间的精准检索,以及针对学习资源实施多重相关度排序和定位,设计验证了一个基于JADE平台的个性化资源检索系统。模拟实验证明,随着用户检索次数的增加,用户属性模型不断更新和完善,资料的个性化匹配度良好,能够较好地处理需求获取的瓶颈,达到实施个性化学习的目的。 基于Voronoi多边形的移动目标跟踪算法 分析讨论了Voronoi多边形的特性以及在目标监测与跟踪中的应用,提出了一种基于Voronoi多边形的移动目标跟踪算法。仿真实验结果分析表明,算法的计算和通信开销小,有效地节省监测节点的能量消耗,提高移动目标的监测效能。 Web动态口令登录新方法设计与实现 随着Internet应用的快速发展,Web登录的安全问题变得日益重要。基于口令的Hash值比对是目前Web安全登录的普遍方法。针对用户在不同网站注册时,经常采用固定用户名和口令的习惯,提出一种结合目标网站URL的Hash口令安全登录方法,解决同口令脆弱性问题;为了保护用户口令信息不以任何形式,包括口令Hash值在网络上传输,提出一种全新的基于神经网络权值同步运算的动态口令登录方案。两种方案均采用ActiveX客户端控件实现,并通过Web口令登录测试,最后给出不同方案的性能和安全对比分析。 处理动态行为描述的MDA模型转换技术 模型驱动体系结构MDA(Model Driven Architecture)是OMG组织为解决不同中间件平台间的集成问题而提出的一种开放的、供应商中立的软件开发方法。在MDA框架中,开发者首先构建平台无关模型PIM(Platform Independent Model),然后根据到特定平台或实现语言的映射规则/方法,从平台无关模型转换生成平台相关模型PSM(Platform Specific Model),最终生成应用程序代码。采用了UML类图在平台无关层来描述模型的静态结构,并使用动作语言对模型的动态行为进行描述;选择Java EE作为目标平台,制定出相应的转换规则,实现了从动态行为描述到目标代码的转换。使用这样的方法得到的PSM只需要很少的后期处理就可以在平台上直接运行。研究工作较好地解决了当前大部分MDA模型转换工具不能处理动态行为模型转换的问题。 三维动态多分辨率地形模型的研究 为了绘制大规模地形图,适当地减少数据,利用四叉树结构存储采样点,提出了一种与地形特征和视点相关的实时动态的多分辨率地形模型。在三维场景漫游和切换中,采用二级缓冲机制实现场景数据的快速调度和绘制,同时提出了基于细分评估函数的自适应LOD算法。随着分辨率的动态变化,实时递归地构建绘制当前视域地形所需的数据集。而且在起伏变化大的地形中利用有限的采样点,根据地形特征进行距离加权插值,最终提高特定地区的分辨率和绘制效果。 应用SFM和多视图面片实现牙模三维重建 为了从图像序列中恢复牙齿的三维结构,对从运动中恢复(SFM)和多视图面片(PMVS)三维重建方法进行了研究;首先,利用SFM方法从图像序列中恢复相机参数并估计相机位置;其次,针对标定好的序列图像,通过Harris和DOG检测特征点并在图像对中匹配,得到一系列稀疏的面片,根据光照一致性扩展这些初始的匹配到邻近像素,得到比较密集的面片,然后利用可视化约束条件,消除错误的匹配,最终生成三维模型的面片集合;最后把生成的面片集合转换为点云集合;经过大量的实验得出了一组具有较好实验效果的参数,分别为β1=2,β2=16,μ=5,α0=0.5;实验结果表明,该算法能够有效地重建出牙齿的三维结构,并具有很好的视觉效果;SFM方法能够有效地标定相机,基于多视图面片三维重建方法能够很好地重建出物体的三维模型,两种方法相结合是非常好的三维重建方法。 基于遗传小波神经网络的白酒识别电子鼻 为研究不同品质白酒快速识别的电子鼻技术,利用自制的电子鼻采集四种白酒样品的气味数据,建立了BP神经网络分类模型。针对BP算法普遍存在的收敛速度慢、易陷入局部极小且网络参数需要人工设定的缺陷,提出一种将遗传算法的自适应全局优化搜索能力、小波分析的非线性逼近能力和BP算法自学习能力结合在一起的遗传小波神经网络白酒识别模型。仿真结果表明,与BP神经网络和小波神经网络相比,GA-WNN分类模型的收敛速度和分类准确率都得到了较大提高,可应用于白酒识别电子鼻。 基于树结构的分布式数据流水分发方法 针对网络带宽给数据分发带来的限制以及传统数据分发方法的不足,本文提出了一种基于树结构的分布式数据流水分发方法。该方法利用接收端的转发能力,采用流水式传输方式进行数据传输,以提高数据分发的整体效率。算法分析和实验数据表明,本文的方法是有效的。 顾及参考点点位误差的八方向模糊不均匀划分模型 基于经典模糊集建立了模糊方向模型,在四方向模型中各方向是等角划分;在八方向模型中四个主要方向各占60°,四次要方向各占30°。提出建立模糊方向区间来描述目标地物与参考点之间的方向关系,用一对带有符号的隶属度来表示目标在原子方向的隶属关系,使方向关系的描述更精细。利用二型模糊集理论建立了顾及参考点点位误差的八方向模糊不均匀划分模型,讨论了方向主隶属度成员函数和隶属度的不确定性。由于参考点点位误差引起方向隶属度误差,增加了方向关系的不确定性。该模型充分考虑了参考点点位误差、认知习惯和目标对象在参考点各原子方向的权重,顾及了多方面确定或不确定的信息,在方向关系近似描述中有较大的应用前景。 同时取送货的三维装载约束下车辆路径问题 同时取货和送货的三维装载约束下车辆路径问题(3L-VRPSDP)是经典车辆路径问题(VRP)的一个扩展,在3L-VRPSDP中,顾客可能要求同时取货和送货,而且货物装载要考虑其三维大小、先进后出、底面支撑等装载特性。针对这类问题,提出了基于左底优先和最大接触面优先的装载算法,在装载时要考虑其配送特征;在此基础上,设计了对应的禁忌搜索算法对初始结果进行优化,通过实验验证了算法的有效性。 基于行扫描的炮弹引信铆点特征提取 在炮弹引信铆点机器视觉识别中,有效消除图像中各种干扰、正确提取铆点特征是关键,解决好铆点特征的准确提取问题,是炮弹引信安全破除铆点的前提条件;根据铆点的结构特点,分析了产生各种干扰的原因及特点,在高斯滤波、阀值分割、形态学运算等基本运算的基础上,提出了一种基于行扫描的铆点特征提取方法;测试结果表明,提出的方法可行,铆点正确识别率达到90%以上;具有高效性和很好的鲁棒性的铆点特征提取,使得炮弹铆点的准确破除成为可能。 不确定线性时变时滞系统的非脆弱鲁棒H_∞控制器设计 基于Lyapunov稳定性理论和线性矩阵不等式方法,研究一类时滞区间已知且下限不为零的不确定时变时滞系统的非脆弱鲁棒H∞控制器设计问题,通过构造合理的Lyapunov-Krasovskii函数,结合一种新的积分不等式的方法,得到该类时滞系统渐近稳定且满足H∞性能指标的时滞相关充分条件,并基于改进的锥补线性化迭代算法(Improved Cone Complementary Linearization,ICCL)给出一种具有低保守型的非脆弱鲁棒H∞状态反馈控制器的设计方法,仿真结果说明了该控制器设计方法的有效性。 改进的分数阶微积分器间接离散化设计 利用改进的算子模型以及CFE连分式展开的方法对分数阶微积分进行间接离散的有理化逼近。经过变换后的传递函数为IIR型滤波器,不仅逼近理想的频率响应,而且具有稳定的最小相位和低的计算复杂性。改进的算子模型在频率响应和误差上相对于传统的算子模型表现出很大的优势。通过Matlab验证了算法的有效性。 基于改进Mean Shift和SURF的目标跟踪 传统颜色直方图的Mean Shif(tMS)算法只考虑了目标颜色的统计信息,不包含目标的空间信息,当目标颜色与背景颜色相近或目标对象发生光照变化时,容易导致不准确跟踪或跟踪丢失。针对该问题,提出了一种融合改进MS和SURF的跟踪算法。改进的MS算法根据目标对象的最新外接矩形尺寸,确定对象的分块方法,根据各块的Bhattacharyya系数值,确定各块的权重系数,获得初步的跟踪结果;采用SURF特征匹配和校正的方法对其初步跟踪结果进行调整;采用线性加权的方法融合改进的MS和SURF跟踪结果,得出最终的跟踪结果。实验表明,提出的融合改进MS和SURF的跟踪算法,比传统的MS算法和固定分块的MS算法都具有更好的跟踪性能。 一种区分等级的可生存服务功能链映射方法 针对在底层网络可能发生单点和单链路故障情况下的服务功能链(service function chain,SFC)映射问题,提出一种区分等级的可生存SFC映射方法,为提供重要服务的关键SFC预先分配备用资源,为提供普通服务的普通SFC快速重映射失效部分,从而兼顾提高SFC可生存能力和降低底层网络资源开销的需求.首先,在考虑最小化SFC服务时延的条件下,分别为关键SFC和普通SFC的可生存映射问题建立混合整数线性规划模型.其次,提出2种启发式的模型求解算法,其中,面向关键SFC的主备服务路径构建算法采用贪心思想交替进行节点和链路映射,以减小SFC服务时延,并在主备服务路径之间建立桥接路径,以提高路径切换速度和降低路径切换过程的丢包率;面向普通SFC的失效服务路径重建算法引入最大流问题求解失效节点的最佳重映射位置,以提高成功恢复的失效普通SFC数目,并利用改进的Dijkstra最短路径算法选择时延低的重映射路径.最后,在不同网络条件下实验验证了启发式算法的性能,并且在模拟网络环境中所提可生存SFC映射方法能保证SFC的成功运行率在59.2%以上. 遗传算法优化径向基神经网络的尾矿库安全预测 在研究径向基(RBF)神经网络的基础上,利用遗传算法对其进行优化,并结合尾矿库系统安全状况与各影响因素之间的非线性关系,将优化的RBF神经网络应用于尾矿库安全预测中。为证明该优化网络的优越性,将优化后的RBF网络和传统RBF网络进行仿真实验,结果表明优化后的RBF网络较传统RBF网络在尾矿库安全预测的精度和速度效果更好。 基于AGENT动态加权无标度网络的双语竞争模型 近年来,濒危语言的灭亡速度越来越快,为了寻求濒危语言的保护措施,提出了以同一区域内的双语竞争为研究对象,利用基于agent的无标度网络构建接近实际的语言网络模型。模型以agent为网络节点生成无标度网络,agent的language等于1、2、3分别表示单语1agent、单语2agent和双语3agent。语言网络通过agent之间的短期连接、长期连接、无连接三种关系之间的演化维持网络的动态特性,语言之间的传播竞争只存在于有连接的agent之间,语言的传播竞争分为语言学习和语言遗忘,引入了语言地位S1、S2和agent语言密度σ之间的乘积作为语言学习和语言遗忘的概率。仿真结果表明,提高弱势语言的地位、降低语言网络中agent个体的度和降低语言网络的动态性保证语言网络的稳定性,更有利于保护弱势语言。 MDCT对肠道疾病的诊断价值 目的:探讨多排螺旋CT(MDCT)在肠道疾病的临床应用。方法:回顾性分析经明确诊断的63例做过MDCT的肠道疾病患者,通过多平面重建(MPR)、容积重建(VR)及最大密度投影(MIP)等重建方法,观察肠道疾病的MDCT表现。结果:结肠肿瘤22例,小肠肿瘤5例,表现肠壁不规则增厚或分叶性肿块,部分近端肠腔扩张,或肠壁黏膜异常强化。肠梗阻16例,表现为近端肠腔扩张,远端肠腔萎陷,肠扭转还可见鸟嘴征。炎症11例,表现肠壁增厚,强化呈靶征,周围脂肪密度增高,部分可见渗出及包块,周围血管扩张呈梳征。肠系膜转移5例,表现肠系膜密度明显增高,并可见多发或弥漫性结节影,呈网膜饼征。其他4例,包括腹茧症2例、肠系膜上动脉附壁血栓1例、胃结肠瘘1例。结论:MDCT能全景式、全方位展示肠道、肠系膜及血管,对肠道疾病的准确诊断有重要意义。 基于逆向技术的恶意程序分析方法 逆向分析是恶意程序分析的常用方法之一,在揭示恶意程序意图及行为方面发挥着其他方法无法比拟的作用。着重从启动函数、函数参数传递、数据结构、控制语句、Windows API等方面归纳总结恶意程序反汇编代码一般规律,并结合一起利用恶意程序窃取QQ账号与密码的真实案例说明快速准确定位关键信息的具体方法。 基于以太网的CCN路由节点实现 针对目前内容中心网络CCN(Content-Centric Networking)仅有基于覆盖网实现的现状,提出一种CCN网络基于以太网实现的方案。该方案将CCN在协议栈中的位置下移至以太网MAC层之上,直接将CCN数据包封装在以太网帧中传输,从而摆脱IP网络体系结构的限制,充分发挥CCN网络在设计上的优势。基于这一方案,在Linux平台上设计和实现CCN路由节点的基本功能,并利用该路由软件进行CCN网络的实际部署,成功地实现了CCN网络环境下的文件传输。 带认证邮局协议的密钥恢复攻击 "作者提出了一种新的针对带认证邮局协议的密钥恢复攻击,能够更快地恢复出密钥并能够恢复更多的密钥字符.基于通道技术和高级消息修改技术,提出了一种""群满足方案""来确定性地满足分而治之策略下最后一个通道首三步的所有充分条件,籍此提高MD5(Message Digest Algorithm 5)碰撞对搜索的效率.并提出了一些新的通道来控制MD5碰撞对消息的更多比特的取值,比如可以构造出352比特值确定的MD5碰撞对.通过这些技术改进了多位信息确定的MD5碰撞对搜索效率,应用到APOP的密钥恢复攻击中不仅能够快速恢复长达31个字符的密钥,而且能够在实际时间内恢复长达43个字符的密钥." “任务驱动、小组教学、合作学习”在计算机基础课程教学中的应用 "为了使学员通过计算机基础课程的学习,更好地掌握计算机知识,提高实用技能,提出""任务驱动、小组教学、合作学习""的新型教学模式,以""立足需求,着眼应用""为原则,从合理设计教学任务、科学划分学习小组、精心组织教学实施、充分利用网络资源四个方面详细介绍教学模式的具体实现。实践证明,这种新型教学模式充分挖掘学员的学习潜力,激发学员的学习欲望,模式的实施能有效提高教学质量,改善教学效果,是一种有意义的教学改革尝试。" 中国计算机自动测量与控制技术协会关于发展单位会员的通知 [正文]中国计算机自动测量与控制技术协会(以下简称协会)是1991年在民政部注册登记成立的全国性民间学术组织,宗旨是推广和发展先进的计算机自动测试与控制技术、总线技术,促进我国的自动测试系统和设备在国防军工和民用领域的开发和应用,在会员单位之间搭建互通科技情报、促进技术交流与合作、共谋发展的桥梁,为政府决策提供咨询服务。为国防科技工业服务,为广大会员服务,协会自成立以来,已举办全国性学术研讨会十九届,国防科技工业试验与测试技术高层论坛三届,编辑出版学术会议论文集多册,陆续翻译出版国外最新标准和技术资 基于移动平台的多重生物识别系统 随着智能手机在人们日常生活中的广泛使用,移动端的信息安全问题已经不容忽视。提出一套基于移动端的多重生物特征识别系统,定义多重安全等级,并使用输入习惯识别、脸部识别、说话人身份识别三种生物识别技术取代传统的密码技术来识别手机用户的身份。实验表明该系统在面对低安全需求时可以提供方便快捷的识别服务;而在高安全等级时,可以实现良好的安全性(最高安全等级错误接受率为0.014%)。相比传统的移动识别模式,该系统不仅更加智能,而且提高了移动身份识别的安全性和实用性。 计算机网络教学虚拟网络场景的搭建及研究 针对计算机网络理论教学存在内容抽象、理论性较强、学生难以理解等教学问题,提出利用模拟器软件构建虚拟网络场景,跟踪报文流程的教学方法,帮助学生形象、生动地观察网络数据行为,以及深刻理解网络协议的原理。实践证明,使用模拟器搭建虚拟网络场景的教学手段,能激发学生学习兴趣,促进学生学习主动性,取得了良好的教学效果。 狼群优化的二维Otsu快速图像分割算法 传统二维Otsu算法的阈值选取大都采用穷尽搜索方式,造成算法分割时间较长、实时性差等缺点,影响图像分割效果。为提高算法的运行效率,采用狼群算法来搜索最优阈值,每匹人工狼代表一个可行的二维阈值向量,狼群通过游走、召唤、围攻这三种智能行为的不断迭代以及狼群间的信息交互来获取最佳阈值。仿真结果表明,与标准粒子群优化二维Otsu算法和传统二维Otsu算法相比,狼群优化算法降低了分割时间并提高了图像分割精度。 智能柜在校园快递配送中的应用研究 针对高校快递配送的需求,提高校园快递配送末端服务水平,已成为快递企业的共同目标,而智能储物柜的出现能够有效解决配送末端的混乱复杂作业。为此提出校园智能柜快递终端配送方案,借鉴优秀配送案例,通过定性分析和定量分析的方法研究智能柜终端配送方案在校园实施的可行性,以配送成本,租金成本和惩罚成本最小化为目标建立校园智能柜选址模型,以上海海事大学为实例对象验证了智能柜模型的可行性。 面向不平衡数据的特征加权聚类算法 不平衡数据集类别分布严重倾斜,传统的聚类算法由于以提高整体学习性能为目标,往往偏向于聚集多数类,而忽视更有价值的稀有类.本文提出一种基于迭代的特征加权聚类算法,根据当前聚类后簇的特点以及特征重要性度量函数确定特征权值,利用所得权值进行下一轮聚类,直到权值稳定后结束迭代.在多个UCI不平衡数据集上的实验效果表明,本文算法能够较好地识别出重要特征并提高它们的权重,避免聚类算法过度偏向多数类,有效地提高了聚类性能. 基于QR分解的Contourlet域抗几何攻击水印算法 为了提高数字水印产品的抗几何攻击能力,提出一种基于QR分解的Contourlet域抗几何攻击水印算法。该算法对宿主图像Contourlet变换后的低频子带进行分块QR分解,通过轻微修改各子块Q矩阵第一列第二行和第三行元素的大小关系嵌入Arnold置乱后的水印信息,并且利用R矩阵对各子块进行相应的视觉失真补偿操作。在水印提取前,利用尺度不变特征变换SIFT特征点具有的旋转、缩放和平移不变性对几何失真含水印图像进行几何校正,恢复水印的同步性,使算法具备抵抗几何攻击的能力。实验结果表明,该算法的不可见性良好,而且对于常规攻击和多种几何攻击都具有较好的鲁棒性。 考场监控环境下泄题试卷检测方法研究 根据考场监控环境下存在利用云台可变焦摄像机获取考试试卷进行泄题的可能性,提出了一个基于图像处理和模式分类技术的作弊试卷检测方法。首先通过阈值初选策略进行试卷像素初检,并采用自适应的高斯混合模型使检测结果进一步适应不同的光照和场地,然后利用区域分析和增长技术消除检测噪音并形成区域,最后提取多个形状描述特征对检测到的区域进行分类,得到泄题试卷。通过广泛的定量和定性的实验分析验证了该方法的性能和效率。 基于乱序修正框架的物联网复杂事件检测模型 针对物联网应用系统中存在的时间戳乱序问题,给出了物联网语义事件定义,对时间戳乱序问题进行了描述,同时基于混合驱动的空间回收机制,构建了基于哈希结构的复杂事件乱序修正框架,并提出了一种基于乱序修正框架的复杂事件检测算法(ORFCED)。该算法提取事件的2个特征参数来计算哈希地址,利用时间戳特性将事件存入循环单链表进行局部排序,从而解决了时间戳乱序问题。仿真结果表明,所提出的ORFCED算法不仅具有较高的处理正确率和可靠性,而且可以对乱序流及时地作出反应,弥补了现有方法存在的不足。最后通过案例研究验证了所提算法的有效性和可行性。 基于案例推理的RCM分析系统中案例检索研究 为了在信息不完全的条件下提高智能化RCM分析系统中案例检索的快速性和精确性,将灰色关联理论应用到RCM分析案例检索的相似度计算中,并结合RCM分析案例的主要构成及其特点,提出一种模板检索与灰关联相似度分析相结合的二次搜索策略,利用实例对二次搜索策略和传统方法进行了比较分析,结果表明,该方法能够克服传统方法确定相似度系数的主观性缺点,可以实现RCM分析案例的精确检索,并具有较好的分辨能力。 非局部均值的彩色图像去噪方法改进 快速非局部均值算法利用像素邻域内具有高相似度的像素块之间的高斯加权欧氏距离来估计当前像素值,对于低频图像部分可取得较好的效果,而对于图像的高频部分,因计算获得的高斯加权欧氏距离不能很好地反映图像块间的相似性,会导致图像部分边缘信息的丢失。为了保留图像中更多的高频信息,在快速非局部均值算法中高斯加权欧氏距离的基础上,引入由相位一致性、梯度及色度特征组成的彩色图像特征相似(FSIMC)指数,提出并构建了基于一种新权重函数的去噪算法。该算法利用新的权重函数计算图像块与图像块之间的相似性,分别对RGB三通道内图像中所有的像素点进行逐块滤波得到估计值,只要平均这些估计值就得到去噪后的整幅图像。实验结果表明,相对于快速非局部均值算法,所提出的算法在PSNR和FSIMC方面均有提高,同时也保留了图像更多的细节信息。 基于北斗/GPS双模的山区客运车辆监测技术开发 当Beidou2系统正式提供区域性服务之际,为了应对目前山区客运安全的保障问题,提出一种基于Beidou/GPS双模卫星导航技术的山区长途客运车辆监测系统,对长途移动客车进行远程实时定位和监测。文中主要从系统的原理架构、系统硬件和GSM通信单元进行了设计,包括车载终端和地面客运监控服务中心两大部分。该系统既能弥补GPS系统在山区环境的使用局限性,又可以打破GPS系统垄断地位,实现车辆的自主导航、信息管理、远程监测与控制、客运路线监管、应急报警与保护等功能。 微博中基于统计特征与双向投票的垃圾用户发现 "传统微博中垃圾用户发现主要依靠用户的显示统计特征.针对微博中关注网络的有向特性,给出了有向网络中局部三角形数量统计算法DirTriangleC,结合用户博文数量和局部三角形比例发现隐式垃圾用户;针对统计特征方法对垃圾用户误报和漏报的缺点,提出了基于统计特征与双向投票算法AttriBiVote,利用用户信任的双向传播与其邻居节点的统计特征共同决定用户类别.真实的Twitter数据集上验证了DirTriangleC和AttriBiVote算法的有效性,结果表明DirTriangleC算法能够发现约83.7%的""完全非活跃""状态的隐式垃圾用户,相对依靠显示统计特征方法增加了约2倍数量的疑似垃圾用户;同时AttriBiVote算法发现垃圾用户的数量和准确性均高于依靠统计特征的垃圾用户发现方法;最后实验分析了AttriBiVote算法的时间开销." 数模混合电路测试与故障诊断方法研究 随着数模混合电路的广泛应用以及对可靠性要求的日益提高,测试与故障诊断成为其设计和维护的两个重要方面;由于数字电路和模拟电路测试与故障诊断的理论基础不同、电路响应的特征不同,因此如何建立模拟电路与数字电路故障诊断的统一模型、如何进行统一测试是混合电路故障诊断的核心问题之一;以解决上述问题为目的,对现有的方法进行了研究和总结,结合最新的研究成果,对混合电路故障诊断的发展趋势进行了展望。 一种基于节点全局信任值的BitTorrent系统区分服务机制 BitTorrent系统中节点的搭便车行为严重影响系统的健壮性和稳定性.针对BitTorrent系统中搭便车行为抑制机制存在的不足,提出一种基于节点全局信任值的区分服务机制.在该机制中,Tracker服务器依据节点反馈的本地信任值计算节点全局信任值,依据全局信任值识别贡献节点与搭便车节点,对两类节点采取区分服务策略:为贡献节点与搭便车节点分别返回其同类对等节点,使搭便车节点无法与贡献节点建立连接进而获取文件资源;在贡献节点中又进一步区分高贡献节点和普通贡献节点,引入返回比例因子为其提供差异服务,使高贡献节点获得更快的下载速度.实验表明,该机制能有效隔离并惩罚搭便车节点,奖励高贡献节点. 求解函数优化问题的混合连续优化算法 用蚁群算法进行函数优化时,存在收敛速度慢且易于陷入局部最优解的问题。针对这一现状,提出了一种微粒群和蚂蚁算法相结合的混合连续优化算法,该算法引入微粒群优化操作进行全局搜索牵引,采用网格法进行细密度的蚂蚁局部搜索,从而能很好地应用于求解连续对象优化问题。对若干典型复杂连续函数的实验测试结果表明,该混合算法跳出局部最优解的能力较强,能较快地收敛到全局最优解,并能适于高维空间的优化问题。与最新的有关研究成果相比,该算法不仅寻优精度高,而且收敛速度大幅提高,效果十分令人满意。 高性能宽带中频信号处理方法研究 高速模数转换器的采样速度达到了GSPS(每秒109次)量级,带宽和量化精度的提升对电路的工作条件提出了更高的要求;分析了高速采样中制约无杂散动态范围的因素,并从实践的角度提出了改善的方案,设计了一套双通道通用中频信号处理硬件平台;测试结果说明系统功能正常,达到了140 MHz或70 MHz中心频率信号输入时无杂散动态范围80 dB的性能指标。 可重构网络中自适应入侵检测修复算法研究 随着越来越多实时应用程序的出现,计算机网络也面临着诸多挑战,如何有效防御入侵者的攻击已成为亟待解决的问题之一。为此,文中提出了一种在可重构网络环境下进行入侵检测和修复的算法。该算法既能够主动实时检测由入侵者删除节点所造成的网络中断,又能够在路径中断后及时采用基于度数的自适应修复算法来进行修复,以保持网络的连通性。实验表明该算法不仅检测延迟低,而且节点度数的增加和信令开销较小,能够较好地满足可重构网络的实时性需求。 基于非均匀变异算子的状态空间进化算法 基于非均匀变异算子的状态空间进化算法(NUMSEA)是一种具有新颖性的实数编码进化算法。针对传统的状态空间进化算法转移矩阵的不足,设计一种基于非均匀变异等算子改进的状态空间转移矩阵。该矩阵突破了传统的状态空间转移矩阵,并在此基础上增加了非均匀变异算子以及非均匀算术交叉算子。通过提取分析每一代的最适值,再左乘新的转移矩阵,能够在原有的最优个体附件进行微小的搜索。进一步实现了转移矩阵随群体中个体适应度值的自适应变化,上一代群体中适值越大的个体在生成新个体时所作的贡献越大,算法的收敛速度也将增加。实验结果表明,改进算法不仅能提升对主效基因挖掘的精确性与平稳性,还能缩短对特征数据的提取时间。 硅压力传感器振动可靠性试验与评估分析 以硅压力传感器为研究对象,文中在对该压力传感器失效模式和失效机理调研的基础上,建立了压力传感器在振动应力作用下的失效物理方程,进行了以振动应力为加速因子的恒定应力加速寿命试验;结果表明,在振动应力作用下,传感器的失效物理方程为逆幂律模型;经对试验数据进行统计分析,求得了传感器在振动应力作用下的可靠性参数估计值及加速寿命方程lnη=-6.46034-4.73856lnS;通过对传感器进行可靠性评估,得出了传感器的平均寿命和可靠寿命分别为53246小时和23248小时。 D2D通信网络中一种基于时间反演的无线资源优化机制 针对D2D(Device-to-Device)异构无线通信网络中D2D用户和蜂窝用户之间的干扰问题,提出一种基于时间反演的无线资源优化机制。该机制包括两个步骤:1)在上行传输系统中结合时间反演镜技术实现干扰消除,即对每个用户执行信道签名,提取有用信号,剔除干扰,获取系统用户信干噪比;2)根据用户信干噪比,采用功率控制算法并结合凸优化理论来调整用户的发射功率,以最大系统吞吐量为优化目标。仿真结果表明,该机制有效地抑制了D2D异构无线通信网络中蜂窝用户和D2D用户的相互干扰,提升了系统容量,同时满足了用户对通信可靠性的需求,保证了用户能够获得更高的QoS(Quality of Service)。 产品新闻发布 [正文]安捷伦科技推出最新PXI总线、AXIe总线模块产品和仪器本刊讯:安捷伦公司模块化仪器分部的美国专家Jared在第八届PXI技术和应用论坛上做了专题演讲,介绍了目前最先进的PXI总线机箱及其领先技术。同时安捷 展示复杂网络社团结构的社团引力导引的布局算法 由于传统的力导引布局方法大都无法展示复杂网络的社团结构,提出一种可有效展示复杂网络社团结构的布局算法——社团引力导引的布局算法.该算法在力导引布局算法的基础上对每个节点加入社团引力,并引入k-means算法,使同一社团的节点能够向社团的中心位置聚拢.不同于先网络聚类再可视化布局的传统做法,该算法不需要预先对节点分类,可以在布局的同时完成节点聚类.实验中使用模块度指标评估社团结构的强弱程度,结果表明,文中算法可以呈现明显的聚类效果,简单、易于实现,且收敛速度快. 面向Deep Web数据自动抽取的模板生成方法 DeepWeb结果页面大多由网站根据请求从后台数据库读取数据并动态填充到通用模板而生成的。研究如何从一系列同模板生成的页面中生成该模板,并利用模板自动抽取数据。给出了模板生成问题的形式化描述,提出了一种新颖的模板生成方法,利用生成的模板从实例网页中抽取数据。与现有方法相比,该方法适用于列表页面和详细页面两种类型网页。通过在多个领域站点上实验,说明新方法在不降低准确率的情况下能大大提高召回率。 基于FPGA的白光干涉仪数据采集系统的设计 为了对白光干涉仪的干涉条纹进行分析处理,该文设计了一种以FPGA为核心的数据采集系统。低相干白光光源在发生干涉后,会形成明暗相间的干涉条纹。通过对干涉条纹测量分析,就能对数据进行精确的测量。整个系统以FPGA作为主控制器,配以CCD采样,AD模数转换,内部FIFO存储、以太网高速传输,从而把干涉数据实时传送到上位机中,具有传输速度快,效率高等优点,实现了白光干涉信号的数字化处理。 基于密码隔离的信息泄漏防御方案 针对传统访问控制模型受限于访问控制策略的高效性和完整性问题,提出一种基于密码隔离的信息泄漏防御方案,方案包含一个信息泄漏防御模型和一个密钥分配方案。信息泄漏防御模型基于密码学原理,克服了逻辑隔离易被旁路的脆弱性,通过引入安全通道部件,为出入单级域的信息进行加解密,以实现不同安全级别信息之间的密码隔离。为达到密码隔离的目的,通过构建安全标签树,基于安全等级和安全范畴分别设计了一套密钥分配方案,实现了对敏感信息的双重加密,分析表明,两种密钥分配方案安全性较高。 模糊测度下IEEE 802.11的DCF性能优化 提出了一种基于模糊测度的方法,采用Choquet积分模型定量测度IEEE 802.11的MAC协议中各参数及其参数之间的内在关系对系统性能的影响。根据测度结果优化MAC层协议参数集,获得对吞吐量影响最大的参数子集。通过调整子集中的参数,提出一种有效提高WLAN吞吐量的策略。仿真结果表明,根据测度结果只需调整子集中的参数以提高吞吐量,有效改善无线资源利用率。 光伏阵列运行过程中温度及功率特性研究 建立了光伏电池组件的热电耦合模型,在实测气象参数的基础上,利用上述模型研究了环境温度和风速对光伏电池组件温度和功率的影响规律,并将仿真值与实测值进行了对比。从仿真值中可以发现,环境温度越低组件的温度也越低,不同环境温度下组件的温差基本等于环境温差。不同环境温度下组件的功率差随着辐照度的变化而变化,辐照度越大不同环境温度下组件的功率差也就越大。风速对组件温度和功率有一定的影响。组件的温度随着风速的增加而降低。 基于错误传播分析的SDC脆弱指令识别方法 单粒子软错误是高辐照空间环境下影响计算可靠性的主要因素.随着芯片晶体管数的快速增长,单粒子软错误的威胁日益严重.结果错误(silent data corruption,SDC)是单粒子软错误造成的一种故障类型.由于SDC是隐蔽传播的,SDC的检测是单粒子软错误防护的难点.寻找SDC脆弱指令是目前检测SDC的重要途径.现有方法需要进行巨量的错误注入,时间代价巨大.首先根据数据关联图建立了指令的数据依赖关系,研究了函数间和函数内部错误传播过程;进而推导出判定SDC脆弱指令的充分条件,提出了SDC脆弱指令识别方法,该方法在错误注入中依据充分条件推测潜在的SDC脆弱指令.实验表明,在保证较高准确率和覆盖率的前提下,时间代价显著减少. 基于免疫和模拟退火原理的柔性Job-Shop调度研究 为了研究柔性Job-Shop调度的不同解法,采用免疫和模拟退化原理求解柔性Job-Shop调度问题。研究了柔性处理问题,提出两种调度策略;分析了算法混合的思想,提出了免疫模拟退火算法。分别采用不同调度策略,使用不同调度算法对多种国际标准算例进行了仿真,仿真结果表明,该模型、策略和算法能够解决柔性Job-Shop调度问题。 基于LabVIEW的随相信号最佳接收机教学仿真设计 为了充分整合学校信息化实验教学资源,以培养学生综合设计和创新能力为出发点,创造性地建设与应用高水平软件共享虚拟实验、仪器等教学资源,提高教学能力。文中以随相数字信号最佳接收机的计算机仿真为实例做出了介绍和阐述,包括随相数字信号的发送、信道的传输及其接收,以及随相数字信号的最佳接收机等内容。利用LabVIEW仿真平台对系统的各功能模块进行了仿真设计、系统测试和仿真结果分析,进而证明了用LabVIEW实现随相信号最佳接收机系统虚拟仿真实验教学的可行性。 基于Bayes理论的散斑三维重建方法 传统双目三维重建对纹理信息不清晰的目标物体存在误匹配率高、重建点云稀疏等问题。为此,提出一种新的散斑三维重建方法。将数字散斑和基于贝叶斯(Bayes)理论的稠密匹配算法相结合,对纹理匮乏的物体实现高精度稠密重建。介绍基于Bayes立体匹配与数字散斑相结合进行三维重建的原理,证明两种方法结合使用的可行性,并对多对双目组成的多测量头系统进行标定,将多测量头获得的点云拼接,形成物体的完整轮廓。实验结果表明,在物体距离多测量头系统500 mm时,采用Bayes立体匹配算法的三维重建匹配精度可达到0.08 mm,在不损耗重建效率的情况下,点云数量和点云精度都有明显提升。 Web页面细粒度数据抽取方法研究 尽管有很多方法用于Web页面信息抽取,对细粒度信息如数据项等的抽取需求仍然很迫切。提出了一个用于结构化数据抽取的解决方案,将Web页面上的信息以更细的粒度抽取出来。对包装器(wrapper)生成时所依据的信息进行了基于稳定性的分类,实现了模板和种子之间多对多的自动关联(automatically correlating),并按照信息稳定性的高低为每个字段生成多个抽取规则,在抽取信息时根据多个抽取规则进行抽取,只有在所有规则失效时才会导致抽取失败,提高了抽取系统的鲁棒性。实验结果表明,该方法具有良好的抽取功率和准确率。 基于商品特征的商品评论信息挖掘方法 人们在购物网站上发表的评论信息,一方面作为消费者对商品的反馈,同时为潜在的消费者提供购物经验。但是,随着商品评论信息的增加,消费者往往会被淹没在评论信息中。本文采用观点挖掘方法,以商品特征为研究对象,挖掘基于商品某一特征的用户评论信息,计算消费者的情感倾向,确定情感分布。旨在通过对此问题的研究,给消费者提供更明确、更细化的商品评价。 多频率激励生物电阻抗测量方法的研究 生物电阻抗是生物组织的一个重要电参数,对生物电阻抗的测量与分析在生物医学工程上有着重要的研究和应用价值;采用四电极测量法,设计了一种多频激励的生物电阻抗测量系统,利用AFE4300产生16-128 kHz激励信号源,并将此激励信号施加于待测生物组织上,通过IQ解词,得出待测电阻抗的模值和相角;当激励信号为128 kHz时,系统模值测量误差最大,最大测量误差为2.07%,且随着激励频率的增加,模值和相角的测量误差呈逆向变化趋势,在不同的应用场合,选择不同的激励频率可以提高生物电阻抗的测量精度。 一种机载惯导系统初始对准方法的研究与仿真 机载惯性导航系统的初始对准是惯性导航研究的重点之一,目前初始对准方法主要以卡尔曼滤波方法和粒子滤波方法为主,收敛时间较长,一般在240秒左右。为缩短收敛时间,提出一种基于自适应粒子群(PSO)的改进双小波神经网络初始对准方法。上述方法对离子群算法进行改进,提出一种自适应的动态调整算法,同时采用了双小波神经网络,更好地提高天向的方位失准角收敛速度,缩短了收敛时间。通过对某型机载惯性导航系统的误差模型分析,并进行仿真验证,结果表明,上述方法使惯性导航系统的初始对准收敛时间缩减到50秒左右,且在精度上能够满足机载惯性导航系统的应用要求,证明了上述对准方法应用的可行性。 海泰电子发布48通道状态量输入模块——HTPXI4710 [正文]陕西海泰电子有限责任公司近日发布了一款基于PXI总线的48通道状态量输入模块——HTPXI4710,该模块适用于工业控制或自动化测试领域状态量信号采集的应用场合。HTPXI4710具有48路状态量信号采集通道,各通道之间相互隔离;该模块可通过拨码开关对+5V和+27V状态量信号进行切换,以满足用户不同电平信号状态量的采集需求。HTPXI4710的最大输入电压为+27V,输入接口为J30JA-100ZKW型孔式插座,增加了模块与用户接口连接的可靠性;模块内置数字滤波器防止状态量抖动引起的误读,该模块可用于由PXI机箱或带混合槽的PXIe 特征融合与objectness加强的显著目标检测 "显著目标检测是计算机视觉的重要组成部分,目的是检测图像中最吸引人眼的目标区域。针对显著检测中特征的适应性不足以及当前一些算法出现多检与漏检的问题,提出从""目标在哪儿""与""背景在哪儿""两个角度描述显著性的框架,进行特征融合来提高显著目标检测的准确率。从这两个角度分别提取图像的颜色区别性特征与边界先验特征并进行特征融合,使用objectness特征加强显著性,最终得到显著图。在MSRA-1000数据集上的评估中,该算法达到平均92.4%的准确率,能和最先进算法相媲美;而在CSSD、ECSSD数据集上的实验,该算法有更高的准确率,优势明显。实验结果表明所使用的特征之间能够互相补充,互相弥补,""目标在哪儿""与""背景在哪儿""的检测框架描述图像显著性具有合理性。" MCU存储器双倍速率控制技术研究 由于传统技术缺少控制指令设置步骤,导致控制精准度较低,为了解决该问题,提出了基于拟合的存储双倍速率速率精确控制技术;根据速率计算公式,实行并行总线来获取双倍存储速率,采用分时加载方法对双倍存储速率数据进行拟合,方便控制指令的设置;分析MCU存储器控制性能,并对双倍存储速率控制设置指令,根据该控制指令分别对分时存储和空存储操作进行精确校正,实现MCU存储器双倍速率的有效控制;由实验结果表明,该技术控制精准度最高可达到98%,可以达到实际存储过程中对于双倍速率精准控制标准。 大口径精密光学元件表面疵病快速检测方法 为确保对大口径精密光学元件表面的疵病进行高效和准确检测,针对以往疵病检测系统不能处理单像素宽目标、提取疵病特征参数速度过慢等问题,提出了一种基于顶点链码与离散格林相结合的快速几何特征参数提取算法。算法把针对目标的曲面积分变为曲线积分,提取速度是以往方法的3倍左右;使多种几何特征参数的计算统一在该算法框架下,且能处理单像素宽的非闭合疵病;并在确保其泛化能力的基础上,运用机器学习的方式使疵病的分类识别率达到了90%以上。 基于CPCI总线的抗恶劣环境HDLC通信卡的设计与实现 为了实现指控系统中雷达数据的可靠传输,采用面向比特的链路层协议HDLC实现数据通信。以CPCI总线为基础架构,采用FPGA技术实现HDLC协议控制器,完成CPCI本地数据读写模块、HDLC协议帧的构成、解析模块及其内部的CRC码生成、检验模块。对通信卡进行专门的板极加固设计,使其适用于军用环境中。实验结果表明,在军用恶劣环境中,板卡可以按照标准的HDLC帧格式收发数据,稳定可靠。 基于互联网的移动机器人遥操作系统设计与实现 为提高基于互联网的遥操作移动机器人系统的透明性和稳定性,文章设计并实现了一种基于互联网的遥操作移动机器人系统;针对机器人单个声呐测距精度低的不足,采用双声呐融合算法完成对近距障碍物的精确测距,便于操作者能够精确地调整机器人位姿;在视频反馈中使用双目视觉获取机器人目视范围内的深度信息,有效完成了机器人周边环境的感知与识别;基于云计算实现了反馈数据的处理与存储,为遥操作系统提供了一个具有高扩展性的计算与存储平台;在NAO机器人平台上进行的遥操作实验表明,与传统的基于视觉和力反馈的遥操作系统相比,完成同样的操作任务,该系统操作时间减少了30%,并具备更高的位姿调整和定位精度。 基于改进PatchMatch的自相似性图像超分辨率算法 针对基于自示例几何不变性的图像超分辨率算法没有充分利用图像的纹理和边缘信息,且采用的PatchMatch图像块匹配算法容易陷入局部极小点的问题,提出了一种基于改进PatchMatch的自相似性图像超分辨率算法。首先,利用模拟退火算法提高PatchMatch跳出局部极小点的能力;然后,定义边缘相似度因子并将其引入到图像块相似性的评价函数中;最后,受局部自相似性统计先验的启发,采用服从高斯概率分布的位置坐标搜索空间进行相似图像块匹配。实验表明,与当前先进算法相比,所提算法在视觉效果和客观评价指标上都有一定的提高。 量子多重代理盲签名协议 多数情况下,原始签名人只需委托一个代理人对文件进行签名,但是为了分散代理签名人的权利,使得多人对文件进行代理签名,提出了一种量子多重代理盲签名协议。该协议利用了Bell态和Bell测量之间的关联特性,使得原始签名人可以委托多人对文件进行签名,而且签名人数可以根据实际的需求进行变化,提高了方案的灵活性。安全性分析表明,该协议能够抵制内部攻击和外部攻击,是一个安全可实现的协议。 基于偏序规律的μ-演算一阶谓词界程逻辑模型检测 基于μ-演算的一阶谓词界程逻辑,用谓词变量构造不动点公式,方便描述闭环系统的性质,公式语义简洁.该逻辑在有限控制移动界程上的模型检测目前性能最好的算法的时间复杂度与公式中不动点算子交错嵌套深度d呈指数关系,空间复杂度与d呈线性关系.文中设计了一个基于μ-演算的一阶谓词界程逻辑在有限控制移动界程上的模型检测高效算法,这也是目前已知的第3个同类算法,算法的时间复杂度与d/2+1呈指数关系,空间复杂度与d呈线性关系.文中所做的工作有:(1)找到了基于μ-演算的一阶谓词界程逻辑模型检测计算过程中的中间结果满足的两组偏序关系;(2)利用找到的偏序关系设计了一个快速模型检测算法;(3)分析了算法的复杂度. 基于位置的社交网络商户数据语义分析 "近年来,""移动""和""社交""成为了推动互联网发展的两项关键技术。在这两项技术的共同推动下,基于位置的社交网络LBSN得到了快速发展,在全球范围内吸引了海量的用户,不论是学术界还是工业界都在大力投入对LBSN的研究。LBSN网站都是以位置为中心的,也就是说任何用户原创内容,例如签到或评论,都必须与一个具体位置相关联。尽管位置信息在LBSN中扮演着重要的角色,但是目前国内外针对LBSN的研究基本上都是从用户角度出发的,缺少从位置角度的研究。同时,目前对LBSN中用户原创内容的分析缺少对文本信息的分析,在对目前中国最大的在线点评类社交网络——大众点评上的商家评论内容进行了大规模的数据采集,并针对获取的大量用户评论文本开展了语义分析。" MDCT对胃部异位胰腺的诊断价值 目的:探讨胃部异位胰腺的MDCT表现,以提高对本病的诊断准确率。方法:回顾性分析8例经手术病理证实的胃部异位胰腺的临床表现及MDCT特征。结果:8例胃部异位胰腺均为单发病灶,其中位于胃窦部2例,胃体部6例;8例均位于胃小弯侧。病灶长径1.8~2.7 cm,短径0.9~1.4 cm,长径/短径平均为1.9。病灶长轴均平行于胃壁。7例呈卵圆形,边界清晰,局部边缘模糊;1例呈不规则形,边缘模糊。8例均行平扫及增强检查,平扫8例均呈等密度,其中3例边缘显示脐凹征,1例中央见导管征。增强扫描,8例均呈明显持续强化,强化的趋势与正常胰腺一致。8例胃壁黏膜层均完整。结论:胃部异位胰腺为黏膜下病变,其MDCT表现具有一定的特征性,MDCT对该病的诊断与鉴别诊断具有一定的价值。 面向博弈的无线传感器网络自适应路由算法 在无线传感器网络聚簇路由算法基础上,提出了一种面向博弈的自适应路由优化算法.网络中以总体通信能耗最小和延长个体寿命为首要原则,建立源簇到基站的路径;簇首之间相互通信时,在直接、中继以及协作通信方式中,自适应选择路径策略;在路由建立过程中,引入基于博弈论的路由选择算法和路由转发算法,通过两两博弈找出最可靠的下一跳节点,自组织地建立可靠有效的路由路径.仿真实验表明,所提出的路由算法能有效减少通信能耗,延长网络生命周期. 高性能准循环低密度奇偶校验码在导航信号中的应用 "全球定位系统(GPS)在其现代化计划中选择低密度奇偶校验(LDPC)码作为其将来的L1C电文的信道编码方案,能够获得优异的译码性能,但复杂度也相对提高,所采用随机LDPC码的编码器和解码器的硬件实现较为困难。在802.16e协议中提出的LDPC码的基础上,提出一种增强型的准循环低密度奇偶校验(QC-LDPC)码,其校验矩阵同时具有准循环结构和近似下三角结构,且最小圈长为8,克服了随机LDPC码的缺点。仿真结果表明,所构造的QC-LDPC码性能优于802.16e协议中的LDPC码和GPS L1C电文中采用的LDPC码,对我国""COMPASS""导航系统的信道编码方案具有参考价值。" 基于双链架构的医药商业资源公有区块链 "区块链技术是一种去中心化的共享总账系统和计算范式。作为一种核心的底层支撑技术,它与分布式经济系统有极高的契合度。基于公共服务平台的医药商业资源分布式调度模式是解决当前医药商业行业""散、小、乱、弱""局面的综合性解决方案,在聚合分散性资源和按需调度方面发挥着重要作用。针对公共服务平台当前存在的一些关键问题,提出了一种基于双链架构的医药商业资源公有区块链,重点研究了公有区块链的双链结构及其存储方式、隐私保护、资源寻租与匹配机制和共识算法等问题。研究结果表明,基于双链架构的医药商业资源公有区块链能够兼顾交易信息的开放性、安全性和企业信息的隐私性,自适应地完成资源的寻租和匹配,并大幅提高公共服务平台的公信力和系统的整体效率。" 有限元网格积分算法在MIC众核平台上的并行实现 基于英特尔集成众核(Many Integrated Core,MIC)架构,将有限元网格积分算法在至强融核(Xeon Phi)协处理器做了移植和性能分析。该应用全面测试了有限元分析的核心计算过程在MIC上的加速效果,实现了卸载模式(offload)[1]下利用OpenMP在MIC上的线程并行化。计算性能测试结果显示集成众核平台可以有效地加速有限元网格积分算法:1)一块被充分利用的MIC设备卡(3115A)的计算能力超过两路16核Intel XeonTM E5-2670CPU;2)MIC并发的物理线程可能由于公共缓存访问存在竞争而降低程序的扩展性。测试结果还显示了在多CPU多MIC平台上进一步移植完整的MPI并行有限元模拟软件的可行性。这项工作有助于推动与有限元网格相关的科学和工程高性能计算的研究。 基于SEDA企业服务总线负载控制 在基于分阶段事件驱动架构下,把企业服务总线系统按事务逻辑处理功能划分成消息监听、协议解析、消息转换、消息路由、消息发送五个阶段.系统在运行过程中,由中央调度器对各个阶段的负载信息进行收集.本文根据均值方差分析,对各个阶段的线程池大小进行动态调整,使得每个阶段的处理事务能力协调关联起来,从而避免出现由于某个阶段负载过重而影响整个系统的性能. 区块链技术在政府部门的应用综述 随着比特币价值的不断攀升,其背后使用的区块链技术在全球范围内迅速引起了各个行业的广泛关注,同时也引起了各国政府的高度重视。特别是以美国为代表的一些国家在政府和权力机构的支持下,已经开始尝试将此项技术应用于专用信息平台建设、装备物资运转和系统控制等多个方面,认为此项技术的分布式、可追溯、不易篡改等特性能够在匿名数据的收集、数据的完整性校验、智能设备的互联通信等多个方面发挥重要作用。同时,目前也有不少国家政府机构对区块链技术的应用仍然保持谨慎的态度,认为此项技术仍然面临着安全保密、应用的通用性等诸多问题。通过介绍和分析政府部门中区块链技术的应用情况,指出目前该项技术在政府部门应用的过程中面临的挑战。最后,针对这些问题,并结合现在学术界已有的工作提出相应的解决方案。 基于.NET平台的插件式船舶工程计算系统应用框架开发 为解决当前国内船舶专业软件落后、船舶专业软件工程师稀缺、纯软件工程师又往往不能胜任船舶专业软件开发任务的难题,以船舶设计和审图专业计算需求为背景,基于.NET平台研发了船舶计算系统应用框架,将主程序类、插件基类、船舶规范校核通用框架类等进行了集成,能降低船舶计算功能插件开发的难度,提高开发效率,使得船舶专业人员经简单的软件培训后即可胜任开发任务。 基于MapReduce模型单点恢复时阻塞问题的解决方法研究 MapReduce分布式编程模型为大规模数据密集型计算提供了重要的应用基础平台。其任务调度模型为单点控制模型,这种模型使得体系结构简单,任务调度易于控制,但同时也存在中心节点失效的问题。在Hadoop系统中,当中心节点失效后,为了使得整个工作集群中的作业不中断,在不同版本的Hadoop中采取了按需同步、恢复历史记录和抛弃三种恢复机制。本文详细分析了这三种恢复机制中出现的数据阻塞、结果一致性和效率下降等问题,并针对MapReduce模型中两种基本任务依赖关系的特点,提出了传递依赖关系信息的同步机制,通过在同步过程中传递任务间已有的依赖关系,有效地解决已有机制中存在的问题。 面向空间应用的FC-AE-1553网络拓扑性能研究 采用OPNET仿真平台,建立FC-AE-1553网络的网络控制器、网络终端和交换机的节点模型以及相应的进程模型,构建仲裁环型和交换型两种拓扑网络。针对某型号空间有效载荷系统的特点,进行网络拓扑性能仿真,测试节点数量和消息长度对网络性能的影响,分析网络吞吐量随着网络负载的变化规律。结果表明:FC-AE-1553网络具备良好的实时性能,其中,仲裁环型网络的传输性能更稳定,适合节点数量较少的场合,交换型网络的实时性能更优,适合节点数量更多的场合。 基于社会性的DTN网络路由算法研究 针对DTN网络中的消息传输问题,结合概率路由算法PROPHET和社会性路由算法SimBet的基本思想,提出一种DTN网络路由算法——ProSimbet。在选择转发节点时,综合考虑基于历史相遇记录的概率估计和节点的社会性这2个指标,从而降低消息转发次数。实验结果表明,在密集数据集INFOCOM06上,ProSimBet、SimBet、PROPHET和ER这4种路由算法的消息成功转发数目比较接近。在稀疏数据集MIT上,ProSimBet在消息转发次数上比SimBet降低26.1%,在消息成功转发数目上比PROPHET提高7.83%。 ICE中间件技术及其应用研究 集散式控制系统(DCS)的仿真需要考虑到实时、稳定和高精度的要求。ICE(Internet Communication Engine)是一种面向对象的中间件平台,具有高度的可扩展性和重用性,为构建复杂的分布式客户-服务器计算环境提供了工具。本文介绍ICE中间件技术的基本概念、应用模型以及它的优势,最后应用于DCS仿真中。 保护边缘及细节的彩色图像滤波算法 为克服常用空间域彩色图像滤波算法不能兼顾去噪和保持图像细节的不足,提出了一种保护边缘及细节的彩色图像滤波算法。将待处理RGB彩色图像分解成R、G、B这3幅分量图像,对于每一幅分量图像分别利用能兼顾去噪和保持图像细节的滤波算法进行滤波去噪,将滤波处理后的3幅分量图像合成为一幅RGB彩色图像。因算法根据待处理像素的不同隶属情况选用了不同的滤波模板进行滤波处理,故在有效地滤除彩色图像中的噪声的同时较好的保护了彩色图像的边缘及细节,弥补了常用空域彩色图像滤波算法不能兼顾去噪和保持图像细节的不足。 基于小波-全相位方向滤波器组变换的多聚焦图像融合 利用全相位方向滤波器组良好的多方向性和平移不变性的特征,提出一种基于小波-全相位方向滤波器组变换的多聚焦图像融合方法。对不同聚焦图像进行小波-全相位方向滤波器组变换,采用加权平均算子对低频系数进行融合,采用局部方差准则对各个方向上的高频系数进行融合,对融合后的系数进行重构,得到高低频比例升高的融合图像。实验结果表明,与其它多聚焦图像融合算法相比,该方法显著减少均方根误差的值,提高峰值信噪比的值,使得融合图像效果好。 基于家庭基站密度的自适应无线资源分配策略 针对飞蜂窝(又叫家庭基站)中干扰严重、资源利用率低等问题,提出一种基于家庭基站密度的自适应无线资源分配策略。通过频率分割抑制宏蜂窝与飞蜂窝间的干扰,依托资源复用和功率控制抑制飞蜂窝之间的干扰,并基于自组织网络技术实现家庭基站接入点(FAP)的自动配置。仿真和性能分析表明,策略在最大限度提高无线资源利用率的同时,基本实现了零干扰,并将系统总吞吐量提高了20%;尤其适用于家庭基站密集或无线资源紧张的应用场合。 基于裂痕故障块的自适应容错路由表算法 基于裂痕故障块的二维网格自适应容错路由算法是一种有效的容错算法,不仅能够解决活锁问题,而且克服了传统故障块模型中状态良好的节点不能参与路由的缺陷,但同时具有明显的缺点:每次路由到以故障块边界节点为根节点的内部树时,都需要遍历此内部树,因此算法的路由长度并不是最短的。针对上述问题,提出基于裂痕故障块的自适应容错路由表算法,其中路由表由裂痕故障块内部树上的节点创建,通过路由表上保留的有用消息决定是否遍历内部树。实验结果证明,随着网格规模的扩大,该算法最大可减少70%的平均路由长度,并且其实现简单,可以有效地延长网络寿命。 基于互联网+的高校能源管理信息系统设计与开发 为了实现高校能源管理的信息共享,提高对高校能源信息管理的智能水平,提出一种基于互联网+的高校能源管理信息系统开发设计方案;系统采用感知层、网络层和应用层的三层结构,采用RFID、条形码、蓝牙、红外等数据信息感知技术进行高校能源信息的原始采集,在网络层通过ZigBee和无线通信技术进行信息融合传输,在控制设备中导入原始数据,在互联网+环境下建立数据处理中心,根据能源管理系统的现实需求进行信息融合和数据存储管理,设计嵌入式控制器对串口、并口、USB端口、以太网口及GPIB接口进行集成控制,在Linux内核下实现高校能源管理信息系统应用程序开发;系统测试结果表明,采用该系统进行高校能源管理,具有较好的信息存储、信息调度和信息检索能力。 基于流形学习的蒙赛尔颜色光谱分析 为描述颜色光谱所需的基本因子数量,从颜色光谱中提取颜色空间的结构,提出不同于传统线性降维的研究方法。从流形学习的视角出发,假设高维的颜色光谱数据位于一个低维的流形中,将颜色光谱分析中的基本因子数量问题和提取颜色空间结构问题,转化为光谱颜色空间内嵌流形的本征维数估计和流形结构分析问题。采用5种不同的流形本征维度估计算法和6种经典的流形学习算法,对蒙赛尔标准颜色样片光谱进行分析。实验结果表明,在光谱蒙赛尔颜色空间中存在三维的嵌入流形,这一流形的几何结构近似圆锥体,与蒙赛尔颜色系统的原始理论一致。 基于横向调度的服务备件物流系统动力学模型与仿真 服务备件物流是一个复杂稳定的系统。从系统动力学的角度分析服务备件物流系统,建立了考虑横向调度和纵向紧急运输情况下,包含一个备件中心仓库和两个备件基层仓库组成的系统动力学模型,检验了其合理性。通过仿真得出以下结论:产品停产期前后,服务备件库存波动加剧,是备件库存管理的敏感期;产品生命周期越短、产品使用年限越长,服务备件库存波动越明显,其库存管理难度越大;各基层仓库服务对象越平均,其协作能力越强,服务备件中心仓库储存库存量越少。 基于卡尔曼滤波的机场噪声预测异构集成策略 为有效解决机场噪声预测问题,提升预测结果的适应性以及准确性,提出一种基于卡尔曼滤波的机场噪声预测异构集成策略。将已有预测方法归纳为两类:时间序列预测和关联分析预测,根据现行噪声标准构建同时适用两类方法的训练数据集;采用卡尔曼滤波对两类方法的预测结果进行优化,将两类方法的结果集成到同一时间维度上对未来噪声进行预测。实验结果表明,该集成策略能将两类预测方法合理结合起来,显著提高预测准确率。 维吾尔语语音识别语料库中的OOV研究 鉴于维吾尔语丰富的形态变化产生大量单词引起的集外词(out of vocabulary,OOV)问题,为了定量研究OOV对维吾尔语语音识别的影响,采用控制语料库测试集OOV的算法及最佳文本挑选算法对不同OOV的测试集进行实验,算法通过Python语言实现。应用该算法进行电话语音库的文本转写,构建了维吾尔语的电话语音库。实验结果表明,该控制测试集OOV的方法能够有效地提高维吾尔语语音识别率。 大型网络系统数据同步设计 "为解决某大型网络系统的多级层次存储结构以及各级数据同步性的要求,提出了一套切实可行又安全可靠的系统设计方案。这套方案分别从数据库设计、数据上报分发应用子系统设计、多级网络方案设计等3个方面进行了探讨,克服了海量数据存储模式和网络传输过程中碰到的""瓶颈""。实验结果表明,该方案满足了""逐级上报、集中存储、统一管理、按需共享""的数据同步要求。" 改进的三维Otsu图像分割快速算法 针对三维Otsu图像分割算法运算量大和图像细节分割不够准确的问题,提出一种改进的三维Otsu图像分割算法。该算法基于灰度-邻域平均灰度-梯度的新的三维特征模型,构建三维直方图;将三维Otsu分解为三个一维Otsu;在同时考虑类间距离和类内距离的基础上,提出一种新的最大散度差准则。实验结果表明,该算法不仅可以取得较好的分割效果,而且计算量较小,比三维Otsu阈值分割递推算法快900倍左右。 基于多Agent的某型导弹故障诊断系统任务规划研究 针对基于多Agent的故障诊断系统任务规划问题,研究并给出了该系统任务描述方式和基于系统结构的任务分解方法以及针对诊断的任务分配方案;将诊断Agent的领域知识库建立在该武器系统3个分系统下的各基本结构级上,该方案综合考虑了故障的位置、参数类型和故障诊断率等信息,分配具有针对性,使系统基本实现了故障诊断任务的智能分配;同时这种分配方式的可扩展性、可修改性和灵活性较强,该方法在基于多agent的某型车载导弹故障诊断系统中得到了很好的应用。 有效的强安全组群密钥交换协议 组合公钥密码(CPK)体制无需证书来保证公钥的真实性,克服了用户私钥完全由密钥管理中心生成的问题。基于CPK设计了一个常数轮的组群密钥交换协议,该协议在CDH假设下可证安全并具有完美的前向安全性,只需两轮通信即可协商一个组群会话密钥,在通信和计算方面都很高效;并且高效地支持组群成员动态加入/离开,尤其对于多成员加入/离开的情况,只需额外的少量通信和计算即可更新组群密钥,确保了前向保密性和后向保密性。此外,本协议提供了强安全性保证,它能保持密钥的秘密性,除非某一方的临时私钥和长期私钥同时被泄露。最后,该协议提供了一个设计常数轮强安全组群密钥交换协议的方法,大部分的秘密共享体制均可直接应用于该协议。 一种改进的快速指纹预处理算法 对指纹预处理全过程进行系统研究,在满足预处理效果的前提下,针对指纹识别系统的实时性要求,讨论了有效的预处理算法。在指纹图像的细化方面,针对传统细化方法的不足,提出了改进的快速细化算法。先对图像利用快速细化算法进行全局细化,再对对角线方向采用深度优先搜索,解决细化不彻底的问题。搜索过程中,采用自动阈值滤除毛刺和短脊,为后面的特征提取工作做好了准备。实验表明,改进的指纹细化算法,在细化效果和速度上都有了明显的提高。 导航星座中的载波相位星间精密测距技术 卫星导航系统受到越来越多国家的重视,而自主导航无疑是其中的核心技术之一。基于24/3/2构型的MEOWalker星座,分析了伪码测距技术的原理与局限性,在此基础上探讨了载波相位测距技术,以及其中周跳的检测与修复、整周模糊度求解问题,结合星间链路特点提出了两种相应的解决思路,即载波相位平滑伪码测距技术、双频载波相位联合伪码测距技术,对研究具有自主导航功能的全球导航系统具有一定意义。 社交网络中角色活跃度的好友推荐 "针对社交网络环境中,为用户推荐哪类好友会使用户更容易采纳问题,提出一种社交网络中基于角色活跃度的好友推荐方法,该方法结合了社交网络环境中不同社群(团队)拓扑结构形成的社群角色同社群中同样角色不同用户行为形成的角色活跃度差异和用户兴趣做好友推荐.首先通过文本相似性为用户寻找兴趣的社群,然后利用E-GARGO模型构建了社群拓扑结构中角色活跃的定义,并给出了活跃度计算方法,根据计算方法为目标用户推荐活跃度在Top-N的好友推荐列表.在构建的推荐机制上,以学者为交互背景的科研社交网站""学者网""为应用背景,通过网页问卷调查的方式得出好友推荐平均准确率比原网站有所改善,并且以5分制的意见采纳度做考察中得出了平均值为4.3030和4.5152的采纳度." 基于软件定义网络的IaaS虚拟机通信访问控制方法 针对云计算基础设施即服务(Iaa S)平台所面临的虚拟机网络通信访问控制问题,提出了一种可适于Iaa S平台的虚拟机通信访问控制方法。该通信访问控制方法基于软件定义网络(SDN),实现针对虚拟机通信的L2~L4层访问控制。实验结果表明:该通信访问控制方法能够有效实现对租户虚拟机通信的灵活访问控制,保障Iaa S平台中租户网络的安全。 基于不连续间歇耦合的复杂动力网络的同步 不连续脉冲动力系统的解空间相对于一般的连续或离散的动力系统更为复杂,其理论分析极具吸引力和挑战性。基于动力系统的脉冲控制理论和Lyapunov函数方法,给出了复杂动力网络的一个简单而又一般的同步化准则。主要特征是所考虑的网络动力节点仅在一系列不连续时刻存在耦合,进一步将所得结果应用于由混沌Duffing振子为动力节点所构成的一个具有邻近耦合结构的动力网络,仿真结果表明了所获结果的正确性。 基于自步学习多元回归分析 针对现有多元回归模型没有充分考虑离群训练样本的影响而导致模型泛化能力差的问题,提出一种结合自步学习和稀疏属性选择的多元回归分析方法。通过自步学习理论优先选择高置信度的样本来训练初始属性选择模型,依次加入次高置信度的训练样本增加初始选择模型的泛化能力,直至增加的训练样本使泛化能力减弱或者所有训练样本被用完。用选择的属性进行多元回归分析,提高算法效率和效果。6个公开的数据集上的实验结果表明,该算法在回归分析中得到的结果优于对比算法。 基于层级的水下传感器网络自适应地理路由协议 针对水下传感器网络(UWSN)需要专门的路由协议满足适应性、鲁棒性、高能效和能量均衡等要求,提出了基于层级的UWSN自适应地理路由协议LB-AGR,不同流量采用不同路由决策,根据节点层级、剩余能量、节点密度和位置信息,为候选下一跳节点计算复合转发因子,从而确定最佳路由,并将上行流量单播传送,减少了碰撞和能耗。仿真表明,LB-AGR在降低能耗、缩短端到端延时的同时,延长了网络生存期。 多噪声环境下可懂度提升的助听器语音增强 为改善助听器等设备在嘈杂环境中的使用效果,提出一种基于频率宽带波束形成后置改进维纳滤波的语音增强方法。利用频域宽带最小方差无畸变响应(MVDR)波束形成在对双耳正前方的语音进行增强的同时,抑制其它方位噪声,将增强后信号用改进的维纳滤波算法进一步处理。仿真过程采用实际双耳采集数据,仿真结果表明,该语音增强方法可在多噪声和低信噪比环境下取得良好的可懂度提升,获得相对较好的语音增强效果。 广义SOM及其在人脸性别识别中的应用 将适用于欧氏空间的经典SOM模型,借助于黎曼指数及对数映射,推广至一般黎曼流形空间中,得到了广义SOM模型(G-SOM),给出了G-SOM模型的序列及批量学习算法.G-SOM能够在输出空间中保持模式在输入空间中的内蕴拓扑邻域特性,因此,当输入空间为非线性流形时,G-SOM的性能理论上将优于SOM.FERET人脸图像库上的性别鉴定实验支持了理论结果. 交通信息采集中WSN拥塞控制算法研究 为了提高交通信息采集系统中无线传感网络数据传输可靠性和实时性,提出一种高性能拥塞控制(HPCC)算法。针对单一拥塞检测无法准确预测网络负载情况问题,该算法根据队列占用率和拥塞持续时间定义拥塞预知状态指数,并通过对拥塞状态指数的判断,来准确预测拥塞趋势。为了避免最优路径失效导致网络瘫痪的问题,该算法基于TOPSIS理论思想构建选择模型,在拥塞节点周围建立临时最佳路径进行分流调节并将节点拥塞程度、剩余能量、距离原路径跳数以及信道接入率作为下一跳节点选择依据。实验结果表明,该算法能有效准确地检测拥塞,减小延迟,降低了网络能耗,保证数据的逼真度。 协议无感知转发环境下的内容传输策略研究 CCN(内容中心网络)为最受关注的下一代网络架构.CCN的网内缓存能够确保内容的高效获取,并提高重传效率.基于POF(协议无感知转发)实现了网络层的内容路由与缓存,对pull传输机制提出可靠性改进,同时基于网络节点信息和丢包率来决定缓存时间,构建CCN网络的差异化缓存机制,实现内容的有序缓存.仿真结果表明提出的策略可以提高内容分发性能. 网络1-重心反问题的计算复杂性研究 在网络中顶点的权值可以改变的情况下,对哈明距离下以及l1模下1-重心问题的反问题进行研究。通过将哈明距离下网络1-重心问题的反问题归约为0-1背包问题,证明即使是在链式网络中,在哈明距离下该问题仍是NP困难的,并给出l1模下在一般网络中求解1-重心反问题的多项式时间算法。 基于Impala的大数据查询分析计算性能研究 分析了Cloudera公司推出的Impala实时查询引擎原理与架构,并深入比较Impala与传统MapReduce的性能与特点,针对Impala进行复杂大数据处理方面的不足,提出了MapReduce与Impala结合的大数据处理方法,通过使用MapReduce对Impala的输入数据进行预处理,利用MapReduce在复杂作业处理方面的长处弥补了Impala在这方面的不足。最后对电信手机上网日志进行大数据查询和分析计算实验,实验结果表明,在大数据查询性能方面,基于MapReduce与Impala结合的大数据处理速度比传统MapReduce快了一倍。特别地,在迭代查询实验中,基于MapReduce与Impala结合的处理方法超过传统MapReduce方法八倍以上。基于MapReduce与Impala结合的处理方法在单次查询中的效率仍然高于传统MapReduce;而在迭代查询中,MapReduce与Impala结合的处理方法远远地超过了MapReduce。因此,MapReduce与Impala结合的处理方法能够发挥Impala和Hadoop各自的优点,让处理效率远超传统MapReduce,对于复杂的大数据处理的能力高于Impala。 新型非易失存储研究 近年来,由于处理器性能和存储性能之间的差距不断扩大,存储系统成为计算机整体系统性能提升的瓶颈.随着微电子技术的迅速发展,新型非易失存储器件由于具有非易失、低能耗、良好的可扩展性和抗震等优良特性,得到了学术界和工业界的广泛关注.介绍了4种新型非易失存储器件,分别是STT-RAM,RRAM,PCRAM和FeRAM,对比了其与传统存储器件的性能参数.讨论了目前在存储架构中的不同层面(即缓存层、主存层和外存层)针对这些非易失存储器件的利用所开展的一些探索性工作,并分析了其中针对非易失存储器件的写次数有限、读写性能不均衡等不足所作出的一些策略设计.最后,对新型非易失存储器件的研究现状进行了总结,并提出了未来可能的发展方向. 基于QoS的光网络路由选择算法的研究 满足数据业务QoS是网络发展的必然要求,现行数据疏导技术更多考虑是提高带宽利用率,对QoS中其他指标如时延、丢包、吞吐量等研究甚少。提出了基于QoS的路由算法是在现有理论基础上综合考虑了QoS的其他指标。算法测试结果表明,主/备用LSP的路由选择更好地满足了数据业务的传输需求。 基本初等函数的保密云计算服务协议 目前云计算已经成为解决很多问题的一个有力平台,同时也带来了大量的安全隐患。其中,关于基本初等函数的保密计算是所有云计算的基础和核心。提出了所有基本初等函数的保密云计算服务协议,其基本思想是将原始数据做变换后,把计算复杂部分发给云平台,通过云计算将结果反馈给接受服务方,从而保密地计算相应函数。通过广泛接受的模拟范例证明了协议的安全性。协议中接受计算服务方可用很少的计算资源解决复杂的计算问题,保证了较低的计算复杂度和通信复杂度,因此提出的协议是有效可行的,可以成为云保密计算中的基础子协议。 基于动态熵值的随机数生成方法 研究加密系统安全问题,一般软件生成随机数算法都存在递推函数固有的相关性,使得其生成的随机序列值离散度不高,难以运用于信息安全领域。利用二进制生成空间来构造随机序列;在以二进制空间为缓冲区域的基础上,引入了‘调用间隔’和‘负载中断’,根据系统自身的动态熵值参数,来减小生成函数固有的相关性,提高了输出随机序列的离散度。并在算法中增加了后台间断性注入数据和跳跃读取数据的方法,实现了随机序列生成,并据有高密度特性。经仿真测试表明,方法所生成的随机序列的质量较传统方法更高,输出方式也更加丰富,能够应用于信息安全的领域。 具有模糊时间窗的有容积约束车辆调度优化问题研究 针对现实配送过程中存在的时间参数模糊化与车辆容积限制问题,利用梯形模糊代数、有符号距离和区间数距离公式,构造出一种较高精度的提前/滞后惩罚函数,在此基础上给出了一种以最小化服务点提前/滞后惩罚、最小化配送总里程以及最小化配送车辆数量为目标的、具有模糊时间窗的有容积约束配送车辆调度问题模型。在问题求解方面,结合粒子群算法和遗传算法,引入遗传算法思想对粒子进行交叉、变异操作,给出了一种基于遗传操作的混合粒子群算法,以解决基本粒子群算法容易陷入局部最优的问题。仿真实验表明,该算法具有可行性和有效性。 参与者有权重的多等级秘密共享方案 为解决实际应用中同时涉及参与者权重和数据保密级别的问题,基于中国剩余定理提出一个参与者有权重的多等级秘密共享方案。该方案在考虑参与者权重的情况下,利用多秘密共享的思想,通过一次共享过程便可并行恢复多个不同等级的秘密。在方案的执行过程中,每个参与者的秘密份额可以重用,同时能有效地防止外部攻击和内部欺骗。分析结果表明,该方案是一个安全、实用的秘密共享方案。 基于神经网络的轨道车辆振动预测 车体振动加速度是反映车辆振动状态及轮轨接触品质的重要参数;基于NARX神经网络,结合车辆动力学模型,构建了轨道不平顺激励下的车辆振动加速度神经网络预测模型;为提高模型的预测精度,运用遍历法确定了网络的时延阶数、隐节点等模型参数;基于车辆系统SIMPACK模型仿真数据的验证结果表明,模型输出与目标输出具有较高的相关性以及较小的均方根误差值,模型能够较好的预测出车体振动加速度的变化趋势;最后采用实测数据进一步验证了模型的预测性能,证明了构建的神经网络模型可以准确预测车体振动加速度输出,并有良好的泛化效果。 关于切触有理插值问题的一种分段方法 将插值节点进行分段,利用分段Hermite插值多项式及相应的多项式,采用线性组合方法得到一般切触有理插值函数的表达式,还可方便地给出无极点的切触有理插值函数的构造方法。通过引入参数方法,给出设定次数类型的切触有理插值问题有解的条件,证明了解的存在唯一性,并给出误差估计公式。实例表明所给方法具有直观、灵活和有效性,便于实际应用。 综合模块化航电软件系统测试方法研究综述 现代飞行器系统中开始广泛采用综合模块化航电IMA(Integrated Modular Avionics)体系架构,IMA中软件系统的高质量要求对传统的航电软件测试方法提出了新的挑战。对目前基于ARINC653的IMA软件的系统级测试中的基本测试方法进行了综述分析,主要内容包括:首先给出了基于ARINC653的IMA体系结构描述,并对其架构中最重要的系统可配置性进行了分析;然后阐述了总的系统测试策略,以及不同级别的IMA软件系统测试过程;最后简要说明了IMA系统测试环境的通用要求。 一种信息呈现方式及其搜索效率的眼动研究 "针对人机界面信息搜索效率的问题,引入了一种分次呈现所有信息的方法。利用Eye-link2000自带软件Experiment-Builder编制实验程序分次呈现由字母""T""及其旋转后组成的刺激,获取被试搜索靶子过程中的扫视次数。借鉴之前文献中的评估方法对数据进行处理,结果证实了分次呈现所有信息能够有效提高信息的搜索效率,但在不同条件下,搜索绩效提高的程度不一致。" 一种无线公交车载网络MAC协议的设计及性能分析 为公交车乘客提供高质量的Internet服务,可以让其在乘车过程中享受娱乐甚至工作,进而大大提高乘客的生活质量.基于此,提出一种无线公交车载网络MAC协议——BusMAC,支持公交车乘客的Internet访问.该协议基于改进的公交车载网络结构,可以大大减少由于公交车上多个用户同时发起产生的大量访问冲突.BusMAC协议基于超帧结构,结合动态竞争机制和捎带机制可以大大减少公交车网络的通信瓶颈.通过建立请求竞争访问模型和数据调度访问模型,对BusMAC协议的性能进行了分析.大量仿真实验结果表明,BusMAC与传统结构及协议相比可以获得相当好的性能,且更加适合于公交车通信.真实移动性场景下的实验说明了该协议在实际系统部署中的可行性. 基于阶梯式GPC控制器的过热汽温自动控制系统设计 针对火电厂机组存在的过热汽温控制品质差、易超温问题,依据单神经元自适应控制器具有自适应能力强、鲁棒性高和系统响应快的特点,文章提出了基于阶梯式GPC控制器的过热汽温自动控制系统。仿真实验结果表明该控制策略改善了大滞后、多干扰系统的控制特性,体现了较强的鲁棒性与较高的稳定性,具有良好的控制品质。 一种超轻量级RFID双向认证协议 开放的无线通信环境,尤其是阅读器和标签间的无线信道,使得无线射频识别(RFID)系统的安全和隐私问题逐渐成为值得关注的焦点,因此设计抗各种恶意攻击和安全威胁的超轻量级RFID认证协议是非常必要的。针对低代价标签提出了一种新的超轻量级RFID双向认证协议,该协议避免了已有RFID认证协议存在的安全隐患。安全分析表明新协议具有较强的安全和隐私属性,并且能够抵抗各种可能的恶意攻击。根据低代价RFID标签资源受限的需求,新协议仅需要在标签上执行两种简单的比特位操作,与其他超轻量级RFID认证协议相比具有更好的性能优势。 基于项目相关度的STI新群体冷启动推荐方法 针对推荐系统中相似偏好用户数量较少情况下的一类新群体冷启动问题开展研究,基于多元相关分析,对传统的尺度与平移不变(Scale and Translation Invariant,STI)的协同过滤推荐方法进行改进,提出一种基于项目相关度的STI推荐方法,以应对推荐系统中的新群体冷启动问题.在此基础上,基于Movie Lens数据集对所提出的方法进行了性能分析,结果表明,所提出的方法较Pearson方法及ST1N1方法在解决新群体冷启动推荐的过程中具有更高的推荐准确率. 基于安卓平台的多云存储系统 针对云存储中存在的一系列的安全问题,提出一个基于安卓平台的多云存储系统。首先,系统采用了加密机制来保证数据的机密性;然后切片数据,使用纠删码编码以实现容错能力;最后将多个数据块分散存储到不同的云存储服务提供商来解决厂商锁定的问题,确保服务的可用性。对于多服务商的安全认证问题,设计了基于加盐方法的令牌本地保护机制。针对安卓设备计算能力较弱的问题,实现了一种多级安全机制,利用不同加密、编码方式的组合,满足不同用户对于安全性和数据处理速率的权衡;并对关键操作如加密、编码进行了单指令流多数据流(SIMD)并行优化,进一步提高读写性能。实验结果表明,安卓多云存储系统实现了用户数据的云端安全存储,并提供了满意的读写吞吐率。 公路长途旅行最佳化中转接续规划方法研究 公路旅行最佳化承受多种因素制约,它同铁路、民航、水运一起构成本领域现代化的主要标志,因此深入研究并切实解决各类难题意义重大。在揭示妨碍公路长途旅行中转接续最佳化关键难题基础上,结合自顶向下逐步求精分层递阶体系结构的优势论证,给出了建立于区域穿越、路线、路段与班次、中转接续三大主体规划模块之上的实验系统框架结构。阐述了此间面临的公交班次地理变迁时序逻辑,以及班次途径约束下的道路汇合点、汇合点连接关系模型抽取。 IEEE Transactions on Services on Computing [正文]Aims and Scope:Services computing provides a foundation to build software syslems and applications over the Inlernel,and emerging hybrid networked platforms motivated by it.Due to the open,dynamic,and evolving nature of the Internet,new featureswere horn 基于云模型以约束为中心的复杂系统定性建模 针对以约束为中心的复杂系统仿真中缺乏有效的不确定性信息描述方法,导致仿真中不确定性信息不能充分利用的问题,借鉴和采纳云模型的相关理论和方法,研究了以约束为中心基于云模型的复杂系统定性建模方法。首先提出了仿真中基于云模型的不确定信息表示方法、基于云模型和群体专家决策的量空间构建方法;然后给出了基于云模型的系统定性约束方程的构建方法;最后将提出的建模方法应用于一个敏捷供应链的建模实例。结果表明提出的建模方法具有客观表达不确定信息、将定性与定量信息在仿真中相互融合的优点。 管壳式换热器设计软件开发 为提高管壳式换热器设计效率,以C#程序语言为平台,基于换热器壳侧传热性能指标/△1/3的优化设计开发了管壳式换热器计算软件,并用Excel生成计算报表。该软件包含传统弓形折流板换热器及一种新型换热器——三分螺旋折流板换热器的设计与校核计算。将实验数据与软件设计数据比较,软件设计有一定的优化效果;将实验数据与校核数据比较,软件计算准确性较高,可供工程设计及类似软件开发借鉴。采用软件计算了两种换热器的性能参数,结果证实了三分螺旋折流板换热器的优势。 基于小波变换的车辆牌照定位研究 车辆牌照的准确定位是智能交通中车辆牌照识别技术的关键,提出一种基于小波变换的车牌质心定位方法,该方法可以很好地解决复杂背景与光照下的车牌定位。经过小波分析的车牌图像利用数学形态学进行车牌特征提取,对特征提取后的车牌图像采用连通区域质心的方法对车牌进行定位,最终得到车牌的准确区域。实验结果表明,该方法能够实现车牌的快速准确定位,是一种有效的车牌定位方法。 基于Portlet的个性化门户系统组装工具研究与实现 针对现有门户系统开发中缺乏流程控制,以及企业门户系统的更新维护费用高、开发速度慢等问题,文中提出了一个基于流程的企业门户信息系统参考模型,并基于此模型设计开发了一个基于portlet的门户系统组装工具,详细介绍了实现工具的体系结构、基于XML的构件封装方法、门户页面展现和组装等关键算法.利用该工具,用户可以方便的从portlet库中选择不同类型、不同功能的构件,通过点击或者拖拉的方式方便的组装企业门户系统,大大提高了开发效率. 描述逻辑FL0的包含推理及其保守扩充 本体作为知识库表示知识已经成为计算机理论与应用的研究热点.在描述逻辑中,将本体看作一个逻辑理论,一个本体被形式化为给定的描述逻辑系统的一个Tbox.本体是动态的实体,为了适应新领域的发展,需要对原始本体进行扩充.但是扩充后的本体与原始本体是否保持逻辑一致性是目前研究者们所关注的焦点.在Lutz等人研究的基础上探究的保守扩充问题.首先构建了的典范模型,将包含推理问题转换为典范模型的模拟问题;其次由典范模型之间的最大模拟是多项式时间复杂的,证明了的包含推理是多项式时间复杂的;最后给出描述逻辑的保守扩充及其判定算法,证明了的保守扩充的判定算法是指数时间复杂的. 基于高校学生综合素质测评数据预测职业发展方向的案例研究 在高校学生就业指导问题的研究中,利用教学管理过程中积累的大量数据,采用数据挖掘方法为指导工作提供客观依据已经成为一种广泛认可的方式。本文针对目前数据维度单一、数据分析方法简单、数据应用不够深入的问题开展案例研究,基于近5年教育部某直属师范院校学生综合素质测评数据,使用分类规则、关联规则等方法,通过灰色预测算法建模对学生的职业发展方向进行预测,为高校学生管理工作者的工作施行提供客观依据。结果表明该方法的预测精度最高可达81.82%。 利用带感知能力的粒子群算法求解约束优化问题 提出一种求解约束优化问题的改进粒子群优化算法。它利用可行性判断规则处理约束条件,更新个体最优解和全局最优解。通过为粒子赋予自适应感知能力,算法能较好地平衡全局和局部搜索,且有能力跳出局部极值,防止早熟。边界附近粒子的感知结果被用来修正其飞行速度以加强算法对约束边界的搜索。实验结果表明,新算法收敛速度快,寻优能力强,能很好地求解约束优化问题。 微量热法拟合分析石榴皮有效组分的抗菌活性 采用高速逆流色谱法分离纯化石榴皮乙醇粗提物中的有效组分,在分析型高速逆流色谱上对分离参数进行了系统优化,并放大至制备型高速逆流色谱。从5种溶剂体系中,确定了正丁醇-甲醇-水(55:1:45,v/v)为最佳两相溶剂体系。在流动相流速2.0 m L/min,主机转速850 r/min,进样量150 mg条件下进行分离制备,获得3个峰(组分I、II、III)。通过微量热法测定了不同浓度的组分I、II抑制类志贺邻单胞菌Sh1生长代谢的热功率-时间曲线。利用软件Origin8.0,根据Logistic方程拟合出各浓度下细菌的生长速率常数k,并建立了生长速率常数与药物浓度的关系,进而计算出两组分的半数抑菌浓度分别为2.16、2.68mg/m L,以期为进一步研制石榴皮抗菌剂及临床应用提供科学理论依据。 GLONASS接收机关键技术研究与实现 针对GLONASS卫星导航系统特殊的信号体制和独特的电文编码方式,首先设计了GLONASS接收机中信号的捕获、跟踪及同步等步骤的控制策略和实现方法,接着重点研究了GLONASS卫星位置的计算方法,提出了利用4阶Runge-Kutta数值积分外推法结合切比雪夫多项式拟合法计算卫星位置。经验证该方法可以准确计算出卫星的瞬时位置,而且相比单独使用数值积分法节省了计算时间。最后给出接收机定位结果并作误差分析。 基于SCA的SHP组件动态部署方案 在软件通信体系结构(SCA)中,调制解调级硬件抽象层(MHAL)规范不支持专用硬件处理器(SHP)中组件的动态部署。为此,提出一种基于SCA的SHP组件动态部署方案。介绍MHAL通信机制,设计硬件装配描述文件和硬件组件描述文件,在此基础上实现动态部署。分析结果表明,该方案能实现波形开发和部署阶段软件与硬件的分离,增强SCA对SHP的兼容性。 Xenomai平台下基于以太网的实时通信方案 分析Xenomai平台下实时通信的要求,提出一种基于以太网的实时通信解决方案。将以太网网卡驱动从Linux内核迁移至Xenomai实时内核,采用时分多址的介质访问控制机制,对各个节点的数据帧发送时刻进行控制,利用多路分解方法解决线程和数据包的优先级倒置问题,采用零拷贝技术减少数据包穿越协议栈时的复制次数。实验结果表明,该方案能实现Xenomai平台下任务间的实时网络通信。 基于GM-QPSO算法的数据库查询优化 针对量子粒子群算法解决数据库查询优化问题存在缺陷,提出一种高斯变异量子粒子群算法的数据库查询优化方法(GM-QPSO)。首先将遗传算法的变异算子引进量子粒子群优化算法,使得粒子在近似最优解附近变动提高全局搜索能力,然后将其应用于数据库查询优化问题求解,最后通过仿真实验对GM-QPSO的性能进行测试。结果表明,GM-QPSO加快了数据库查询优化求解的收敛速度,获得了质量更高的查询优化方案。 基于活动图的C~4ISR能力需求过程建模及验证 当前对C~4ISR系统能力需求的描述大多基于图形、文字等静态模型,对信息和数据的具体操作没有进行定义,以至于对象之间的行为过程没有详细说明。缺乏可执行动态语义的能力模型是不可执行的,因此提出了一种基于活动图的能力需求过程建模方法,为可执行体系结构的建模仿真提供支撑。首先给出了系统过程模型的定义,在C~4ISR系统能力元概念模型的指导下,通过扩展UML活动图得到系统的能力需求过程元模型。然后用本体表示能力需求过程元模型语义,通过对本体的逻辑推理实现对C~4ISR系统能力需求过程元模型的验证。 基于拥堵指数的改进蜂群算法在DVRP中的应用 城市道路在不同时段、突发情况下的通畅程度存在较大的差异,对物流成本的影响已不容忽视.静态车辆路径规划不能反应路况的变化,因此本文引入分时段的拥堵指数,以总成本最小为目标函数,并采用规避高峰期区域的种群初始化算法,构造出改进的蜂群算法.实验结果表明,采用改进蜂群算法进行路径规划,由于能回避拥堵路段,因此虽然不是最短的运输路径,但却能实现更低的总体运输成本. Javigator:Java代码导读及分析管理工具的设计 为克服阅读理解源代码的困难,根据现有的软件开发辅助工具的特点,对源代码导读和管理工具进行了深入的分析和研究。针对业界大量使用的Java语言,设计并实现了一个旨在以Java源代码导读为主、并具代码抽取等分析管理功能的交互式软件开发辅助工具Javigator。作为Eclipse的插件,能帮助开发人员快速地阅读理解Java源程序,并支撑对关注代码的快速测试及代码重用。Javigator使用灵活方便,可明显降低开发中理解及管理源代码的难度,提高开发的效率。 一种改进的自适应高斯混合模型实时运动目标检测算法 高斯混合模型被广泛应用于摄像机静止条件下运动目标检测的背景建模。针对传统高斯混合模型中对光照变化适应性差及学习率单一等问题,提出了一种光照变化检测及学习率更新的方法,以达到自适应更新背景模型的目的。提出利用颜色直方图匹配算法,通过引入光照变化因子以及模型参数更新计数器对学习率进行自适应的调整,并通过对描述模型分量个数的自适应选择减少了计算时间,增强了系统的实时性。实验结果表明,该方法能快速有效地适应场景的变化,比传统高斯混合模型具有更好的鲁棒性与稳定性。 灰色神经网络在股票价格预测中的应用 研究股票价格预测问题,股票价格具非线性和不确定性变化规律。传统单一模型只能反映股票价格部分信息,预测精度不高。为了提高股票价格预测精度,在分析股票价格变化特征基础上,提出一种灰色神经网络的股票价格预测方法。首先采用GM(1,1)模型对股票价格进行预测,捕捉其线性、灰色变化规律,然后采用BP神经网络对GM(1,1)预测残差进行建模预测,捕捉其非线性和不确定性变化规律,最后两者结果相加得到股票价格最终预测结果。将灰色神经网络用于浦发银行(60000)股票收盘价为例预测,结果表明,相于传统预测模型,灰色神经网络提高了股票价格预测精度,更能全面挖掘股票价格变化规律,在股票价格预测中具有广泛的应用前景。 InfiniBand高速网络技术在地震勘探中的应用 通过:InfiniBand网络技术在组建地震勘探高速网络中的应用,分析IB网络目前具有的诸多特点和组建地震勘探高效网络的优势,阐述IB网络前沿技术在地震勘探中的应用前景。InfiniBand作为高速长连接技术,在高速计算机网络组建方面发挥了独特优势,同时也推动了高速网络技术的发展。基于QDR的40GB InfiniBand网络技术作为目前主机到交换机最高效的组网技术在高性能集群系统系统中被普遍使用。基于FDR的新一代56GB InfiniBand互联技术己超过下一代16GB FC技术,给存储到主机的连接方式带来了新的进展,对存储互联技术产生了深远印象。自适应交换机实现了交换机和网关的融合,解决了InfiniBand网络QDR、FDR以及40GB,10GB以太网络的无缝连接。在高性能计算中心内通过InfiniBand网络将不同架构的计算机群环境整合到一起,实现了应用资源的共享,解决了大数据时代的高速连接。 基于移动设备的掌纹验证系统 针对掌纹识别在智能移动设备上的应用,提出一种掌纹识别算法,并嵌入到联想ET980智能手机,开发基于移动设备的掌纹验证系统。以新的方式采集掌纹图像并给出相应的预处理算法。使用优化的Gabor判别方法提取掌纹特征,减少特征提取的时间。系统验证一张掌纹图像的时间小于1s,同时可获得EER=3.89%的识别精度,满足验证系统的实时性和精度要求。 基于非负邻域嵌入和非局部正则化的单帧图像超分辨率重建算法 单帧图像超分辨率重建是指利用一幅低分辨率图像,通过相应的算法来获取一幅高分辨率图像的技术。提出了一种基于非负邻域嵌入和非局部正则化的单帧图像超分辨率重建算法,以弥补传统邻域嵌入算法的不足。在训练阶段,首先对低分辨率图像预放大2倍,以保证在放大倍数较大时,高、低分辨率图像块之间的邻域关系也能得到较好的保持;在重建阶段,使用非负邻域嵌入来有效地解决近邻数的选取问题;最后利用图像块的非局部相似性构造非局部正则项对重建结果进行修正。实验结果表明,相对于传统算法,本方法的重建结果纹理丰富、边缘清晰。 Java-In-A-Box设计思想及在嵌入式POS公共基础模块中的应用 提出JIAB设计思想使Android适应于大规模应用程序的开发。JIAB通过对Android平台内置应用程序组件进行重新封装,使其在界面显示、业务逻辑、数据存储的权责更清晰。利用JIAB思想设计并实现了零售终端系统的公共基础模块。实践证明,JIAB思想适合在Android平台上进行大规模应用程序的开发。 旅行商问题的一种高效近似算法及其排考应用 "要为解决现实应用中的类似于小规模旅行商问题的组合优化问题,提出了一种新的近似算法——群体竞争搜索算法(PCS).使用了一种新的局部搜索算子2e/1p-opt,并按照""搜索-选优-变异-搜索""的迭代策略设计了群体竞争搜索算法.与CLK算法进行了比较,对于280城市以下的旅行商问题,在计算速度和计算结果两方面都有较好表现.将高校排考中的场次排序优化问题转化为TSP并用算法求解,能在1秒内得到大幅改进的结果." 一种链状数据结构细粒度自动加锁方法 细粒度锁在并行编程与并发软件设计中起着重要的作用.然而,细粒度锁对程序员具有较高的要求,通常在设计细粒度锁并发程序时会带来算法复杂,编程困难,程序易出错等问题.提出一种链状数据结构的细粒度加锁方法,并实现自动转换含有形状和共享标注的程序为细粒度锁代码的翻译器,为了使生成的代码具有无死锁,引入了一致性加锁协议,实验结果表明,基于本文方法能够自动为链状数据结构操作添加细粒度锁,生成的代码具有较高的并发性. 基于Hadoop云计算平台的车牌识别 针对单机车牌识别系统易受硬件的限制,导致其硬件升级困难,最新的优秀识别算法难以应用以及极端环境下的识别率不理想等困境,提出了基于Hadoop云计算平台的车牌识别系统。分别设计了基于HSV空间模型的颜色分割与Sobel算子相结合的车牌定位算法,以及基于神经网络的字符识别算法。在研究Hadoop云计算平台架构的基础上,为了将车牌识别算法应用于Hadoop云计算平台,对MapReduce数据流及Hadoop云计算平台的系统架构进行了设计。通过搭建Hadoop云计算平台,将车牌识别算法成功应用于Hadoop云计算平台,并对基于Hadoop云计算平台的车牌识别系统进行了相关测试。实验结果表明,Hadoop云计算平台在识别海量车牌数据时,由于其良好的分布式运算特点,使车牌识别的效率和性能远胜于单机。 三维动漫场景实时性漫游优化设计仿真 由于影视动漫作品要有适合的场景,需要对三维动漫场景进行实时漫游。采用传统的方法进行场景实时漫游时,场景信息描述不直观,且三维动漫场景特征多样,导致实时漫游局限性大、耗时长的问题。提出基于视点纠正的三维动漫场景实时漫游方法,先以动漫场景所表示的三维空间为观察目标,对三维图像轮廓进行提取,并对动漫场景重叠区域进行融合处理,通过映射关系对以视点为中心的三维区域进行实时校正,计算三维虚拟空间全景图每一个像素,将照相机坐标系下坐标按透视投影成像规律变换为照相机视平面上坐标,获取新动漫场景视图的像素坐标,以此为依据完成对三维动漫场景实时漫游。仿真结果证明,所提方法实时漫游精确度高,可以确保动漫场景构建的合理性。 基于噪声模型和特征联合的PS图像与隐写图像检测 为了有效区分PS图像(经过常见图像处理操作得到的图像)和隐写图像,提高隐写检测的正确率,该文分析了隐写和PS这两类操作不同的噪声模型,并给出了一类基于图像噪声模型和特征联合的检测算法.该算法基于小波分解和小波滤波,分别得到待检测图像的小波系数子带和噪声小波系数子带,从这两类子带中分别提取直方图特征函数绝对矩,并将这两部分统计矩联合作为特征,最后采用BP神经网络分类器进行图像分类.在特征选取方面,文中对两类常用典型特征:概率密度函数矩和特征函数矩,基于高斯分布模型证明了对噪声小波子带系数,提取特征函数绝对矩优于概率密度函数绝对矩.基于LSB、LTSB、SLSB、PMK等隐写图像和锐化、对比度增强、添加标签等类型PS图像的实验表明:该算法能够有效区分原始图像和非原始图像,并能对PS图像和隐写图像进行较为可靠的分类检测. 基于正交实验的蚁群算法在车间调度问题中的应用 提出用正交实验的方法来设置蚁群算法在求解车间调度问题的参数。蚁群算法在求解车间调度问题时的性能大部分依赖于参数的设置,各参数的值不同,则蚁群算法的收敛速度和得到的解也不同,使用正交实验的方法来测试各个参数对蚁群算法性能的影响,通过对实验结果的分析可得出参数的最佳组合方案。用经典的JSP的样例对这种组合方案进行了测试,实验结果表明用正交实验法得到的蚁群算法的参数设置方案可以加快算法的收敛速度,使算法能够得到问题的最优解或近似最优解。 一种能够描述可信特征的进程代数 针对可信服务计算需要对系统行为和可信特征进行建模和分析的要求,结合具有描述多个维度可信特征能力的Q代数对Pi演算进行扩展,提出一种可用于对系统行为及可信特征进行建模的进程代数,称之为QPi.QPi将可信特征附加于进程动作,在描述系统行为的同时体现出其可信特征.进一步引入互相似距离的概念以考察2个进程在多大程度上是能够互相模拟的,并研究了QPi与之相关的若干性质.具体的实例描述说明了该代数方法的有效性. 基于最优模型评判的网络损耗计算方法研究 对无线传感网络的损耗进行计算在提高无线传感网络安全性及利用率方面具有重要作用。由于无线传感网络用户的增加,其资源特征出现一定的多样性,使得网络特征不能固定。传统的计算方法没有分析传感网络资源特征变化,直接通过网络使用特征进行能耗的计算,导致网络损耗计算不准确的问题,提出基于最优模型评判的网络损耗计算方法,通过分析网络损耗的基本情况,将牛顿-拉夫逊模型作为最优模型,在结合网络资源节点的变化进行传感网络特征的获取,并将网络资源的有效利用率及无效利用率各自转变为以平均有效网络传输功率及平均无效传输功率为基准的网络资源传输系数,形成网络资源传输曲线系数表。依据网络资源整体传输功率的计算结果,获取网络总损耗。仿真实验结果表明,改进方法相比传统方法,网络损耗计算值更加接近实际网络损耗值,准确度较高,具有一定的实用性。 保留几何特征的散乱点云简化算法 针对散乱点云简化中易丢失几何特征及潜在曲面形状信息的问题,提出一种保留几何特征的散乱点云简化算法.首先以单位距离上的法向变化作为局部特征检测算子,采用基于泊松分布的区域生长法自适应地检测特征点,并计算潜在曲面的平均弯曲度;然后通过设定不同的聚类阈值,并利用共享近邻聚类算法对非特征点的邻域进行聚类分析,从而判定该点处潜在曲面的弯曲程度,同时检测噪声点;最后,删除噪声点,根据潜在曲面弯曲程度,采用不同的简化策略删除冗余点.该算法不但避免了在大量精简时造成孔洞,而且使得简化后模型尽可能保持原始潜在曲面的形状信息,降低简化误差.实验结果表明,文中算法简单、有效,能够同时保留原始点云的几何特征及潜在曲面的形状信息,具有较低的简化误差和良好的鲁棒性. 修正的RDP逻辑系统中的广义矛盾式 讨论了修正的RDP逻辑系统中的广义矛盾式,并对该系统中的广义矛盾式进行了分划;给出了修正的RDP逻辑系统中的广义矛盾式之间的一种降级算法并讨论了它的性质。 基于K-means的数据流离群点检测算法 针对数据流中离群点挖掘问题,在K-means聚类算法基础上,提出了基于距离的准则进行数据间离群点判断的离群点检测DOKM算法。根据数据流概念漂移检测结果来自适应地调整滑动窗口大小,从而实现对数据流的离群点检测,与其他离群点算法的一系列实验验证和对比结果表明,DOKM算法在人工数据集和真实数据集中均可以实现对离群点的有效检测。 基于ITIL模型的动态信任管理研究 ITIL的可信运行框架为信任管理提供了良好的上下文环境,可根据网络行为来实现动态的安全监控。现有的动态信任管理研究主要关注P2P、网格等环境,针对信息化中广泛使用的ITIL模型的改进较少。基于真实的ITIL平台行为监控数据,提出了一种结合行为监控上下文环境的ITIL动态信任管理方法。通过监控上下文环境,计算ITIL中业务随着运行时间变化的信任度改变,并引入IOWA算子进行信任度评估和预测,随后通过计算告警信息中频繁时间段序列来提高信任预测的准确性。通过实例分析表明,该方法可以帮助实现网络故障分析,以及ITIL平台下的服务资源优化。 超高压微射流撞击壁面流动特性仿真研究 研究了超高压微射流撞击壁面动力特性优化控制问题,使微射流能最大限度地保持高压能量。为此提出一种集微射流、超高压技术和撞击流三者优势于一体的制备微乳液的新方法,针对超高压下微射流撞击壁面的流场基础研究数据缺乏的问题,分别用三种湍流模型:标准k-ε模型、RNG k-ε模型、Realizable模型对三个截面进行了计算,进行分析比较优缺点以及对超高压微射流撞击壁面流场的适用程度。仿真结果表明,高速射流的撞击会产生巨大破碎作用。通过对喷嘴出口到壁面的速度和动压的结果分析,最佳的距离能获得最大的碰撞能量,有效地解决了喷嘴出口到壁面距离的优化,以便得到更好的粉碎效果,为射流撞击动力学的研究提供了依据。 基于标签传播概率的重叠社区发现算法 "发现高质量的社区有助于理解真实的复杂网络,尤其是动态地分析社区重叠结构,对社区管理和演化具有重要意义.文中提出一种基于标签传播概率的LPPB(Label-Propagation-Probability-Based)重叠社区发现算法,该算法首先为每个结点赋予一个独立的标签,然后根据结点的影响力大小将结点进行排序;在标签传播的过程中,综合网络的结构传播特性和结点的属性特征计算标签传播的概率,同时利用结点的历史标签记录修正标签更新结果;最后将传播后具有相同标签的结点划分为同一社区,社区间的重叠结点构成了社区重叠结构.作者在基准数据集和带时间维度的C-DBLP网络上进行实验,结果验证了该算法具有较高的准确性和稳定性,并且通过对重叠结构的动态分析,揭示了社区重叠结点的行为特性和C-DBLP网络处于高""耦合度""的发展趋势." 异构无线传感器网络混合式密钥管理协议 为解决无线传感器网络的保密性问题,通过对现有WSNs密码管理方案进行整理研究,结合WSNs在资源方面的限制和传统密钥管理方案的复杂性等因素,提出一种适用于异构WSNs的密钥管理方案,即混合式密钥管理协议(hybrid key management protocol,H-KMP)方案。通过串空间形式化分析模型,验证该协议的机密性和认证性,从节点的存储和计算复杂度角度,分析其可行性,使用OMnet++软件对该方案进行仿真,得出其效率。 基于数据融合模型的网络安全量化评估系统设计与实现 当前,对网络安全的整体态势进行定量分析是解决网络安全预警和防范的重要手段。通过对网络安全量化过程进行分析,提出了网络安全量化系统的功能结构,给出了相关量化分析技术,并实现了原型系统。 KICA模式匹配的动态过程监控与故障诊断方法 针对复杂化工过程具有的非线性、非高斯性和动态特征,提出了基于核独立成分分析(KICA)的模式匹配方法,用于动态过程监控和诊断。首先,利用滑动窗建立基准集与测试集的KICA模型,提取各自的核独立元:其次,融合余弦函数绝对值度量和距离度量,提出新的不相似度监控指标,识别训练与测试操作期间的相似模式,进行故障检测:最后,基于两类数据的核子空间之间的差异子空间,获得每个过程变量方向与该差异子空间之间的互信息,并定义新的非线性非高斯贡献度指标,进行故障诊断。基于污水处理过程的仿真结果表明,与主成分分析不相似度因子的方法、标准的独立成分分析(ICA)统计指标方法及标准的ICA T~2/SPE指标融合的贡献度方法相比,本文提出的方法具有更好的检测能力与故障诊断效果。 应用轮廓波和ICA的掌纹去噪与识别 在掌纹识别的实际操作过程中,不可避免地会受到噪声的影响,为了增强掌纹图像信息,需要去噪。轮廓波变换能够便捷地描述自然图像中的方向和纹理信息,掌纹图像纹理信息丰富,所以应在掌纹识别中引入轮廓波去噪,以突出纹理特征,进而提高识别率。提出基于轮廓波去噪的ICA(Independent component analysis)掌纹识别算法,先对掌纹图像进行轮廓波去噪,利用ICA实现掌纹特征提取与识别。基于香港理工大学掌纹数据库的仿真结果显示,轮廓波去噪方法的识别率高于小波去噪方法,说明这种方法具有一定的理论研究意义和实用价值。 基于位置的移动信息服务测试数据随机生成方法 基于位置的移动信息服务通过智能移动终端的GPS定位,为用户提供了位置相关的、个性化、方便、有趣的信息。由于位置区域广袤、网络和定位环境复杂,兴趣点数量众多以及位置服务更新频繁等因素,使得基于位置的移动信息服务测试非常困难。探索基于蒙特卡洛随机模拟抽样方法,按照兴趣点分布概率随机生成位置点测试数据,实现对地理区域和兴趣点的高覆盖测试。实验表明,与简单随机测试数据生成方法相比,该方法能够有效提高测试效率和测试质量。 基于Kriging模型和对偶理论的无约束全局优化方法 针对基于Kriging模型的全局优化方法收敛速度慢、优化效率低且无法跳出局部最优区域等缺点,提出一种基于Kriging模型和对偶理论的无约束全局优化方法,引入正则对偶变化将普通Kriging模型本身的非凸优化问题转换为凸优化问题,利用基于Kriging模型的改进信任域策略对该凸优化问题进行迭代寻优。该方法能有效平衡全局和局部搜索行为,并大幅提高算法性能。通过7个数值测试例子和一个工程仿真实例,验证了所提方法的有效性和实用性。 基于改进独立分量分析的湿地变化检测方法 以湖北省洪湖湿地为研究区,采用2000年7月27日和2002年7月9日ETM+多光谱影像作为遥感信息源,提出了一种基于改进独立分量分析(ICA)的湿地变化检测方法。首先对传统ICA从初始值选取和迭代过程两方面加以了改进。然后对原始多波段影像进行缨帽变换,提取前后时相的湿度分量作为输入的混合影像,进行改进ICA变换。最后在变换得到的独立分量基础上,对代表变化区域的独立分量进行自适应阈值分割,得到湿地变化检测结果。实验表明,改进ICA法检测精度较传统ICA法及分类后比较法有所提高。 季节性商品两级供应链契约设计与协调模型研究综述 在季节性商品销售环境下,讨论了单节点成员两级供应链契约设计与协调模型研究状况。在此基础上,讨论了多供应商对单零售商、单供应商对多零售商、多供应商对多零售商等不同供应链结构下的契约设计与协调模型研究现状。综述了复杂决策环境对契约设计与供应链协调的影响。总结了目前的研究现状,并提出了今后的研究方向。 层次分析法在网络用户信任度评价模型中的研究 随着计算机技术和网络的发展,充分挖掘用户之间信任关系成为各大网络运营平台的关注热点.本文提出利用用户信息作为评价指标构建用户信任度评价模型的方法,并将层次分析法应用于模型构建,以缓解多指标权重设定中人为主观因素干扰问题.文章介绍了层次分析法工作流程并给出了用户信任度评价模型中各评价指标的权重,层次分析法的引入使得用户信任度评价模型更为科学、简洁、有效. 用于PFC的快速、低功耗栅驱动电路的设计 在功率因数校正电路设计中,栅驱动电路需要有非常快的转换速度和低的功率消耗.为了满足这些要求,对传统的推挽输出电路做了修改,并利用结构简单的电平移位电路,设计了一款新的栅驱动电路.基于0.35μmBCD工艺,采用Hspice仿真工具,结果表明,在17V电源,4.7nF负载电容,固定开关频率65kHz的条件下,驱动脉冲2~12V上升时间25ns,12~2V的下降时间35ns,驱动模块在高压管导通和关断时的瞬时功耗分别为24.3mW和13.1mW,验证了设计的有效性. 飞机副翼操纵系统非线性传动特性研究 在飞机操纵系统控制优化的研究中,为改善民用飞机滚转运动的操纵性能,针对飞机滚转运动的特点,以飞机滚转运动角速度与驾驶盘转动角度比值趋于线性化作为优化目标,建立了目标方程,并提供一种新型机械副翼操纵系统作为解决方法。在CATIA软件中建立了该操纵系统的运动学仿真模型,分析了驾驶盘及副翼的稳态运动轨迹,计算和仿真结果表明,新型副翼操纵系统具有良好的传动特性,能够改善民用飞机的操纵性。新提出的机械副翼操纵系统设计方法,对现代民用飞机设计具有促进和借鉴作用。 基于稀疏表征的话者识别 近年来,随着信号的稀疏性理论越来越受到人们的关注,稀疏表征分类器也作为一种新型的分类算法被应用到话者识别系统中。该模型的基本思想是:只要超完备字典足够大,任意待测样本都能够用超完备字典进行线性表示。基于信号的稀疏性理论,未知话者的向量系数,即稀疏解可以通过L1范数最小化获取。超完备字典则可视为语音特征向量在高斯混合模型-通用背景模型(GMM-UBM)上进行MAP自适应而得到的大型数据库。采用稀疏表征模型作为话者辨认的分类方法,基于TIMIT语料库的实验结果表明,所采用的话者辨认方法,能够大大提高说话人识别系统的性能。 基于改进的FCM算法提取彩色图像有意义区域 针对传统的FCM算法随机获取初始聚类中心与分类类别数的缺陷问题,提出了一种获取初始聚类中心与分类类别数的方法,并采用交叉熵测度准则进行FCM聚类,对彩色图像进行分割,提取有意义区域。实验结果表明,该方法不仅能够提高算法的聚类速度与算法的普适度,而且可以改善图像的聚类效果。与传统的FCM算法相比,该算法更易于实现彩色图像有意义区与背景的分离,分割效果令人满意。 一种改进的帧间差光流场算法 结合运动目标检测帧差法运算速度快和光流法活动目标检测准确度高的特点,提出一种改进的帧间差光流场计算的运动目标检测算法。在帧差部分采用隔帧差分从而可以检测到帧间位移小于1个像元而多帧累积位移大于1个像元的运动点目标;在光流计算时,引入通用动态图像模型(GDIM)建立新的光流约束条件,克服了亮度变化引起的约束方程不成立问题。算法仅对帧差法后图像中不为零的像素进行光流场计算,提高了目标检测的准确性和检测速度。仿真实验证明了该算法的有效性。 基于改进的Mean Shift鲁棒跟踪算法 Mean Shift跟踪算法在目标尺度变化大和被遮挡时存在较大的缺陷。针对这一问题,提出了一种基于多级正方形匹配的自适应带宽选择和分块抗遮挡的目标跟踪算法。该算法采用目标中心点的离散程度和增量试探法计算出可能的变化尺度,然后采用多级正方形匹配法预测目标的运动趋势,将巴氏系数最大者的尺度作为Mean Shift核函数新的带宽。同时,对前景目标进行分块,根据子块的遮挡程度自适应改变子块权重并按一定准则融合有效子块的跟踪结果。实验结果表明,该算法具有很好的鲁棒性。 改进的异构无线传感器网络路由算法 稳定选举协议因没考虑节点的剩余能量,造成一些低能量节点当选为簇头而过早死亡,而且选出的簇头将数据直接发送给基站,导致部分距离基站较远的节点能量消耗过大而死亡。针对这两个问题,提出了一种适应于异构环境的改进的无线传感器网络路由算法。该算法在簇头选取过程中,加入节点的剩余能量和邻居节点数,使得具有较高的剩余能量且分布在密集区域中的节点当选为簇头的概率增大,并参考图论中的Dijkstra算法,实现簇头到基站低代价传输的多跳通信。仿真结果表明,与传统的稳定选举协议及其改进算法对比,该算法降低了网络的能量消耗,明显地延长了网络的稳定期和生命周期。 数据收集传感器网络的多模层次网络构建 数据收集传感器网络的漏斗效应是制约网络性能的瓶颈。针对静态数据收集传感器网络,依据空间复用技术和减少跳步数原则,构建多模层次网络,实现不同层次数据包分流,解决sink及其邻近几跳节点的瓶颈问题,达到缓解拥塞、降低传输时延的目的。实验结果表明,该方法在降低节点间通信干扰的同时,能有效减轻传感器节点的数据转发负担,提升网络性能。 透明计算系统中基于BitMap的共享镜像存储管理 透明计算是一种将操作系统、应用程序和用户数据都作为资源存储在服务器端,资源以流块的方式调度到客户端执行的计算模式。针对透明计算系统中透明服务器端多用户的操作系统及应用程序资源冗余问题,设计并实现了一种基于BitMap的共享镜像存储管理方法 BM-SISMS,该方法采用链式存储方法将系统数据和用户数据分离开来,多用户通过链式结构共享系统镜像,根据BitMap存储方法查找定位用户请求的各种资源。测试结果表明BM-SISMS方法能够完成多个客户端请求的实例操作系统加载和使用,在数据读写方面,BM-SISMS方法读数据速度约11.05 MB/s,写数据速度为4.01 MB/s,具有很高的性能,能够满足透明计算系统中镜像存储管理的需求。 一种基于信任机制的概率矩阵分解协同过滤推荐算法 传统的概率矩阵分解算法虽然较好地解决了推荐过程中的稀疏性和用户冷启动问题,但由于没有考虑到用户之间的信任信息,造成推荐精度不高.本文利用用户评分过程中潜在存在的信任关系,提出一种基于信任机制的概率矩阵分解协同过滤推荐算法TM-PMF(Probabilistic Matrix Factorization Algorithm of Collaborative Filtering Based on Trust Mechanism).首先根据用户间的信任关系来构建信任网络以获得信任评分矩阵.然后将信任评分矩阵与用户评分矩阵进行融合构建用户-信任评分矩阵,接着通过概率矩阵分解技术获得最优推荐列表.最终实验结果表明在不同稀疏数据集上,本文提出的TM-PMF算法较传统算法在精度方面有较大幅度地提高. 基于模式匹配的主数据质量控制 主数据是企业的业务核心数据。然而由于数据的多源性、业务逻辑的多样性、不确定性等因素,高效地整合主数据非常困难。基于模式匹配,提出一种质量控制的模型来解决主数据整合中的问题;并且还设计了一种质量控制系统,并已成功应用于轨道交通制造行业。应用表明,提出的质量控制模型和数据质量控制系统可显著提高查重操作的效率。 一种新的基于瞳孔-角膜反射技术的视线追踪方法 针对现有单相机单光源视线追踪系统存在的几个问题:精度不高、头动受限以及标定复杂,提出了一种新的基于瞳孔-角膜反射(PCCR)技术的视线追踪方法.通过提出的瞳孔边缘滤波算法(RDPEF)和三通道伪彩色图(TCPCM)解决了近红外条件下瞳孔定位误差较大、瞳孔跟踪鲁棒性较差的问题,进而提高了视线特征提取的精度.通过提出的头部位置补偿方法以及个体差异转化模型,使二维映射模型允许使用者头部运动并且只需要单点标定.该方法提高了单相机视线追踪的精度和应用范围,为面向人机交互的视线追踪系统提供了有效的低成本解决方案. 基于AUTOSAR方法论的应用组件配置 针对目前主流商用的汽车电子软件设计工具链在通用性和重用性方面存在的不足,根据汽车开放式系统架构标准分析应用组件配置过程,提取应用组件配置的核心元素,以核心元素建立对象,实现一个基于ReWorks操作系统的国产汽车电子组件开发平台。该平台对汽车电子软件工具链进行了完善,具有可插拔、易移植等特性。 基于HJ星的灾害特征信息自动反演系统 针对环境与灾害监测预报小卫星(HJ)的载荷特点,设计一种以环境星2级数据产品为主要数据源的灾害特征信息自动反演系统。根据灾害监测预警业务的需求,采用B/S架构,集成25个灾害特征参数反演算法模块,并依据各个反演模块之间的输入、输出流程关系,设计一套自动化批处理流程。实际应用结果表明,该系统能延伸HJ星信息产品链,为灾害监测预警提供实时信息产品,并降低普通用户应用遥感信息的难度。 基于多事件并发的无线传感网数据一致性信任评价 目前无线传感器网络的信任评价模型主要研究节点通信方面的信任,很少研究节点发送数据一致性方面的信任。数据一致性信任评价要求一段时间以内传感区域只发生一个事件,否则不但不能准确区分多个事件,还会把合法节点与恶意节点混淆,针对这一局限,提出一种适用于多事件并发的数据一致性信任评价方法。仿真结果表明,在传感区域多事件并发的时候,该方法能准确区分多个事件,也能发现并排除恶意节点,降低误判率。 采用种群平均信息和精英变异的改进粒子群算法 针对基本粒子群优化(PSO)算法早熟收敛和后期搜索效率低的问题,提出一种利用种群平均信息和精英变异的粒子群优化算法——MEPSO算法。该算法引入粒子个体与群体的平均信息,利用粒子平均信息来提高算法全局搜索能力,并采用时变加速系数(TVAC)以平衡算法的局部搜索和全局搜索能力;在算法后期,采用精英学习策略对精英粒子进行柯西变异操作,以进一步提高算法的全局搜索能力,减少算法陷入局部最优的危险。在6个典型的复杂函数上与基本PSO(BPSO)算法、时变加速因子PSO(PSO-TVAC)算法、时变惯性权重PSO(PSO-TVIW)算法和小波变异PSO(HPSOWM)算法进行对比,MEPSO的均值与标准方差均优于对比算法,且寻优时间最短,可靠性更好。结果表明,MEPSO能较好地兼顾局部搜索和全局搜索能力,收敛速度快,收敛精度和搜索效率高。 最小二乘支持向量机的一种非均衡数据分类算法 为了提高支持向量机的非平衡数据分类能力,分析了最小二乘支持向量机的本质特征,提出了一种基于中心距离比的非平衡数据分类算法,同时通过修剪边界样本,解决了最小二乘支持向量机缺失稀疏性的问题.在UCI标准数据集上进行的试验表明:该算法能够有效地提高支持向量机对非均衡分布数据的正确性,且该算法在不影响训练精度的前提下,可以得到稀疏解,算法的训练速度也有了一定的提高. 基于中心矩改进的WiFi室内定位算法 基于WiFi指纹的定位技术是国内外室内定位领域研究的热门课题。针对室内环境的实变性而造成RSS值波动带来的影响,提出一种基于中心矩加权改进的WKNN匹配算法。离线阶段中,提取RSS的均值和二阶矩作为指纹存入数据库。在线阶段定位时,将RSS值的二阶矩特征加入到欧式距离中进行计算,以得到离定位点最近的K个参考点,从而计算出待定位点的位置。实验结果表明:不同的匹配算法的选择会使定位误差呈现出明显的差异性,所提出改进的WKNN算法能够有效地提高室内定位精度。 一种新的混合自适应盲均衡器 提出了一种基于QAM信号的新的混合自适应盲均衡算法。结合了MCMA算法以及AMA算法的优点,通过设置自适应遗忘因子λ,用来自适应加权两类独立代价函数,一类是MCMA的代价函数,另一类是AMA的代价函数。通过搭建QAM盲均衡系统平台,仿真结果表明,提出的算法相比于CMA、MCMA和CMA/AMA算法,有效地降低了稳态误差和误码率,并具有良好的收敛速度,大大提高了系统的性能。 UWSNs中基于深度调整的混合型路由协议 现有基于地理路由的水下无线传感器网络数据传输协议经常出现通信空闲区域,导致报文投递率较低、能耗较高等不足,为解决这一问题,提出一种基于深度调整的混合型路由协议(GEDAR),采用地理路由和机会路由进行协作来提升网络数据报文投递率。对水下报文投递概率进行估计,采用贪婪机会型转发策略确定用于报文传输的下跳转发节点集合,通过基于深度的拓扑控制将空闲节点移到新的深度,实现通信空闲区域上的通信修复。仿真结果表明,GEDAR协议在报文投递率及每个报文的延时和能耗方面,均优于其它基准路由协议。 基于仿射投影-非线性主分量分析的盲源分离 仿射投影算法(APA)重复利用数据,可提高算法的收敛速度。针对现有盲源分离收敛速度慢的问题,以盲源分离的非线性主分量分析(PCA)为基础,结合仿射投影算法,提出了盲源分离的非线性APA-PCA准则,并设计出盲源分离的APA-Kalman,APA-RLS,APA-LMS新算法。在这些新算法中,预白化后的观测向量数据被重复利用,向量式数据转变成矩阵式数据,从而加快了盲源分离的收敛速度。仿真结果表明,非线性APA-PCA准则是有效的。 基于轮廓的形状射线描述模型 提出一种新的基于轮廓的形状描述和匹配方法。提取物体的轮廓并在轮廓上进行等间隔采样,利用参考点到采样点的距离、采样点处的轮廓方向及采样点间的空间关系来直观地表达目标的形状特征;通过在不同尺度、方向和位置进行最大表决来获得形状匹配的尺度、旋转和平移不变性;提出了结合局部和整体特征的相似度评分机制来实现目标的匹配和检测。实验表明,形状的射线描述模型不仅能对具有清晰轮廓的目标进行有效的检索和匹配,也可在复杂的图像背景中检测目标。 基于libsmi和SNMP++构建MIB浏览器 MIB浏览器是SNMP开发和网络管理中的常用与必备软件,为了生成可供显示的MIB树,传统的实现方法一般采用在代码中直接写入MIB树内容的方法或通过编码读入MIB文件来生成MIB树,这些方法都存在一定的局限性,也影响了MIB浏览器的适应性。通过使用libsmi与SNMP++可以较为轻松地构建起MIB浏览器,与传统方法相比,该方法实现的MIB浏览器可用性大大提高,且降低了实现的难度。说明并纠正了libsmi与SNMP++在开发中发现的一些缺陷。 基于路径聚类的页面访问次序的挖掘 为了发现用户的行为模式以实现Web站点的结构优化,提出了基于用户访问路径的K-PathSearch算法。在对网页实施预处理后,结合页面链接参数,建立用户访问事务处理模型,形成有用数据集。提取样本分析用户的兴趣度,主要影响因素体现在访问次序、次数以及停留时间三方面,并利用重新定义的相似度将兴趣取向相类似的用户划分为一类;在此基础上,定义用户访问最长拟合路径,进而计算路径聚类中心。经计算,聚类数和聚类中心平均长度增比显著,表明模型和算法是可行和有效的。 用MCM方程去除椒盐噪声的数值方案 均值曲率运动(MCM)方程能有效去除脉冲噪声。给出了MCM方程的一种显示差分格式。针对ENoD-8格式在去除高密度噪声时会残留斑块的问题,提出了新的ENoD-A格式。该格式的应用减少了迭代过程中的计算量,提高了去噪效率。实验结果表明,新格式增强了方程去除椒盐噪声的能力,同时又保护了图像细节。 无线传感器网络DV-Hop定位算法的改进 DV-Hop定位算法利用跳数乘以平均每跳跳距估算节点间距离,针对算法过程存在缺陷导致定位误差较大的问题,在不改变原算法的步骤,也不需要额外增加硬件设备的条件下,从两个方面对传统DV-Hop定位算法进行了改进:一是基于节点的通信半径对节点间的跳数进行修正;二是借助信标节点间的估计距离与实际距离的偏差对平均每跳跳距进行修正。仿真实验表明,在相同的网络环境下,改进后的算法与传统算法相比定位误差减少了15%左右;与另外一种利用估算出的理想跳数值对信标节点间的实际跳数值进行修正的改进算法相比,定位误差也有5%~7%的降低。实验结果表明所提出的改进算法能更有效地降低节点间的距离估算误差,提高定位精度。 一种隐私保护的多副本完整性验证方案 为使用户能够及时判断云数据的完整性状态,并且在损坏小于一定程度时修复数据,提出一种隐私保护的多副本完整性验证方案。基于Parakh秘密共享方案设计多副本机制,保证数据的可取回性。将用户身份信息与可用数据隔离存储,通过存储认证码建立身份信息与拆分数据块之间的映射关系,使攻击者无法建立可用数据与用户之间的联系。基于多示证方的零知识证明思想,设计挑战-应答协议,使用户能及时判断云端数据是否完整,并确定出错的数据服务器。分析结果表明,该方案可提高用户存储空间的利用率,保护用户的身份隐私,较好地解决了云计算外包服务模式和云服务商可信性不易评估引起的数据问题。 QPSO优化的改进CLARANS聚类算法 CLARANS算法是一种有效且广泛应用的聚类算法,适合发现任意形状的聚类结果,但CLARANS算法在搜索过程中容易陷入局部最优解,从而忽略全局最优解。为了避免CLARANS算法在搜索中心点时易受局部最优解的影响,提出一种将CLARANS算法中的邻接点作为QPSO算法的量子粒子,结点代价作为适应度函数对其进行寻优的改进CLARANS算法。将该改进算法应用于UCI数据集,结果表明该算法聚类效果好、收敛快,算法的稳定性、收敛性及寻优能力都有很大提高。 MapReduce框架下的水声阵列信号处理研究 水声阵列信号处理中的自适应波束形成技术可根据环境情况自动调节参数实现最佳处理,具有波束校正、超低副瓣、自适应干扰抑制等优点,但具有较好收敛性能的自适应算法可能由于结构复杂、运算量大,不适于实时滤波处理。根据目前信息系统广泛采用并行处理和分布式计算的设计思路,通过分析MapReduce并行处理软件框架体系结构、处理流程和水下阵列信号处理特点,研究提出了一种基于MapReduce并行处理框架的自适应波束形成信号处理算法,设计了具体针对基于自适应Notch滤波器的矢量阵列信号处理的Map和Reduce两种函数的集合操作,并说明了软件任务的具体执行流程。该方法为实现声呐系统集成中的多计算机、多线程并行计算,多任务动态部署等关键技术提供了借鉴。 基于Power图求解容量限制P-中值问题 针对稠密需求下连续域上的容量P-中值问题,提出基于质心的容量限制Power图(CCCPD)理论,对连续P-中值问题进行近似建模,并加快计算过程。扩展Balzer试位法构造Power图,施加质心限制满足P-中值要求,施加容量限制满足需求密度下的容量要求。实验结果表明所提算法可快速得到近似可行解,同Alper Murata方法相比,计算效率高;同质心容量限制Voronoi图(CCCVT)相比,具有容量限制精确度高等优点,并能适应各种复杂需求密度函数。 一个基于中国剩余定理的群签名方案的密码学分析与改进 在基于中国剩余定理的群签名方案分析的基础上,发现现有方案在防伪造、防陷害、抗联合攻击以及满足非关联性方面还存在不足之处。通过对不足方案进行密码学分析,综合应用RSA和Schnorr签名算法,并使群中心参与到群签名的验证与打开过程中,进而提出了一种改进的群签名方案。在RSA和离散对数假设下,证明了新方案能有效地抵御伪造、陷害、联合攻击,而且满足了群签名的非关联性。 基于特定区间承诺值证明机制改进的DAA认证方案 针对目前可信计算平台直接匿名认证(DAA)机制的不足,提出一种改进的匿名认证方案。该方案先采用CA验证示证者的EK证书,协助示证者和DAA颁布者各自生成会话密钥,使DAA颁布者能够为示证者颁发秘密的DAA证书;然后示证者用两承诺值相等协议及CFT证明协议来证明承诺值位于某个特定区间的方法,向验证者证明其平台的真实合法性。分析表明,该方案具有较高的安全性,还具备不可欺骗性、匿名性、撤消性,效率更高。 结合网页结构与文本特征的正文提取方法 网页中存在正文信息以及与正文无关的信息,无关信息的存在对Web页面的分类、存储及检索等带来负面的影响。为降低无关信息的影响,从网页的结构特征和文本特征出发,提出一种结合网页结构特征与文本特征的正文提取方法。通过正则表达式去除网页中的无关元素,完成对网页的初次过滤。根据网页的结构特征对网页进行线性分块,依据各个块的文本特征将其区分为链接块与文本块,并利用噪音块连续出现的结果完成对正文部分的定位,得到网页正文信息。实验结果表明,该方法能够快速准确地提取网页的正文内容。 基于非均匀B-样条曲线的加密算法 提出一种适用于软件加密和身份认证的新型加密方法。基于非均匀B-样条曲线函数的构造方法和几何特征,提出了基于非均匀B-样条曲线的加密算法。利用密钥埋置非均匀B-样条基函数节点信息,并通过明文生成控制点,从而得到形态丰富的样条曲线,并通过曲线抽取有效信息作为密文,达到加密散列效果。该加密算法有效地利用样条曲线丰富的特征信息进行加密散列,在增强加密强度的同时有效地保证了加密效率,能够广泛地应用于软件加密和身份认证领域,适应当前加密算法需要经常更新的需求。 大船甲板变形监测系统中IMU的优化布局 大型船只的甲板变形极大地影响着船载设备所需姿态信息的精度,通过在舰船上安装惯性测量单元(IMU),采用惯性匹配的方法能够得到甲板的实时形变信息,出于实际考虑不可能为舰面上所有战位点都安装IMU;文章在舰船有限元模型的基础上进行模态分析,以甲板的MAC(模态置信度)矩阵非对角元作为生成遗传算法适应度函数的依据,利用遗传算法得到舰船甲板变形监测系统IMU的优化布局方案,仿真计算结果表明,该方法能得到较理想的IMU布局方案。 混合散列连接算法随机I/O消除 混合散列连接算法(HHJ)是数据库管理系统查询处理中一种重要的连接算法.本文提出通过缓存优化来减少随机I/O的缓存优化混合散列连接算法(OHHJ),即通过合理优化分区阶段桶缓存的大小来尽量减少分区过程中产生的随机I/O.文章通过对分区(桶)大小、桶缓存大小、可用缓存大小、关系表大小与硬盘随机I/O访问特性之间的关系进行定量分析,得出桶大小以及桶缓存大小最优分配的启发式.实验结果表明OHHJ可以较好地减少传统HHJ算法分区阶段产生的随机I/O,提升了算法性能. 面向job shop调度的关系传播链 为了丰富生产过程精细化管理与控制理论,从各工序间的关系着手,提出一种工序间相互作用的分析方法。通过挖掘机器维和工件维工序间的相互关系,提出关系对、关系链、关系传播链等概念。分析了工序间影响和工序间影响传播行为,揭示了关系传播链的传播规律,总结了关系传播链的优势,给出其表示方法,辨析了关系传播链和关键路径、关键链的异同。分析了关系传播链在调度性能分析、生产系统性能改善等方面的应用场景,给出了工序间影响分析、关键链辨识、瓶颈机器辨识等的具体应用实例。 电力系统组合式三相逆变换器电压稳定性研究 针对三相逆变电源运行中不平衡负载出现时逆变器输出电压波动较大、稳态精度差的问题,为提高电力系统的稳定性,提出了一种三环PI控制策略。逆变器的输出电压采用三环PI控制,在电压电流双环PI控制策略的基础上加入了电压有效值反馈外环,并利用FPGA设计和实现了逆变器的三环PI控制。通过Simulink和QuartusⅡ建模仿真和实验研究,验证上述控制策略的正确性,实现了对逆变器输出电压的快速精确控制,提高了逆变器输出电压的稳定性。 常规弹箭弹道仿真平台优化设计研究 弹道仿真在弹箭的设计和射表的编制过程中发挥着重要作用。目前的弹道仿真软件都是针对某一个模型和某一类弹种设计的,不能仿真多个弹种,缺乏通用性。提出将现有的弹道计算程序和其需要的输入输出参数名称保存到数据库中供仿真时调用,利用反射机制将参数名称与数据库中的数值匹配起来,使用XML文件与数据库中的弹道计算程序进行数据交互的方法设计了通用弹道仿真平台。通过仿真实例表明,利用仿真平台可以选择不同的弹箭和弹道模型进行仿真计算以及绘图,具有很好的通用性和实用性。 基于重写技术的语义Web服务研究 随着对Web服务的不断深入研究和应用,出于各种服务自动化任务的需要,语义Web服务逐渐成为学术界的研究热点。可以看出这些研究大都基于服务单个操作级别的语义进行推理,而对于多个操作之间的语义联系却很少涉及。提出Web服务的重写模型,通过为Web服务添加操作之间的重写规则语义,将Web服务建模为服务重写系统,利用重写技术中的推理机制,实现对Web服务的分析和挖掘。这个方法可应用于服务的QoS优化,以及服务的组合与融合等方面。 基于模拟退火的花朵授粉优化算法 针对花朵授粉算法寻优精度低、收敛速度慢、易陷入局部极小的不足,提出一种把模拟退火(SA)融入到花朵授粉算法中的混合算法。该算法通过SA的概率突跳策略使其避免陷入局部最优,并利用SA的全域搜索的性能增强算法的全局寻优能力。通过6个标准测试函数进行测试,仿真结果表明,改进算法在4个测试函数中能够找到理论最优值,其收敛精度、收敛速度、鲁棒性均比基本的花朵授粉算法(FPA)、蝙蝠算法(BA)、粒子群优化(PSO)算法及改进的粒子群算法有较大的提高;同时,对非线性方程组问题进行求解的算例应用也验证了改进算法的有效性。 基于并行跟踪检测框架与深度学习的目标跟踪算法 在空地协同背景下,地面目标的移动导致其在无人机视角下外观会发生较大变化,传统算法很难满足此类场景的应用要求。针对这一问题,提出基于并行跟踪和检测(PTAD)框架与深度学习的目标检测与跟踪算法。首先,将基于卷积神经网络(CNN)的目标检测算法SSD作为PTAD的检测子处理关键帧获取目标信息并提供给跟踪子;其次,检测子与跟踪子并行处理图像帧并计算检测与跟踪结果框的重叠度及跟踪结果的置信度;最后,根据跟踪子与检测子的跟踪或检测状态来判断是否对跟踪子或检测子进行更新,并对图像帧中的目标进行实时跟踪。在无人机视角下的视频序列上开展实验研究和对比分析,结果表明所提算法的性能高于PTAD框架下最优算法,而且实时性提高了13%,验证了此算法的有效性。 肝脏血管平滑肌脂肪瘤MDCT表现与病理对照分析 "目的:分析肝脏血管平滑肌脂肪瘤(HAML)的动态CT特征,以提高诊断准确性。方法:回顾性分析经手术病理证实的7例HAML的病理结果及动态CT表现。结果:7例HAML中3例为上皮样血管平滑肌脂肪瘤(Epi-AML),4例为经典型血管平滑肌脂肪瘤(CAML)。1例Epi-AML和3例CAML病灶中含低密度脂肪组织。3例Epi-AML和3例CAML病灶中见中心血管影。5例病灶周围见假包膜。动脉期7例HAML均呈富血供,呈持续性强化5例,呈""快进快出""2例。结论:HAML动态CT表现具有一定的特征性,发现病灶内低密度脂肪组织、中心血管及持续性强化等征象有助于诊断。" 工作流系统中会签功能的设计与实现 随着计算机技术的日益成熟,会签流程在工作流系统中逐渐崭露头角,本文运用Activiti工作流引擎实现工作流系统中会签功能,用Activiti Modeler实现定义流程、创建流程、部署流程的基本功能。在启用运行流程后,实现流程申请中任务签收、任务审批、添加审批意见、驳回申请功能,并显示申请的详细流转信息。该功能易于实现,便于操作,可以有效地提高管理效率。 带有条件故障边的k元2方体的圈嵌入 k元n方体已经成为分布式储存并行系统最常用的网络拓扑结构。研究带有条件故障边的k元2方体的圈嵌入问题,证明了在k34为偶整数的k元2方体中,若其故障边数不超过3且每个顶点至少与两条非故障边相关联,那么该k元2方体存在长度在4到k2间的任意偶长的无故障圈。 结合空间划分和支持向量机的两级定位算法 目前室内定位的主流方法是根据WiFi指纹模式匹配来确定目标位置,但由于室内环境的复杂性和WiFi信号的不稳定性,其性能尚不能满足高精度室内定位的需求.为提高定位精度,提出一种基于空间划分和支持向量机(Support Vector Ma-chines,SVM)的两级室内定位算法.该算法首先采用优化K-means聚类算法,对定位区域的WiFi指纹进行聚类,并据此进行空间划分产生子区域;然后采用SVM实现两级WiFi指纹定位:第一级采用SVM分类确定目标所在子区域,第二级在子区域内采用SVM回归确定目标精确位置坐标.实验表明,提出的基于空间划分的两级定位精度明显优于单级定位,提出的空间划分算法优于当前其它空间划分算法. 无循环前缀单载波频域均衡及信道估计算法 单载波频域均衡(Single Carrier Frequency Domain Equalization,SC-FDE)技术可以有效地消除符号间干扰(ISI),相对于OFDM,具有较低的峰均比(PAPR),因此成为宽带无线通信关键技术之一。传统的SC-FDE系统需要在发送帧前面加入循环前缀(Cyclic-Prefix,CP),且CP长度要大于信道最大多径时延,这就降低了频带利用率,使得发射机功率增加。在取消CP情况下,通过隐训练序列获得信道脉冲响应估计信息,之后利用虚拟补零技术,恢复发送信号和信道的循环卷积,并通过迭代处理消除干扰。仿真结果表明,在信噪比大于3 d B后,算法误码率便接近相同信噪比条件下的高斯白噪声信道,性能较为理想。 一种基于变参级联混沌的Hash函数算法 针对级联混沌可能存在的密钥泄漏风险以及当前Hash函数的不足,提出了一种基于变参级联混沌的Hash函数算法,即在构成Hash函数的级联驱动系统中,引入了另一混沌系统的状态变量作为参数扰动,并在扰动强度的控制下实现安全的变参级联系统.由此构成的Hash函数不仅具有符合混沌规律的变参特性,同时还具有级联子系统间逐级串扰的性质,能有效降低由计算机有限精度和数字量化可能造成的短周期行为风险,对提高压缩函数内部结构的复杂度和抗碰撞性有着显著意义.实验结果表明:与其他混沌Hash算法和SHA-3算法相比,该算法具有高度的初值敏感性和良好的混乱与扩散性能,抗碰撞能力强,算法实现简单灵活,变参系统可控性强,在混沌保密通信、数字签名等领域具有良好的推广前景. 基于交互作用的文本分类特征选择算法 针对文本分类中的特征选择问题,提出了一种考虑特征之间交互作用的文本分类特征选择算法——MaxInteraction。首先,通过联合互信息(JMI),建立基于信息论的文本分类特征选择模型;其次,放松现有特征选择算法的假设条件,将特征选择问题转化为交互作用优化问题;再次,通过最大最小法避免过高估计高阶交互作用;最后,提出一个基于前向搜索和高阶交互作用的文本分类特征选择算法。实验结果表明,Max-Interaction比交互作用权重特征选择(IWFS)的平均分类精度提升了5.5%,Max-Interaction比卡方统计法(Chi-square)的平均分类精度提升了6%,MaxInteraction在93%的实验中分类精度高于对比方法,因此,Max-Interaction能有效利用交互作用提升文本分类特征选择的性能。 传感器网络中的局部异常检测算法 找到异常数据对于传感器网络来说非常重要。本文通过一个例子首先提出了局部异常的概念。与传统异常不同,局部异常仅取决于节点及其周围节点的取值。我们给出了局部异常的形式化定义,并首先提出了解决局部异常的Nave算法,由于其能耗过大,又提出了分布式的解决方案DLODA。DLODA将网络分成多个区域,每个区域选择一个簇头,簇头能够在网内提前算出部分查询结果,并过滤掉不会成为查询结果的数据,以节约能量开销。最后从能量消耗和响应时间两个方面对DLODA的性能进行了评估,实验显示DLODA具有良好性能。 多区块链交易分发和事件处理的系统方案 在基于拜占庭容错协议设计的区块链系统中,系统的效率和性能较低,限制了区块链的应用与发展。提出了一种基于多区块链系统支持交易分片和事件处理的系统方案。该方案中,系统被划分为应用客户端、处理系统、各区块链3个层面。应用客户端用于发送交易请求和接收感兴趣的事件,处理系统进行交易的分发和事件处理,各区块链用于区块链内部处理交易请求和事件。处理系统适配区块链数量可变和不可变的两类多区块链系统,设计了选择区块链的两种策略,即映射关系表和哈希一致性,两种策略在交易分发时依据负载均衡、单调性和一致性的原则,并基于交易的业务类型和业务类型内部ID号把交易请求分发到合适的区块链。每个区块链与处理系统内部的交易调用客户端、事件和消息流客户端建立一一对应关系;每个应用客户端与处理系统内部的交易应用调用服务端、交易应用事件连接服务端、事件消息流服务端交互,发送交易的请求并获取交易的结果。对交易分发的方案和事件处理进行具体的设计,并对系统的整体方案进行了基本的测试和验证。 基于IPv6的应用服务器的研究与实现 IPv4协议最终将被IPv6协议所取代,但目前基于IPv6协议的应用研究还处于初始阶段。本文分析了FTP协议对IPv6协议的支持情况,提出了一种Linux环境下基于IPv6协议的FTP服务器实现方案。对实现的FTP服务器进行了测试,利用Sniffer软件进行了抓包分析。实验表明,该FTP服务器完全支持IPv6协议,并能实现用户登录、密码验证、文件列表传送、文件下载、文件上传、文件删除等功能。 科研项目专家评审策略优化推荐仿真分析 针对科研项目申请过程中为了取得专家推荐的最优结果,当前方法主要依靠人工分类模式进行审核推荐,严重制约了专家评审的科学性,提出一种混合算法的最优结果专家推荐系统模型。通过分析科研项目文本特征的筛选过程,分别计算学科特征值的相似度和特征递增的推荐值,并建立最优结果专家推荐模型,结合学科特征值的计算与项目内容相似度算法进行最优化匹配,完成最优结果专家推荐。仿真结果与分析表明,提出的混合算法为最优专家推荐模型,推荐结果与专家人工选择相一致,并且能够达到较好的评审推荐结果。 带可信属性的软件体系结构及其演化描述方法 针对现有软件体系结构演化研究很少考虑构件可信属性及其表达的问题,提出类E-R图方法,描述考虑构件可信属性的软件体系结构及其演化过程。给出具有可信属性的软件体系结构(SA-T)概念,引入类E-R图表示SA-T,得到SA-T图,通过关系逻辑形式化描述SA-T图;利用类E-R图描述方法表达构件和连接件的增加、删除、替换演化操作;通过一个电子商务系统软件体系结构演化实例,进一步论述SA-T演化的类E-R图描述方法。该方法对软件可信演化研究具有一定意义。 CP-PMTT:一个基于控制流模式的过程模型转换工具 目前大多数过程模型转换方法采用基于元类映射的转换规则,鉴于因不同过程建模语言在建模符号和语法约束上的差异,而使基于元类映射的转换规则在应对过程模型转换时存在明显局限,提出一种基于控制流模式的过程模型转换框架,转换框架包括一个支持用户自定义控制流模式的控制流模式定义框架,控制流模式定义框架是转换框架的转换核心。通过建立源语言、目标语言和转换核心的映射关系,生成源语言到目标语言的转换规则。基于上述框架实现了一个过程模型转换工具。通过业务流程建模标记到另一种工作流语言的转换场景,对转换工具进行了验证。 主从履带复合式机器人越障研究 针对机器人越障过程中的重心位置变化情况,提出一种主从履带复合式机器人越障研究方法。给出机器人样机模型,研究样机的重心变化规律和主履带系统链轮的负载扭矩变化规律,并进行越障和楼梯仿真分析。仿真结果表明,重心变化是影响越障和爬越楼梯成功与否的关键因素,样机重心位置发生变化时,履带系统链轮转矩将出现最大值。 基因算法加速器与芯片级进化研究 为改进芯片级进化速度,提出在可进化系统芯片FDP2009-2-SOPC中嵌入基因算法加速器的思想。采用硬件随机数方法优化芯片级进化中的基因算法,通过改变嵌入式CPU中的基因操作,优化芯片级进化流程。在包含基因算法加速器的可进化系统芯片FDP2009-2-SOPC上进行流片测试,结果表明,该基因算法加速器的性能及芯片级进化速度有较大提升。 一种基于LSB图像信息隐藏的改进算法 介绍并分析了传统的LSB信息隐藏算法原理,详细阐述了图像置乱技术的原理和一种传统的混沌图像置乱方法。在此基础上,提出了一种新的图像信息隐藏算法:基于图像向量索引奇偶性进行信息隐藏的算法。试验结果及分析结果表明,该算法实现简单,有很好的视觉掩蔽性和较高的信息隐藏容量,并提高了信息隐藏的安全性。 Ajax技术在远程教育平台中的应用研究 "针对采用""单击,等待""交互模式的远程教育平台存在整页刷新的问题,研究Ajax工作原理及典型的Ajax框架,着重分析Ajax在远程教育平台的考试模块、试题录入模块、留言簿模块的应用,避免服务器在网络上向客户端发送那些没有改变过的信息,使得应用程序更为迅捷地回应用户动作。实验表明:Ajax技术的应用提高了系统的响应速度。" 64层螺旋CT冠状动脉成像在心肌桥检测中的价值 目的:探讨64层螺旋CT冠状动脉成像在心肌桥监测中的价值。方法:回顾性分析265例CT冠状动脉成像病例,评估心肌桥的发生率、部位、厚度、壁冠状动脉长度及狭窄程度。结果:心肌桥共检出48例,检出率为18.1%,其中左前降支40例,占83.3%,心肌桥厚度为0.4~l3.1mm,平均厚度为1.9mm,壁冠状动脉长度为12~42mm,平均25mm。结论:64层螺旋CT冠状动脉成像能清晰准确显示壁冠状动脉与心肌的解剖关系,心肌桥检出率高,是较为准确诊断心肌桥的方法。 基于改进粒子群算法的无水港多周期选址研究 "传统无水港选址主要将无水港当作一般物流中心,侧重研究静态问题,难以体现选址动态规划特征,且传统粒子群算法在处理离散问题时易陷入局部最优困境。因此从""强势海港""角度,构建了基于收益最大化的无水港多周期选址。模型考虑了无水港的中转比例约束和服务时间约束,然后运用改进的粒子群算法进行了求解,得出了各阶段选址结果。表明改进算法的局部搜索能力和全局搜索能力都得到增强,算法的可行性和有效性也得到了验证。" 基于Docker的MPI和OpenMP混合编程 针对当前搭建集群并行系统复杂且耗时等问题,提出基于Docker搭建并行系统。介绍轻量级虚拟化技术Docker的核心概念和基本架构,并基于Docker技术在Linux平台上搭建集群并行开发环境。简要阐述并行计算的思想,叙述MPI和OpenMP并行计算的基本概念和特点,针对矩阵并行乘法的算法建立MPI和OpenMP的混合编程模型,并给出混合编程模型与MPI并行编程模型以及OpenMP并行编程模型的性能对比,分析出现差异的原因。基于该混合编程模型比较Docker与传统物理机两者搭建的并行系统的并行效率。 基于深度学习的蛋白质二级结构预测 后基因组时代的到来,蛋白质的数据量急剧增长。为对蛋白质结构进行准确预测,提出了一种深度学习的方法,来预测蛋白质的二级结构分类问题。采用由近似熵、疏水模式以及图像特征组成的伪氨基酸组分方法,来提取蛋白质序列的特征;预测模型采用了5层的深度玻尔兹曼机(DBM)+分类层,5层的DBM组成了4个RBM,分类层采用softmax分类器;同时采用了非监督学习和监督学习作为预测模型的训练策略。与现有预测方法相比,提出的预测方法,比目前较好的支持向量机(SVM),人工神经网络(ANN)精度均要高。实验结果表明,提出的改进方法具有很好的可行性和有效性。 电子油门踏板的动态特性建模和实验研究 针对电子油门踏板动态性能检测输出信号非线性的问题,建立了电子油门踏板动态响应的数学模型和结构力学模型,并进行了仿真;分析了复位弹簧刚度和机构阻尼对踏板动态响应的影响,并在电子油门踏板综合性能测试台上进行了动态响应的测试,获取了动态响应的量化数据;数据的结果显示所建立的模型基本正确,揭示了不同复位弹簧的刚度和机构阻尼对其动态响应的非线性性的影响。 基于PTM潜在Dirichlet分配的少量标记样本文本分类 针对现实文本分类环境下通常仅有少量标记样本而影响分类精度的问题,提出了一种基于概率主题模型潜在Dirichlet分配的分类算法。借助标准词频逆文档频率函数将每个文档表示成术语权重向量;利用概率主题模型预处理以简化文档,并从文档中提取术语;再利用潜在Dirichlet分配模型进行关系学习,构建基于图的分类器完成分类。在公开的Reuters-21578资源库上的分类实验评估了该方法的有效性,相比分类效果较好的支持向量机,该方法在大部分情况下能够取得更高的分类精度。 基于分簇特性的宽带信道估计算法 针对宽带稀疏信道具有分簇结构的特点,在原有基于压缩感知稀疏信道估计算法的基础上,加入稀疏信道分簇结构,提出一种基于簇稀疏特性的自适应正则匹配追踪压缩信道感知算法。在信道簇稀疏度未知的情况下,自适应调整候选簇的数目,应用正则化的思想对候选支撑簇进行二次筛选,达到信道的精确重构。仿真结果表明,该算法比传统的LS算法、BPDN算法、OMP算法、BOMP算法具有更低的误比特率(BER)、更小的均方误差(MSE)。 基于B/S架构的可视化流程管理平台的设计与实现 针对企业生产和经营管理中复杂多变的流程配置问题,提出了一种基于B/S架构模式的可视化流程管理平台,流程数据采用结构化模式进行存储和管理,便于企业信息化管理系统无缝集成。给出了流程可视化平台的总体结构设计,并对流程基本节点定义、传输层格式约定、前端展示进行了技术实现,最后通过一个流程应用实例,说明了该平台具有直观性、便利性和灵活性等特点。 和声搜索算法用于测试性建模的测点布局优化 测试性建模的测点布局优化属于一种典型的组合优化问题。为更快速有效地解决系统测点布局优化问题,提出一种基于改进和声搜索算法的测点布局优化方法。在传统和声搜索算法的基础上,对“即兴创作”这一生成新和声的方式引入一个新的控制参数,即兴创作概率(improvisation rate,IR),提高该算法应用于二进制组合优化问题的求解速度和全局寻优能力。通过与传统遗传算法的对比和仿真实例验证了该方法可快速、可靠得到最优的测点优化方案。 基于模拟植物生长算法的求解MCCS问题的研究 为了降低耗能和减少花费,提出了对无线传感网络设计中的最小连通集合划分的方法。采用对网络进行Voronoi划分成近似覆盖集合,对不满足连通的情况采用一种基于模拟植物生长算法生成Steiner最优树的连通算法来实现网络连通的方法。通过对算法的时间复杂度分析及算例实验,验证了该算法不但可获得最优解,同时精度和性能也有提高,明显优于其它方法。 面向虚拟游戏拓展的智能小车设计 在生活中虚拟游戏越来越受到人们的青睐,其中手机网络游戏是人们娱乐生活最受欢迎的休闲活动方式;目前该类虚拟游戏缺少相应的配套硬件,缺乏交互感,为此将手机游戏与硬件融合具有重要的意义;文章一方面以安卓手机为平台,结合MIT App Inventor软件开发手机APP;另一方面以stm32单片机、蓝牙通讯模块为硬件平台实现配件中心转速、方向控制和故障监控;利用互联网让虚拟游戏和传统的玩具小车相结合,实现手机游戏的真实体验感,为将来虚拟游戏提供设计和方案。 曲率分析方法模拟被雨水冲刷三维模型外观 三维模型外观瑕疵模拟一直是真实感绘制中的热点问题.为了增强自然环境下场景的真实感,提出一种模拟被雨流冲刷三维模型外观的方法.首先提出三维模型在自然环境中的暴露程度、三维模型表面法向与污染源方向对模型表面的污染程度的定义,并对污渍堆积进行模拟;然后针对三维模型表面高斯曲率与平均曲率确定凹凸区域;最终剔除凸区域的污渍并绘制凹区域的污渍,模拟雨流冲刷后的表面.将文中方法应用到多个三维模型进行实验并与其他方法进行比较的结果表明,该方法对于模拟被雨水冲刷三维模型的外观简单、可行,并且具有很强的真实感. 基于边界域的不完备信息系统属性约简方法 研究了用矩阵来计算不完备信息系统的属性约简方法,引入了容差关系矩阵等概念来计算决策表的上下近似集;然后给出了基于容差关系矩阵的决策表边界域的计算方法,再利用边界域的基数相等作为评价属性约简的准则,提出了基于边界域的启发式约简方法;最后,举例说明了属性约简的操作方法和所提算法的可行性。 基于不干扰理论的信道控制策略及其自动化验证方法 通过研究信道与那些向其输入信息或从其获得信息的信息域之间直接或间接的干扰关系,来定义信道的语义和作用。明确描述和严格控制系统模块和进程之间的信息通道,有利于最大限度地保障模块或进程的完整性和可控性。所提出的信道控制策略正是基于上述目的。而针对信道控制策略复杂而不便于手工验证的特点,提出了基于通信顺序进程(CSP)的系统和策略描述方法以及基于FDR2的系统信息流策略自动化验证方法。该方法能够在少量的人工参与的情况下有效地分析信道控制策略,发现大部分存储隐蔽通道。 物联网核心技术及应用演进 针对物联网概念、关键特征、体系结构及核心技术进行研究。在关键特征与核心技术方面,深入研究并讨论了物联网的泛在性、特定环境下的智能标识与感知技术、数据的不确定性、数据表示方法、海量数据的信息传播、安全和隐私等问题,并给出了开放性的研究内容。针对未来物联网应用的泛在性,进一步提出了物联网的研究发展方向。 中文产品评论结构化引擎 为实现网络上非领域相关的中文产品评论信息的搜索及结构化,设计并实现中文产品评论结构化引擎,该引擎通过抓取网络上指定产品的评论,抽取评论中的特征与意见,判断意见的极型,将评论以(特征,意见,极型)的结构化形式输出给用户。其中特征意见抽取解决了产品隐形特征抽取问题。实验结果表明该引擎的特征意见召回率与准确率、意见极型判断准确率等指标可以满足用户需要。 隐式API调用行为的静态检测方法 为有效提取恶意程序及其变种中的隐式API调用行为,提出一种基于静态分析的隐式API调用行为检测方法。采用指令模板匹配的方法识别具体调用形式,通过分析调用目标地址与函数名之间的关系来识别被调用API函数。实验结果表明,该方法能提高静态分析工具对恶意代码及其变体的检测能力。 一种安全验证模式下Deep Web爬虫的研究 Deep Web信息大约是Surface Web信息的400到500倍,这些信息对传统搜索引擎不可见。Deep Web爬虫的研究,是搜索引擎获得Deep Web信息的重要步骤,仍处于研究的早期阶段。目前对于爬虫的研究,主要成果集中在Surface Web,而很少有对Deep Web爬虫的研究。分析Deep Web的访问模式,并在此基础上提出一种安全验证模式下Deep Web爬虫的算法。试验表明:该算法可以有效实现特定安全验证模式下的Deep Web信息的抓取。 一种安全有效的群签名方案设计与分析 基于ElGamal签名体制,设计了一个安全有效的群签名方案。方案把群管理者分成两部分GM1和GM2,GM1负责群成员的加入和群成员私钥的发行,如果发生争执,GM2负责打开群签名信息并可以执行追踪,这种方法安全有效地实现了群中成员动态添加和删除,提高了群签名方案的安全性和实用性,为群签名方案的设计及运用提供了一种有效的实现途径。 基于FTA的ERP失效分析与仿真研究 ERP作为运用现代IT技术反映企业管理客观规律的范例已成为企业各级各类管理者的基本工具,随着企业信息化浪潮的不断深入,已被众多企业组织所采用,但ERP实施的高失败率是长期困扰学术界和实业界的热点问题。通过对相关学者ERP失效研究成果的分析,运用因果分析图法系统整理出企业ERP失败的原因,并通过故障树分析法对ERP失效因素进行研究,找出其与各因素之间的因果关系和逻辑关系及失效模式和根本原因,在此基础上基于等概率底事件的蒙特卡罗仿真和ABC分析法诊断出ERP失效的关键因素和关键失效模式,得出确保ERP成功实施的路径,并通过关键底事件改善,降低其失效概率的仿真,验证该方法的有效性和可行性,为企业成功实施ERP其他管理问题的研究与解决提供一个新的思路。 一种新型优化算法——学习算法 从优化算法应该具有的共性出发,提出一种全新的算法——学习算法(LA)。该算法记录历史最优解和当前最优解这两组关键历史信息,然后让当前解向这两种最优解聚集(即学习的过程);同时为了不放弃其他区域的搜索,让当前解的一部分完全随机地被重置。该算法原理简单,可调参数少且各参数对算法效能的影响易于掌控。在多最优函数以及复杂函数的最小化测试中,通过与GA、PSO的比较,发现LA确实是一种有效的优化算法,其优化效率并不低于现有算法。数值实验还表明,LA在多最优解问题的寻优中相对GA和PSO具有非常明显的优势。 基于多准则的无线网状网路由判据 通过对无线网状网几种现有路由判据的分析比较,提出了一种基于多准则的路由判据LB-WCETT,它综合考虑了链路质量、信道多样性以及信道负载等因素。仿真结果表明,该判据能使无线网状网性能得到较大的提高。 复杂生物传感网络的节点优化定位模型仿真 根据复杂生物传感器网络节点的定位和数据监测的需要,构建生物传感网络的模型,设计了基于强跟踪滤波的集中式扩维量化融合算法.该算法采用预加重方法补偿节点之间的系统功率衰减,通过动态跟踪信号功率的变化,得到传感器融合中心最终的节点定位状态信息矩阵;通过强跟踪滤波,提高抗干扰能力,实现传感网络节点定位模型改进.结果表明,采用该算法进行复杂生物传感网络节点定位,能有效提高节点定位的准确性,定位误差较小,定位时间较快,稳健性和抗干扰性较好. 产品新闻发布 "[正文]北京航天测控公司某测试诊断设备通过鉴定审查本刊讯:2012年7月,北京航天测控公司研制生产的某测试诊断设备顺利通过海军机关组织召开的鉴定审查会。此次任务的圆满完成,充分展现了公司在引进弹药测试及测试诊断系统集成方面的优势,为争取海军后续任务奠定了坚实的基础。一直以来,海军引进弹药的维护维修一直依赖外方,成本高、周期长,相关的资源调度也受制约。""十一五""后,海军力主自我保障,组织了多家单位共同进行中继级维修线建设。航天测控公NI公司推出独立NI Compa" 自适应变异的布谷鸟算法的火焰图像分割 针对火焰图像分割方法一般存在抗噪性能差,计算时间长的缺陷,不能满足电站锅炉火焰状态的实时监测,提出一种基于二维最大类间方差的自适应变异布谷鸟算法的火焰图像分割方法.以二维最大类间方差作为阈值选取准则,增强了算法的抗噪性能;利用差分进化算法的变异策略自适应的改进布谷鸟算法的更新策略,并动态变化发现概率,增强了算法的局部搜索能力,平衡了算法的开采和开发能力,缩短了算法的分割时间.实验证明本文方法在时间和精准度上都优于基于粒子群优化算法和布谷鸟算法的火焰图像分割方法. 基于自认证密钥的安全云计算 介绍了一种安全的云计算框架.论述了自认证密钥机制的特点和在云计算中的主要实现,包括标识密钥的分发、身份认证、数据交换等.在基本操作的基础上,密云计算还可以实现网络数据的分类提取等延伸服务,满足大数据应用的需求. 结合稀疏编码和金字塔匹配的视频检索 针对金字塔匹配下的视频检索系统中基础特征用矢量量化方法表示不够精确的问题,结合稀疏编码方法进行视频检索。视频的基础特征通过稀疏编码表示后,用金字塔方法进行多次匹配,将多次匹配结果线性合并,作为修正后的相似性度量结果。通过对UCF50的检索实验表明,该方法能显著提高检索的准确率。 眼周皱纹三维形态的隐式曲面拟合构造方法 真实感皱纹生成是三维表情模拟的关键问题之一.文中提出一种基于隐式曲面拟合的眼周皱纹三维建模方法.首先对眼周三维扫描数据预处理,生成用于提取皱纹形状特征的构造模型和用于嵌入三维皱纹的光顺模型;其次采用隐式曲面拟合方法在构造模型上提取曲率张量和曲率导数,连接特征点后得到连续的眼周皱纹特征线;最后构造皱纹特征线的三维等距区域,在等距区域内构造并嵌入皱纹三维网格,在等距区域外对光顺模型进一步简化.实验结果表明,与同类工作相比,该方法能够以较高的真实感模拟眼周皱纹的三维形态特征,皱纹定位健壮性和计算效率较高. 基于期刊论文的数字水印技术的侵权检测模型 随着计算机技术的飞速发展,类似期刊论文这样的数字作品的版权受到了很大的侵害。针对数字作品的侵权检测技术,对比了移动水印代理检测和网络爬虫检测模型,因数字水印代理检测模式的分布式处理和非同步性极大减少了网络阻塞、提高了计算效率,提出了一种基于数字水印技术和水印代理的期刊论文侵权检测模型,适合大型分布式互联网络中的期刊论文保护和侵权检测。结合实际应用,通过数字水印技术,提出了一种理论与实际应用相结合的期刊论文的侵权检测模型,主要包括权利人注册获得CA证书、权利人对期刊论文进行权利登记、向期刊论文嵌入数字水印和移动水印代理对互联网上的期刊论文进行权利追踪和检测的模型。 MRI三维重建在肝转移瘤微波消融术中的应用 目的:探讨计算机辅助MRI三维重建在肝转移瘤微波消融术前计划及术后随访中的作用。方法:2015年8月至2016年5月我院收治符合肝微波消融适应证的肝转移瘤患者16例(21个病灶)。术前采用MRI及后处理站进行多维度、多序列(T1WI增强、T2WI及DWI)3D重建观察目标病灶及周围环境,综合多方面因素考虑制订术前穿刺及消融计划;术中实时利用3D重建技术,以垂直于穿刺针平面进行实时追踪并评估穿刺途径及消融范围,术后采用同一平面利用T2WI、T1WI增强及DWI成像评估术后消融范围及效果。结果:手术均顺利完成,无明显并发症,随访至术后1~3个月未见明显复发征象。其中14例术前行肝脏CTA检查(检出病灶17个),与CTA相比,T1WI-MRI 3D重建显示病灶周边血管(三级及三级以上分支)为29/30,显示率为99%;所有病例未见明显胆管扩张,术前T2WI显示病灶邻近1cm内二级胆管分支共12例。根据术前规划、模拟穿刺途径,与实际穿刺途径符合100%,术后3D重建显示消融范围完全覆盖肿瘤边界。结论:计算机辅助MRI评价体系可有效评价目标病灶的大小及周边环境,为术前拟定手术方案提供依据;术中可有效引导穿刺并实施多维度展现术区变化,评估消融范围;术后及随访可有效多序列展示消融边界及信号变化,提供多方位信息。 一种核心控制单元故障的现场诊断方法 "针对变频调速系统的现场故障诊断,通过流程和流程组装,减少现场应用中的代码编写,采用封装技术和输入输出数据映像将同一类型的资源的差异消除在封装内,实现部分现场资源的""即插即用"",使用无线通信技术解决现场资源与计算机的物理连接.故障的平均维修时间从35.6小时降低到8.9小时,故障识别率也提高了2个百分点." 基于免疫遗传算法的负载均衡策略 针对基于遗传算法的负载均衡策略(SGALB)搜索效率不高、局部寻优性能不佳、容易产生退化的问题,提出一种基于免疫遗传算法的负载均衡策略(IGALB)。通过在SGALB基础上对种群进行亲和力和浓度计算,增加基于浓度的调节概率因子,确保种群的多样性,克服了SGALB早熟收敛;同时在一定条件下引入免疫算子,进行接种疫苗和免疫选择,有效缓解了SGALB的退化现象。仿真实验表明,该算法的寻优能力高于SGALB,并有效提高了集群系统的性能。 林产品贸易信息推送梯级过滤技术 目前信息推送服务广泛应用于各类电子商务网站,然而传统信息过滤技术在林产品贸易信息过滤的过程中,存在着不足。在总结林产品贸易信息过滤的特点的基础上,提出梯级过滤技术。该技术根据林产品贸易用户兴趣的不同、用户需求程度的不同、林产品贸易信息特征项权重的不同,在提出林产品贸易信息特征向量空间模型和分析用户兴趣特征向量结构的基础上,采用先进行用户显性需求的严格过滤,再进行用户显性需求的模糊过滤,再进行用户隐性需求的过滤的梯级过滤方法,从而达到准确满足用户需求的目的。实验结果证明该方法能够有效地过滤出用户满意的信息。 iSCSI存储系统中缓存技术的研究 为了发挥iSCSI存储系统不受距离限制、大容量、易扩充和传输性能较高等特点,减少直接对磁盘阵列进行操作的次数,以便提高系统的数据传输速率,提出了一种高效的iSCSI缓存机制。通过加载该缓存模块,可以有效减少直接访问磁盘阵列的次数,加快系统响应速度,提高了iSCSI系统的性能。最后用网络仿真软件NS-2进行测试,测试结果表明了该方法的可行性。 基于S3C2440的智能型太阳跟踪系统 基于32位ARM微处理器S3C2440设计了太阳自动跟踪系统,该系统采用视日轨迹跟踪和光电跟踪相结合的方式,对太阳进行同步跟踪,以保证获得最大效率的太阳能。同时系统还添加了手动控制模块,以便于系统的调试和维护。结果表明该系统性能稳定,实时性好,能够有效地提高太阳能的利用率。 位平面与Gray码相结合的图像置乱方法 针对数字图像的传输安全问题,提出一种将位平面分解与Gray码相结合的图像置乱方法。该方法首先将图像分解成8个位平面,通过位异或操作改变携带图像有用信息的高4位位平面的值,然后对得到的初步置乱图像的灰度值进行Gray码变换,进一步置乱图像,从而得到加密图像。初步实验结果显示,该方法置乱效果明显优于Arnold法、Hilbert法等现有置乱方法,且实现简单。 基于FPGA的高速数据流差错注入器设计 LOCic是针对欧洲核子中心LHC的ATLAS中液氩量能器前端电子单元的两通道、耐辐射、低功耗高速串行传输器。该系统工作在强微粒子辐射环境下,其高速数据采集和传输会出现突发的多位连续数据位错和数据流位滑。针对上述情况,基于Stratix II GX FPGA设计了模拟以上差错现象的注入器,用于后端数据解码和恢复系统的设计与测试。测试和实验结果表明,该差错注入器有效可行。 一种周期性MapReduce作业的负载均衡策略 MapReduce任务负载均衡主要是通过分区函数来实现的,Hadoop默认的分区函数并不能很好地保证redu-cer的负载均衡。针对周期性的业务处理提出了一种基于权重计算的负载均衡策略,周期性任务的数据分布与历史数据相比具有相似性。本策略根据历史数据运行的信息运算出数据权重信息(文中用权重表示每条记录的处理复杂度),再通过Map阶段抽样分析当前这批数据的分布特征来预测待处理数据带权重的整体近似分布情况,从而指导Reduce分区,以保证其负载均衡。通过简单的例子仿真了整个策略的运作过程,并且对比了与TeraSort思路的不同点。最后通过分析用户访问视频的日志证明了文中提到的策略比默认的策略性能提高了接近1倍。 基于DSA的一次性有限授权密码机制 提出一种基于数字签名算法(DSA)的一次性有限授权密码机制。在该机制下,密码拥有者可以在不泄露密码、不访问系统的情况下赋予其他用户临时访问系统的权利,并对访问过程的时间长度和次数具有高度自由的分配和监控能力。该机制在求解离散对数困难性的基础上生成安全性较高的有限授权密码,能有效地防止授权密码被伪造和重复使用,具有较强的实用价值。 室外场景光照估计的基图像分解算法 基于室外固定场景的太阳光与天空光基图像分解理论,证明了同一太阳方位在不同天气情况下的3幅图像具有线性相关性,使得基图像方程欠约束,导致基图像无法自动求解.为此提出利用2个太阳方位、2种天气情况下的4幅图像求解基图像的算法,并利用太阳光与天空光基图像在太阳光照区域内点的像素色调一致性,优化基图像及太阳光和天空光光照参数.实验结果表明,该算法自动求解基图像,且根据基图像和光照系数准确重构原图像的均方误差,并将其控制在2像素值以内,从而可用于高品质的增强现实技术. 模糊PID在高精密球抛光机床压力控制中的应用 针对高精密球体抛光机床压力加载控制中,存在的加压精度低、抗干扰能力差等问题,提出了基于模糊PID控制策略的压力加载控制系统;分析了抛光机床的加压装置,并对机床整体控制系统进行设计;针对高精密抛光机床加压过程中存在的滞后性、非线性及时变性等特点,分析模糊PID控制器的设计过程及其软件实现;静态加载实验表明,运用模糊PID的控制系统可将静态加压误差控制在1%以内;同时,动态加工实验表明,动态误差可控制在4.2%以内;将模糊PID控制方法运用于高精密球体抛光压力控制中,提高了压力加载精度和稳定性,在实际加工中有较好的运用效果。 嵌入式语音识别在混响环境中的信号增强方法 针对在混响环境中使用嵌入式平台的语音识别系统,提出了一种高效实时的在混响环境下带干扰噪声的语音信号增强方案。该方法以阵列麦克风为前端语音拾取设备,对每个麦克风之间采样得到的语音信号进行多径分集接收处理,通过分析语音信号之间的相位关系,多波束形成,对相干信号延时处理并加权合并提高信噪比以实现对采集得到的语音信号的增强处理;通过调整权值矩阵滤除非语音频段信号和噪声,进而进一步降低可能引入的噪声污染。此外,该方案的算法强度较小,便于在嵌入式平台上使用。 多粒度时间文本数据的周期模式挖掘算法 大规模文本数据挖掘是大数据分析的重要分支,也是近年来的一个研究热点。研究了多粒度时间文本数据周期模式挖掘算法,首先提出了时间粒度转换、多粒度时间间隔等概念,然后建立了文本数据的周期模型,给出了一个多粒度时间文本下的周期模式挖掘算法,最后对大量病毒文本文献数据进行了实验,表明了提出的算法可以挖掘一些有效的周期模式,讨论了周期宽松度对支持度和置信度的影响。该研究为大文本数据分析提供了一种新的方法。 近似串匹配过滤算法研究 近似串匹配在众多研究领域都有广泛的应用,如文本检索、生物信息学等。文中对基于过滤技术的Off-line模式近似串匹配算法进行了相关研究。首先介绍了串匹配的基础知识和近似串匹配技术的应用分类;然后阐述了Off-line模式近似串匹配算法常用的索引结构;接着详细介绍了近似串匹配过滤算法的研究现状,并阐述了几个经典过滤算法的过滤原理;最后在实验中对比了这些经典过滤算法的性能差异,实验数据显示提高过滤效率和减少过滤时间是加快过滤算法匹配速度所要解决的关键问题。研究表明,基于留空q-gram的过滤算法是近似串匹配未来研究的方向。 基于图像的珍珠表面细微破损检测技术 研究珍珠表面破损检测问题,提高检测的准确性。针对珍珠表面十分光滑,细微的破损时常发生,传统的图像检测或者肉眼检测很难保证珍珠表面细微破损被准确检测出来。造成检测的准确率不高的问题,为了解决这一问题,提出采用计算机视觉图像的珍珠表面细微破损检测方法。通过去除图像中的噪声和一些干扰测量的部分。采用非规则破损表面的测量方法,通过精密测量珍珠图像映射圆表面的像素缺失度,完成珍珠表面细微破损检测。实验证明,上述方案切实可行,可以达到较高的精度,取得了令人满意的效果。 介电常数法检测大型粮堆水分分布的研究 针对现有粮库缺乏有效的储粮水分检测技术的问题,研究一种利用甚高频电磁波快速检测大型粮堆内部水分的方法,用于克服传统抽样法和传感器法带来的测量精度差、代表性不足等缺陷。利用电磁波在粮堆中不同深度的反射和折射原理,获取粮堆表层介电常数,利用表层介电常数,根据菲涅尔公式,反演出粮堆其他层介电常数分布。根据一个介电-水分经验模型,将粮堆各层的介电常数分布转换为水分分布,从而模拟出整个粮堆三维立体水分分布图。实验结果表明,该方法具有检测精度高、速度快的优点,与传统方法相比,更适用于大范围的检测。 融合Google Earth的无人机遥控遥测地面站设计 针对无人机飞行监测和控制的要求,设计了一款飞行监测和控制的无人机遥控遥测地面站系统软件.无人机遥控遥测地面站系统软件包括系统调试、航迹规划、飞行监测、视频捕捉和数据回放等功能模块,融合Google Earth COM API二次开发技术基础上运用Visual C++6.0作为系统开发环境,采用模块化理念并嵌入串口通信技术、虚拟航空仪表技术、视频捕捉技术等进行软件开发. 基于张量的半监督判别分析算法 边界Fisher判别分析算法因采用一维向量表示而无法很好保持图像的空间几何结构,且无法利用大量未标记样本信息。为此,提出一种基于张量的半监督判别分析算法。采用二维张量表示人脸空间中的样本图像,揭示流形的内在几何结构,利用有判别信息的标记样本和大量未标记样本,使数据在投影空间的类间分离度最大,同时保证高维空间中不相邻的点在低维空间中也不相邻。在PIE和FERET人脸库上的实验结果表明,该算法能够获得较高的识别率。 广义可能性C均值聚类算法 可能性C均值聚类算法(PCM)中模糊加权指标m要求大于1,通过对PCM算法的分析讨论,将PCM算法中模糊加权指标m设置为多个独立变量,且将其取值范围进行了扩展,称之为广义可能性C均值聚类(GPCM)。GPCM从理论上分析了加权指标m的扩展取值范围,并利用粒子群算法(PSO)对样本模糊隶属度进行估计。GPCM算法突破了PCM算法对参数m的约束。仿真实验验证了所提算法的有效性。 基于联合多目标概率密度模型的多目标检测前跟踪算法 针对多目标环境下的检测前跟踪问题,提出了基于联合多目标概率密度(JMPD)模型的检测前跟踪(TBD)算法。JMPD模型同时模拟目标数目及其联合状态,采用粒子滤波递归估计JMPD实现目标数目及其状态的估计。仿真实验表明,所提算法在较小的延时检测的情况下,能准确估计目标的出生及消亡,并且航迹跟踪精确稳定,实现了对多个微弱目标的检测及跟踪。 无线多跳网中的视频传输速率优化算法 为提高无线多跳网中视频传输速率的分配效率,提出一种分布式改进对偶算法。针对传统算法对步长参数敏感而导致的收敛速率慢或不收敛等问题,分布式改进对偶算法采用平滑技术,即在拉格朗日函数的基础上加入一个平滑函数,将其平滑化,避免步长调整,以提高收敛速度。仿真结果表明,在视频传输速率的分配方面,与传统对偶次梯度算法相比,该对偶算法的速度更快、效率更高,且随着网络规模的变大,效果更加明显。 现代飞机测试性详细设计方法研究 测试性详细设计是现代飞机顶层测试性设计的后续工作,根据现有飞机设计体系的构成,分飞机级、系统级、机载设备级3个层次论述了飞机测试性详细设计的方法;从综合诊断设计、故障信息显示以及故障告警3个方面阐述了飞机级测试性详细设计的内容;提炼了系统级测试性详细设计工作的组成,针对航电系统给出了通用、典型的测试性详细设计方法-基于模块测试与维护总线的边界扫描技术;详细论述了成品测试性详细设计,即BIT设计的准则及具体内容;论文的工作紧密结合在研型号的实际需求,有很好的工程实用价值。 基于小波分析和Gaussian回归的急性低血压预测 急性低血压是危害病人健康的并发症之一,对急性低血压发生的提早预测,能够帮助医生对重症病人找到更好的医疗处理方案。提出了一个基于趋势分量的Gaussian函数拟合预测模型,即用小波多尺度分析提取出信号的趋势分量;再根据Gaussian回归模型对趋势分量进行函数拟合,得到的函数参数作为特征值,用支持向量机SVM对数据分类。Gaussian回归模型使用的是数据驱动,用系数来描述数据之间的关系。通过在较大病人数据集上实验得到了较好的效果。 基于副本交换的局部增强差分进化蛋白质结构从头预测方法 针对蛋白质高维构象空间搜索问题,提出一种基于副本交换的局部增强差分进化蛋白质结构从头预测方法(RLDE)。首先,采用基于知识的Rosetta粗粒度能量模型显著降低构象空间优化变量维数;其次,引入基于片段库知识的片段组装技术进一步减小构象搜索空间,有效避免搜索过程中的熵效应;此外,在每个副本层设置构象种群,采用差分进化算法对种群进行更新,然后利用Monte Carlo算法对种群做局部增强,以此得到全局和部分局部最优构象。综上,RLDE利用差分进化算法较强的全局搜索能力可以对构象空间进行有效的全局搜索;借助Monte Carlo算法局部搜索性能对构象空间局部极小区域进行更为充分的采样;副本交换策略保证了副本层中种群的多样性,同时能够增强算法跳出局部极小的能力,从而使得算法对构象空间的搜索能力进一步增强。15个目标蛋白测试结果表明,所提方法能够有效地对构象空间采样,得到高精度的近天然态蛋白质构象。 IPv6组播环境中实时信息分发系统的研究 针对实时信息分发以及传输的要求,以IPv6作为消息底层主要通信平台,构建了IPv6组播环境中的实时信息分发系统,系统主要包括信源接入、分发控制以及信息分发三个部分。通过累计确认以及扩展确认相结合的方式,给出了分发客户端和分发服务器端的信息处理流程,提出了一种基于可靠数据组播传输的分发方法,并对该方法进行了性能分析,通过一个应用实例实现了可靠的、高效的、可扩展的实时信息分发服务。 低信噪比信号时变相位差的估计性能分析 在信号合成系统中,信号间的频率差估计存在不可忽略的误差,因此会降低相位差的估计精度,影响合成信号的质量。为解决该问题,利用某采样点前后各L/2点数据估计补偿该点的相位差,并逐点依次运行,从而避免相位差的时变性所产生的补偿偏差。通过理论推导分析残留频率差Δf对相位差估计精度的影响,当互相关数据长度L与归一化频率差Δf/fs的乘积约为0.37时,估计精度最高,在实际应用中对L的选取有一定指导意义。 免疫遗传蚁群融合算法 提出了一种融合蚁群系统、免疫算法和遗传算法的混合算法。将免疫算法和遗传算法引入到每次蚁群迭代的过程中,利用免疫算法的局部优化能力和遗传算法的全局搜索能力,来提高蚁群系统的收敛速度。该算法通过遗传算法的选择、交叉、变异操作和免疫算法的自适应疫苗接种操作,有效地解决了蚁群系统的易陷入局部最优和易退化的缺点。通过对旅行商问题的仿真实验表明该算法具有非常好的收敛速度和全局最优解的搜索能力。 灰色神经网络在股价预测中的应用研究 股票价格受多种因素的综合影响,具有趋势性、较大波动性和随机性等变化特点,单一模型难准确对其变化规律进行准确描述,将灰色理论和BP神经网络相结合构建一种股票价格组合预测模型。采用灰色GM(1,1)预测模型动态预测股票价格变化趋势,运用BP神经网络对灰色GM(1,1)模型预测结果进行修正,以提高股票价格预测精度。采用ST东北高(600003)股票价格对预测模型性能进行测试,结果表明,组合预测模型提高了股票价格的预测精度,更能挖掘股票价格变化规律。 基于虚拟化技术实现MPICH2的高可用管理 为实现MPICH2的高可用,将MPICH2计算平台构建在虚拟机环境中,使得参与MPICH2运算的各个结点均是虚拟机,而物理机器并不直接作为结点参与运算;当物理机器需要进行维护、升级或负载均衡时,通过在线迁移的方式将其上运行的虚拟机迁移至另一个物理结点上,然后在新的结点上重新启动虚拟机,恢复其上运行的MPICH2计算任务,从而避免了终止整个计算任务的需要。实验结果表明,虚拟机在线迁移之后,整个MPICH2计算任务可以正确恢复;同时,比较了虚拟机和物理机器执行MPICH2计算任务的效率,表明了以虚拟机取代物理机器构建MPICH2计算平台在性能上是可行的。 数据链系统消息管理模型 针对数据链系统仿真中对消息管理的需要,提出数据链系统消息管理模型。根据消息属性及传输过程,利用排队理论建立有反馈的闭环消息传输仿真框架,在非抢占优先模式和抢占优先模式下,分析系统对不同优先级消息的管理性能。分析结果表明,该模型能够满足传输消息的质量要求,其优先规则和闭环设计使系统运行安全、稳定。 一种层次聚类的RDF图语义检索方法研究 针对当前信息资源描述框架(RDF)检索过程中存在的内存使用过大及检索效率低等问题,提出一个RDF图的层次聚类语义检索模型,设计并实现了相应的检索方法。首先从RDF图中抽取实体数据,在本体库的指导下,通过层次聚类,将复杂的图形结构转换为适合检索的树型结构;根据在树中查找到的目标对象,确定其在RDF图中的位置,进行语义扩充查询。检索模型的构建缩小了检索范围,从而提高了检索效率,其语义扩充查询还可以得到较好的查全率。 僵尸网络中的关键问题 僵尸网络是一种复杂、灵活、高效的网络攻击平台,在互联网中分布非常广泛.僵尸网络使攻击者具备了实施大规模恶意活动的能力,如发送垃圾邮件、发动分布式拒绝服务攻击等.由于其危害日益严重,僵尸网络已经成为网络安全研究的热点之一.但是近年来,僵尸网络新的发展、变化,突破了以往对僵尸网络的认知.文中分析僵尸网络的现有研究,对僵尸网络进行了重新定义,并从网络结构、网络独立性和信息传递方式等角度对僵尸网络的类型进行了划分;然后,梳理了僵尸网络检测技术、测量技术和反制技术等方面的工作;最后,给出了僵尸网络的演化趋势和未来研究方向. RTW嵌入式代码自动生成机制与代码结构分析 随着代码自动生成技术的发展,基于文本规范的传统嵌入式软件开发模式逐渐被基于模型的设计方法所取代,代码自动生成技术是基于模型的开发方法中一项核心技术,而MATLAB中RTW工具箱是代码自动生成最优秀的工具,首先对基于RTW的代码自动生成机制进行了分析,研究了VxWorks目标代码的结构及变量命名规则,并从程序实时运行机制方面进行了分析,方便用户对自动生成的代码进行二次开发;采用该技术进行VxWorks嵌入式软件开发,可大大提高开发效率。 抗代间污染攻击的网络编码同态签名方案 由于网络编码极易遭受网络中攻击者对数据包的恶意修改,从而使信宿节点对正确数据包的解码造成影响,如果攻击者不断重发与正确数据无关的恶意信息又会造成网络资源的极大浪费,所以为防止该种污染攻击,提出了一种基于代标识符的网络编码同态签名方案。该方案在基于RSA的同态签名方案可防止污染攻击的基础上,通过对每代数据包引入代标识符,从而可进一步防止攻击者的重放攻击。由于方案不需额外安全信道并且采用线性运算,故可降低对节点计算能力的要求及方案安全开销。重点对方案的攻击模式进行了详细分析,并证明了其安全性。最后通过开销分析证明了该方案与基于RSA同态签名方案在开销近似相等的前提下还可有效解决代间污染攻击造成的消息串扰问题。 一种用于公交站点聚类的AP算法 针对公交站点全球定位系统数据采集过程中定位精度较低的问题,提出一种用于公交站点聚类的AP算法。AP算法以相似度矩阵为基础,根据聚类对象自动进行分类判断,依靠2点之间消息传递迭代更新得到最佳的聚类结果。针对公交站点数据特征选择参考度,提出个数控制和距离控制的改进方法。实验结果表明,该算法能够准确得到公交站点聚类个数,有效排除噪声点,执行效率满足要求。 新一代地铁远程通信ATS系统设计与实现 从地铁车辆安全的角度出发,对地铁车辆远程信号的采集方式、处理方式、控制方式、存储方式等方面进行优化设计,设计并实现了更为先进的地铁远程信号采集处理及自动监控系统(ATS系统),根据地铁车辆专属信号特性对信号采集电路与前置放大电路进行了从新设计,增强了信号的稳定性,设计并改进了轨道50 Hz相敏信号的滤波电路,增强了信号的抗干扰能力,设计了光电隔离电路对采集信号进行隔离,一方面保证了单片机免受外部电源的干扰,另一方面也增强了信号的远距离传输能力,并设计了系统相关模块的接口电路,这些改进电路对于提高地铁车辆的安全可靠运行起到了积极的作用。 前向安全的指定验证者门限代理签名方案 首先通过分析崔涛等人提出的前向安全的指定验证者门限代理签名方案,以下简称C-L-W方案,发现该方案的签名验证等式是错误的,即使是合法的代理签名也无法通过指定验证者的验证,且方案不具有匿名性。之后提出了一个改进的前向安全的指定验证者门限代理签名方案,方案中签名密钥的前向更新是由部分密钥的前向更新实现的,使得方案中任何成员不能单独控制签名密钥的前向更新,方案不仅满足可验证性而且满足匿名性。 基于坏字符序检测的快速模式匹配算法 "提出一种基于坏字符序检测的快速模式匹配算法(BCSBM)。该算法利用相邻字符序列在模式串中不出现的概率较单字符高的特性,基于好字符和坏字符序表实现字符匹配过程的""跳跃""。BCSBM算法显著减少了匹配窗口内字符的匹配次数,同时增大了匹配窗口的平均移动距离。算法的实际测试效率较高,在文本或模式串相对较长的情况下该算法的效率提高明显。" 基于边缘保持的SAR图像预处理方法 SAR图像空间自适应Gamma MAP滤波去噪后,常残留一些类似脉冲噪声的像素点;为了滤除这些噪声,提高图像质量,采用基于阈值的极值中值滤波;在对SAR图像进行预处理时,将基于阈值的极值中值滤波算法级联到Gamma MAP算法滤波后的SAR图像;测试图像和数据显示,该方法提高了SAR图像质量,保留了SAR图像边缘细节,能很好地检测奇异性目标,易于硬件并行架构实现。 基于闭合最小图划分模型的多作业分配优化方法 随着并行计算系统规模的增大和复杂度的提高,已有的多作业分配方式可能导致较长的通信延迟和严重的通信竞争。针对这一问题,提出了一种基于闭合最小图划分模型的多作业分配优化方法。该方法以最小化通信延迟和消除通信竞争为出发点,通过建立闭合最小图划分模型,将多作业分配优化问题转化成闭合最小图划分问题,并设计闭合最小图划分算法来获得优化的多作业分配方案。 基于对象异常频度的数据流阈值查询 数据流查询与处理技术广泛应用在金融系统等诸多领域中,银行卡交易系统中存在的由终端复用所导致的不规范交易即是一个典型案例.此类不规范交易存在两个特点:(1)对象频繁出现;(2)对象的值序列频繁波动.然而,现有的频繁项挖掘算法仅考虑第一个特点,无法有效解决问题,亟需开发新技术进行检测.文中首先形式化地定义了这类不规范交易查询,再设计了多个创新算法进行处理.此外,文中还将工作扩展到滑动窗口模型以处理数据流演化问题.理论分析与实验结果均表明所提方法具有较佳性能,空间复杂度与时间复杂度都较低. 稀疏表示因子模糊粒子滤波的目标追踪 为了解决基于颜色直方图粒子滤波等对存在快速变化的目标形状和光照,以及多个相似目标的情况下,目标追踪能力的下降,提出含稀疏因子的模糊粒子滤波方法.该方法综合运用蒙特卡罗算法,模糊测量技术,稀疏因子等方法有效减少了粒子数量,同时增强了粒子滤波的识别定位和实时追踪目标的能力.实验表明,新方法能够在目标形状,姿态以及光照快速变化的序列中实时地,稳定地跟踪目标.该算法增强了粒子滤波对光照快速变化和目标形变的自适应能力,有了较好的鲁棒性和实时性,且部分遮挡的情况下目标不丢失,新的方法或许对目标追踪研究有重要借鉴意义. 一个基于DEM的数字河网体系提取算法的应用 基于DEM提取数字河网是一种高效率获取河网信息的方法,D8算法由于直观简单,成为数字河网提取中应用最为广泛的模型之一。介绍了D8算法模型的基本原理、计算步骤以及流域水系提取阈值设定等问题,并采用这一模型对烟台市大沽夹河网DEM数据进行数字河网体系的提取,对提取的数字河网体系进行了检验。研究结果表明,在算法中不同的流域应取不同的阈值,所取阈值的范围对提取出的河网密度、精细度等有着巨大的影响。最后,指出这个算法所存在的缺点。 基于形状上下文识别算法的车牌识别研究 研究图像文字准确识别问题。由于目标图像形状复杂,蜕化后的车牌文字发生形变、残缺等,影响图像识别的精确性。为了实现自动文字识别和判断,提高准确率,提出了一种利用形状上下文特征的车牌文字快速识别算法。首先利用将车牌图形进行定位和二值化处理,然后提取文字的轮廓,对轮廓上的点求取形状上下文特征。采用Contrario算法,形状自身的统计特性来设置判别阈值,实现车牌文字识别的完全自动化处理。进行仿真的结果显示,新提出的方法能准确的将车牌字符与标准字符进行比对并进行识别,特别在图像蜕化和发生形变的时候,能保持识别的准确率,证明识别方法可以方便的拓展到其他类型的文字处理系统中,可为图像文字识别提供有效手段。 基因编辑差分算法在多燃料经济调度中的应用 计及阀点效应的多燃料经济调度是电力系统运行控制中典型的高维、非凸、非线性及不可微的优化问题。针对现有技术在解决该问题时容易陷入局部最优值,收敛精度不高和计算效率较低等缺陷,提出一种基因编辑差分算法。该算法在标准差分算法的基础上,通过融入基因编辑操作提高标准差分算法在解决该问题时的计算效率与求解精度。并将该算法分别应用于10机组和40机组的多燃料电力系统的算例进行仿真分析。此外,将仿真结果与多种算法优化结果进行对比,结果表明所提标准差分算法通过融合基因编辑操作不仅能大幅度降低搜索空间,而且有效缓解了算法的过早熟现象,同时能在相对合理的计算时间内取得更优的解。 基于语义映射的可配置信息索引方法 在介绍当前可配置索引和基于本体配置方法的基础上,提出一种基于语义映射的可配置信息索引方法,解决了在多数据源环境下建立索引和在动态分布式结构中存储海量索引数据所遇到的问题。使用protégé对该索引方法生成一系列规则,结果表明该方法具有较好的可配置性和可扩展性。 济南市市政设施项目管理与决策系统设计与实现 在调研了济南市市政基础设施项目管理的业务需求的基础上,对济南市市政基础设施项目管理与决策支持系统进行了总体设计,提出了系统的总体架构模式、数据组织方式和功能设计方案。利用ESRI公司的组件式GIS平台ArcGIS Engine,结合各种专题模型组件,进行系统的集成开发。系统可方便地实现市政基础设施项目的空间化、可视化管理,提供空间分析和项目评价模型,从而使市政基础设施项目的管理与规划决策更为科学、快捷与准确。 不确定数据流上Top-k异常点查询算法 近几年,随着数据流和不确定数据的产生,不确定数据流上的异常点检测成为新的研究热点。然而,现有的不确定数据的异常点定义中涉及3个参数,这对于用户是非常难设定的,以致不能查询到适合的异常点。在大多时候,用户更想知道最可能是异常点的对象,因此提出了不确定数据流上的top-k异常点查询算法。该算法通过估计数据对象异常点的概率范围而进行剪枝,从而减少了一些不必要的计算,同时增量地计算数据对象异常点的概率范围。在真实数据集和合成数据集上进行了一系列的模拟实验,证明了算法的性能。 相变存储器离散地址数据写入读出控制系统设计 采用传统系统受到干扰信号影响,控制能力变差,提出设计一种基于可编程逻辑阵列方式的相变存储器离散地址数据写入读出系统,可改善控制能力;选用Xilinx公司的Spartan-6芯片作为配置基础,设计抗干扰可编程逻辑阵列主—被动配置方案,促使硬件具有抵抗信号干扰的能力,以该方案对电路进行连接;将硬件主—被动配置软件功能进行设计,以时序图来展示控制结果,可抵抗外界信号造成的干扰;通过实验结果得出,该系统最低控制能力也可达到80%,即使在强信号干扰下,也能对离散地址数据写入与读出进行有效控制。 FC Target的设计与实现 介绍了基于FCP协议的FC target的实现方案.阐述了initiator与target端的体系结构,描述了FCPI/O报文的处理方式.实验结果表明,FCP能够使光纤网络性能得到充分发挥,系统的总体I/O性能明显优于基于iSCSI协议的IP-SAN. 基于灰色预测和KID离群点检测的AUV故障诊断 提高故障诊断能力对于确保水下机器人AUV系统的稳定运行具有重要意义;针对水下机器人推进器系统,提出一种基于离群点检测的AUV故障检测方法;首先,将传感器采集的数据进行灰色预测处理;然后,提出了一种结合K-mean和DBSCAN的改进迭代聚类(Iterative K-mean DBSCAN,IKD)算法进行离群点检测;最后,与K-mean和DBSCAN算法相比,仿真实验结果表明基于灰色预测和KID离群点检测算法的故障检测准确率高,能够有效地实现水下机器人AUV的无监督故障诊断。 海量医学图像下的病理结果推定数学模型仿真 依据海量医学图像特征,对现有图像的病理结果进行推定时,由于海量医学图像数据量大,特征之间的关联性及其复杂,传统的算法进行病理结果推定,需要建立较为复杂的关联规则,造成推定计算效率较低,错误率较高。提出以大数据分析为基础的隐马尔科夫医学图像识别与病理结果推定方法。针对待测医学图像,采用双边滤波方法进行去噪处理,在去除图像数据噪声的同时,有效地保留了图像关键边缘的完整性,对边缘特征进行奇异值分解量化,减少不必要冗余特征干扰,根据隐马尔科夫原理计算图像的最大似然值,降低海量医学图像数据的病理结果推定的复杂程度。实验结果表明,利用改进算法进行基于海量医学图像数据的病理结果推定,能够提高计算效率与病理结果推定的准确性,提高推定效率。 无线Mesh网络路由攻击及防范 介绍了无线Mesh网络(WMN)的基本概念及组成结构,在此基础之上指出了当前针对WMN的几种主要的路由攻击。最后,以Click架构下的SR2协议为载体,搭建了WMN实验床。在此实验床上实现了黑洞和洪泛两种攻击,进而引出其针对这两种攻击的检测算法并证明其有效性。 一种新型数字桌面秤的层次式架构硬件系统开发 针对传统的桌面秤在节能省电,操作方式等方面存在的一些缺陷,设计了一种基于STC89C516RD+的数字式电子桌面秤;主要介绍了电子桌面秤的硬件系统框架及其关键功能模块;硬件电路采用层次式设计模式,使硬件系统模块化程度和可读性增强。数字式桌面秤的最大量程为30kg,最小检定分度值为10g等;根据《固定式电子秤GB/T 7723-2002》的测试和标定结果表明,整个系统不仅架构简单、操作灵活、巧妙节能,而且具有较强的电磁兼容性,所有称重技术指标均达到国家标准III级等级。 分布式系统下的DAG任务调度研究综述 近年来随着网格、云计算工作流等分布式计算技术的发展,关于DAG(有向无环图)模型任务在分布式系统环境下的调度问题逐渐成为备受关注的研究热点。根据最新研究进展,对分布式系统下的DAG任务调度问题和有关技术进行了研究与讨论,主要包括四个方面:系统地描述了分布式系统和异构分布式系统的有关概念,异构分布式系统下的DAG任务调度问题、调度模型及其典型应用;对现有分布式系统下DAG任务调度的研究按照不同的方式进行了分类;探讨了多DAG共享异构分布式资源调度的研究现状;讨论了目前多DAG共享异构分布式资源调度研究存在的问题和未来可能的研究方向。 描述逻辑FL0循环术语集的可满足性 循环术语集是描述逻辑长期以来的研究难点,它的最基本的问题即语义及推理问题没有得到合理的解决。分析了描述逻辑循环术语集的研究现状和存在的问题,基于图的互模拟的方法,给出了描述逻辑FL0循环术语集的可满足性条件。结果证明循环术语集的可满足性的推理是多项式复杂的。 一种跨组织柔性工作流引擎的设计与实现 工作流在实际应用中经常涉及到不同系统间的业务流程协作问题,以及由于业务突发变动而产生的动态适应问题。为了解决这两者问题,提出了一种结合跨组织和柔性工作流技术的工作流引擎设计方案。对已有的跨组织工作流技术和柔性工作流技术的相关研究成果进行了分析总结,在此基础上进一步设计了一种工作流模型,该模型既支持跨业务系统交互,同时也支持业务内部的流程动态变更。结合实际场景分析来阐述该模型的跨组织业务处理和业务动态变更机制的功能设计。以流程回退为例介绍该模型的原型实现。 基于中药提取的数据挖掘系统设计与实现 系统主要应用数据挖掘方法对中药提取数据进行分析和预测。首先对数据进行集成和离散化处理,得到适合数据挖掘的数据集,然后利用k-means和DBSCAN聚类算法对质检数据进行聚类,得到工艺参数质检区间;并对Apriori算法进行了改进,在算法中加入了用户兴趣度的概念,控制了候选集指数增长,得到工艺参数和固含量的关系;并利用三层BP神经网络算法训练网络模型,得出过程参数和结果质量参数的关系,发现数据中隐含的规律,为企业优化工艺以及提高其生产效率降低成本等提供科学的分析、决策辅助工具。 复杂机械产品装配过程质量门监控系统与关键技术 为及时甄别出复杂机械产品装配过程中的质量问题,提出一种质量门监控系统。分析了复杂机械产品装配流程及特点,提出装配过程质量门控制方法,并定义了质量门的内涵。研究了装配过程质量主动控制的体系架构,构建了一套使能装配过程质量控制的系统和关键技术,包括质量门资源标识和主动感知技术、质量门监控和数据采集技术、基于分层推理的专家知识系统、基于状态空间模型的装配误差分析技术、动态工序能力分析、基于粒子群优化算法的误差逆传播神经网络的分层装配性能预测、基于机器视觉的防误技术。应用J2EE构架开发了某型发动机的装配过程质量门监控系统,验证了该方法的可行性和有效性。 构建一类新网络簇的可靠性控制集 网络的可靠性研究也称网络的容错性研究,一般分为容错性分析和容错性设计两个方面,有时容错性设计也称网络的容错性综合.容错性研究指的是假设网络的站点和连线以独立且相等概率失效情形下的可靠性分析.即计算一个已知网络因站点或站点之间的连线出现故障而引起整个网络失效的概率,或者在点边出现故障概率已知的情况下设计一个网络使其出现故障的概率最小.对于具有n个站点e条连线的所有不同拓扑结构的连通无向网络拓扑所组成的簇Ω(n,e)叫做网络簇,也叫簇网络.一般认为在每个网络簇中肯定存在一个网络,它的容错性要比该网络簇中其它网络的容错性好.事实上有些网络簇中不存在一致最优可靠性网络,但可以在这样的网络簇中找几个这样的网络,使得该网络簇中其它网络的容错性要比这几个网络中的某一个小.由这几个网络的容错性来衡量整个网络簇的容错性,而这几个被选定的网络所组成的集称之为这个网络簇的可靠性控制集.文中给出了当n≥8且为奇整数时,构建一类新网络簇Ω(n,n(n-1)/2-(n+5)/2)的可靠性控制集. 柔性制造系统Petri网模型中的两类特殊资源 在一类S3PR网柔性制造系统Petri网模型N中,考察两类所处位置特殊的资源。这两类资源分别被定义为第一类和第二类位置特殊资源。随后,证明这些资源不会出现在S3PR网N的任何一个严格极小信标(strict minimal siphon,SMS)或者是基本信标之中,并且任何使用这些资源的操作库所也不会出现在N的任何一个SMS或基本信标之中。结论说明,在柔性制造系统中可能存在一些同系统死锁的发生没有关系的资源。最后,简要介绍这两类特殊资源的应用前景。 基于危险理论的电子政务系统信息安全风险评估 为了电子政务系统安全信息评估精度,依据资产、脆弱性、威胁等风险评估基本要素,提出一种基于危险理论的电子政务系统信息安全风险评估方法.该方法以威胁为核心,通过威胁分析、梯形模糊数、层次分析法,结合多属性决策理论得到威胁发生的概率、后果属性以及属性值,得到电子政务系统信息安全威胁指数,最后利用威胁指数对风险进行排序,得到系统信息安全的风险等级.仿真结果表明,该方法能够很好地量化电子政务系统信息安全风险指标,有效地提高了风险评估准确性,是一种有效的电子政务系统信息安全评估方法. 基于Hadoop的数据挖掘算法在葡萄酒信息数据分析系统中的应用 针对宁夏贺兰山东麓葡萄酒庄在销售自产葡萄酒的过程中存在葡萄酒信息数据分析不准确、销售渠道窄、销售信息更新慢、销量不高等问题,提出了一种基于Hadoop分布式框架的数据挖掘算法,对极大量的葡萄酒信息数据分析系统的数据进行采集、分析,并根据分析结果为用户推荐适合其口味的葡萄酒饮品。该系统以宁夏贺兰山东麓葡萄酒庄为实验基地,自主开发葡萄酒信息数据分析系统,并利用数据挖据算法中的聚类算法K-means算法和分类算法中的C4.5算法进行数据挖据;其中针对聚类算法中的K-means算法和分类算法中的C4.5算法实现分布式研究,实现了基于Map Reduce的分布式聚类和分类算法,并且在此基础上对其各自的缺点进行相应的改进。实验证明了基于Hadoop框架的数据挖掘算法在算法的稳定性和准确率上均有很好的提升,并且可以对葡萄酒信息数据分析系统的海量数据进行很好的处理。 《计算机集成制造系统》荣获2017中国国际影响力优秀学术期刊 "[正文]2017年11月22日,中国学术期刊(光盘版)电子杂志社发布了""2017中国国际影响力优秀学术期刊""名单,《计算机集成制造系统》榜上有名。遴选的依据是期刊的国际影响力指标,即国际他引总被引频次和国际" 改进的TOPSIS算法在房地产预警体系中的应用 针对TOPSIS算法本身的缺陷,对其进行了两方面的改进.首先,采用序关系分析法来计算房地产预警指标主观权重,以此弥补了由于客观权重造成的房地产预警指标重要性主次颠倒而导致指标权重重要性偏差过大的问题.其次,采用向量投影法来避免传统TOPSIS算法在方案评选过程中存在距离理想解近的方案与负理想解也近的问题.最后,应用改进的算法对房地产市场进行预警研究,取得了合理的结果. 基于嵌入式处理平台的森林火灾检测系统设计 提出了一种基于嵌入式处理平台的森林火灾检测系统,对系统硬件设计和软件设计两个方面做了详细论述。系统核心采用DSP+FPGA设计方案,设计实现火灾检测与报警系统,FPGA采集数字视频图像并对其进行图像预处理,然后在DSP中结合相应智能图像处理和模式识别算法进行处理,处理结果通过微波通信送至主控室,对森林区域进行实时监控。实验结果表明,该系统相比传统感温、感烟等探测技术,能克服周围环境影响,具有准确性高、响应速度快、监控区域广等特点。 重建GPT分区的研究与实现 GPT分区是目前硬盘普遍使用的一种分区形式,克服了MBR对分区管理不能超过2 TB的缺点。但是由于用户误操作、计算机病毒破坏、突然掉电等因素的影响,使得GPT分区被破坏的情况时有发生,从而导致存储在硬盘中的数据丢失。针对这一情况,以Windows 7为平台,Win Hex 15.08为分析工具,虚拟硬盘为实验对象,对虚拟硬盘GPT分区结构进行分析,通过DBR的特征值查找并获得DBR及DBR备份所在扇区,将DBR中存储的总扇区数转换为逻辑盘的总容量,以此为依据重建硬盘GPT分区,最后通过DBR备份所在扇区恢复DBR。实验结果表明,当GPT分区被破坏后,只要获得逻辑盘总扇区数,便可成功恢复GPT分区,从而完整恢复各逻辑盘中的全部数据。 基于社交网络的蠕虫动态传播模型 近年来,通过用户交互行为传播的社交网络蠕虫频繁出现,该类蠕虫利用社会工程学手段欺骗用户点击蠕虫链接,对网络安全造成严重威胁。提出了一种基于社交网络的蠕虫动态传播模型,揭示了蠕虫在网络节点间的传播过程。通过仿真实验验证了模型的有效性,并分析了在线用户数量、用户点击蠕虫链接概率、免疫补丁发布时间和安装补丁的用户数量对蠕虫传播的影响。 基于相对密度的数据流模糊聚类算法 提出的基于相对密度的数据流模糊聚类算法结合了相对密度聚类和模糊聚类的优点,能形成任意形状、多密度分辨率的层次聚类结果。同时,利用微簇空间位置重叠关系,定义了微簇集合间的差运算,从而有效地支持了用户指定时间窗口内的数据流聚类要求。通过与CluStream算法在聚类质量和处理时间两个方面的比较分析,发现基于相对密度的数据流模糊聚类算法具有明显的优势。 基于CAPE-OPEN标准的复杂吸收塔单元模块开发 吸收过程的模拟计算在化工流程模拟中具有重要地位。单独一种算法很难适用不同类型吸收过程的计算,因此,开发能计算不同类型吸收过程的复杂吸收塔单元模块具有重要意义。基于CAPE-OPEN标准,对复杂吸收塔单元模块进行开发,首先明确复杂吸收塔的功能,根据流量加和法、新松弛流量加和法建立数学模型及求解算法;其次,采用C++语言,通过COM组件技术开发吸收塔单元模块;最后,分别通过非极性体系和极性体系两个吸收实例对所开发单元模块进行测试。将计算出的各塔板温度、汽液相流量、组成与Aspen Plus计算结果进行对比,结果表明,除个别含量很小的组分组成相对误差较大外,其余各塔板温度、汽液相流量、组成相对误差均在0.3%以内,表明该单元模块计算结果准确可靠,对于吸收过程的模拟与优化具有重要的实际意义。 Netfilter数据转发性能测试与研究 从Netfilter工作流程和具体实验,分析不同环境下Linux软路由的各方面性能差异,以及大负荷网络环境下数据包丢失的原因,提出针对数据流量较大的网络环境下Linux内核及Netfilter模块的调整方法。改进后的Linux在数据转发性能上有较大提高,分析结果为Linux软路由的应用前景提供了依据。 UDX:基于UDP的可靠传输协议 为了在网络传输中实现可靠传输与实时传输的并重,提出了优化通用数据交换(universal data eXchange—UDX)协议,通过分析流量控制、中转模式解析与设计、通用数据交换(universal data eXchange—UDX)协议分析与全双工思考最终选择VEGAS+SACK实现算法,给出了通用数据交换协议与UDT协议、VTCP协议的运行测试结果,并总结出优化后的通用数据交换协议的各项优势,达到了最大带宽利用率与吞吐量以及实时性。 基于FPGA的智能建筑湿度检测控制系统设计 在信息化社会,智能建筑越来越多的被提及,智能建筑是指对建筑内外信息交换、舒适性、便利性和节能性的要求;建筑物室内湿度是人们在其中生活、工作、生产的重要考量因素;而随着技术发展,以高效稳定的FPGA芯片为核心的控制系统开始向智能建筑领域应用部署;因此文章提出并设计了基于FPGA的智能建筑湿度检测控制系统方案;文中采用硬件分析和软件设计相结合的方法;硬件分析需要对系统做一个整体把握并寻找经济实惠、稳定可靠的芯片,硬件的可靠是系统稳定工作的前提;软件设计需要将系统的工作方式和实际可能遇到的问题考虑进去,提高系统的容错能力;最后软硬结合并实验实践操作验证系统的可靠性;在实验结果中,该系统可以有效的调节室内的湿度并根据遇到的问题发出警告提示;得出结论,以FPGA控制器为核心的系统,可以担起智能建筑的湿度检测控制任务,并稳定工作。 基于多核处理器与FPGA的高速数码印花系统 传统数码印花机采用PC完成图像数据处理和传输,数据带宽低,喷印速度慢。为此,设计以Tilera嵌入式多核处理器为核心的高速数码印花系统。系统通过两路千兆光纤以太网接收图像数据,采用多核处理器实时完成数据解压缩和图像转置。提出移位转置的算法来代替遍历转置算法,使转置效率得到明显提升。处理器以共享内存与mailbox技术方式实现不同核之间的数据共享与同步,大大提高了系统的工作效率。另外利用现场可编程门阵列(FPGA)完成数据格式转换和喷印输出。测试结果表明,系统连接8个喷头的总输出带宽可达1.9 Gb/s,支持分辨率600 dot/inch下高达720 m2/h的喷印速度。 基于SOI-0.18 μm高PAE CMOS Class-E功率放大器 基于IBM SOI-0.18μm CMOS工艺,实现了高PAE的Class-E功率放大器.此放大器由两级构成.在输出级采用了负电容技术,抵消寄生电容,提高效率.输出级的共栅管采用自偏置,防止晶体管被击穿.驱动级采用Class-E结构,使得输出级能更好地实现开与关.两级之间使用了改善输出级电压和电流交叠的网络.通过使用这些技术,在2.8V电源电压下,功率放大器工作在2.4GHz的时候,输出功率为23.44dBm,PAE为58.99%. 基于脉冲调制的并行组合扩频通信系统性能研究 为满足现代通信系统对通信的高效性和安全性的要求,提出一种基于脉冲调制的并行组合扩频通信系统,结合超宽带通信脉冲调制和并行组合扩频通信各自在保密性能和高效通信能力方面的优点,使新的系统可以同时具有高效的通信能力和良好的安全保密性能。设计、建立系统模型,并利用仿真软件对系统性能进行仿真和分析。仿真结果表明,基于脉冲调制的并行组合扩频通信系统在误码性能方面优于常规超宽带脉冲调制通信系统和常规正弦载波调制的并行组合扩频通信系统,并且可以通过并行组合扩频部分参数的设置提高系统的信息传输速率;验证了脉冲调制并行组合扩频通信系统良好的误码性能、信息传输能力和系统可行性。 基于Galois线性反馈移位寄存器的随机数产生 随着FPGA计算能力的不断提高,使用FPGA进行计算加速的研究越来越多。在这些加速对象中,有许多应用都需要使用到随机数生成器。本文应用Leap Forward方法,提出了一种基于Galois类型线性反馈移位寄存器产生随机数的硬件结构。详细分析了该硬件结构中转换矩阵的特征,给出了提高工作速度和减小硬件面积的方法。应用该硬件结构,本文在Xilinx Vertex 6 FPGA上设计实现了16位输出的随机数产生器。实验结果显示,该随机数产生器仅使用了6个slices资源,工作速度可以达到951MHz,产生随机数的吞吐率可以达到15.2Gbps。文中使用K-S方法对所产生随机数的质量进行了检测,并给出了所产生的105个随机数的CDF曲线与理论CDF的比对结果。 基于响应面方法的圆锥刀侧铣非可展直纹面的刀位优化 在非可展直纹面的圆锥刀侧铣加工中,刀位优化是减小原理性误差的关键,目前还存在着刀位优化方法复杂或刀位优化后加工精度不高的问题,难以解决。针对上述问题提出一种基于响应面的刀位优化方法。利用设计曲面(非可展直纹面)发出的法向标杆射线,将刀具包络面表示为设计曲面的相伴曲面,并以连续刀位截得的最小标杆值表示包络误差。证明了标杆最小值条件与包络条件的等价性,针对某一瞬时刀位,将设计曲面上通过刀轴的法向标杆定义为特征标杆,在此基础上提出单刀位最优位姿的判定条件:刀具截得的各特征标杆长度的平方和为最小。基于面心组合实验设计方法,结合刀轴位姿空间的逐代压缩策略,再利用响应面方法建立优化目标函数与位姿参数的显式函数关系,进一步得到刀具最优位姿参数。通过实例计算和对比分析证明了所提方法的有效性。结果表明,采用该方法可以提高刀具位姿的最优化性能,模型拟合精度高,预测结果准确,并可以显著降低曲面全域的包络误差。 一种HEVC压缩域的运动目标检测方法 运动目标检测是智能视频分析的一个重要环节,现有的检测方法主要是在像素域中进行处理,存在计算复杂度高、检测目标不完整等问题.提出一种HEVC压缩域的运动目标检测方法,利用HEVC在编码过程中产生的运动矢量、划分结构、编码模式等编码信息,首先对运动矢量进行预处理得到运动矢量幅值图,然后利用编码划分结构和编码模式在空间域上对运动矢量幅值进行滤波以及更新Intra编码块的运动矢量幅值,接着对运动矢量幅值图进行膨胀和时间域的滤波,最终得到运动目标.实验结果表明,本方法大幅地降低了计算复杂度,并具有良好的运动目标检测效果. 全球覆盖低轨卫星星座优化设计研究 为了在满足全球覆盖条件下使用最少的低轨卫星个数构建星座并且维持星座长期稳定性,需要寻找最优的最小地面仰角参数以此来确定轨道高度、卫星个数等星座参数,并且需要解决卫星光照不固定和轨道参数不稳定的问题。针对如何确定最小地面仰角参数的问题,通过分析星地链路衰减、最小地面仰角和轨道高度之间的相互影响关系,提出了一种已知星地链路裕量时确定卫星轨道高度和最小地面仰角的方法,能够在满足星地链路裕量的前提下获得最优的最小仰角参数;针对卫星光照不固定和轨道参数不稳定的问题,提出了一种考虑轨道特征的低轨(LEO)卫星星座设计方法。上述方法将太阳同步冻结轨道与近极轨道覆盖带(SOC)星座设计方法相结合,设计出连续全球覆盖的LEO星座。仿真结果表明,利用这上述方法设计的LEO星座能够实现连续全球覆盖,并且能够保证卫星固定的光照条件和轨道的长期稳定性。 基于DEA的战术无人侦察机系统编配效能评估方法 "论文基于目前国内外战术无人侦察机使用情况,分析了战术无人侦察机系统的组成及编配现状,通过构建综合的编配指标体系,引入11个编配投入指标和7个效能产出指标,建立改进的基于标杆管理DEA模型,使用美军""火力侦察兵(RQ-8A)""无人机作为同级别的行业标杆,对战术无人侦察机系统编配方案的相对有效性和实际有效性进行科学评估,得出现行装备条件下科学合理的编配方式,并查找了目前国内战术无人侦察机系统编配组成上存在的缺陷,提出了优化措施。" 一类二层规划问题的区间算法 讨论下层规划问题以最优值反应到上层的二层规划问题的数值解法,其中目标函数和约束函数均为Lipschitz连续函数,构造了二层规划问题目标函数的区间扩张和无解区域删除检验原则,建立了求解二层规划问题的区间算法,并进行了数值实验。理论证明和数值实验均表明算法是可靠和有效的。 基于权重学习的图像最大权对集匹配模型 在图匹配模型中权重的设置对匹配性能有很大影响,但直接计算的权重往往不符合匹配图像的实际情况。为此,参照二次分配问题的图匹配学习思想,给出一阶和二阶最大权对集模型的权重学习计算方法。一阶最大权对集模型直接采用图像特征点作为图的顶点,而二阶最大权对集模型则采用某些特征点之间的连接边作为顶点,2个模型都可以通过Kuhn-Munkras算法求解。一阶最大权对集模型在本质上等价于二次分配问题的线性情况。在CMU House数据库上的图像匹配实验结果表明,二阶最大权对集模型优于一阶最大权对集模型,且两者在学习计算时的性能也优于直接计算的情况。 基于项目学习的卓越软件工程师的教育培养 "本文简述了在哈工大软件学院实施""卓越工程师教育培养计划""的背景和意义,以及基于项目学习的内涵及其在""卓越工程师教育培养计划""中的应用。介绍了软件学院实施基于项目学习的基础,以及进一步实施基于项目学习的计划。" 黑沙蒿中4种黄酮类化合物抗氧化活性的DFT研究 采用量子化学密度泛函理论(DFT)的B3LYP/6-311G(d,p)//B3LYP/6-31G(d,p)方法对黑沙蒿中已分离得到的4种黄酮类化合物芹菜素-7,4’二甲醚、芜花素、羟基芜花素、鼠李素进行了优化及单点能计算。从黄酮分子的几何构型、酚羟基H的NBO电荷数、不同位置酚羟基解离焓、HOMO和LUMO及其能级差分析所得:黄酮类化合物的羟基数目和形成的分子内氢键数目越多,抗氧化活性越强;不同位置酚羟基的活性不同,B环4’位酚羟基的活性最强,A环5位酚羟基的活性最弱,C环3位酚羟基的存在有利于鼠李素分子形成良好的共轭体系,提高了该化合物的抗氧化活性。结果表明,四种黄酮类化合物的抗氧化活性顺序为鼠李素>羟基芜花素>芜花素>芹菜素-7,4’二甲醚;文章最后对鼠李素分子清除羟基自由基的反应历程进行了动态模拟分析。 基于人工免疫安全存储区域网系统的研究 安全存储区域网系统需要保护海量数据,使用现有安全技术存在安全开销过大和存储空间浪费较多等问题,使得现有安全存储区域网系统I/O性能较低,无法使用.人工免疫算法能高效地识别异常数据,为了使安全存储区域网系统能保持较高的I/O性能,本文引入人工免疫算法,研究安全存储区域网系统.给出安全存储区域网中安全结点和基于博弈安全优化模块的结构;再针对安全存储区域网系统的特点,设计结点安全模块中的主要算法,并引入博弈论设计安全存储区域网系统优化算法.实现了结点安全模块的原型系统,测试安全模块的检测效率,验证了结点安全模块在具有较好安全性能的同时,具有安全开销较小且稳定的特点.最后在Lustre上实现了安全存储区域网原型系统,使用通用工具测试的系统I/O性能,结果表明所实现的安全存储区域网原型系统具有能保持较高I/O性能的特性. 基于LEACH协议的数据融合技术 提出一种基于LEACH协议的两层数据融合方案(MLDA-LEACH)。根据LEACH协议的分簇结构,首先,簇成员节点使用卡尔曼滤波算法对采集的源数据进行去噪处理;然后,簇首节点采用分布图法对数据进行预处理,再应用自适应加权算法进行数据融合。实验结果表明,这种基于LEACH协议的两层数据融合方案MLDA-LEACH与传统LEACH算法相比有效地减少了冗余数据,降低了传感器节点的能耗,延长了无线传感器网络的生命周期。 一种基于数据质量的异构多源多模态感知数据获取方法 近年来,物联网由于其广阔的应用前景得到快速发展,感知设备的种类也越来越丰富.并且很多应用中均通过部署多个相互独立的异构数据源对监测对象的多维属性进行度量,由此得到异构多源多模态感知数据.但由于硬件设备固有的限制以及环境噪声等因素的影响,感知设备不可避免地存在漏读、多读、错读等现象,导致感知数据的数据质量降低.而数据是信息的载体,其能否准确反映物理世界的真实信息是决定其在上层应用中发挥效用的关键.基于此,该文提出一种基于数据质量的异构多源多模态感知数据获取方法.首先定义了数据质量的3个方面:准确性、完整性和一致性;进而对数据质量在这3个方面进行建模,提出评价异构多源多模态感知数据综合数据质量的方法;最后提出基于数据质量的感知数据获取算法,根据用户给定的任意精度,选择部分数据源用于数据传输,在保证数据质量的前提下尽可能地减少网络资源的消耗,并通过大量实验验证了算法的高效性和可用性. 基于视觉特征库的交互控制方法 针对现有人机交互控制方法在不同应用场景需要采用不同算法,各种样本库训练费时等情况,提出以凸包缺陷面积和来刻画手掌,不需要添加额外样本库,总结出了以物距、手势特征周长、手势特征面积、凸包缺陷三角形面积和等因素为基础的手势特征库,设计出了手势控制的整套算法,并以幻灯片控制为例,使用VS2008和OpenCV完成了交互控制的软件开发;实验结果表明该方法虚拟交互时间在1 ms内,能很好的识别出2种特定的动态手势,解析出多种控制命令,可用于交互系统控制。 基于ZigBee和Android的家用移动监护系统设计与实现 为解决传统家用监护系统成本高、移动性差、布线困难等问题,设计并实现了一种基于ZigBee和Android技术的家用移动监护系统;系统采用分层的体系结构,第一层为检测终端,以STM32微处理器为核心,包含多个生理信息采集节点;第二层为家庭网关,包括ZigBee主节点和计算机服务器,通过ZigBee无线组网技术完成服务器与检测终端的网络通信;第三层为Android移动平台,通过外部网络访问服务器,提供远程交互平台;该系统应用于老年人的家庭健康监护,对体温和血压两项重要生理指标进行测量;实验表明,该系统通信质量良好,能够实现生理信号的采集、传输和波形显示,以及血压值、体温值的分析和高危报警,满足了家用移动监护的要求。 基于日志分析的增量数据捕获方法研究 通过扫描数据库日志文件可以捕获变化数据,但已有研究仅适应某种特定类型的数据库管理系统并且缺乏对冗余信息的消除。针对上述不足,提出一个基于日志分析的增量数据检测及其净效应处理通用模型,描述增量检测过程中的通用处理步骤,即日志抽取、日志分析和净效应处理3个模块。通过实验对净效应处理速度、冗余数据压缩率、网络传输速度等因素进行分析,结果表明,净效应处理可以有效地减少数据的网络传输时间和更新时间,提高运行效率。 样条权函数神经网络在指纹识别中的应用 样条权函数神经网络克服了很多传统神经网络(如BP、RBF)的缺点:比如局部极小、收敛速度慢等。样条权函数神经网络的拓扑结构简单,训练后的神经网络的权值是输入样本的函数,能够精确记忆训练过的样本,可以很好地反映样本的信息特征,亦可以求得全局最小值。为了克服传统网络在指纹识别中的弊端,文中利用了样条权函数神经网络的优点,介绍了其在指纹识别中的应用。首先通过主成分分析方法对指纹图像进行特征提取,然后利用样条权函数神经网络进行指纹识别,最后通过Matlab仿真与其他传统的神经网络进行比较,验证了样条权函数在指纹识别方面的可行性且比传统神经网络效率更高。 一种变基宽径向基神经网络的大数据集分类方法 为了提高径向基神经网络模型的分类精度和缩短收敛时间,提出了一种变基宽神经网络模型的构建算法,这种方法是在减聚类算法和K-means算法确定聚类中心的基础上,选择样本与聚类中心距离的最大值作为σ,基宽σ的值随着聚类中心的优化而不断自适应地更新.采用该方法同多支持向量机的RBF模型聚类算法以及高斯函数RBF神经网络模型中定基宽算法对乳腺癌(breast cancer)、葡萄酒(wine)、元音(vowel)三个大数据集分类,从分类准确度和收敛时间两方面作对比.实验结果表明,该方法能提高大数据样本集的分类精度和收敛速度. 基于MFA-ICA的间歇过程在线监控 针对间歇过程独特的数据特点,提出1种将因子分析(FA)作为独立成分分析(ICA)白化预处理手段的多向因子分析白化独立成分分析(multiway factoranalysis-independent component analysis,MFA-ICA)间歇过程监控方法。因子分析充分考虑了模型误差的普遍意义,拥有优秀的噪声建模能力。将其代替主成分分析用于白化,可以更好的提取数据集的本质信息。首先将间歇过程三维数据依次按批次和变量展开得到二维数据矩阵,接着把上述方法用于展开后的数据,利用ICA的I~2统计图实现在线故障检测。该方法用于标准仿真平台Pensim,结果表明上述方法对于提高间歇过程故障检测的快速性,降低漏报率有明显效果。 协同粒子群优化算法的改进与仿真 传统PSO算法的收敛性能会随求解问题空间维数的增加而下降,根据协同进化原理,通过对传统PSO算法进行协同优化处理,设计一种改进的协同PSO算法。在每次迭代过程中,采用传统PSO算法更新粒子位置和速度,由此产生群体当前的全局最优位置;对所有粒子所经历的最优位置进行降维的协同优化,产生一个参考全局最优解;根据参考全局最优解更新群体当前的全局最优位置。仿真结果表明,该算法可以明显提高收敛速度,在某些问题上可以收敛到全局最优。 电子商务智能推荐系统中基于领域本体的案例检索算法 针对传统电子商务推荐算法的不足,提出了综合语义相似度的案例检索算法。算法通过加权平均商品的概念语义相似度、基于类型的属性语义相似度和基于数据类型的属性值相似度,来计算案例的综合相似度,避免了传统推荐算法中计算相似度仅靠属性值,没考虑语义和属性类型的影响造成的效率低、精度差等问题。设计了领域本体协同案例推理的电子商务智能推荐系统架构,通过在领域本体中抽取语义要素对案例进行表示,拓宽了案例求解空间,达到了协助用户检索及完成商品推荐的任务。经实例对比分析该算法有效且精度较高。 面向海量数据的并行天文交叉证认 交叉证认是实现多波段数据融合的关键技术,天文数据的海量性使这一问题必须要依靠计算机技术加以解决。按照PCAM并行设计模型设计了并行交叉证认算法。针对交叉证认在数据I/O访问方面存在的性能瓶颈,通过调整划分的粒度、过滤空白区域、优化数据加载、计算流程等方法,协调了数据读取量与计算量间的关系。实验表明该并行方法对交叉证认计算的效率提升明显。另一方面还考虑了对HTM、HEALPix两种最常用天文数据索引方式的支持,并通过实验对比了两者的性能,为我国天文数据主题库、虚拟天文台等项目提供了技术参考。 基于B*树聚簇索引的加密字符串查询方法 为了提高在数据库中查询加密字符串数据的性能,提出一种在索引特征值上创建B*树聚簇索引的查询方法.每一个待加密字符串数据对应一个索引特征值,索引特征值以数值的形式保存在索引字段中.查询时使用两阶段查询策略,首先利用索引字段对加密数据进行一次粗糙查询过滤掉不相干的记录,然后在返回的粗糙集合解密的基础上进行明文查询,得到最终结果.实验表明该方法较现有查询方法在查询性能有较大的提升. 基于决策树规则的回归测试技术研究 回归测试中测试用例的优化选择是个关键环节,借助黑盒测试中的等价类划分选择测试用例可以提高测试的效率。文中介绍一种基于决策树规则的分类方法实现等价类的划分。该方法通过决策树提取规则,在按照一定的优先级对提取的决策树规则进行排序后,对测试用例库中的每个测试用例,选择优先级最高的规则进行匹配分类,最后从每一分类中选择具有代表性的测试用例,同时介绍了怎样构造该模型。该方法在保证了分类精度的同时能够提高测试的效率,该方法是有效的。 基于端粒G-四链体受体构建具有抗肿瘤功效的药效团模型 端粒G-四链体DNA是由端粒末端富含鸟嘌呤的DNA序列通过自身组装形成的一种特殊的二级结构。配体通过结合/稳定端粒G-四链体DNA可以抑制端粒酶延长端粒DNA,从而抑制了癌细胞赖以生存的端粒维持机制。本文运用Discovery Studio3.5软件中的Structure Based Pharmacophore(SBP)方法,以分子间端粒G-四链体DNA四聚体的结构为基础,构建了具有抗肿瘤功效的药效团模型。并通过分析测试集与药效团模型的匹配情况,对模型进行了验证。证明了该模型的正确性和可靠性,此药效团模型可用于天然产物数据库的高通量虚拟筛选。 基于伞形搜索的树形支撑结构生成算法 为了节省支撑结构材料,并避免NP难度的最优树形支撑结构生成算法,提出一种基于伞形搜索的树形支撑结构生成算法。通过该算法快速求解满足临界倾角约束条件的支撑节点,并采用贪心算法和迭代的思路逼近最优解。同时,在生成树形支撑结构过程中用体素法对树形枝干与制件实体进行干涉判断,以避免支撑与实体干涉,保证支撑结构的合理性。对比商用软件Meshmixer,所提算法在生成树形支撑结构的合理性及算法生成时间上具有一定优势,且能处理带凸台结构复杂模型的支撑结构问题。 无线便携式γ能谱仪的设计与实现 针对传统γ能谱仪连接复杂、传输距离较短等问题,提出并实现基于ARM嵌入式系统及无线WIFI模块的无线便携式γ能谱仪方案,并引入支持向量机分类方法优化核素识别方法提高核素识别准确率;经过50m无线检测单组和混合核素实验,证明设计的无线便携式γ能谱仪具备稳定的远距离数据传输性能,并且其核素识别效果优于传统γ能谱仪。 双种群粒子群算法及其在UUV路径规划中的应用 提出一种双种群粒子群算法,在粒子进化过程中,具有当前最优位置的种群侧重于局部搜索,而不具有当前最优位置的种群侧重于全局搜索。两个种群在进化过程中受共同的群体最优位置影响进行进化,从而实现信息共享,协调进化。利用几个测试函数对算法性能进行分析验证,并与其他改进算法进行比较,结果表明算法在搜索精度、稳定性以及搜索速度上均优于改进算法。将双种群粒子群算法用于UUV三维空间轨迹规划问题,获得了满意的规划效果。 电视画质测评专家系统 传统的电视画质测评采用主观评价方法,这种方法耗时长,费用高,并且受环境、评测人员等的影响较大。建立一个基于多特征融合和支持向量机(SVM)的画质测评专家系统,采用图像处理的方法对由电视屏幕采集的图像进行处理,并提取相应的特征来训练SVM,训练后的系统能够智能地评价电视画质。实验表明,该方法可以客观、高效地评价画质,评价结果与主观评价结果基本一致。 基于RVCS的云制造资源封装、发布和发现模型 为了在云制造的海量数据环境下实时发布和更新资源云信息,快速发现和获取资源信息,基于资源及服务的动态属性,建立了云制造三层属性结构,提出了基于资源云服务的资源封装、发布和发现模型,详细介绍了动态属性发布、Web服务描述语言、文件结构、资源云发布及其发现与匹配等关键要素的实现过程,并采用常用分布式应用软件mpiBLAST和Microsoft.Net初步搭建了原型系统,实现了基本功能,为今后进一步深入研究和完善功能提供了理论和技术支持。 V850E/MS1嵌入式处理器的实现 针对嵌入式系统软件的开发效率问题,通过对V850E/MS1体系结构建模研究,提出并实现一个高效的指令集模拟器V850E/MS1-sim,该模拟器主要由指令集系统、内存管理、终端系统和外设接口组成,采用指令集模拟和串行模拟的方法。仿真实验结果表明,V850E/MS1-sim在保证模拟精确性的同时,提高了模拟效率。 基于数据差异的连续数据保护恢复算法 连续数据保护系统在进行数据恢复时,首要任务是从历史时刻中快速识别出可恢复时刻点,总恢复时间通常与恢复时刻点识别过程中所检测的历史数据版本成正比.然而,基本数据恢复方法的恢复效率低,无法适应现代存储系统对可用性和可靠性的要求,恢复时间和数据损失之间的矛盾日益突出.通过对邻近算法的改进和完善,提出了一种支持多间隙复杂情况的恢复算法——RM-CBDD.RM-CBDD通过分析并消除恢复起止时刻之间两种类型的差异数据实现恢复.实验结果表明,在多间隙复杂情况下,RM-CBDD算法的恢复效率明显优于基本方法和WDRS算法,有效降低了二分探查最佳恢复时刻点的时间开销. 求解背包问题的混合量子进化算法 针对量子进化计算中反馈信息利用不充分并容易早熟的不足,将量子进化计算与及蚂蚁寻优策略融合,提出了一种新的优化方法—混合量子进化算法(HQEA).以量子染色体表示智能蚂蚁所有可能的搜索路径,初始阶段采用量子进化学习,设计了智能蚂蚁网络及衔接算子,进化学习所得结果表示智能蚂蚁路径选择的概率,并利用蚁群寻优策略继续搜索求精确解.理论证明该算法具有全局收敛性.最后以背包问题对算法进行了测试. 基于小波变换的汽车平顺性研究 依据国际标准ISO2631和国家标准GB/T4970《汽车平顺性随机输入行驶试验方法》对某型轿车进行平顺性试验,采集了汽车座椅底板处三轴振动加速度值。以Matlab为软件开发平台,实现了评价标准中时域法和频域法计算加权加速度均方根值,并重点研究了小波变换方法在汽车平顺性评价中的应用,实现了小波变换方法计算加权加速度均方根值。对三种计算方法进行比较,结果表明:各种算法计算结果差距不大,时域法更简洁;频域法适用于1/3倍频程分析人体振动影响;小波变换方法结果更接近于时域法,并且其具有时频局部分析特点,可以较好地应用于汽车平顺性研究中。 基于遗传算法的机械臂实时避障路径规划研究 针对模块化机械臂在运行时可能与工作空间中的障碍物发生碰撞的问题,提出一种基于遗传算法的避障路径规划算法。首先采用D-H(Denavit-Hartenberg)表示法对机械臂进行建模,并进行运动学和动力学分析,建立机械臂运动学和动力学方程。在此基础上,利用遗传算法分别在单/多个障碍物工作环境中,以运动的时间、移动的空间距离和轨迹长度作为优化指标,实现机械臂避障路径规划的优化。通过仿真验证了基于遗传算法的机械臂避障路径规划算法的有效性与可行性,该算法提高了运行中的机械臂有效避开工作空间中障碍物的效率。 空间光学遥感器控制系统的实时仿真测试 设计基于Windows系统的精确定时与多任务协调处理相结合的实时仿真测试系统。采用FPGA控制的PCI设备定时触发计算机外部中断的方法实现系统精确定时。按照由高到低的执行优先级,重新排列指令的发出、解析、存储等任务的执行顺序,避免出现定时中断无法响应的情况。实验结果证明,系统定时精度可达0.1 ms,测试任务均能按时执行、及时解析并正确储存,满足空间光学遥感器控制系统的实时仿真测试要求。 Bloom Filter在重复数据删除技术中应用的研究 为了缓解存储系统中因为重复数据索引而引起的存储设备访问过于频繁的问题,深入研究重复数据删除技术,并针对目前重复数据删除技术中Bloom Filter的运用以及存在的存储设备访问性能问题进行分析和研究,提出一种基于Bloom Filter的高效去重优化模式。针对单一Bloom Filter固有的假阳性的缺陷,增加辅助Bloom Filter,从而减小误判率,达到减少存储设备访问次数的目的;针对因系统软件错误引起的Bloom Filter假阴性错误,引入单校验位的错误校验机制可以实现避免假阴性值存储的同时又能减小内存存储开销。仿真实验结果表明:改进方法能够兼顾Bloom Filter的误判率与存储设备访问开销问题。通过引入一种判断机制配合辅助Bloom Filter和单校验位机制,能够达到误判率降低、存储设备访问开销减小的高性能优化效果。 基于多路径的源节点位置隐私保护路由协议 为了对无线传感器网络中的源节点进行隐私保护,同时实现安全性和网络能耗的均衡,提出了一种基于多路径的源节点位置隐私保护路由协议(RPBMP),该协议基于多路径的选择和多个中继节点的跳转进行随机路由,大大增多了源节点至基站的路由路径,延长了安全时间。理论分析和仿真实验表明,RPBMP可以在不显著增加能耗的前提下,提高源节点的位置隐私保护性能。 海量大气颗粒物成分分析系统 2011年以来,我国多地出现了雾霾天气,对大气颗粒成分分析有助于人们了解雾霾形成的原因,制订有效的应对措施.本文的主要目的是对于大气颗粒物成分进行命名.传统颗粒物的命名是在经验的基础上,对颗粒进行逐个的命名.若将该过程自动化,难点有两个:数据规模太大、人工经验难以量化.本文使用数据挖掘的工具,首先进行了一次聚类分析,降低了数据规模.为了解决人工经验难以量化的问题,使用逻辑回归分类算法,并进行了调优,使正确率达到了业务处理的要求. 工程装备嵌入式软件测试环境平台技术研究 为了弥补传统实装测试环境无法满足异常测试用例执行条件等缺点,提出一种基于CAN总线的工程装备嵌入式软件仿真测试环境平台;首先介绍了测评中心体系结构,阐述了软件仿真测试环境的功能实现及优点;利用该平台对某型显示终端进行软件测试,在软件需求分析基础上建立了仿真模型,配置了总线接口,编写了测试脚本任务,最后列出了软件测试发现的问题;采用软件测试环境平台,能够提供极端或异常的测试环境,缩短了软件测试周期并提高了测试效率。 基于反向学习的自组织迁移算法 为了解决自组织迁移算法存在的早期收敛问题,提出了基于反向学习的自组织迁移算法(Opposition-basedSelf-organizing Migrating Algorithm,OSOMA)。该算法利用反向学习机制扩展了个体的搜索方向,获得了更优秀的采样个体,使得算法在保持多样性的同时提高了收敛速度。此外,该算法还对步长进行自适应调整,进一步平衡了算法的勘探和开采能力。通过典型函数的测试证实了OSOMA的有效性。 有缓冲的认知无线电系统的接入控制算法 采用连续时间马尔科夫链对有缓冲机制的认知系统进行建模和分析,针对过多的认知用户接入会导致业务中断的特点,设计算法控制认知用户的接入概率,在满足用户中断概率限制的前提下最大化系统容量。仿真结果表明,在使用该算法的系统中,用户的接入概率与系统吞吐量随中断概率限制的增大而增大,中断概率限制下的最大接入概率即为最大化系统容量的接入概率,用户中断概率限制的满足是以牺牲系统吞吐量为代价的。同时,引入缓冲机制能够提高用户的接入概率和系统容量。 基于IPv6的嵌入式视频监视系统的设计 针对目前IPv4地址资源即将枯竭的现状,采用嵌入式技术与新一代互联网IPv6技术开发了一种新型的嵌入式视频监视系统;该系统运用ARM+GO7007SB的系统架构,在此硬件系统的基础上分析并设计了Linux操作系统下的硬件设备驱动程序,结合IPv6技术,编程实现了MPEG-4视频流的采集、传输和组播,并提高了视频监视系统的性能;应用结果表明,该系统运行稳定可靠、处理速度快、成本低且图像质量好,具有一定的实用性和推广价值。 基于Q学习的虚拟人自适应感知系统建模 在现代计算机游戏的设计中,建立具有感知行为可信的虚拟人至关重要,但在以往的感知模型中,虚拟人的感知范围往往是固定的。提出一种基于Q学习的虚拟人自适应感知模型,虚拟人可以通过对环境中感知对象的评价来动态确定感知范围,并在微机上实现了一个虚拟人找药的原型系统。实验结果表明,该模型能使虚拟人的感知行为具有可信性。 基于Zernike矩和前馈神经网络的图像配准 提出一种基于Zernike矩和多级前馈神经网络的图像配准算法。利用低阶Zernike矩表征图像的全局几何特征,通过多级前馈神经网络学习图像所经历的旋转、缩放和平移等仿射变换参数,在一级前馈神经网络的基础上添加二级前馈网络,以提高参数估计精度。仿真结果表明,与基于DCT系数的神经网络算法相比,该算法旋转、缩放和平移估计精度较高,对噪声的鲁棒性较强。 一种基于示例非独立同分布的多示例多标签分类算法 多示例多标签学习是一种新型的机器学习框架。在多示例多标签学习中,样本以包的形式存在,一个包由多个示例组成,并被标记多个标签。以往的多示例多标签学习研究中,通常认为包中的示例是独立同分布的,但这个假设在实际应用中是很难保证的。为了利用包中示例的相关性特征,提出了一种基于示例非独立同分布的多示例多标签分类算法。该算法首先通过建立相关性矩阵表示出包内示例的相关关系,每个多示例包由一个相关性矩阵表示;然后建立基于不同尺度的相关性矩阵的核函数;最后考虑到不同标签的预测对应不同的核函数,引入多核学习构造并训练针对不同标签预测的多核SVM分类器。图像和文本数据集上的实验结果表明,该算法大大提高了多标签分类的准确性。 基于斜率的多边形内外点快速判别算法 多边形的内外点判别是图形学的一个基础算法,为了更大限度地降低其算法复杂度和运算量,提出一种基于斜率的点与多边形位置关系的快速判别法。该方法只需计算该点到多边形各顶点的斜率,然后与多边形各顶点的邻边的斜率进行比较,即可对多边形的内外点快速做出判别。该算法无需复杂的点乘、叉乘、求交、三角函数等运算,在判别过程中仅需平均2n次减法运算和n/2次的除法运算,以及一些比较运算,即可对简单n多边形的内外点做出判别。经测试,该算法快速有效。 基于相似结构自动提取的SoC划分方法 提取应用描述中的相似运算结构并使用相似结构划分系统可以有效实现片上系统划分。提出一种基于生长的相似结构自动提取方法,其中的单模板匹配算法实现任意结构模板的提取,多模板生成算法采用模板和子图同步生长的方法。实验结果表明,该方法适用于包含扇出和汇聚结构的模板提取,计算时间与传统方法相比可减少30%~70%。 基于小波变换的PCNN多传感器图像融合 利用PCNN(Pulse Coupled Neural Network)在图像处理中的独特优势,提出了一种基于小波变换的PCNN多传感器图像融合方法。对源图像进行小波分解,得到不同尺度下的子带图像;在小波域中利用PCNN的同步脉冲激发特性,制定基于PCNN的融合规则;使用不同尺度下的小波系数的SF(Spatial Frequency)作为对应神经元的链接强度,经过PCNN点火得到源图像在小波域中的点火映射图;通过判决选择算子,选择点火次数多的小波系数作为对应的融合系数,进行区域一致性检验,获到最终的融合系数;对融合后的系数进行小波逆变换得到融合图像。实验结果表明,该方法有效地综合源图像中的重要信息,得到更好视觉效果和更优量化指标的融合图像,在主客观评价上均优于小波、PCNN等方法。 基于特征指标推荐系统托攻击半监督检测 针对托攻击提出一种半监督托检测模型,对标记用户分类计算簇中心,给出中心用户相似度特征属性。对不同攻击选择合适的特征指标,把输入用户划分到不同的簇集中,通过簇集中输入用户全部评分项为最大值的均值与标记用户对该项均值差,确定攻击项。依据特征指标对不同簇集进行两次分类,进而确定攻击对象。实验证明,该检测算法对不同的托攻击有较高的检测准确率。 大区农业中病虫害灾变临界的物联网监控 研究农业生产中病虫害灾变临界的准确监控方法。生物灾变复杂的生态过程,是生态系统中物质流、能量流和信息流的内变量众多,且变量之间并非简单的线性关系,灾变发生是种群数量不连续的突然变化,灾变的突发是小概率事件,又具有多因素、非线性和不确定性的特点,其大尺度的时空变化过程未必呈周期性,有的事例甚至绝无仅有,传统的线性物联网监控的条件被推翻,造成物联网监控困难。为解决上述问题,提出一种以物联网结构为基础的物联网监控模型。建立异常物联网监控信号搜索模型,计算信号特征模糊聚类概率。根据病虫害灾变多衡量标准,对获取的异常物联网监控信号进行分析,从而实现大区农业中的病虫害灾变临界监控。实验结果表明,利用本文算法进行大区农业中病虫害灾变临界的物联网监控,可以极大地提高监控的准确性,从而获取病虫害灾变临界的准确数据。 一种基于自适应监测的云计算系统故障检测方法 监测技术是保障云计算系统性能与可靠性的关键,管理员通过分析监测数据可以了解系统运行状态,从而采取措施以及早发现并解决问题.然而,云计算系统规模巨大,结构复杂,大量的监测数据需要搜集、传输、存储和分析,给系统带来巨大性能开销.那么,如何在提高故障检测的准确性和及时性的同时,减少监测开销成为亟待解决的问题.为了应对以上问题,该文提出一种基于自适应监测的云计算系统故障检测方法.首先,利用相关分析建立度量间的相关性,利用度量关联图选择关键度量进行监测;而后,利用主成分分析得到监测数据的主特征向量以刻画系统运行状态,进而基于余弦相似度评估系统异常程度;最后,建立可靠性模型以预测系统可能出现故障的时间,基于此动态调整监测周期.实验结果表明,该文所提出的方法能够适应云环境下负载的动态变化,准确评估系统异常程度,自动调整监测频率以提高系统在异常状况下故障检测的准确性与及时性,降低系统在正常运行过程中的监测开销. 基于蒙特卡罗模拟的动物疫情风险分析 近年来,重大动物疫病发生频繁,种类繁多、疫情复杂,给畜牧业生产和人的健康造成严重威胁。鉴于动物疫病的危害性,世界动物卫生组织(OIE)等国际组织和许多国家都建立了相应的动物疫病风险评估和预警系统。虽然现在有许多方法可以进行动物疫情的定量风险分析,但蒙特卡罗模拟具有自己独特的优势。在概率结果分析方面其优势尤为突出,蒙特卡罗模拟获得的结果不仅揭示了可能发生的事情,而且揭示了每个结果可能性的程度。本文首先介绍了动物疫情和动物疫病风险分析现状;然后介绍了蒙特卡罗模拟方法的主要原理,以及如何采用蒙特卡洛模拟法来对动物疫病进行风险分析和预测,从而采取积极有效的应对措施;最后对蒙特卡罗模拟在动物疫情风险分析方面的应用进行综合、分析和归纳,对其优势和缺陷进行了比较。 一种基于高阶特征表示的图像分类方法 传统高阶特征构建方法需对局部特征进行两两匹配,随着阶数的增加,从而导致组合爆炸问题。为此,提出一种高阶特征组合表示方法。将图像划分为多个网格,每个网格内的尺度不变特征转换组合成高阶特征组合。同一个网格内tf-idf值最大的特征作为主特征,其余特征作为子特征,将主特征与子特征之间的夹角作为特征空间信息。通过高阶特征组合的视觉距离和几何距离匹配高阶特征,并结合支持向量机进行图像分类实验,结果表明,该方法分类准确率提高了约4%,可有效避免组合爆炸问题。 基于语义相似度的本体概念更新方法研究 为了实现本体概念的自动更新,减少对领域专家的过多依赖,给出一种基于语义相似度的本体概念更新方法 SSOCUM(Semantic Similarity-based Ontology Concept Update Method)。实现一种改进的基于Word Net的相似度算法,该算法在计算路径长度的基础上,综合考虑了概念的节点深度以及信息量对相似度的影响。为了弥补基于Word Net的相似度算法没有考虑概念属性所携带的语义信息的不足,加入属性相似度对其进行调整。通过实验对比,验证了改进算法的计算结果与标准数据集之间的皮尔森系数高于传统算法,计算结果更接近于人的主观判断。采用构建好的煤矿领域通风系统本体对SSOCUM算法进行实验分析。结果表明,SSOCUM算法有助于本体新概念的自动添加,并具有一定的准确性和有效性。 基于能耗量化传导的WSN路由探测算法 为了降低无线传感器网络(WSN)路由节点的能量损耗,提高网络的寿命周期,需要进行路由节点的优化分布设计。传统方法采用CSMA/CA有限竞争的信道分配模型进行WSN的路由探测算法设计,实现能量均衡,在节点规模较大和干扰较强时,节能的能耗开销较大。提出一种基于能耗量化传导的WSN路由探测算法,首先建立WSN的分簇能耗调度模型,以能量控制开销、丢包率、传输时延等为约束参量指标进行路由探测的控制目标函数的构建,然后采用路由冲突协调机制进行能耗量化分配,结合WSN传输信道的能量传导均衡模型实现WSN路由的优化探测和WSN节点的优化部署。仿真结果表明,采用该方法进行WSN路由探测设计时网络的能效较高,传输时延和误码率等参量指标的表现优于传统方法。 软件错误自动定位关键科学问题及研究进展 "程序源代码中的缺陷是导致软件不可靠的一个主要原因.软件错误自动定位技术通过计算机分析程序源代码或执行过程中产生的运行状态,检测程序中的异常并将其独立出来作为需要进一步调试的可疑代码,从而缩小缺陷代码的搜索范围,辅助开发人员更快地识别缺陷语句并分析软件失效的产生原因.为了清晰地分析软件错误定位领域的关键科学问题,文中首先定义了""失效-错误定位-理解""模型,然后形式化地描述了软件错误定位相关概念;接下来,调研国内外最新研究进展,统计分析了发展趋势;重点分析了各种错误定位方法的基本思想、优缺点及其对关键问题的解决情况;最后总结了尚待解决的难点问题,指出了未来可能的研究方向." 电子政务中通用RBAC模块的实现 通过对电子政务安全需求及现有访问控制技术的分析,设计并实现了一个基于角色的访问控制模型。为了满足电子政务中对权限管理的灵活性要求,该模型引入UBAC、功能权限与数据权限、用户组、原子权限等机制改进了原有模型的不足。通过分析现实中的访问控制流程得到访问控制系统模块,提出了系统实现的技术架构并实现了该模型。 移动机器人路径规划中的仿真研究 研究移动机器人路径规划问题。针对传统移动机器人路径规划算法搜索时间长,效率低,寻优能力差等问题,提出了一种基于粒子群算法的机器人路径规划方法。该方法首先采用神经网络描述机器人工作环境,在此基础上通过坐标变换建立新地图;然后将机器人路径表示为粒子位置,并以路径长度为粒子群的适应度值;最后粒子之间的相互协作,不断更新粒子位置和速度,获得一条从起始点到目标点全局最优路径。在MATLAB平台上对该方法进行了仿真,实验结果表明,基于粒子群的机器人路径规划方法提高了路径规划的计算效率和可靠性,可应用于机器人的实时导航。 信息技术与业务匹配研究进展评述——基于社会网络分析法 对信息技术与业务匹配研究现状进行评述。运用社会网络分析法对目前的研究成果进行梳理。通过主路径分析进行发展脉络和发展阶段分析,采用社会网络分析法,剖析研究领域的知识图谱、研究现状、重要文献、研究主题。最终,分析现有研究的不足,简要展望未来研究方向。 张量描述下的多姿态多表情人脸合成方法 为了从一幅人脸图像中合成出该人脸其他姿态和表情下的图像,提出了一种基于张量子空间的多姿态人脸表情合成方法。首先,用标记过特征点的人脸图像集构造四维纹理特征张量和形状张量;其次,通过张量分解得到核张量以及各维的投影子空间(人物标识、表情、姿态、特征维);最后应用核张量以及表情、姿态子空间构造新的张量用于姿态、表情的合成,在合成新人脸图像的时候充分利用了影响人脸的各因素间的内在关系。实验结果表明,所提方法可以利用一张已知表情和姿态的人脸图合成出自然合理的其他姿态表情下的该人脸图像。 P2P VOD系统邻居节点查找算法 P2P流媒体点播系统中,由于用户的VCR操作存在不确定性,在查找合作节点时存在一定困难。针对该问题,提出了一种基于预测的邻居节点选择算法。描述了基于虚拟直播频道的点播系统覆盖网构建方式,将系统中的节点通过DHT协议的方式组织起来。提出了一种基于用户行为的学习预测策略模型,根据该模型预测结果提前查找所需邻居节点。仿真实验表明,该算法能改善用户跳转响应延时,促进节点协作。 一种P2P网络的重复博弈激励机制 P2P网络中节点的自私行为极大地降低了系统的可用性,相应解决方案是建立有效的激励机制。在借鉴博弈理论的基础上,并结合P2P网络的特点,提出了一种基于重复博弈的激励机制,构建了该模型的有限状态自动机。该模型通过引入对自私节点的惩罚机制,同时制定相关的行为规则,来激励理性节点为使其自身收益最大化而向整个网络贡献资源。仿真结果与分析表明该模型能有效地惩罚自私节点,威慑其放弃自私行为。 一种优化的基于软件定义车联网的路由方法 车联网在改善道路安全、提升出行效率、为乘客提供便利等方面发挥着重要作用。由于车辆的高速移动性、网络拓扑动态变化、链路频繁变动等特征,车联网性能受限于频繁中断的路由以及路由修复带来的高开销。为此,电气与电子工程师协会(IEEE)成立了专门的工作组,提出专用于车联网的IEEE 1609和IEEE 802.11p(WAVE)协议族。然而由于缺乏良好的鲁棒性,该协议族存在广播风暴或者路由中断问题。软件定义网络具备较好的灵活性和可编程能力,文中结合IEEE 802.11p和4G蜂窝网络,提出了一种基于SDN的异构车联网架构,并引入新的路由解决方案,致力于实现高数据包交换率和低延迟。仿真结果表明,基于软件定义车联网的路由协议展示了更好的网络鲁棒性和路由可达性。 基于频带特性的小波神经网络天线辐射场建模 针对外场复杂电磁环境下,传统神经网络方法在建模时极易受噪声影响而陷入局部最优,发生过拟合等问题,提出一种采用采样理论算法的小波神经网络建模方法。通过对小波神经网络和阵列天线辐射场频带特性的分析,证明了提出的改进方法可以有效降低噪声对模型影响,防止神经网络发生过拟合。仿真将改进方法与基于正则化技术的RBF神经网络建模方法进行对比,实验结果表明,改进的建模方法具有更强的抗噪能力,并对噪声的变化具有鲁棒性。 四旋翼飞行器串级姿态稳定性优化控制研究 针对四旋翼飞行器状态耦合严重和外界干扰敏感等问题,提出一种基于滑模的串级姿态控制的方法。首先,由牛顿-欧拉方程建立了四旋翼飞行器的动力学模型。然后,根据李雅普洛夫稳定性理论,设计了串级滑模控制技术,为飞行器内环姿态环设计了稳定性算法,能够克服四旋翼飞行器强耦合、高度非线性等问题。并对外界气流干扰进行有效抑制,提升了整个系统的稳定性。仿真结果表明,设计的控制方法能够满足四旋翼飞行器姿态调节快速和高度稳定的控制要求,稳定性和鲁棒性明显优于串级PID控制。 一种面向大规模系统域网络性能管理系统 "当前系统域网络规模日益庞大,如何监控系统域网络复杂的流量行为、发现性能瓶颈以及可能的网络故障点,为系统域网络性能优化提供有效支持的需求已经日益迫切。首先提出了一种系统域网络的性能管理体系结构SNPMA,SNPMA采用了松耦合的分层结构,通过各层之间的协同实现性能管理的自动化和可操作性。在此基础上提出了一种网络性能评估模型NPEM,解决大规模网络中对现有网络设备性能状况无法正确评估、对网络运行状态无法进行有效预测的问题,进而提出了自适应并发策略性能监控方法STM,能动态调整采集数据的策略,较好地提高了采集数据的效率。在""天河二号""真实的网络环境下,对网络设备的性能进行分级评估和分析,验证了网络性能评估和分析模型。" 一种面向超标量处理器的低功耗指令Cache设计 针对超标量结构中多体并行的流水化指令Cache提出了三种低功耗优化策略,首先是基于Cache路的条件放大技术,它根据标志匹配结果来关闭无关路中敏感放大器对存储阵列的驱动输出;其次是基于Cache行的动态电压调节技术,它只对当前访问的Cache行提供正常的操作电压,而其他Cache行都处于低电压休眠状态;最后是基于短循环程序的指令回收技术,它通过重复利用过期指令来减少对Cache的冗余访问.实验表明,这个低功耗设计在SPEC和PowerStone基准程序下可以将指令Cache的总功耗分别降低72.4%和84.3%,而处理器的IPC损失分别只有1.1%和0.8%,并且不会带来任何时序开销. 一种新型在线证书状态响应方案 证书撤销信息的发布成为了PKI系统大规模化的瓶颈,传统的证书撤销方案因为存在可扩展性差、实时性不强、交换数据量大等原因,不能适用于大型PKI系统中。针对以上问题,从理论上提出了一种新的证书撤销方案OLMiniCRL,新方案使用在线查询响应模式,采用MiniCRL压缩策略和NOVOMODO预签名方案,以精简的证书段的状态作为一个证书状态查询的响应。与传统的在线查询响应模式相比,新方案使用数字签名保障了数据的安全完整性,使用单向的Hash函数链保证了通信的实时性,大量减少数字签名的次数和数据处理量,降低服务器资源消耗,采用预签名方案能够提高用户查询的响应速度,具有较好的实时性、精简性和可扩展性,能够适用于对实效要求较高的大型PKI系统中。 基于复杂网络的灾害关联建模与分析 为研究灾害间的内部关联,提出根据灾害发生的时间跨距来计算灾害间的共现率和引发率,然后依据引发率对灾种建立复杂网络模型,通过度、点权、点介数等方法来研究复杂网络中每个节点的重要性,为灾害阻断策略提供依据,并提出有向加权网聚类系数的计算方法,模拟实验结果验证了此算法的有效性。 双结构光视觉传感器圆钢在线测量系统的研究 以提高双结构光圆钢在线测量系统的精度为目的,分析了测量系统中双光面位置变化给测量结果带来的误差,由于机械调整精度低,提出利用交比不变原理对光面位置进行调整,解决了机械调整精度低的问题,减少了由两光面之间存在夹角而产生的测量误差。搭建了包含光面调整与传感器标定的实验平台。该系统重复测量精度在0.1 mm以内,测量相对误差小于0.10%。交比不变光面调整方法与机械光面调整方法相比,测量结果精度提高了0.082 mm,证明了实验方法的可行性。 基于生长树聚类的改进型遗传算法 分析目前基于聚类思想的遗传算法的不足,提出一种基于生长树聚类的改进型遗传算法。采用最小生成树的聚类方法,能对形状复杂且非重叠样本的候选解进行聚类形成家族;新的族间交叉算子保持了种群的多样性;改进的族内交叉算子和改进的变异算子使得算法在后期仍能快速收敛;实验对经典算法测试函数进行优化,并与其他算法的优化结果对比,从而说明改进型遗传算法的性能。实验结果表明:基于生长树聚类的改进型遗传算法能有效提高求解精度,快速搜索到最优解。 基于节点稳定度预测机制的Ad hoc网络中关键节点探测算法研究 为了更好地适应Ad hoc网络拓扑结构的动态特性,提出一种基于灰色预测模型的具有节点稳定度预测功能的NS-PMRC(Node Stability Prediction algorithm of Midpoint Range Circle)算法。该算法结合GPS系统提供的节点地理位置信息,在路由维护阶段利用等维递补灰色预测模型实时预测出节点下一时刻的地理位置,并计算下一时刻节点间的距离和节点稳定度。通过比较下一时刻节点间稳定度来判断关键节点的存在条件。该算法相对于PMRC算法提高了探测准确度,并明显提高了网络整体性能。 杂波图检测在外辐射源雷达中的应用研究 目标恒虚警率检测的主要步骤之一,是估计杂波平均功率水平,可采用空域方法或杂波图方法。外辐射源雷达目标检测通常采用空域方法估计杂波功率水平,仅利用了当前扫描帧测量数据,限制了目标检测性能的提升。外辐射源雷达杂波干扰严重,可考虑采用杂波图检测方法,即利用多次扫描帧测量数据形成杂波功率水平估计。针对外辐射源雷达的信号处理流程和数据结构,分析和比较了空域方法和杂波图方法的工作原理、检测性能和计算性能。实测数据处理结果表明,相对于常用的空域方法,杂波图方法有望进一步提升外辐射源雷达目标检测性能。 GPU并行加速的边折叠简化算法 针对目前串行的网格简化算法速度过慢,忽略模型细节特征的问题,提出一种基于GPU的边折叠网格简化算法。将三角网格模型划分为一系列强独立区域,采用二次误差测度计算边折叠代价,将独立区域内的所有强独立边中的最小折叠代价作为强独立区域排序值,取各个强独立区域中折叠代价最小的边并行地进行折叠操作。实验结果表明,该算法具有较快的简化速率,较好的细节特征保持能力,简化模型具有较好的拓扑连接关系和较小的几何误差。 一种融合多源数据的网络安全态势评估模型 网络安全态势评估是目前网络安全领域的研究热点之一。对国内外已有的网络安全态势评估方法进行了分析和比较,提出一种融合多源数据的网络安全态势定量评估模型。同时考虑主机和链路对网络安全态势的影响,将网络安全态势指标归纳为主机安全指标和链路安全指标。采用改进D-S证据理论融合日志记录、告警信息和其他探针数据,得到精简的主机安全事件集合和链路安全事件集合。依据相应的服务信息分别计算主机安全态势和链路安全态势,实现网络安全态势定量评估。通过网络仿真软件构建网络实例,对所提出的网络安全态势评估模型进行了验证,实验结果表明该模型可以准确地对网络安全态势进行定量评估,评估结果能够客观地反映网络安全态势的变化趋势。 WSN中基于MDP与博弈论的入侵检测系统 针对无线传感器网络(WSNs)中容易遭受多种攻击的问题,提出一种融合马尔可夫决策过程(MDP)和博弈论的WSN入侵检测系统(IDS),称为马尔可夫博弈入侵检测系统(MG-IDS)。MG-IDS采用博弈论和MDP的异常、误用检测技术来确定最佳的防御策略,同时利用MDP和攻击模式挖掘算法,根据攻击记录来预测未来攻击模式。通过仿真实验,比较了MG-IDS、仅博弈论和仅MDP三种方案,在不同攻击频率下,对多类型混合攻击的防御性能进行了比较,实验结果表明,所提出的MG-IDS具有较高的防御成功率。 基于内存的分布式隐私流查询系统 随着大数据时代的到来,隐私问题备受关注,用户一方面希望获得新鲜和低延迟的查询结果,另一方面又希望对查询信息进行隐私保护,为此提出了一种基于内存的分布式隐私流查询系统.其中,查询可以通过Paillier密码系统进行加密.该系统在shared-nothing架构下支持水平扩展,实现了在内存中对流数据进行分片的并行查询以及基于位图索引的压缩存储.实验证明了该系统的有效性. 一种基于整数变换DC分量的自适应视频水印算法 提出了一种基于直流分量的自适应视频水印算法。在对原始视频帧进行随机选择的基础上,对亮度分量作二维4×4整数变换,并提取直流分量分组进行一维整数变换;为了兼顾水印的不可见性与鲁棒性的要求,根据水印长度和变换之后系数的大小自适应地选择嵌入水印的组及系数的改变强度。水印嵌入之前进行随机置换与LDPC编码增强了水印抗攻击能力。实验结果表明,该算法能够保证很好的视频质量,视频帧的PSNR值高于50dB,并实现了水印的盲提取。对于常见的视频攻击有较强的鲁棒性,特别是在多种格式压缩的条件下能有效提取水印。 一种基于多变量公钥的软件注册方案 对中间域多变量公钥密码算法MFE(Medium-Field Multivariate Public Key Encryption)可能遇到的奇异性进行分析和处理,并研究其应用于软件注册。用改进的MFE多变量公钥密码算法,在扩展ASCⅡ字符集构建的有限域F(28)中,设计软件注册方案,运算过程多处采用了不可更改的机器指纹信息,实现一次一密,为了提高安全性,在注册码的形成过程中对字符进行分解;而在注册码的验证过程中对字符进行合成,抗代数攻击能力得到提高。由于注册码对机器指纹信息的依赖,有效防止多机共享注册码。 基于NS2的PIM-SM组播协议研究与仿真 PIM-SM(Protocol Independent Multicast-Sparse Mode)协议是目前Internet首选的域内组播路由协议。与其他PIM协议相比,其RPT向SPT切换的机制可以有效地减少网络延迟,降低RP的负载。NS2是面向对象的离散事件仿真器,它能够有效地对各种网络拓扑和数据传输进行仿真。本文利用NS2对RPT向SPT切换的过程进行模拟,并详细分析得到的仿真数据。仿真结果证明了RPT向SPT切换的优越性。 基于自适应薄板样条全变分的肺CT/PET图像配准 全变分正则项虽然能够在具有滑移运动的肺等胸腹部器官图像配准时校正边界不连续位移场,但仍然无法保留图像的局部特征,损失配准精度。针对肺图像CT单模配准和CT/PET双模配准,通过像素点空间位置权重将薄板样条能量算子与全变分算子进行空间加权建立自适应薄板样条全变分正则项。然后,将正则项与CRMI相似性测度以及L-BFGS优化方法结合建立非刚性配准算法。通过DIR-Lab 4D-CT公共数据集和CT/PET临床数据集上的实验结果表明,提出的方法能够在保证边界不连续运动的同时保证图像内部的平滑性,具有更高的配准精度。 基于寿命的粒子群算法研究 针对粒子群算法易陷入局部最优的缺陷,提出了一种具有寿命的PSO(LS-PSO),算法赋予gbest有限的寿命,并且根据其引导能力对寿命进行自适应调整。当gbest耗尽其寿命时,它将失去领导能力,并被一个新产生并经测试具有足够引导能力的粒子所代替,继续引导群体搜索解空间的不同区域,并在两个单峰标准测试函数和六个多峰标准测试函数上对算法进行了测试。结果表明,LS-PSO比传统PSO及改进算法CLPSO有更好的求解精度和收敛速度。 基于iOS平台的脉搏血氧仪设计与实现 血氧饱和度的监测对生理健康具有极为重要的意义,为了实现对人体血氧饱和度的无线测量和远程分享,提出了一种基于i OS操作系统的脉搏血氧饱和度测量系统。该系统利用集成了低功耗蓝牙协议栈的单片机CC2540对光电容积脉搏波信号进行采集,使用蓝牙传输协议把数据实时的上传到i OS操作系统,利用i OS操作系统对信号进行数字信号处理,根据修正的朗伯-比尔定律计算出血氧饱和度和脉率,最终把测量结果上传到云端服务器。实验结果表明,该系统可以实现血氧饱和度的无创实时监测、脉搏波数据的存储、测量结果上传云端服务器和分享测量结果的功能。 无线传感网多Sink负载均衡路由协议 "大多数无线传感网络应用中,传感节点将所采集的数据传输到距离最近的汇聚节点(Sink),从而形成""多对一""的汇聚型传输.如果节点持续向Sink节点发送数据,由于传感节点产生和多跳传输转发数据分布的不均衡性,普遍采用最近Sink(nearest sink,NS)导致部分区域节点负载过重能量耗尽,整个网络分割,缩短网络寿命.为了延长网络寿命,在多Sink情况下提出一种基于最近Sink机制的启发式负载均衡路由协议.此算法不仅考虑Sink间均衡,同时考虑局部区域负载均衡.仿真结果表明本算法网络寿命大大优于同类算法." 海量遥感数据分布式集群化存储技术研究 针对当前高分辨率遥感数据的高效存储与高速访问迫切需求,采用分布式架构、对象存储和集群技术,结合遥感数据的空间特性,构建了基于数据对象的存储组织模型,设计了全分布式的存储管理架构;形成了逻辑上全球覆盖,物理上分散存储,全球遥感数据存储视图一体化,数据高效共享的分布式集群化遥感大数据存储体系。通过使用此架构,可实现遥感数据资源配置的灵活化,业务区域化特征的定制化与个性化,以及管理系统的智能化。 基于噪声估计的加权协同频谱感知技术 频谱感知是认知无线电研究的关键技术之一,能量检测法是典型的频谱感知方法。针对传统的能量检测法和协同频谱感知存在的局限性,提出一种基于估计噪声的加权协同频谱感知方法,不同认知用户分别通过估计被测频段相邻保护(过渡)频带的噪声特性,近似作为授权用户频带内的噪声特性,以此估计噪声作为信任度进行加权协同数据融合。仿真结果表明,该方法能有效提高频谱检测性能。 高效的基于口令多服务器认证方案 如果一个远程用户想要从不同的服务器获得网络服务,则必须分别向这些服务器提交注册信息。为解决这个问题,研究者提出了多服务器认证方案。然而大部分多服务器认证方案不能抵抗某些密码攻击或者计算复杂度太高。提出一种高效、安全的多服务器认证与密钥协商协议。由于智能卡和读卡器使得实现这类方案的成本较高,新方案没有使用智能卡。与相关的多服务器认证方案相比,新方案同时具有高效性和安全性,因而更适合在实际环境中应用。 用于海上采油平台的能耗分析系统 为填补我国海洋石油工业在能效监测与分析方面的不足,设计了一种用于综合分析海上采油平台各用能设备能效状态的软件系统.该系统以C++为开发语言,打破了传统能效分析的单一、粗犷、主观等弊端,实现了各用能设备的能效监测、能效分析及能效评估.软件界面友好,能够实时展现采集终端的温度、压力、流量、电量等参数,并根据该参数绘制能效分析曲线,生成报表.目前该系统已经我国渤海区域的某平台应用,为我国打造节能型能源企业迈出了重要一步. 电磁环境多层等值面融合绘制研究 针对电磁环境三维体数据中提取出的多层等值面,根据交互绘制速率与绘制质量的不同要求,提出分层伪排序融合绘制方法和改进Depth Peeling融合绘制方法。前者实现了多层等值面的近似排序,采用逐像素着色增强边界轮廓,绘制速率快,适合需要快速绘制而质量要求不高的场合;后者通过引入Slicemap技术,解决了多层等值面片元分布不均匀的问题,并利用多遍绘制融合方法,提高了绘制复杂等值面的能力,从可视化效果看,提高了绘制质量,绘制效率也得到了保证。 基于扩展属性基功能加密的有效外包计算 针对目前属性基加密(ABE)方案存在的主要问题,即访问策略功能单一的问题和密文的大小和解密时间随着访问公式的复杂性增加而增长的问题,提出了有效外包计算的多功能ABE方案。首先,通过对敏感数据的细粒度访问控制,实现了不同功能加密系统;然后,利用云服务器巨大的计算能力进行部分解密计算,将满足访问策略的用户属性密文转化为一个(常量大小)El Gamal类型的密文;同时通过有效的验证方法保证外包运算的正确性。理论分析结果表明,与传统属性基功能加密方案相比,所提方案用户端的解密计算降低至一次指数运算和一次对运算,该方案在不增加传输量的情况下,为用户节省了大量带宽和解密时间。 基于XMC4500的智能USB-CAN接口模块设计 现有的USB-CAN接口模块存在不支持多应用同时访问、滤波算法简单、需要外接终端电阻等缺点或不足;提出了一种新的智能USB-CAN接口模块设计方法,硬件上选用XMC4500作为主控制芯片,并通过固态继电器控制终端电阻;固件上采用软硬件结合的多级FIFO技术和基于位图与列表项的滤波算法;上位机软件则运用基于虚拟CAN的驱动设计方法和基于生产者/消费者模型的数据分发机制;设计的接口模块功能强大、性能稳定可靠,具有支持多应用同时访问、提供复杂的软硬件智能滤波算法、能够通过软件设置终端电阻等诸多优点,可以用于各种基于PC的CAN总线通信数据分析与监测场合中。 基于OFDM的卫星移动通信信道估计算法研究 针对卫星移动信道的特点,结合正交频分复用(Orthogonal Frequency Division Multiplexing,OFDM)技术,在卫星移动信道模型基础上分析并讨论了三种典型的信道估计算法,即最小二乘(Least-Square,LS)估计算法、最小均方误差(Minimum Mean Square Error,MMSE)估计算法和奇异值分解(Singular Value Decomposition,SVD)算法。采用经典的抽头延迟线模型,对各算法进行仿真试验,得出不同算法下信道估计误比特曲线。理论分析和仿真实验表明,三种算法均能够有效地抑制卫星移动信道衰落产生的误码率,其中SVD-MMSE算法能够在降低MMSE算法复杂度的基础上,抑制噪声,提高信道估计精度。 边界模型布尔分割环自动识别 布尔分割环是设计特征在边界模型上造型的痕迹,其自动识别是后续设计特征自动重构的基础.在对特征造型过程形状演化分析基础上,提出一种布尔分割环几何表示和自动识别构建方法.首先依据边界的凹凸特性及顶点的邻接特性构建边界模型的特征顶点邻接图,并对邻接图开展顶点节点树搜索;然后将搜索方法分为初始节点选择、节点增长、搜索评估和反向传播4个步骤,在节点增长步骤中,采用相邻节点到特征面距离最小判定方法及顶点虚拟邻接构成伪边的方法,实现分割环的封闭和特征面的有效分割.实例结果表明,该方法能够从复杂特征相交形成的交线中自动识别和构造出布尔分割环. PC与PLC通讯在远程网络测控系统中的应用 概述远程网络测控系统的工作原理,介绍PC机与PLC在远程网络测控系统中的通讯方式,并采用欧姆龙(OM-RON)公司专有的HostLink协议,在Delphi 7.0环境下结合第三方控件MSComm实现上下位机的通信编程。 码移参考超宽带收发机及其在WSN环境中的性能分析 介绍了一种新型的基于码移参考技术的超宽带收发机,通过在MATLAB上建模仿真,分析比较了在无线传感器网络应用环境下码移参考超宽带系统的误比特性能。仿真结果表明,采用码移参考技术的超宽带接收机具有比传统的传输参考接收机更好的抗窄带干扰性能,并具有较低的实现复杂度,更适合于无线传感器网络的应用。此外,偏移码字的选择对系统的抗窄带干扰性能有较大影响,采用持续时间短或者随机化特性好的码字,系统的抗窄带干扰能力较强。这些结论为码字的优化设计和系统性能的改进提供了依据。 关于建筑仓储空间三维图像设计与仿真 在对建筑仓储空间设计时,由于仓储空间多因素,多目标的复杂多样性,使得设计过程中各项指标产生线性关联较低。传统的仓储空间设计方法在低关联性环境下不能很好地处理仓储系统的随机因素和变量之间的关系,导致建模分析效率低。提出基于三维图像仿真的建筑仓储空间设计方法,以CAD技术进行建筑仓储空间三维图像仿真,按照获取的不同建筑仓储空间种类进行特征提取,根据采集的建筑仓储空间数据,塑造建筑三维仓储空间模型。并以实际的成本、库存需求和订货量等作为仿真指标,进行三维图像仿真设计出最佳的建筑仓储空间。仿真结果表明,改进仿真法能够避免传统方法不能准确表述各变量之间关系的弊端,通过三维图像仿真和实际指标,仿真出最佳的仓储空间设计方案,保证了建筑系统的运作效率要求。 基于FPGA的通用FFT处理器的设计 介绍了一种通用的可以在低端或是高端的FPGA上实现N(N=2M,M=2,3,4…)点FFT变换的方法。设计采用基4布斯编码算法和华莱士树算法设计完成了16X16位有符号数并行乘法器,并采用此并行乘法器为核心设计了FFT算法中的基-2蝶形运算单元,设计了串并转化模块、并串转换模块、移位选择模块、溢出检测模块和地址与控制模块等其它模块,并以这些模块和FPGA内部的双口RAM和ROM为基础组成了基-2FFT算法模块。整个模块采用基-2时域抽取,顺序输入,逆序输出的方法;利用Modelsim完成了FFT模块的前后仿真;利用Matlab编写了用于比较仿真结果和Matlab中FFT函数产生的结果的程序,从而验证了仿真结果的正确性。该模块最后能够在Cyclone EP1C6Q240C8型FPGA上稳定运行在60MHz。整个FFT模块能够在183μs左右完成1024点的16位定点复数FFT运算,能够满足一般工程的要求。该方法也可以用于实现更低点数或是更高点数的FFT运算。 基于多虚拟矩阵的网络流估计算法 针对现有PMC算法测量精度较低的缺点,提出一种基于多虚拟矩阵的网络流估计算法。运用多个哈希函数对数据包进行哈希映射,对应生成多个虚拟矩阵,通过对生成的虚拟矩阵按位取交集,有效提高算法的准确率。实验结果表明,在存储空间相同的条件下,该算法测量精度更高,适用性更好,能够适应当下的高速网络环境。 车载命令词识别系统背景音乐的自适应抵消 在车载命令词识别系统中,背景音乐的播放降低了命令词识别率。而音乐信号因自相关矩阵特征值扩散度较大和谱平坦度较小在算法收敛速度上比语音信号慢,以至于传统的自适应抵消算法很难将音乐干扰消除干净,保证不了命令词识别率。为了解决这一问题,文中引入了预白化自适应滤波器来减小其自相关矩阵特征值扩散度和增大谱平坦度,并将此方法结合双滤波自适应算法,用来消除车内的背景音乐,以提高车载命令词识别系统的识别率。实验结果表明,经过背景音乐消除,命令词识别率有明显的提高,并且预白化也提高了识别率。 基于物理的海浪模拟综述 真实感流体的模拟是计算机图形学领域中研究的热点和难点之一,海浪模拟是流体模拟动画的重要组成部分,其真实感、实时性和交互性等直接影响到海面场景逼真的程度。重点回顾了基于物理的海浪模拟研究方法的发展,并对近年来海浪模拟的热点问题如波浪的翻卷和破碎、浪花的飞溅和泡沫、流固耦合、两相流和多相流的模拟进行了详细的分析,最后针对有限的系统资源与随着规模增长的计算资源之间的矛盾,重点讨论了加速策略。未来将结合多种模拟方法的优点,对海浪建模进行合理的简化,改善数据结构,并在此基础上实现GPU并行化,以满足实时仿真的要求,这将是基于物理的海浪模拟的发展方向。 无线路灯的三链路由算法和协议设计 为解决无线路灯组网通信问题,提出适用于路灯这种拓扑结构的三链路由算法。利用路灯的平行链式物理结构的特点,将路由路径划分为两条独立的一级路由路径,在每条一级路由路径上,通过逻辑手段划分为组间二级链路由和组内三级链路由。在整个算法中,不需要专门路由设备的支持。测试结果表明,该算法可以高效低延时地完成路由功能。基于这种算法,给出具体协议设计。 基于VB.NET的在线考试系统设计与实现 采用B/S模式结构,以.NET 2.0为开发平台,使用VB语言,后台连接SQL Server 2005数据库,设计并实现在线考试系统。本文主要对系统的需求分析、功能模块、数据存储结构以及编码实现等几个方面进行了阐述。 鲁棒模型预测控制在变风量空调系统中的应用 在变风量空调系统中二次泵压差控制可以有效地减少空调能耗,为克服二次泵模型的不确定性,提高二次泵变频调速控制的响应速度和精度,采用基于线性矩阵不等式的鲁棒预测控制策略。算法分为离线和在线两个部分,离线时首先用传统算法得出目标函数上界,以此为已知量重新优化得到一系列较大的渐近稳定的不变椭圆集。在线时,每个采样周期用三个相邻的椭圆集优化来对状态变量进行精确定位,并给出控制量。给出在线优化的理论证明。通过和传统算法的仿真比较,表明该算法的有效性。二次泵压差控制的实验表明该算法可得到较大的可行域,系统响应快,控制效果好。 关于异构无人器地空联合编队控制仿真研究 异构无人器地空联合编队可以大幅度提高执行任务的效率,针对编队优化控制问题,提出了一种采用RBF网络的自适应编队控制器设计方法。详细推导了无人机和无人车非线性动力学模型的等价变换,建立了以加速度为控制输入的统一的编队控制模型。采用虚拟领航者方法,建立了虚拟无人器与跟随无人器之间的误差模型,设计了基于RBF网络的联合编队自适应控制器,通过sigmoid函数和tanh函数在线调整网络权值,使编队的跟踪误差快速趋近于零。三维仿真结果表明:无人机-无人车联合编队快速形成期望队形,并且能够实现队形保持。 变压器类设备状态评价的回归建模研究 在国家电网系统中,电力系统变压器类设备起着至关重要的作用,而对变压器类设备的故障诊断又直接决定着电力变压器类设备的维护工作。文中提出在变压器类设备状态检修中,使用基于线性回归的建模方法,以大量运行中的设备的状态信息为样本,对变压器类设备状态建立回归模型,从而形成对变压器类设备更精确的状态评价,在很大程度上弥补了传统的阶梯性扣分评价体系的不足,以得出更精确的检修方案,并通过实验证明该方法的有效性和正确性。 弱监督任意姿态人体检测 困难姿态(多视角或者任意姿态)下的弱监督人体检测问题被关注研究。现在大部分人体检测仅仅关注普通的直立姿态,但现实中的人体却呈现非常丰富的姿态(如弯曲的、躺着的、坐着的),这不仅加大了人体检测的难度,而且令标注工作更加困难,实际中通常只能获得弱标注样本。多示例学习方法放松了精准标注的要求,因此常常被用来解决此类问题。但是多示例学习对正示例的质量以及一些模型参数设置相当敏感,例如将示例层次条件概率融合到包层次的策略。在Pascal VOC 2007的人类数据集上对这些重要但很少被关注的问题进行了综合性深度研究,并提出了一种新的选择性弱监督检测算法(selective weakly supervised detection,SWSD)。实验证明,只要添加少量的监督样本,在多示例学习框架下,可以大幅度提高弱监督人体检测性能。 基于S型函数的自适应粒子群优化算法 针对粒子群算法求解精度低和后期收敛速度慢等问题,提出了一种基于S型函数的自适应粒子群优化算法SAPSO (S-shaped function based Adaptive Particle Swarm Optimization)。该算法利用倒S型函数的特点,实现了对惯性权重的非线性调整,从而更好地平衡算法的全局搜索能力和局部搜索能力;同时,在算法的位置更新公式中引入S型函数,并利用个体粒子自身的适应度值与群体平均适应度值的比值自适应地调整搜索步长,从而提高算法的搜索效率。在若干经典测试函数上的仿真实验结果表明,与已有的几种改进粒子群算法相比,SAPSO在收敛速度和求解精度方面均有较大优势。 一种低时延极化码列表连续删除译码算法 为进一步降低译码时延,提出一种基于路径复用的低时延自适应列表连续删除译码算法。针对不同列表连续删除译码间存在重复路径的现象,采用基于CRC校验的重复路径复用的译码方案。仿真结果表明,与传统CA-SCL算法以及AD-SCL算法相比,该译码算法能够在信噪比较低的信道中保持较高的译码性能,并获得更低的译码时延。 融合集群度与距离均衡优化的K-均值聚类算法 "针对传统K-均值算法对初始聚类中心选择较为敏感的问题,提出了一种基于融合集群度与距离均衡优化选择的K-均值聚类(K-MCD)算法。首先,基于""集群度""思想选取初始簇中心;然后,遵循所有聚类中心距离总和均衡优化的选择策略,获得最终初始簇中心;最后,对文本集进行向量化处理,并根据优化算法重新选取文本簇中心及聚类效果评价标准进行文本聚类分析。对文本数据集从准确性与稳定性两方面进行仿真实验分析,与K-均值算法相比,K-MCD算法在4个文本集上的聚类精确度分别提高了18.6、17.5、24.3与24.6个百分点;在平均进化代数方差方面,K-MCD算法比K-均值算法降低了36.99个百分点。仿真结果表明K-MCD算法能有效提高文本聚类精确度,并具有较好的稳定性。" 面向视听跨媒体检索的神经认知计算模型研究 跨媒体语义映射和跨媒体语义检索是跨媒体搜索引擎的核心技术问题。对视听神经认知的功能、层次和结构进行了分析,借鉴深度信念网络和时空层次记忆模型的设计思想,建立了一种仿脑的面向视听跨媒体应用的神经认知计算模型。依据神经系统的信息处理机制和认知理论的功能架构来设计可计算模型,系统地讨论了皮层柱的视听信息整合机制和协同认知的处理流程。本模型可为解决跨媒体语义映射和跨媒体语义检索的相关应用提供借鉴和参考,对实现非冯·诺依曼结构的仿脑认知计算进行了一次有意义的探索。 面向非一致Cache的任意步长预提升技术 随着微电子工艺的不断进步,片上大容量非一致cache的研究受到广泛关注。提出了一种面向非一致cache的任意步长预提升技术,它能够优化非一致cache中的数据组织,使得即将访问的数据被放置在距离处理器较近的cachebank中,从而降低访存延迟,提升系统性能。详细介绍了任意步长预提升技术的设计,比较了预提升技术与预取技术的差别,并提出了二者的结合技术。通过对来自NPB和SPEC2000的11个基准测试程序在全系统模拟器上的实验评测,发现任意步长预提升技术能够有效减小访存延迟,在访存预测表尺寸为16和32的情况下,系统IPC分别平均增长4.17%和4.91%;在结合预提升和预取技术的情况下,系统IPC分别平均增长8.84%和11.06%。 灰色神经网络在粮食产量预测中的应用 研究粮食准确预测优化问题,粮食产量受到多种因素影响,同时具有复杂的非线性和随机性特点,传统单一模型难准确对其变化规律进行准确描述,预测精度较低。为提高粮食产量预测精度,提出一种将灰色理论和BP神经网络相结合的粮食产量预测模型。首先采用灰色GM(1,1)预测模型动态预测粮食产量变化趋势,然后运用BP神经网络对灰色GM(1,1)模型预测结果进行修正,以提高粮食产量预测精度。采用1978-2008年我国粮食产量数据对预测模型性能进行仿真测试,仿真结果表明,组合预测模型提高了粮食产量的预测精度,更能描述粮食产量变化规律,为粮食产量准确预测提供了一种有效研究方法。 基于改进BP神经网络的室内无线定位方法 针对在室内无线定位中采用加权质心定位法时精度较低且难以克服信号不稳定的问题,提出改进的BP神经网络方法。以接收信号强度(RSSI)为输入、二维平面坐标为输出建立网络结构,网络的初始权值和阈值用思维进化算法优化,并用边长3 m的正方形区域内的196个样本数据训练。实验结果表明,在27个预测点上可达到定位精度0.1 m。相比于BP网络以及BP网络和遗传算法的结合算法,该定位方法训练收敛时间短,定位结果稳定。 中国航天科工二院第二总体设计部 [正文]中国航天科工集团第二研究院第二总体设计部(简称二部)创建于1958年10月8日,是我国最早组建的地空导弹总体设计部,主要承担先进防御导弹武器系统的总体研发、设计、集成与试验等工作。建部以来,在党中央、国务院、中央军委和上级机关的亲切关怀下,在集团公司和二院 基于快速混沌置乱的鲁棒型医学图像加密算法 针对现有基于混沌的医学图像加密算法的鲁棒性和效率不足,提出了一种基于快速混沌置乱的鲁棒型医学图像加密算法RMIEF-CS。算法利用两个低维的混沌系统交替迭代产生混沌序列,较好地解决了由于计算机精度有限而带来的混沌收敛问题;然后利用生成的混沌序列对图像明文数据流进行第一次置乱加密,对得到的密文采用新的混沌序列进行再次置乱得到最终密文。置乱过程中引入双向密文反馈机制增加算法的安全性和鲁棒性;算法利用低维的混沌系统生成密钥,在置乱过程中无需耗时的排序操作,并适合于任何形状的图像,具有较好的时间效率和通用性。通过仿真实验验证了RMIEF-CS具有较好的加密性能,并能在密文受损情况下解密出原始医学图像的近似版本。另外,RMIEF-CS比基于均匀置乱和混沌映射的加密方法在时间效率上提高6倍左右,因而能适用于大数据量的医学图像实时保密传输。 基于Fuzzing的军用报文软件漏洞发掘技术 分析军用报文格式及其软件特点,给出一种智能强制性Fuzzing测试的畸形数据构造方法。根据Fuzzing技术的原理,设计军用报文软件漏洞发掘系统MTSFuzzer,并对其构架、模块以及关键技术进行描述。测试结果表明,MTSFuzzer能提高军用报文软件漏洞的发掘效率,并且具有较好的可扩展性。 关于园林景观装饰图案色彩优化匹配仿真 对园林景观装饰图案色彩的优化匹配,能够有效提升对园林景观装饰的设计质量。对图案色彩的匹配过程,需要根据形成对应的颜色分量权值,提取园林景观装饰图案色彩的不变视觉特征,完成对园林景观装饰图案色彩的优化匹配。传统方法引入对称的空域信息,定义初始色彩集,但忽略了提取图案色彩的不变视觉特征,导致匹配精度偏低。提出基于区域颜色信息的视觉传达下园林景观装饰图案色彩匹配方法。上述方法先获取邻域窗内的像素权值,形成对应的颜色分量权值,提取园林景观装饰图案色彩的不变视觉特征,根据不同类型色彩之间的距离进行景观装饰图案色彩聚类,进行装饰图案中色彩的相似性度量,根据装饰图案不同区域间几何性质进行误匹配剔除,并进行视觉传达下园林景观装饰图案色彩匹配。实验结果表明,所提方法匹配效果较为明显,提升园林景观装饰的设计质量奠定了基础。 买断契约下新产品网络预售的鲁棒定价策略 "互联网+新零售下,网络预售已经成为企业推出新产品的新举措、新模式。研究新产品网上预售的""定金+尾款""模式,考虑零售商买断供应商货源的供应链契约结构下的零售定价问题。在利用有限供应信息刻画新产品特征的基础上,建立了零售商鲁棒定价模型,研究不仅发现了新产品供应不确定性对网络预售定价问题带来的鲁棒行为冲击,也揭示出""定金+尾款""的预售支付结构对网络预售所带的运作影响。" 基于节点状态模型的传输模式自适应选择算法 针对现有的流媒体传输方法不能在高效分发数据的同时保证数据接收质量的问题,提出了一种传输模式自适应选择算法。该算法首先根据节点状态模型,将节点状态分为数据接收质量和综合状态值两部分,对各节点本身稳定状态和节点重要性进行综合判定,再结合现有数据缓冲模型进行传输模式自适应选择。仿真结果表明,该算法有效地提高了数据在传输过程中的分发效率,同时通过对服务器负载一定程度的控制,在保证数据接收质量的同时,提高了系统的可扩展性。 高效无线传感器网络强k-栅栏覆盖节能算法 为了进一步降低监测穿越行为的无线传感器网络强k-栅栏覆盖的能耗,首先证明了强k-栅栏覆盖最小能耗问题是NP难的,进而提出了一个节点感知功率可调的启发式节能算法HARPN。该算法根据栅栏中相邻节点的间距和前向节点的状态制定了4种节点感知半径的计算规则,再根据节点感知半径的大小确定节点的感知功率等级,在保证传感栅栏贯通的前提下,尽可能降低栅栏整体的能耗。理论分析和仿真实验表明,在相同的栅栏波动条件下,HARPN算法的适应性和稳定性更强,网络平均能耗约为Heuristic-2算法的62%,网络的生存期进一步延长。 视觉导引AGV纠偏算法研究 针对视觉导引AGV在纠偏过程中,由于视觉导引实时性不足和常规PID中积分积累所引起的系统超调与振荡问题,提出以位置偏差作为控制系统输入,利用积分分离PID纠偏算法求得左右轮速度差,通过下位机控制左右驱动电机实现差速纠偏的控制策略。首先根据上述控制策略建立AGV小车运动学模型,分析小车在纠偏过程中的曲线运动轨迹特征,建立纠偏方程,然后通过系统辨识获得控制系统参数,设计积分分离PID纠偏算法,最后通过MATLAB进行数值仿真。仿真结果表明,积分分离PID控制器在调节时间、抑制超调与振荡方面性能优于常规PID控制器,仿真曲线具有较好的快速性和平稳性,并能够更平稳地对AGV轨迹偏差进行校正。 面向装备作战仿真数据流的改进型频繁项集搜索方法研究 装备作战仿真数据流中的事务性数据属性之间存在着各种各样的关联,数据流关联规则分析的目的是找出这些隐藏的关联关系。针对装备作战仿真数据流关联规则分析时数据流的大数据量、变长度的特点,就关联规则获取过程中如何得到频繁项集这一问题进行了研究。为了在有限空间内快速地从装备作战仿真数据流事务数据中获取频繁项集,针对经典的频繁项集算法Lossy Counting空间占用过大的缺陷,提出了一种基于下三角矩阵的Lossy Counting数据流关联规则频繁项集搜索算法FIBM。该算法通过下三角项集存储结构代替trie树结构,能在较少的空间占用下扫描数据流一次,在线实时分析装备作战仿真数据流,具有较强的实用性。数值实验证明,进行频繁项集搜索时,FIBM算法比Lossy Couting算法的执行效率有了明显的改善。 一种针对密码芯片DPA攻击的安全风险量化分析方法 针对密码芯片遭受差分功耗分析DPA(Differential Power Analysis)策略攻击时风险如何量化精确评估的问题,提出一种量化应用模拟分析方法。该方法对正常运行中的密码芯片功率消耗大小的概率分布密度值实行核函数机制理论推导,并引入密钥在获取时攻击分析结构模型与功率消耗大小之间的互通信熵值。实验结果表明,该量化分析方法不仅能精确地验算出与互通信熵值类似的相关度参数,而且能有效地提高密钥芯片风险全方位分析能力。 改进聚类排序的多目标优化算法 针对高维多目标优化问题提出一种改进型的聚类排序算法,旨在提升原算法所得解的多样性。对该算法的改进,主要集中在两方面。首先,引入了一种双层权值向量系统。相对于原始权值向量方法,该方法可以建立目标空间当中的内部权值向量。内部向量与边缘权值向量的合并,可以促进整体权值向量的多样性。此外,引入一种新的聚类算子,可避免特定权值向量中附着过多的解。实验结果表明,相对比于原始的聚类排序算法和其他两种对比算法,所提出的算法在不同特性的测试问题上具有较好的性能。 一种基于可信第三方的CP-ABE云存储访问控制方案 针对云环境下数据存储和访问控制的安全性问题,提出了一种基于可信第三方的CP-ABE(密文策略的属性加密机制)访问控制方案。该方案在基于数据分块的基础上,通过引入可信第三方,有效解决了数据安全性及用户端密钥的管理与分发、负载过大等问题;并采用CP-ABE机制保证了安全的访问控制。分析结果表明该方案能有效地实现云环境下的安全和细粒度地访问控制,并有效地降低了用户端的负载量。 欢迎订阅《计算机与现代化》,欢迎投稿 [正文]《计算机与现代化》是计算机专业学术期刊。其特点是:时效性强,密切跟踪计算机科技发展动态,以最快的速度精选刊登计算机专业的新理论、新技术及其在各领域应用成果的论文。本刊系中国科技核心期刊、中国科技论文统计源期刊,《中国学术期刊综合评价数据库》来 服务计算环境中基于模糊修正的信任度量 针对现有信任度量方法不能解决实体间评价尺度的差异性而普遍存在信任度量准确性下降的问题,提出了一种基于模糊修正的信任度量算法。通过模糊成员函数表达了信任值的语义,并详细描述了一种推荐信任值的模糊修正算法,在此基础上提出了基于相似度的推荐信任聚合机制。实验结果表明,与PageRank和TidalTrust算法相比,该算法增强了信任度量的准确性,降低了信任决策中的误判率。 基于语义Web服务的建模框架比较研究 作为一种新兴的Web应用模式,Web服务能够摆脱硬件系统平台、操作系统以及编程语言的束缚,为跨平台、跨行业的服务提供技术保障。为了改进传统的文本匹配思路和信息组织形式,提高Web服务的智能化水平,增强服务定位的精准性,在分析语义Web服务结构的基础上,从语义层面对Web服务的能力和属性进行描述,将语义Web技术和Web服务机制相结合,阐述了OWL-S、IRS、WSMO主流语义Web服务建模框架的特点,为服务用户提供本体描述方法。从行为、体系结构和服务本体的角度比较分析了三大主流语义Web服务建模框架的异同,同时,展望了语义Web服务建模框架的发展趋势,有助于语义Web服务的建模方法及其改进。 WLAN-Mesh网络中MAC协议公平性问题的半实物仿真与分析 目前大多数针对WLAN-Mesh网络中MAC协议公平性问题的分析都是在纯计算机仿真的结果上开展的.然而,纯计算机仿真软件中的模型往往与实际的网络存在偏差,从而导致其仿真结果的可信度较差。半实物仿真(hardware in loop simulation)是一种将网络中非线性、随机性较大的因素用实际的网络设备加载,其余部分则在仿真中建模的新型仿真方法.本文旨在利用半实物仿真平台研究WLAN-Mesh网络中MAC协议公平性问题.首先针对传统纯计算机仿真结果的局限性进行了探讨.接着,利用自行研制的实际业务流终端构建了基于EXata的半实物网络仿真系统.最后,结合不同网络环境下的半实物仿真结果,对WLAN-Mesh网络中MAC协议的公平性问题做了详细的分析. 结合FCA与Jena的领域本体半自动构建方法研究 针对本体构建中难于发现隐含知识及本体编码效率低下等问题,提出了一种结合FCA与Je-na的领域本体半自动构建方法。该方法根据实例集合和它们所具有的属性集合来构造初始本体,即形式背景,接着构造概念格,将本体可视化,进而发现隐含的概念以及概念间的关系;再将这些概念及其之间的关系映射成本体主干;最后根据提供的属性值丰富本体主干。基于Jena选用OWL对以上建立的本体原型进行编码和形式化,完成领域本体的构建。以云南旅游领域为背景构建旅游本体原型系统,证明了本文所提出的本体构建方法的有效性,并在领域可移植性、本体构建效率及自动化程度等方面进行系统评测,取得良好效果。经与人工构建结果对比,证明了构建结果的有效性。 配送中多车场多任务多车型车辆调度研究 多车场多车型多任务的车辆调度优化是城市配送中的典型问题。针对该问题从空驶成本、运输成本和时间成本三个维度构建了一个VRP的数学模型,并采用自适应多态蚁群算法对模型加以求解。通过实例仿真,将仿真优化结果与未优化的随机结果进行了比较。结果发现优化后的成本比未优化的成本低,并且证明了对多车场多车型多任务的VRP模型进行优化非常必要。 基于Web的情报信息系统的设计与实现 由于传统的公安工作缺乏技术平台支撑,在领导层决策分析时,需要进行大量手工统计,不仅工作量大而且分析过程缓慢,无法准确及时地指导工作,因此文中设计并实现了适用于公安部门的情报信息系统。以Internet中的Web服务器和Web浏览器设计为基础,介绍所开发的基于Web的情报信息系统,对其总体框架结构、主要功能等内容进行探讨和研究,构建了相应的设计资源库,并分析了实现该系统的一些关键技术。该系统的研发成功,使得公安部门能有效地实现数据资源的合理配置和利用,为公安部门提供了一个先进、实用、高效、成熟可靠的工作系统。 应用Logistic方程的RED改进算法 为了降低丢包率,通过对线性增长的随机早期检测(RED)算法进行研究改进,引入了Logistic方程的方法来计算丢包率;并通过仿真实验对LGRED和NLRED的实验结果进行了比较,发现LGRED相对于RED的丢包率降低了约28.83%。实验结果表明:在相同的参数条件下,LGRED算法更加能够控制丢包的发生,提高网络性能。 复杂场景中的全景密度估计方法 为了克服传统密度估计方法受限于算法配置工作量高、高等级密度样本数量有限等因素无法大规模应用的缺点,提出一种基于监控视频的全景密度估计方法。首先,通过自动构建场景的权重图消除成像过程中射影畸变造成的影响,该过程针对不同的场景自动鲁棒地学习出对应的权值图,从而有效降低算法配置工作量;其次,利用仿真模拟方法通过低密度等级样本构建大量高密度等级样本;最后,提取训练样本的面积、周长等特征用于训练支持向量回归机(SVR)来预测每个场景的密度等级。在测试过程中,还通过二维图像与全景地理信息系统(GIS)地图的映射,实时展示全景密度分布情况。在北京北站广场地区的深度应用结果表明,所提全景密度估计方法可以准确、快速、有效地估计复杂场景中人群密度动态变化。 基于四叉树的分形地形实时动态生成算法 介绍一种结合分形算法与四叉树算法生成动态随机地形的新方法,并提出一种融合地形中点位移法及四叉树递归分割算法的实时优化算法,利用可见性剔除的简化策略和三角形扇的数据简化存储方式,解决地形绘制的裂缝、突跳问题,采用纹理混合贴图方式的渲染方法实现该层次细节模型的地形渲染。通过对该算法的实现和优化,在保证一定地形环境的视觉真实程度前提下,减少开销,从而达到提高实时渲染速度的目的。 自适应显示界面的三维交互图像仿真分析 为了更好地保证界面窗口在任意分辨率都能显示,需要进行自适应显示界面的三维交互图像模拟。但是采用当前方法进行三维交互图像模拟时,无法提取目标图像位置、形状及颜色特征,存在图像模拟误差大的问题。为解决上述问题,提出一种基于融合纹理的自适应显示界面的三维交互图像模拟方法。上述方法先利用单张照片三维重建方法提取显示界面布局特征、颜色以及位置特征,并对其变化过程进行自动推断,并利用迭代最近点(ICP)方法计算出三维空间两组都含有图像坐标点的点集,通过两两之间查找方法搜索出显示界面与图像互相重叠的区域,通过最小二乘法全局计算图像模拟过程中各视角图像所对应的刚体变换参数,得出重合区域中匹配点的数目,以此为依据完成对自适应显示界面的三维交互图像模拟。仿真结果表明,所提方法图像模拟精确度高,可以为自适应显示界面设计提供有力的依据。 基于拓展算子的灰色随机多准则决策方法 为解决准则权重为区间数、准则值为梯形灰色随机数的灰色随机多准则决策问题,设计了一种基于梯形连续区间有序加权平均算子的方法。该方法首先定义梯形灰色随机数描述不完全确定的准则值;然后根据基本单位区间单调函数的性质拓展连续区间有序加权平均算子形成梯形连续区间有序加权平均算子;再利用梯形连续区间有序加权平均算子集结梯形灰色随机数;通过规范化决策矩阵和目标权重向量得到各方案的综合评价值;最后确定各方案的排序。算例结果验证了该方法的有效性和可行性。 PLS-LSSVM模型在锌净化中的应用 在锌净化除钴过程中,生产数据存在噪声且变量间具有多重相关性,从而难以准确预测钴离子浓度。为此,采用偏最小二乘方法去除数据中的噪声,降低各参数间的多重相关性。通过为不同时期的样本数据赋予不同的权值,提高了最小二乘支持向量机(LSSVM)模型预测的准确性。利用改进的粒子群优化算法优化选择LSSVM模型的惩罚因子和核函数参数,以避免人为选择参数的盲目性。仿真结果表明,PLS-LSSVM模型的预测精度高于偏最小二乘回归和LSSVM。 WikiSensing:从大数据到数据产品 随着智慧城市应用及个人智能移动装置的普及,目前正处在一个传感数据爆炸的年代。虽然已存在一些传感数据存储和管理平台,但数据的真正价值并没有被充分挖掘。没有一个平台能在数据存储的基础上,将数据转化为可用的产品,这成为大数据和传感网络研究中的一个重要课题。探讨了设计此平台面临的主要问题和需求,并提出了解决方案——Wiki Sensing平台。在Wiki Sensing中,用弹性的传感数据存储、灵活的传感数据检索、方便的应用开发环境和有效的数据产品市场,来支持从传感大数据到数据产品的整个生命周期。系统可以让使用者融合不同来源的传感数据,整合多样的分析模型,快速建构出数据应用产品。此外,给出了Wiki Sensing的平台特性,并展示了其如何有效地发掘传感数据的价值。 惯性器件建模对GPS失锁段导航精度的影响 针对INS/GPS组合导航系统在GPS信号失锁的条件下导航精度恶化的问题,分析了惯性器件建模对导航精度的影响程度。通过对传统简化模型和考虑载体机动与环境因素的影响而建立的惯性器件完备模型的仿真,对两种模型在组合断开后的纯惯性导航的水平位置误差进行对比。结果表明相比简化模型相对完备模型可以将水平位置误差的精度提高53%,能有效解决INS/GPS组合导航系统因GPS信号失效后纯惯性系统的定位精度迅速恶化问题。 基于功率控制的多节点协同目标跟踪算法 为适应资源有限的无线传感器网络节点的目标跟踪需求,并在保证跟踪性能的同时降低算法复杂度,提出一种功率控制和多节点协调(PC&MC)目标跟踪算法。借鉴Rao-Blackwellized技术,实现多节点协同作业以保证对动态目标的跟踪精度,采用基于连续/离散混合模型的准高斯粒子滤波器完成对目标的跟踪,在跟踪过程中使用功率控制机制指挥协同节点工作。通过仿真对算法的性能进行验证,结果表明PC&MC算法适用于存储、计算等各项资源都有限的无线传感器节点,目标跟踪精度较高。 基于语义关系的疾病知识提取系统 在生物医学领域,通过知识提取过程从海量的生物医学文献中提取疾病、基因和药物之间的关系并可视化显示,可以为临床医学实验提供有效的假设检验,推动生物医学科技的发展。为此,提出一种基于语义关系的以疾病为中心的疾病、基因和药物间的知识提取系统。利用Sem Rep得到特定主题Medline文献的语义输出,通过显著信息提取算法提取Sem Rep的语义输出关系。对照OMIM和GHR在线数据库进行评估,实验结果显示该显著信息提取系统的准确率可达0.76。 结合变邻域搜索的竞争Hopfield神经网络解决最大分散度问题 提出一种结合变邻域搜索的离散竞争Hopfield神经网络,用于求解最大分散度问题。为了克服神经网络易陷入局部最小值的问题,将变邻域搜索的思想引入到离散竞争Hopfield神经网络中,一旦网络陷入局部最小值,变邻域搜索能帮助神经网络动态改变搜索邻域,从而跳出局部最小值去搜寻更优的解。最后,针对最大分散度问题的实验结果表明,提出的算法具有良好的性能。 基于IMU的手语识别骨骼模型构建 手语识别属于手势识别的研究范畴。传统的基于数据手套的手语识别方法不能完整捕捉手语的所有要素,无法识别手部与肢体配合的手语动作。惯性测量单元(IMU)由于体积小、成本低而被越来越多地应用到动作捕捉项目中。借鉴机器人运动学相关知识,提出了基于IMU的手语识别骨骼模型,该模型符合人体生物学特征。模型的构建步骤为首先进行骨骼的选取,然后进行尺寸标定。最后提出了标定模型尺寸的实验方法,使用IMU获得的动作集的数据可以进行求解。 高维数据的增量式聚类算法的距离度量选择研究 合适的距离度量函数对于聚类结果有重要的影响。针对大规模高维数据集,使用增量式聚类算法进行距离度量的选择分析。SpFCM算法是将大规模数据集分成小样本进行增量分批聚类,可在有限的计算机内存中获得较好的聚类结果。在传统的SpFCM算法的基础上,使用不同的距离度量函数来衡量样本之间的相似性,以得出不同的距离度量对SpFCM算法的影响。在不同的大规模高维数据集中,使用欧氏距离、余弦距离、相关系数距离和扩展的杰卡德距离来计算距离。实验结果表明,后3个距离度量相对于欧氏距离可以很大程度地提高聚类效果,其中相关系数距离可以得到较好的结果,余弦距离和扩展的杰卡德距离效果比较一般。 基于BBO-ELM方法的网络视频流量预测仿真研究 针对在互联网络服务中,进一步提升网络视频流量预测的精度以优化网络资源配置和满足用户需求的问题进行了研究,并对如何自适应选取网络视频流量时间序列中有效且必需的历史信息进行了探索,提出一种基于生物地理学优化算法优化极限学习机的BBO-ELM预测模型。在ELM预测模型的基础上,将BBO优化算法用于ELM的网络输入变量、隐含层节点的配置及参数、Tikhonov正则化参数的优化选取。为验证所提出方法的有效性,将BBO-ELM方法应用于真实网络视频流量预测实例中,在同等条件下,与现有方法进行了比较。仿真实验结果表明,该方法能有效地改善预测精度,显示出其有效性及应用潜力。 环保型三元共聚物丙烯酸二甲氨酯/磺化苯乙烯/马来酸酐的阻垢杀菌性能研究 以丙烯酸二甲氨基乙酯(DLA)、马来酸酐(MA)、对苯乙烯磺酸钠(SS)等为原料,以K2S2O8为引发剂,通过水相聚合,季铵化反应后合成含烷基、羧基和磺酸基的三元共聚物阻垢剂P(MA-SS-DLA)。探讨了共聚物的投加量、钙离子质量浓度和溶液pH值等因素与共聚物阻垢率的关系以及杀菌性能,并用扫描电镜观测了碳酸钙晶形的变化,采用量子化学方法研究了共聚物P(MA-SS-DLA)对碳酸钙的阻垢性能和结构之间的关系。结果表明,共聚物适宜在Ca2+质量浓度为小于600 mg·L-1的中性循环水中使用;当聚合物投加量为16mg·L-1,杀菌12 h后,P(MA-SS-DA)杀菌率还保持73%。 定常二级迭代法与其外迭代法收敛性的比较 研究了定常二级迭代法的收敛性,得到了定常二级迭代法与其外迭代收敛率的比较定理。结果表明外迭代的收敛速度一般快于定常二级迭代法,还给出了H-矩阵迭代法的比较结论。最后,数值例子验证了结论。 士官院校军械维修专业计算机课程教学改革探讨 针对部队士官院校军械维修专业的计算机教学并没有跟上信息技术发展的步伐,导致士官院校计算机教育与部队需求存在差距的问题,分析当前士官院校军械维修专业的计算机教学现状,提出通过加强课程开发、优化教学内容、注重对士官院校师资力量的培养、因材施教和加强形成性的教学考核等措施来进行士官院校军械维修专业计算机课程教学改革的观点。 基于Hadoop平台的分布式重删存储系统 针对数据中心存在大量数据冗余的问题,特别是备份数据造成的存储容量浪费,提出一种基于Hadoop平台的分布式重复数据删除解决方案。该方案通过检测并消除特定数据集内的冗余数据,来显著降低数据存储容量,优化存储空间利用率。利用Hadoop大数据处理平台下的分布式文件系统(HDFS)和非关系型数据库HBase两种数据管理模式,设计并实现一种可扩展分布式重删存储系统。其中,Map Reduce并行编程框架实现分布式并行重删处理,HDFS负责重删后的数据存储,在HBase数据库中构建索引表,实现高效数据块索引查询。最后,利用虚拟机镜像文件数据集对系统进行了测试,基于Hadoop平台的分布式重删系统能在保证高重删率的同时,具有高吞吐率和良好的可扩展性。 利用遗传算法实现不同遥感影像的河道信息自动提取 凌汛遥感监测需要获取发生凌汛河段的整体信息,然而单幅遥感影像很难覆盖发生凌汛的所有河段,多幅遥感影像之间又存在着不同的尺度缩放和变形,其上的河道信息无法直接拼接使用.为了获得整条河流的凌汛信息,必须进行河道信息的自动提取和拼接.首先从理论上分析了不同尺度遥感图像上河道的特征,建立了不同尺度遥感影像上河道对应点之间的数学变换模型,然后利用遗传算法对变换参数进行优化求解,实现了不同尺度河道信息的最小化偏差拼接.从处理的结果看,该算法具有较强的稳定性,收敛速度较快.该算法还可以用于不同尺度遥感影像上其他地理特征的拼接与整合. 基于奇异值分解的压缩感知观测矩阵优化算法 针对压缩感知(CS)中从优化后的Gram矩阵求解观测矩阵时会出现较大相关系数的问题,在利用现有算法得到优化后的Gram矩阵的基础上,通过求解等价变换后的目标函数对观测矩阵行向量的导数得到目标函数取极值时行向量的值,并通过对误差矩阵进行奇异值分解(SVD)在上述行向量的值中选出使得目标函数取最值时行向量的解析式,在此基础上给出了观测矩阵的优化算法:通过借鉴K-SVD算法中逐行优化目标矩阵的思想,对观测矩阵进行逐行迭代优化,并将相邻两轮迭代产生的观测矩阵所对应的相关性之差作为衡量迭代是否结束的条件。仿真结果表明:该算法在观测矩阵与稀疏基的相关性方面优于改进前的算法,从而提高了重构精度。 交通事故中车辆运动轨迹估计方法研究与仿真 研究交通事故中车辆的前期运动轨迹的准确估计问题。车辆在行进过程中轨迹随机性较强,一旦发生意外事故,会发生方向或者速度突变。运用传统方式进行事故中的车辆运动轨迹估计,方向或者速度突变会造成车辆运行线性变换参数与车辆运行轨迹估计参数存在差异,降低了车辆运动轨迹估计结果的准确率。为了避免上述问题,提出了一种物联网技术的交通事故中车辆运动轨迹估计方法。采用物联网技术,对事故中的车辆运动轨迹进行分析,运用轨迹突变参数融合将车辆运动参数数据进行统一处理,克服突变因素带来的影响。实验证明,利用物联网技术进行车辆运动轨迹估计,并取得了满意的效果,为保证车辆交通安全提供了科学依据。 基于倒排表与B+树的联合索引技术 为提高XML文档的查询效率,提出一种基于倒排表与B+树的联合索引技术。DTD结构索引和内容索引采用倒排表作为索引单位,XML文档索引使用B+树作为索引基本组织。在DTD结构索引的结点编码中设置标识信息,便于确定需要查询的文档。通过建立DTD结构索引、XML文档索引和内容索引,实现混合型XML文档的查询。理论分析与实验结果表明,该技术具有较小的空间开销和较高的查询效率。 无人机航道全局优化调度数学模型仿真分析 无人机航道全局优化调度模型是一组多元耦合的系统模型,针对当前的非线性时滞泛函调度模型容易陷入局部收敛的问题,提出一种基于双曲偏微分方程波动组合优化的无人机航道全局优化调度数学模型.采用非线性Levenberg-Marquardt双曲偏微分方程构建无人机航道的参量输入输出控制模型,通过三次非线性特征测度分解进行航道调度的全局寻优,由Lipschitz凸条件得到偏微分方程的奇异半正定周期解,根据解向量作为约束参量进行航道调度的稳定性泛函,进行航道调度模型的全局波动组合优化.仿真结果表明,采用该数学模型进行无人机航道调度的收敛性较好,调度时滞误差较低,可靠性和稳健性较优. 网络链路时延统计量的层析成像方法 传统的连续时延分布估计往往需要假设时延满足某种分布,估计精度受制于假设分布与实际时延分布的相关性。Gianni Antichi等提出了一种链路时延累积量估计的方法,无需假设时延满足某种分布,但需要内部节点的协作。针对上述问题提出一种完全依靠端到端测量的链路时延累积量估计方法,根据端到端的时延构建端到端时延累积量与链路时延累计量的方程,最终利用最优化方法计算出链路时延累积量的最优解。ns-2仿真结果验证了该方法的有效性。 云计算中融入贪心策略的调度算法研究 "科学计算、商业和Web应用导致人们对计算力的需求越来越高,而现有数据中心的资源利用率普遍偏低.因此,在云计算环境中,合理的分配任务、实现最佳的调度极其必要.针对云计算中Min-Min算法优先调度小任务,而Max-Min算法优先调度大任务而导致负载不均衡的问题,提出一种算法即Min-Max.该算法对时间贪心,将小任务和大任务""捆绑""在一起执行调度,从而有效地解决了负载不均衡的问题.实验表明:Min-Max与Min-Min算法相比,提高了系统整体资源利用率,在任务总执行时间上节约了9%;Min-Max与Max-Min相比,除提高了系统整体利用率之外,在任务总体完成时间、平均任务响应时间上分别节约了7%和9%." 一种列存储数据仓库中的数据复用策略 数据复用是数据仓库管理中节约存储空间、提高查询效率的重要手段.列存储技术将来自同一属性的数据连续存储,极大地提高了数据仓库等分析型应用软件的性能,同时增加了复用的可行性和灵活性.为此,提出了一种列存储数据仓库中的数据复用策略.首先,利用模式匹配技术发掘候选可复用列,排除大量无法复用的数据列,在此基础上对候选可复用数据进行筛选和过滤,大大降低复用数据检测的复杂度.针对确定的可复用数据,提出了基于列存储的复用实现策略,分别给出了原始数据列、压缩数据列及索引数据列的复用实现方法.最后提出了基于复用数据的查询实现技术.在大规模数据仓库基准数据集上的实验结果验证了数据复用策略在减少存储量、节省数据装载时间及提升查询性能方面的有效性. 一种基于位置的匿名连续认证模型 连续认证是指在用户使用某一资源期间,定期对其实施身份认证的过程。论文提出一种匿名连续认证模型,该模型允许用户在不泄露个人隐私的情况下访问服务,可用于基于临近度的访问控制。 基于LSTM的金融新闻倾向性 为尽早发现负面新闻以降低对公司的影响,提出采用判断新闻文本关键句群倾向性的方法判断新闻的倾向性。对于公司名识别,在综合原有方法优势的基础上增加百度百科查询,向公司名基础词典加入公司名和公司代码映射;在关键句群抽取环节中,使用doc2vec模型计算句子和新闻标题相似度,综合句子位置信息、句子中领域动词信息、句子中公司名信息;使用Word2vec模型并结合TFIDF的句子表示方法,使句子的表示更加准确、更有侧重。使用LSTM模型对关键句群进行分类,实验结果表明,该模型分类效果优于传统机器学习分类模型和CNN。 基于UML的教务管理系统的分析与设计 详细描述基于UML的面向学校教学教务管理者的学生管理信息系统的分析与设计的建模过程,本系统主要实现学生学籍管理和成绩管理功能。 改进的差别矩阵及其快速求核算法 求核是粗糙集中一个重要的研究内容之一。本文对杨明的基于差别矩阵求核方法进行研究,实例表明其方法还存在一些不完善。为了能够进一步提高求核效率,对差别矩阵进行改进,提出一种简化差别矩阵的定义,并给出求核方法,同时证明了由该方法获得的核与正区域的核是等价的,由此设计求核算法,其时间复杂度为max{O(|C||U|),O(|C||U/C||U′1|)},空间复杂度为O(|C||U/C||U′1|)。实验表明,该算法是有效的、高效的,且能适合大数据集的处理。 基于改进遗传算法的飞机定检人员均衡配置 "将遗传算法应用于解决飞机定检人员均衡配置问题中。根据均方差指标建立了人员均衡配置模型;采用候选集合策略处理约束条件,保证每个个体都对应有可行解;采用最优保存策略和基于预选择的小生境实现方法对基本遗传算法进行改进,并使用其求解模型。仿真实例结果表明,改进遗传算法克服了基本遗传算法容易""早熟""的不足,均衡配置后人员工作时间均方差减少65.90%。" DSP与ZLG7290的人机接口设计 ZLG7290B是数码管显示驱动及键盘扫描管理芯片;基于DSP的智能仪表人机接口功能实现采用ZLG7290B与DSP的连接,符合I2C总线协议,给出了ZLG7290B主电路连接图和键盘电路连接图;分析了ZLG7290寄存器与指令运用和I2C总线时序;阐述了键盘显示程序设计和流程图主要思路,设计了应答位响应函数和读取数据函数,实现了多通道的数据显示。 基于方向优先和对向搜索的改进Dijkstra算法 "传统Dijkstra算法在搜索最短路径时需要逐一遍历网络图中所有顶点,计算量大,占用存储空间大,搜索效率很低。因此,针对交通网络的空间特性和传统算法的不足,改进存储结构,采用""方向优先+对向搜索""相结合的搜索方法,以减少存储空间,缩小搜索范围,从而加快搜索速度,提高算法的搜索效率。实验数据表明:与传统算法相比,改进的算法能够更有效地搜索交通网络中的最短路径,具有更好的实用价值。" 扩展因子预编码的两阶段CORDIC旋转算法2S-PCS CORDIC算法常用于高效地用硬件实现向量旋转操作,如何减少迭代次数并保持扩展因子计算与补偿的简单性是算法的难点.文中提出一种表驱动的2S-PCS算法,其流水线较短且扩展因子可预先计算并优化编码.算法首先将[-π,π]内的旋转角映射到[0,π/4]内,并产生初值调整和旋转方向控制信号.之后的旋转过程分为两阶段,步1进行扩展因子可变的大角度旋转,使剩余旋转角进入步2的收敛域.步1的迭代系数和扩展因子均由映射后旋转角的高字段作为地址查表获得.步2扩展因子恒为1,迭代系数直接由旋转角的二进制编码决定.整个过程不需z通道和扩展因子计算通道,节省了面积开销.2S-PCS利用角度分解算法生成步1的迭代系数,约束非零系数的位置,并对扩展因子进行基4-Booth编码,同时合并相邻的计算量小的迭代,以减少流水线级数.2S-PCS算法利用CSA实现三数累加,同时忽略超出精度表示范围的表达式,以减少延迟、降低复杂性.短流水线还可减少计算通路的圆整(rounding)误差,提高精度.2S-PCS算法克服了全字段查表可扩展性差的问题,入口数随数据精度的增加而缓慢增长,可扩展性好.当采用28位数据通路时,与常规CORDIC算法相比,2S-PCS算法的流水线级数减少约38%,面积减少约27.9%,精度提高3位左右,具有明显的性能优势. 一种具有优先级的MAC协议时延性能分析 为了满足高动态终端对接入时延的需求,设计了一种带有优先级的随机预约/按需分配相结合的MAC协议RRDAMA-P(random reserve/demand assignment multiple access protocol with priority),同时结合排队理论推导了高低两种优先级节点的平均时延近似表达式。利用OPNET软件验证了RRDAMA-P协议的有效性,结果表明RRDAMA-P协议不仅可以满足不同终端的优先级要求,而且实现了更好的时延性能。 轻量级蓝牙协议栈的研究与应用 针对目前蓝牙协议栈的复杂性,不能在低端嵌入式系统中得到很好应用的难题,介绍一种轻量级的蓝牙协议栈lwBT,并具体移植和实现。简化的蓝牙协议栈具有便于移植,资源消耗少,产品成本低,应用灵活等特点。 (l,d)-模体识别问题的遗传优化算法 转录因子结合位点识别在基因表达调控过程中起着重要的作用.文中提出了一种贝叶斯模型驱动的模体识别的遗传优化算法GOBMD(Genetic Optimization with Bayesian Model for Motif Discovery).GOBMD首先使用一个基于位置加权散列的投影过程,将输入序列中的l-mers投影到k维(k<l)子空间,找出DNA序列中的起始良好候选模体,作为遗传算法的初始群体,以进一步求精.在遗传迭代过程中,采用结合贝叶斯模型的适应度函数指导进化过程.模拟数据的实验结果表明,与Gibbs、WINNOWER、SP-STAR、PROJECTION这些模体识别算法相比,GOBMD在对植入(l,d)-模体识别时有较好的性能,能够解决大部分挑战性的植入(l,d)-模体识别问题.此外,作者用Boxplot显示了上述模体识别算法在模拟数据识别上的性能系数分布,结果表明GOBMD具有较好的效率.针对真实生物序列的实验结果同样表明了GOBMD算法的有效性. 基于提升整数小波变换的虹膜识别 提出了一种基于小波提升方案的虹膜识别算法。与传统基于卷积的小波变换方法相比,该方法在特征提取上计算简单,运算速度快,而且实现的是从整数到整数的变换,更有利于虹膜信息的量化。先对虹膜图像进行提升整数小波变换,然后对子图进行量化编码得到特征,采用屏蔽了噪声位的汉明距来进行模式识别。实验结果表明,基于提升整数小波变换的虹膜识别方法在识别率和等错率方面都能达到好的识别效果,在特征提取速度上也得到了较大提升,更能满足实时性的要求。 基于模糊C均值和改进的LSA的文档聚类研究 文中研究的是文档聚类的方法,即将给定文档集合中的文档进行分类,以达到准确聚类的目的。提出了一种将模糊C均值(FCM)和改进的LSA(Latent Semantic Analysis)相结合进行文档聚类的方法。采用改进的词语特征提取方法构建词-文档矩阵,对该词-文档矩阵进行奇异值分解,从传统的VSM向量空间中提取文本的潜在语义空间,进而将高维的文档向量映射为低维空间的语义向量,文档之间相似度的计算采用文档语义向量的余弦表示。然后采用模糊C均值根据上述计算文档相似度的结果对文档进行聚类。针对校园论坛中的文档数据进行聚类,该方法降低了处理的复杂度同时提高了相似度计算的准确性。实验结果表明该方法对目标文档的聚类有较好的效果,聚类准确性较高。 中文微博情感分析研究综述 随着微博的风靡,与之相关的研究得到学术界和工商界的广泛关注。针对中文微博情感分析的研究进行综述。将中文微博文本情感分析分为三类任务:文本预处理、情感信息抽取和情感分类,对各自的研究方法和进展进行总结。其中情感信息抽取分为情感词、主题和关系的抽取,将微博主观文本情感分类方法归结为基于语义词典的情感计算和基于机器学习的情感分类。此外,从微博网站数据构成的角度出发,对情感分析做了延伸分析。最后总结微博情感分析的研究现状,并提出今后的研究方向。 面向Redis的数据序列化算法研究 为了解决实时计算中半结构化和非结构化数据的存储问题,借助内存数据库Redis可以存储键值型数据和支持全内存运算的优势,结合文件序列化、图像序列化、JSON序列化和Java对象序列化技术,设计了面向Redis的半结构化和非结构化数据的序列化算法。该序列化算法不仅解决了半结构化和非结构化数据无法直接存入Redis的问题,而且由于在序列化过程中实现了对这些数据的深拷贝,使得反序列化可以完美地还原初始数据。此外,序列化过程还支持通过加解密来保障数据安全。基于Storm平台的实验结果表明,所设计的序列化算法快速、有效且性能稳定。在海量数据实时计算中,无论使用哪种开发语言,将该算法与Redis数据库结合,既能利用Redis带来的高读写效率,又能存储任何半结构化和非结构化数据对象而无需重复开发代码。 基于双启发动态规划的预分解窑控制器设计 针对水泥预分解窑熟料煅烧过程多变量、多扰动、非线性,难以建立精确的数学模型,实际生产中对工人的生产经验依赖性较强等问题,提出采用误差反向传播(BP)神经网络建立烧成系统数学模型,设计预分解窑双启发动态规划(DHP)控制器。DHP评价网络输出代价函数J关于状态量的偏导数,获得最优或者次优的控制信号,然后由动作网络输出控制信号,使系统得到期望的控制轨迹。仿真结果表明控制器响应时间较快,各参量超调量均不大,有助于实际系统的稳定运行。 基于VRML的交互式天体运动场景研究 "VRML是虚拟现实建模语言(Virtual Reality Model Language)的简称,是一种基于文本的描述三维环境的场景描述语言,是HTML的3D(三维)模拟。本文以天体运动中的""日食、月食""为例,讨论了利用组件化技术构建天体运动的三维场景以及VRML虚拟场景与外界交互的手段和方法。针对传统的三维制作软件(如3D Max)在演示过程中不受用户控制和无法实现实时的交互等缺点,重点研究了利用VRML节点库中的内插器节点与传感器节点结合和Script节点集成高级语言(如Javascript)来实现交互式天体运动场景的方法及实现过程,通过该平台可以实现人机交互。由于复杂的交互式三维运动场景在计算机上运行速度不够理想,最后提出了采用编组和内联等方案对运动场景进行了优化。" 番茄游离氨基酸总量近红外光谱预测模型研究 "采用近红外光谱分析技术,建立番茄中游离氨基酸总量预测模型。从一至三穗成熟果实中共采集番茄108个,其中84个做校正集,24个做验证集。从数据归一化、数据格式、数据平滑几个方面选择不同的光谱预处理方法,确定最佳方法为:""Mean Centering""+""Second derivative""+""Norris derivative filter""。将全波数范围(4000011000)cm-1划分为70个区间,得到最佳建模区间组合为9,10,17,56,57,61。利用偏最小二乘法建立预测模型,得到相关评价指标R、RMSEC、RMSEP及模型预测准确率分别为0.936、6.72μg/100g、7.15μg/100g和92.5%。评价指标及对验证集的预测结果表明,所建模型用来实现对番茄中游离氨基酸总量进行无损、快速预测是可行的。" 面向Web的Word文件输出技术研究与实现 为了满足特定用户对于Web系统Word文件输出流格式的需求,在J2EE平台上对Java2Word类库进行二次开发,给出一种可自定义的输出Word文件格式的设计思路和方法。在数字化档案馆系统实际应用表明,输出效果良好,方便用户使用。 湖库水质监测与水华预警信息系统 针对当前湖库水质监测及水华预测预警信息化发展相对落后的现状,开发一套集水质监测、水华预测预警功能于一体的智能化信息系统。采用Visual Studio 2010中的C++语言进行系统平台搭建,将网络通信、地理信息系统、SQL2005数据库等技术相结合,对湖库水质信息进行实时监测,并通过灰色-BP神经网络模型实现对湖库藻类水华较高精度的中长期预测预警的功能,为环保部门进行湖库水华防治提供有效的信息化决策平台。 基于并行拣选的自动拣选系统订单拆分优化 为减少等待时间,进一步减少整个订单的拣选时间,将原有订单拆分为多个子订单,并建立基于并行拣选的订单拆分数学模型,该模型的优化目标是通过对订单进行拆分来减少等待时间。为求解模型,提出订单拆分必要条件。在此基础上设计了启发式禁忌订单拆分算法。仿真结果显示,采用启发式算法可使拣选时间缩短14.39%~15.62%。 基于改进蚁群算法的WSN路径优化 针对无线传感器网络(WSN)路径优化问题,提出一种改进蚁群算法的WSN路径优化方法,结合遗传算法和蚁群算法的优点,在蚁群算法中引入遗传算法选择、交叉和变异算子,提高算法收敛和全局寻优能力。仿真对比实验结果表明,改进蚁群算法提高了WSN路径优化效率和成功率,有效延长了WSN的生命周期,改善了网络整体性能。 基于强化学习的高精度电机转角控制仿真 研究电机转角的高精度控制问题。高精度电机的转角对误差精度要求很高,调度控制存在惯性误差,传统的角度控制方法中,由于运算过程中存在控制时差,造成控制过程粗糙,为了避免上述传统算法的弊端,提出一种基于强化学习的高精度电机控制方法。按照强化学习的相关理论,根据高精度电机转角控制状态数据能够强化控制信号,从而完成高精度电机的转角控制,针对高精度电机转角控制状态数据,对控制结果进行模糊化处理和模糊逆变换处理,从而降低控制误差。实验结果表明,利用改进算法进行高精度电机转角控制,可以极大地提高控制的准确性,从而满足生产过程中的实际需求。 MR图像分割问题基于变分方法的泛化统计模型(英文) 为解决灰度不均的医学图像分割现存问题,提出了基于变分方法的泛化统计模型,该模型可以同时得到偏移场和分割结果。在传统的灰度不均匀图像模型中,MR图像经常被分解为以下三部分:真实图像、偏移场以及服从零均值正态分布的噪声。该假设虽然可以简化运算,但在求解实际问题时仍存在一定的局限性,因为零均值的正态分布并不能适应噪声的复杂多样性。因此本文利用变分方法对噪声的敏感特性,同时松弛假设条件,即假设该噪声符合一般正态分布,经过严密的理论推导及高效的模型求解提出了GMLTD方法。并与两种具有代表性的算法:MLTD和MICO进行了多角度的对比实验,实验证明了该方法在算法鲁棒性、分割精度和收敛速度方面均有可喜表现。该算法可应用于医学图像的分割问题,希望能起到抛砖引玉的作用,获得更多的关注与更深入的研究。 基于改进蛙跳算法的云计算资源调度 资源合理调度是云计算研究热点。为了提高云计算资源的调度效率,提出一种改进蛙跳算法的云计算资源调度方法。首先对云资源调度问题进行分析,建立云资源调度的目标函数,然后采用蛙跳算法对云资源调度问题进行寻优,并将对蛙跳算法进行改进,加快搜索速度,以提高算法学习能力。实验结果表明,相对于其他云计算资源调度方法,该方法可以更快找到最优云计算资源调度方案,使云计算资源负载更加均衡,提高云计算资源的利用率。 基于TPM构建可信Hash树 提出一种用TPM实现可信Hash树的方法.Hash树具有保护少量信息即可度量大量数据的性质,而TPM为保护Hash树的少量信息提供了基础.在TPM和访问TPM的进程不被攻击的前提下,基于TPM的完整性度量机制,可以保证Hash树的可信.本文称这种基于TPM实现的Hash树为T_Hash树.以T_Hash树为基础,针对完整性度量请求集中于待度量数据某个局部的应用场景,我们设计了TF_Hash树和TFC_Hash树.分析证明:这三种Hash树能够发现对它们的非法篡改行为,是可信的Hash树.实验表明:在特定的应用环境,TF_Hash树有比T_Hash树更好的效率,而TFC_Hash树的性能较TF_Hash树也有进一步的提升. 一种机载实时成像处理技术的改进 介绍了某机载SAR雷达实时成像处理流程,并针对实际成像处理中存在的分辨率不足的问题,给出了修改调频率曲线插值函数初始坐标的方法.同时针对实时成像处理与Matlab存在误差的现象进行了分析,在实时处理中采用双精度浮点计算解决了该问题.最终成像结果表明,该实时成像处理程序能够可靠、稳定的工作,并获得理想的图像. 基于模糊软集和证据理论的产品族状态评价 为解决动态环境下产品族复杂系统运行状态不确定性问题,提出了基于模糊软集和证据理论的产品族状态评价方法。从企业的工程设计、市场需求—经济、生产能力和运营管理四个方面进行分析,构建了产品族状态评价指标体系;在评价过程中,考虑各专家偏好应用模糊软集方法获得产品族状态评价四方面指标的权重值,利用证据理论对四个方面的指标数据进行证据合成推理;在此基础上,计算不同时期阶段的产品族状态指数并以不同时期阶段的指数差异来评估产品族运行状态。以小型轮装产品族为例验证了该方法的有效性。 基于SVR和粒子滤波的室内导航方法 针对室内环境复杂性造成的室内定位精度不足问题,提出一种基于支持向量机回归和粒子滤波的室内导航方法;离线阶段通过采集室内接收信号强度并利用统计学习方法,构建室内RSS与物理位置之间的支持向量回归映射模型,定位阶段使用智能移动设备采集加速度、方向角等运动状态信息和Wifi模块感知的环境信息,并利用粒子滤波将运动数据和回归结果进行融合处理,推算移动用户运动轨迹;室内实验结果表明,本方法最大定位误差为1.891m,平均误差为0.669m,有效地提高了室内定位导航精度。 实时网格编辑的扩展中值坐标方法 为了克服凸长和复杂网格较难克隆的问题,提出一种基于扩展中值坐标的交互式网格编辑方法.首先由用户选择源网格中感兴趣的区域,使用垂直投影参数化方法将其映射为二维区域,并将映射后的网格顶点二维拓扑信息保存为图像元放置于目标网格相应位置;然后通过扩展中值坐标参数和外部的边界环,在被粘贴的图像元基础上恢复出三维信息;最终粘贴网格部分被变形使得与目标网格光滑拼接.该方法采用GPU对网格复制进行加速.实验结果表明,文中方法能够在三维模型之间实时地复制、替换任意不规则网格区域. 基于果蝇算法和灰色神经网络的电子装备故障预测方法研究 针对电子装备故障数据小样本、非线性的特点,在相空间重构处理原始时间序列数据的基础上,基于k折交叉验证和果蝇算法优化灰色神经网络模型参数,从而提出一种基于果蝇算法和灰色神经网络的故障预测方法,并以某型雷达高压电源监测数据仿真结果为例验证其模型性能;实验结果表明,相比已有方法,该方法在全局优化、收敛速度、预测精度方面都具有一定优势。 一种基于动态词汇表的在线LDA算法 目前的在线潜在狄利克雷分布模型(LDA)算法大多是基于固定的词汇表,在实际应用中经常会出现词汇表和处理的语料不匹配的情况,影响了模型的实用性。针对这个现象,在置信传播算法(BP)的框架下,使主题单词分布服从狄利克雷过程,重新推导公式,使得词汇表在模型运行之前为空,并且在处理时不断向词汇表中增加发现的新词。实验证明,这种新的基于动态词汇表的算法不仅使得词汇表与语料的贴合度更高,而且使其在混淆度以及互信息指数这两个指标上能够比基于固定词汇表的LDA模型表现得更加优越。 多模式生物特征识别的身份验证系统 提出了一种有效的基于多模式生物特征识别的嵌入式身份验证系统,介绍了系统的流程和硬件组成,以及虹膜和掌纹两种生物特征的融合算法及其优化。该算法在图像层对虹膜和掌纹两种生物特征图像进行融合,既融合了两种模式的生物特征信息,又有效地减小了特征模版的大小,与其他传统的生物特征识别算法相比,该算法低运算复杂度的特点使其很适合在嵌入式系统实现。实验结果表明,该多模式生物特征识别身份验证系统准确、有效、安全。 关于Vague集模糊熵及新构造方法 从Vague集模糊性主要来自未知信息与不确定信息出发,给出一种新的Vague集模糊熵计算方法,并改进了它的公理化定义及其证明。最后通过实例分析,指出它确实同时考虑到Vague集的未知性和不确定性,从而证明所给出Vague集模糊熵的定义是更加合理的。 曲折前进模式下战时军事运输方案分析与规划 战时军事运输需面临更多现实性因素,运输轨迹常常具备回退、迂回等曲折式前进特征,运输时间常常超出预期。针对战时军事运输的运输时间不确定性特征,分析敌方打击破坏以及公路阻抗对运输方案的波动性干扰,使用迂回时延以及路阻时延对运行程间不确定性特征建模,讨论分组运输对运输风险的规避作用,建立以最小运量阻抗为目标的战时分组运输均衡模型,通过K优路径算法以及MSA迭代法求解流量分配方案,最后在改动Nguyen&&Dupuis网络中仿真测试,实验表明该模型对战时军事分组运输方案决策具有积极的指导作用。 复杂室内图像的灭点检测与箱体重建方法 近年来,随着家居虚拟展示应用的推广,针对图像的室内场景建模技术成为研究和应用的热点。在图像理解的基础上,针对单张复杂室内图像提出了一套箱体建模方法。首先进行代表房间主轴方向的灭点检测,并通过分析影响灭点检测的因素,对灭点检测算法提出相应的改进,从而显著改善算法的执行效率和准确性。然后对图像的场景布局信息进行自动还原,并通过加入布局优化步骤,提高布局还原结果的准确率。最后利用灭点得到相机的内、外部参数,并以此为基础实现图像到三维模型的转换。实验表明,单张复杂室内图像的箱体重建方法能够快速地对图像场景进行分析,并恢复场景的箱体布局,满足虚拟重建的需要。 异步集成电路设计方法综述 异步电路相对同步电路而言具有无时钟偏斜、模块化程度高、功耗低、电磁兼容性强等优势,越来越受到人们的广泛关注.异步电路设计方法是异步电路研究中的一个重点,文中将异步电路设计方法的发展历程划分为3个阶段,并着重对第3个阶段的设计方法进行了综述.根据设计方法的描述方式和设计粒度,首先将第3阶段进一步划分为语法驱动转换的设计方法、同步-异步转换的设计方法和基于定制的细粒度高性能异步流水线设计方法3类;然后从设计方法的理论基础、电路模型、设计自动化程度、电路性能等多个角度进行介绍并比较.最后对异步电路设计方法未来的发展趋势进行了展望. 超大地形三维模型设计与制作 将地形信息融入作战与训练背景中,是近来各家作战实验、军事训练机构包括地方工业部门争相研究与应用的热门,其技术发展很快,方法多样,效果千差万别。文中论述一种海战场地理信息快速建模和仿真方法,从初期数据收集、中期的数据处理、合成、到后期显示驱动,实现了超大地形的三维显示。 Cell BE环境中BF算法并行化及性能优化 BF(Brute Force)算法在Cell BE环境中的并行化及性能优化研究是此类算法向CellBE环境迁移的基础。根据CellBE独特的结构及算法本身的特点,采用计算-加速的编程模型实现并行化,分析评价双缓冲、Mailbox、DMA-list机制对BF算法性能的影响。结果显示,3种机制的单独应用都可以优化BF算法在CellBE上的并行处理性能,任意2种以及3种机制的综合应用都可以不同程度地进一步提升性能,其中3种机制的综合应用使性能达到最优。 一种特征模板匹配的水声识别系统的设计与实现 传统的水声目标识别,主要是通过单类型声纳或单识别方法来实现判性。论文提出一种基于多类型声纳或多种识别手段相互印证,依据各种已明确的识别特征信息,进行汇总、归类、编辑、入库,充分运用大数据证据体模板及信息资料匹配的方法,同时,辅助人耳判听,能有效提高目标可识别性和识别准确率。虽然大数据匹配模板在该原型系统上的应用目前仅局限在初步实现阶段,但在实用意义和研究价值上很有必要性。 命题演算两个推理系统的等价性 首先介绍命题演算的Gentzen型系统G,然后给出一个命题演算的永真推理系统H,最后证明一个命题在G中可证当且仅当它在H中可证,从而G与H是等价的。 Web服务攻击技术研究综述 Web服务在给基于异构平台的应用集成带来极大便利的同时,其自身各核心组件也面临着恶意攻击的威胁。详细分析了针对单个Web服务以及Web服务组合过程的各种常见攻击技术的原理、特点,探讨了相应的检测和防御措施,结合已有研究成果,讨论了Web服务攻击防护将来的研究方向以及面临的挑战。 基于攻击图的网络安全评估方法研究 为了提高网络的整体安全性,提出了基于攻击图的网络安全评估方法。首先,在攻击图的基础上提出了脆弱点依赖图的定义;其次,将影响评估的因素分为脆弱性自身特点、网络环境因素和脆弱性关联关系三部分;最后,按照网络拓扑的规模,采用自下向上、先局部后整体的思想,直观地给出了漏洞、主机和整个网络系统三个层次的脆弱性指数评估值。通过大量反复的实验测试,该方法可以对网络系统存在的脆弱性进行定期的、全面的量化评估,及时发现并弥补网络系统中存在的安全隐患,有效地提升网络系统的生存能力,从而提高网络系统应对各种突发攻击事件的能力,具有重大的理论价值、经济效益和社会意义。 界面预制:一种高效生成原子模型的方法 针对用于微电子元器件性能仿真的纳米尺度模型含有大量原子,直接对其进行编辑较为复杂的问题,在总结原子模型几何特征的基础上,提出一种新的建模方法.该方法预先将各种材料和不同材料间的界面设计成预制结构;在建模时,用户只需创建若干基本的图元,再选择各图元相应材料并将它们组合成一个复合图元;依据复合图元的材料信息选择合适的界面预制结构,最终生成设计器件的原子模型.用户调查结果表明,与现有建模方法比较,文中建模方法更为简便和高效. 基于EVENODD码的单盘故障快速恢复算法 在基于EVENODD码的阵列存储系统中,考虑单个磁盘故障时的快速恢复问题,通过减少恢复过程中数据的读取量来减少恢复时间,提高数据存储的可靠性。理论上证明了对于任意单个磁盘的故障恢复,需要从系统中其他盘读取的数据量的下界,并设计出一种新的混合恢复算法,使得恢复过程中的数据读取量达到该理论下界。相比于传统恢复算法,混合恢复算法综合利用了EVENODD码的两类校验进行单盘恢复,能够有效地减少恢复时所需的数据读取量。实验结果表明混合恢复算法在恢复时间和磁盘访问时间方面相比于传统算法有明显的提高。 基于设计符号学的图标设计 为进一步探讨图标设计的识别性问题,提出了基于设计符号学的图标设计方法.该方法将图标设计分成语意、语构、语境和语用4个维度,根据设计符号学原理对图标设计与用户研究进行认知、整合上的创新,构成一个闭环式的图标设计理论模型;分析了基于设计符号学的图标设计过程,以图标代数理论为基础提出基于设计符号学的图标设计表达模型,探讨了语意和语构2个维度的重要性.采用.NET技术在Windows 7平台上构建了一个辅助图标设计过程的计算机原型系统IDAS1.0,其中包括快速设计模块、设计符号学评价模块和知识库模块.基于该系统可以实现图标方案的快速生成,构建设计知识库及获取专家的设计符号学评价,从而提高图标的设计和认知效率,验证了基于设计符号学的图标设计理论体系. 模数混合Ku波段侦察机设计与实现 针对某型装备试验需求,研制了模数混合Ku波段侦察机,系统包含瞬时频率测量器、极化量化器、脉冲参数计数器、信号功率检测器和数据采集、分析和显示组件;采用模块化结构的频率、脉宽、重频及功率检测电路构建硬件平台,采用双检波器设计实现微波脉冲参数测量,采用DSP架构完成信号采集与处理,采用Measure Studio开发应用程序;微波鉴相器和数字信号处理技术相结合,实现了对Ku波段微波信号关键参数的侦测,该系统已投入实际应用,其性能稳定、操作方便、瞬态参数测量精度高。 基于线性模型的数码相机定位算法 针对目前大多数的定位算法均或多或少地需要专门的设备问题,本文使用普及性较广的数码相机来对目标进行定位。根据双目定位理论,建立相机成像的线性模型,设计一种检验方法来检验该模型,最后对其成像精度和稳定性作详细分析。该模型简单、计算量小、可扩充性强,对多个相机成像的位置标定具有较高的参考价值和广阔的应用前景。 基于离焦量差异定性分析的自动对焦方法 为了改善在某些场景中由于聚焦评价函数非单峰性而造成爬山搜索方法正确率降低、误差增大的问题,设计了一种基于离焦量定性差异度量的自动对焦方法。首先,利用基于空间域的卷积/去卷积变换计算对焦过程中两个不同调焦位置的两幅图像中对应点的离焦量差异值;接着,采用投票策略得出这两幅图像的离焦量差异定性度量;然后,根据离焦量差异定性度量确定对焦搜索方向;最后,按照变步长策略逐渐缩小搜索范围和搜索步长,直至在步长为1时找到合焦位置。在由18倍光学变焦的监控摄像机上采集的3个图像序列上展示了该方法与其他两种典型的基于聚焦评价函数的爬山自动对焦方法的对比,实验结果表明:所提方法在保持爬山搜索法快速、行程比较少等优点的同时,明显提高了在聚焦评价函数单峰性不良的场景中的正确率,降低了误差量,很好地解决了局部极值对于爬山搜索法的影响。 求解旅行商问题的混合量子蚁群算法 针对蚁群算法求解旅行商问题时易陷入局部最优和收敛速度慢的问题,提出一种新的求解旅行商问题的混合量子蚁群算法。该算法采用量子比特的概率幅对各路径上的信息素进行编码,采用量子旋转门及蚂蚁走过的路径对信息素进行更新,设计一种新的变换邻域准则。基于TSPLIB的仿真实验结果表明了该算法具有较快的收敛速度和求解精度。 《中文核心期刊要目总览》入编通知 [正文]尊敬的主编先生/女士:我们谨此郑重通知:依据文献计最学的原理和方法,经研究人员对相关文献的检索、统计和分析,以及学科专家评审,贵刊《计算机仿真》入编《中文核心期刊要目总览》2011年版(即第六版)之自动化技术、计算机技术类的核心期刊。该书由北京大学出版社出版。书中按《中国图书馆分类法》的学科体系,列出了73 结合SIFT和Delaunay三角网的遥感图像配准算法 针对高分辨率遥感图像中提取的特征点数目过大且易存在误匹配点的问题,提出了一种粗配准和精配准相结合的高分辨率遥感图像配准算法.首先对图像降采样处理后,提取大尺度空间下的SIFT特征点,求得仿射变换模型完成图像粗配准;然后对图像进行分块,利用SIFT方法对每幅子块图像提取特征点,并找到对应子块图像之间的匹配点对;之后利用特征点构建Delaunay三角网,计算每对子块图像之间的三角形相似度,构成相似矩阵,从中挑选相似度大的三角形对以构成精确匹配点对;最后利用得到的精确匹配点对实现最终的图像配准.该算法能够减少提取的特征点数且剔除更多的错误匹配点,从而进一步提高精确匹配点率.实验结果表明了算法的有效性. 路径损耗对802.11无线局域网干扰与载波监听性能的影响研究 分析了路径损耗因子对802.11无线局域网的干扰与载波监听性能的影响,揭示了增大路径损耗因子的优势。基于SNR阈值模型和固定的载波监听阈值,分析了802.11a/b/g/n的主要比特速率。首先,在绝大多数的比特速率下(除比特速率为1和2Mbps外),增大路径损耗因子有利于增加SIR和减小干扰半径,这有助于提高比特速率和空间复用。其次,基于蜂窝网络拓扑指出了最优网络容量随路径损耗因子的增大而增大。最后,图例分析和仿真实验揭示了增大路径损耗因子有助于改善载波监听的准确率。城市中由于建筑物和人口稠密会增大路径损耗因子,因此可提升无线局域网的性能。 基于Q学习和动态权重的改进的区域交通信号控制方法 "Q学习在交通信号控制中具有广泛的应用。在区域交通中,基于Q学习的传统区域交通信号控制方法通过agent之间互相交流的方式获取周边路口信息,并作出最有利的决策。传统交通控制方法在大部分情况下具有良好的表现。然而,由于其对周边路口拥堵程度的回馈计算不准确,因此在周边路口堵塞程度相差较大时将出现决策失误,从而导致局部热点拥堵。针对该问题进行分析,并以传统的区域交通信号控制方法为基础,提出一种新的基于Q学习和动态权重的改进的区域交通信号控制方法,引入""路口权重""的概念,通过多目标组合法将其应用于回馈计算,且权重随路口实际交通情况动态改变,解决了易陷入局部热点拥堵的问题。应用仿真软件在3种不同的交通状况下进行模拟,结果表明,所提算法在""拥堵""的状况下较传统控制方法具有更突出的表现。" 一种轻量级跨平台嵌入式GUI库 嵌入式系统之间的差异会造成应用程序移植困难。为此,提出一种轻量级跨平台嵌入式图形用户界面(GUI)库,即huG。该库的2个库文件负责将与具体平台和系统进行交互的类组成后台库,其核心库为应用程序提供编程所需的基本功能类。测试结果表明,该库结构精简、编程模式简单,具有良好的可移植性。 融合代理模型和差分进化算法的并行机动态调度方法 针对目前进化计算求解并行机动态调度中的局部搜索能力不足、计算周期长等问题,引入问题分解思想和估计评价策略,提出一种基于差分进化算法与代理模型相融合的快速求解方法。采用基于机器编码的差分进化算法对上层设备选择问题进行粗搜索。分析下层单机问题的关键性特征,构建能够预测调度性能指标优劣的代理模型,利用估计近似值取代费时的精确求解,降低繁冗评价过程带来的计算代价。在最佳分配方案的指导下,基于工件编码和多变异策略的差分进化算法确定设备上工件加工的前后顺序,实现设备分配与工件排序两个决策层的同步优化。通过仿真实验表明,该方法优于传统的并行机求解方法,尤其对于大规模并行机调度问题的求解质量更好。 胖树型片上网络的低能耗映射算法 为解决胖树型片上网络的映射问题,针对该网络不同层路由器间链路长度不同的特点,提出一种低能耗映射优化模型,并设计一种基于捕食搜索策略的差分进化算法(PSDE)进行模型求解.该算法将捕食搜索策略与差分进化算法相结合,弥补了差分进化算法易陷入局部最优的不足,增强了捕食搜索策略的局部搜索能力.仿真实验结果表明,与遗传算法和模拟退火算法相比,PSDE可以缩短运行时间,并获得低能耗、高质量的优化映射结果. NURBS插补中的速度规划与参数计算 为满足数控机床高速度、高质量加工的需求,提出一种新的非均匀有理B样条曲线插补算法。该算法包括速度规划和参数计算两部分。速度规划部分采用五段S曲线加减速控制方法,能够保证高速运行过程中加速度的连续,使机床运行平稳,避免产生激烈的震颤;参数计算部分应用抛物线插值结合牛顿迭代的方法计算插补参数,将实时插补时产生的进给速度波动控制到理想水平,从而进一步减小机床震颤。仿真实验表明,该算法能够减小机床振动,实现高质量加工。 Ad-hoc网络中基于实时票选机制的无线视频组播 "在Ad-hoc网络应用层提出一个分布式的实时""票选""机制,来动态发现并统计网络中各个移动终端当前所处的状态。进而在移动终端上设计并实现一个基于该机制的无线视频组播系统。该系统可以实时调查出不同视频提供者的受欢迎程度,并以此为指导自动向移动用户推送用户可能更感兴趣的无线视频流。网络中每一个移动用户都可以同时作为视频服务器和客户端。" 人口密集区域移动通信优化方法研究与仿真 研究人口密集区域移动通信优化问题。如果在小区域内大量人口同时使用移动通信资源,数据通信需求会瞬间增大,移动信道随机分配增加的通信需求,容易造成信息堆积、信道饱和。传统的移动通信方式,一旦出现数据量大幅增加,无法避免通信资源分配失衡,造成移动信道阻塞,通信效率下降。为提高通信效率,提出了动态优先比例算法的移动通信优化处理方式。建立移动数据信道频谱数学模型,直接反应信道利用状况;对突变的信息流进行加权处理,确定资源分配比例,根据比例完成新增的移动通信资源分配。仿真结果证明,改进后的分配方式提高了密集区域人员移动通信效率。 改进量化表的数字水印算法 "为了提高数字水印算法的鲁棒性和不可见性,提出了一种基于Watson模型改进量化表的水印嵌入模型。通过对模型的实例化,得到具体的可行算法。对Watson模型和JPEG量化表自适应调节后的量化步长做""全偶数""处理,选取特定的低频位置作为水印嵌入位,采用奇偶量化达到水印嵌入的目的。通过实验仿真并对实验数据进行分析,表明了该算法的有效性。" 基于罗兰C的全向磁天线技术研究 罗兰C全向磁天线体积小、信噪比高、不需接地的优点使得其成为国内接收机生产厂家研究的热点;文中详细介绍了罗兰C磁天线设计制作过程及其接收罗兰C信号的特点和特性,重点研究了全向磁天线的组合环路设计和全向磁天线原理样机的结构设计,给出了详细的设计步骤和测试结论;在原理样机的基础上,进行了电、磁天线实测罗兰C信号的对比分析试验,试验结果表明设计的磁天线接收信号强度和功率均高于电天线,且磁天线接收信号的信噪比要高于电天线。 基于时间尺度的一类典型高阶系统的二阶线性自抗扰控制 高阶系统由于其本身的性质,使得控制它变得很困难。为了控制一类典型的可用传递函数描述的高阶对象,用二阶线性自抗扰(LADRC)控制器对高阶系统进行参数整定。将已整定好的高阶系统作为基准系统,通过时间尺度概念将基准系统参数转换为需要控制的新的高阶系统的参数,使得新系统具有基准系统的响应特性。该方法能方便、快捷地算出新系统的参数,通过仿真证明了该方法的可行性,具有广泛的参考价值。 基于动态主题建模的Web论坛文档摘要 针对论坛文档由于自身特点缺乏有效的文档摘要方法的现状,提出一种基于LDA主题模型的论坛文档摘要方法.在主题建模中考虑了Web论坛文档中帖子和帖子之间的回复关系,并把主题的分布变为随文档变化而变化的一个动态过程,来解决主题的依赖和偏移问题.在使用GibbsEM采样算法来确定动态主题模型的参数后,通过计算句子中主题权重之和来确定各个主题的重要程度;最后根据动态主题模型中主题的概率分布计算各句子的权重并得到文档的摘要.实验结果表明,新方法在各个ROUGE评测标准上均优于其他各种对比的摘要方法. 基于专家系统的开发动态异常井诊断模型 油井异常的影响因子复杂多变又相互制约,为了提高异常定位的准确性设计了基于专家系统的开发动态异常井诊断模型(DDAWD)。模型建立数据层-知识层-规则层(DKR)三层知识结构,该知识表示方式不仅保留产生式的结构而且与自然语言构成映射。基于DKR知识结构推理采用前后件推理方式并通过反射执行调用匹配函数来完成对问题井的异常定位。通过对某采油厂的生产数据分析得出实验结果并证明DDAWD模型提高了诊断结果的准确率。 基于位置服务中的连续查询隐私保护研究 近年来,伴随着移动计算技术和无限设备的蓬勃发展,位置服务中的隐私保护研究受到了学术界的广泛关注,提出了很多匿名算法以保护移动用户的隐私信息.但是现有方法均针对snapshot查询,不能适用于连续查询.如果将现有的静态匿名算法直接应用于连续查询,将会产生隐私泄露、匿名服务器工作代价大等问题.针对这些问题,提出了δp-隐私模型和δq-质量模型来均衡隐私保护与服务质量的矛盾,并基于此提出了一种贪心匿名算法.该算法不仅适用于snapshot查询,也适用于连续查询.实验结果证明了算法的有效性. 分类法定量评估频谱光学相干层析图像杯盘比 在青光眼检测中,基于频谱光学相干层析图像较眼底图像具有更高的精确度和可靠性,为了克服眼底图像评估杯盘比精度不高的缺陷,提出一种基于频谱光学相干层析图像进行视网膜色素上皮层断点检测的杯盘比评估方法.首先利用主成分分析和支持向量机将频谱光学相干层析图像与其投影图像限定断点搜索区域,并对限定的图像区域进行断点识别;然后借助于类别标签矩阵对断点进行纠正;最后根据视杯视盘与断点的关系测量杯盘比.实验结果表明,该方法在准确检测视网膜色素上皮层断点、提高杯盘比测量精度方面效果显著. 自适应比特率视频流的适时封装机制 自适应比特率流媒体(ABR)因为能够自动适应用户网络和回放条件的变化,已经成为当今主流的流媒体技术之一。但是,如今的互联网一直流行HLS,HSS,HDS和MPEG-DASH等多种ABR技术,为了能够同时服务这些格式,ABR服务器不得不为每种客户端建立一个独立的副本,这极大地浪费了存储和带宽。为了解决这个问题,本文设计一个针对ABR视频流的适时封装机制。通过该机制,服务器只需存贮一个通用格式就能够实时按需地将通用格式转换成最终客户的具体格式,增加了服务器自适应的灵活性,节省了服务器和网络环节的存储和带宽成本。 一种结构类型可调的复杂输运网络演化模型及仿真 区别于传统的复杂网络拓扑结构演化模型,本文基于空间相互作用理论,建立了结构类型可调的复杂输运网络物理结构的演化模型.该模型不仅在距离空间中为网络节点引入空间位置与资源禀赋属性,还考虑了由输运引力驱动的网络集聚与扩散的空间演化过程.模型的仿真结果表明:节点资源规模对输运引力的强化作用与节点间的空间距离对输运引力的抑制作用,是影响输运网络系统的度分布结构特征的关键因素,而节点资源禀赋分布的不均程度对输运网络系统的度分布结构特征并无明显影响.仿真结论还进一步解释了在输运引力的驱动作用下,现实世界的输运网络系统中无尺度网络、多尺度网络、单一尺度网络、平面网络形成的本质原因. 面向安全启动的SPI Flash控制器 为实现系统的安全启动,设计具有片外加密存储机制的SPI Flash控制器,提出一种二次解析的方法对SPI Flash的操作方式进行优化。加入启动支持模块,支持以SPI Flash为载体的启动代码加载及执行过程,配合内部独立的数据保护模块,完成启动代码和重要数据的加解密存取。实验结果表明,该控制器功能完善,安全性高,可移植性强。 可变帧结构的PCM遥测帧同步器设计 针对传统帧同步器只能对固定帧格式的数据进行帧同步的缺点,提出一种基于现场可编程门阵列(FPGA)的帧同步方案,用户可根据实际需求通过上位机软件配置帧长、帧同步字等参数,实现对不同帧结构的PCM遥测数据进行帧同步;该方案采用了参数可调的同步容错及前后方保护机制,提高了帧同步的可靠性和稳定性;给出了帧同步方案的工作原理,以及关键技术的实现方法,在实际应用中对其同步性能进行分析,测试结果表明该方案对不同帧结构的数据均可实现帧同步。 谐振式混合型有源滤波器注入支路的仿真分析 谐振式混合型有源滤波器注入之路中等效阻抗之比太大,则APF发出的补偿电流很难注入到电网对谐波和无功功率进行补偿;如果谐振支路和分流支路等效阻抗之比太小,则要求APF的容量比较大,使成本上升。为了解决上述问题,提出了谐振式混合型有源滤波器注入支路的设计分析方法。首先给出补偿装置的整体结构,构建容性无功补偿支路的单相等效电路,建立对应的状态方程,利用状态变量分析法对注入支路中的基波分流支路进行分析设计。构建该补偿装置的单相等效电路图,获得其谐波抑制函数。利用该函数对注入支路中的谐振支路进行分析设计。并将设计好注入支路的谐振式混合型有源滤波器进行滤波效果分析,结果表明,上述偿装置能很好地抑制负载产生的谐波,验证了注入支路设计的正确性。 基于人类视觉系统的自适应数字图像水印算法 结合人类视觉系统(Human Visual System,HVS),对离散余弦变换(Discrete Cosine Transform,DCT)域水印算法进行改进,通过修改JPEG(Joint Photographic Experts Group,联合图像专家组)量化表得到自适应量化步长的量化表,用修正后的量化表对DCT系数进行量化,然后按照Zig-Zag扫描顺序实现自适应选择水印图像的嵌入频段(现有的算法大都选用固定步长和固定的嵌入频段)。实验表明,该算法具有很好的不可见性和稳定性。 面向科学数据的PageRank排序算法 随着科学研究的发展,科学数据资源日益激增。在海量数据的情况下,数据检索服务变得极其关键,传统的科学数据检索系统只进行关键词匹配,检索结果的排序效果很差。为此,提出了针对结构化的科学数据的链接提取技术,并基于此把PageRank链接分析应用于科学数据排序。该算法在排序阶段考虑了各个科学数据资源的重要性以获得更好的排序结果。在科学数据检索系统Voovle中的实验结果表明,结合PageRank的科学数据排序更能满足用户的需求,排序结果更加合理。 生物质有机废弃物水热解技术现状与前景 水热解技术是近年来新兴的有机废弃物处理方式,比普通的热解处理技术更有优势,因此近年来受到国内外研究者的广泛关注。首先介绍了亚、超临界水的性质,总结出生物质水热解反应基本原理,分析其工业应用研究现状,对有机废弃物水热解处理尚存问题和技术难点,以及需要进一步解决的课题做了剖析,探讨性提出了生物质有机废弃物水热解处理方法的改进构想。 一种新型课程网络多媒体互动平台设计 课程网络多媒体互动平台是为了解决在当前以学生为主体的教学中有效学习交流问题而提出和设计的。它使用面向对象语言Visual C#开发,采用了结合B/S与C/S的混合结构。网络多媒体互动信息的发布模块是基于B/S模式实现的,它主要实现用户在线注册、互动信息浏览、互动信息管理等功能。而采用C/S模式实现一个局域网环境下的网络多媒体互动软件,主要实现用户管理、文字聊天、语音聊天、视频聊天、文件共享等功能。经过对系统的测试和使用,该系统具有较好的实际使用前景。 基于互联网用户心理挖掘的网站深翻系统 基于人工检索和顶置的网站优化系统工作效率低下,响应速度慢。为消除上述问题,提出并设计了基于互联网用户心理挖掘的网站深翻系统。该系统通过相关网站及自身的观点挖掘活动,检索近期网络热点,并根据历史信息对客户需求进行测度和提取;最终将按照客户的观点需求和当前热点,从历史数据库中提取既往信息进行网站优化。仿真实验表明,该系统能够较好地实现互联网用户心理挖掘及网站的搜索引擎优化,提高网站点击率。 基于双TLB的二进制翻译访存性能优化 现有二进制翻译系统主要采用纯软件的方法实现访存指令模拟,用于目标访存指令的翻译后代码规模过高,导致模拟效率低下。针对该问题,提出一种高效的龙芯二进制翻译系统,设计一种双翻译后备缓冲(TLB)结构,通过在CPU核中新增一个专门用于转换宿主机地址的硬件TLB,实现由硬件直接进行地址转换,并通过降低用于X86访存指令的翻译后代码规模减少模拟开销。实验结果表明,与采用纯软件模拟方法的二进制翻译系统相比,优化后的内存拷贝性能提高约100倍,模拟X86Linux内核的启动时间缩短19.12%。 基于MDA与UML扩展的安全软件开发方法 为提高软件安全性,提出一种基于模型驱动架构(MDA)与统一建模语言(UML)扩展机制的安全软件开发方法。采用UML扩展机制建立系统安全相关的平台无关模型,将软件的安全性分析提前到设计的早期;利用MDA方法进行软件安全属性的建模,降低后期开发的风险与成本。图书管理系统实例验证了该方法的有效性。 中文异构百科知识库实体对齐 针对传统实体对齐方法在中文异构网络百科实体对齐任务中效果不够显著的问题,提出一种基于实体属性与上下文主题特征相结合的实体对齐方法。首先,基于百度百科及互动百科数据构造中文异构百科知识库,通过统计方法构造资源描述框架模式(RDFS)词表,对实体属性进行规范化;其次,抽取实体上下文信息,对其进行中文分词后,利用主题模型对上下文建模并通过吉布斯采样法求解模型参数,计算出主题-单词概率矩阵,提取特征词集合及对应特征矩阵;然后,利用最长公共子序列(LCS)算法判定实体属性相似度,当相似度位于下界与上界之间时,进一步结合百科类实体上下文主题特征进行判定;最后,依据标准方法构造了一个异构中文百科实体对齐数据集进行仿真实验。实验结果表明,与经典的属性相似度算法、属性加权算法、上下文词频特征模型及主题模型算法进行比较,所提出的实体对齐算法在人物领域和影视领域的准确率、召回率与综合指标F值分别达到97.8%、88.0%、92.6%和98.6%、73.0%、83.9%,比其他方法均有较大的提高。实验结果验证了在构建中文异构百科知识库场景中,所提算法可以有效提升中文百科实体对齐效果,可应用到具有上下文信息的实体对齐任务中。 心电医疗监护物联网关键技术研究 针对物联网技术的发展,进行了心电医疗监护物联网感知层传感器节点软硬件设计,完成了基于NesC语言的组件结构化软件设计。在经典聚类路由协议LEACH之上提出了一种适用于心电医疗监护物联网感知层的改进型LEACH-SC算法,将感知层内簇头的分布进行优化,平衡簇的规模,在一定程度上解决簇头分布不均匀的问题。为保证心电医疗监护物联网应用层实时准确的心电诊断,提出了一种基于小波变换、希尔伯特变换和改进包络对心电信号进行变换的检测算法,实现了对QRS波群具体形态和位置的检测和识别,在检测到QRS波的基础上采用检测准则和策略对P波和T波进行了检测。实验结果表明,基于物联网技术的心电医疗监护系统能够完成心电的实时监测和诊断,LEACH-SC算法优化了感知层传感器节点成簇的选择,能量消耗达到了全局平衡,增加了物联网感知层传感器网络的生存周期,心电检测算法经MATLAB仿真与MIT-BIH数据库标注比较,误检率为0.89%。 第2类U型装配线平衡问题的双阶段蚁群算法 针对电子、汽车等行业中普遍存在的第2类U型装配线平衡问题(UALBP-2),提出了一种双阶段蚁群算法。强调全局搜索的第一阶段算法利用探路蚁,根据操作选择和分配策略以及迭代压缩机制快速得到问题的较优解,减小搜索空间;注重局部搜索的第二阶段算法利用搜索蚁,根据所提的信息素减小更新策略在包含最优解且不断减小的搜索空间中搜索各工位的不同精英负载,基于精英复制策略利用精英蚁将其组合为问题的可行解。对18个标杆算例的33个实例的求解结果验证了所提算法的有效性和稳定性。 基于多特征混合与支持向量机的动态过程异常监控 为提高动态过程异常模式的监控效率,提出基于多特征混合与多分类支持向量机的动态过程质量异常模式识别模型。采用离散小波变换提取原始数据的低频近似系数和重构数据特征;抽取重构数据的形状特征并与低频近似系数进行混合,形成质量模式的混合特征;采用粒子群优化的多分类支持向量机进行异常模式识别。仿真实验表明,所提出的识别模型比采用单一类型特征或融合特征的整体识别精度均有显著提高,且大大降低了模型训练时间。 基于改进萤火虫算法的SVM核参数选取 支持向量机(SVM)是一种性能优异的机器学习算法,其核函数参数的选取对于建模精度以及泛化能力有着重要的影响。提出一种基于改进萤火虫算法的SVM核函数参数选取方法,通过改进萤火虫位置更新公式并在移动过程中引入亮度特征从而确定最佳的SVM核函数参数。实验表明,该算法选取的SVM核函数参数在保证分类器收敛性能的同时,提高了分类精度,取得了良好的优化效果。 基于多层次特征结构的二维形状渐变 二维形状渐变在二维角色动画、模式匹配、几何造型中有着重要的应用.已有方法大多根据边长、角度、面积等局部几何属性来完成形状之间的最佳对应和渐变,忽略了形状的内在特征结构.为此,提出一种基于多层次特征结构的二维形状渐变方法,首先将源形状和目标形状分解为若干个视觉显著性特征,并通过一种用户启发式的半自动方法建立2个形状的特征对应关系;然后根据形状的特征信息构建源形状和目标形状的多层次特征结构,分别表示形状特征的整体位置和朝向、形状特征的局部朝向和形状特征的局部细节;最后组合不同特征层次上的插值结果,重构出中间形状.在源形状到目标形状的渐变过程中,针对不同层次上的特征信息分别使用近似保刚性插值、边角插值以及弹性线性插值方法进行过渡.实验结果表明,该方法简单高效,有效地避免了形状的内部扭曲,保持了形状的局部特征,可产生自然、光滑且视觉真实的形状渐变序列. DCE-MRI评价结肠癌裸鼠药物治疗的实验研究 目的在通过建立结肠癌裸鼠皮下移植瘤,探讨动态增强检查(DCE-MRI)反映抗肿瘤药物治疗后肿瘤血管生成关闭的应用价值。方法:48只结肠癌裸鼠移植瘤随机分两组,对照组:24只、抗肿瘤药物组:24只,对照组注射等量的0.9%氯化钠溶液、灌胃稀释小艾飞蜜膏,分别在治疗前与治疗后2天、7天、14天以及21天进行常规MRI检查和动态增强检查,治疗后每个时间段各处死6只老鼠行免疫组化染色,与动态增强检查各参数与免疫组化结果行相关性分析。结果:在DCE-MRI各参数,实验组Ktrans值及Kep值与对照组差异有统计学意义(P<0.05),其余不存在统计学意义,治疗后14天和21天,同时间点Ktrans值及同时段的Kep值实验组与对照组比较均有统计学意义(P均<0.05),参数值Ve不存在统计学意义。结论:DCE-MRI中定量参数Ktrans值及Kep值可以作为影像标记物无创性的评价抗肿瘤药物治疗后肿瘤血流灌注及血管通透性方面有较大的应用价值。 海洋能发电装置监测与管理系统设计与应用 随着全球化石能源日趋紧张,海洋能作为一种绿色可再生能源,倍受世界各沿海国家重视。海洋能发电是海洋能开发的主要用途之一。海洋能发电装置的实际海况试验与测试平台,为海洋能合理并有效的开发提供科学的数据参考和评价方法。本文设计的监测与管理系统,通过测试数据传输接口规范化制订以及发布Web Service数据服务,实现对发电装置测试数据和试验平台状态数据的实时监控以及同各子系统间的交互集成,为海洋能发电装置的研发、评测提供长期连续、要素完备的数据支持。 大脑中动脉狭窄程度与脑组织血流灌注异常的相关性 目的:评价320排螺旋CT全脑灌注(CTP)检查对大脑中动脉(MCA)狭窄或闭塞所致脑组织血流灌注异常的临床应用价值。方法:选取39例经DSA诊断为单侧MCA狭窄或闭塞患者,评估MCA狭窄程度,并进行CTP检查,获得脑血流量(CBF)、脑血容量(CBV)、达峰时间(TTP)、平均通过时间(MTT)、延迟时间(Delay),分析MCA狭窄程度与脑组织血流灌注各参数值的相关性。结果:Kruskalwallis检验结果显示不同狭窄程度间的CBF增加率(χ2=9.005,P=0.029)、CBV增加率(χ2=10.306,P=0.016)、TTP增加率(χ2=17.729,P=0.001)、Delay增加率(χ2=9.693,P=0.021)差异均有统计学意义;而不同狭窄程度组间的MTT增加率差异无统计学意义(χ2=4.261,P=0.235)。Pearson相关性分析显示MCA狭窄率与CBV(r=0.433,P=0.006)、TTP(r=0.665,P<0.001)、MTT(r=0.339,P=0.035)及Delay(r=0.369,P=0.021)均呈正向相关,且相关性具有统计学意义;而MCA狭窄率与CBF(P=0.598)无相关性。结论:大脑中动脉狭窄程度与CBV、TTP、MTT及Delay均有关联,且大脑中动脉越狭窄,CBV、TTP、MTT及Delay较对侧增加越大。狭窄程度与TTP相关程度最高。 软件故障优化注入方案研究与分析 主要研究了基于空间注入技术的软件故障注入(software-implemented fault injection,SWIFI)实验与分析中存在的问题.提出了并设计了2种基于空间注入技术的注入方式:等待方式与冲击方式,分别设计了2种方式的注入算法,并利用它们分别进行了故障注入实验,通过实验着重分析了注入地址不同的空间分布对实验产生的影响.详细讨论并分析了基于不同空间地址概率分布的故障注入实验问题,根据实验结果得出并证明结论,针对空间注入技术实施的2种注入算法在执行软件故障注入实验时总存在一种相对较优的注入方案. 高校多功能体育馆周边路网应急疏散定量研究 针对高校多功能体育馆周边路网形态对突发事件应急疏散时间的影响,通过构建方形网格式、环形放射式、混合式和自由式四种不同形态道路网络,并定义了道路拥挤度和车辆行驶速度两个参数,给出了车辆应急疏散的最短路径行驶方案,采用Dijkstra算法对车辆行驶路径优化进行程序设计。实验分析表明:2000辆车在混合式道路网络中应急疏散总时间最短,其次分别是环形放射式道路网络、方形网格式道路网络、自由式道路网络。这对于未来高校建设规划时,合理设计场馆周边路网形态具有一定的现实意义。 面向低碳化设计的复杂装备碳排放分层递阶模型 为支持复杂装备的低碳化设计,分析了复杂装备生产过程中的碳排放环节,提出了这类碳排放的描述方法。通过追踪零部件各生产环节中的碳排放,用基础零部件的工艺过程信息来描述其碳排放信息,构建了面向生产过程的基础碳排放库。利用功能分解树映射结构形成产品结构的分层递阶划分,并通过结构的逐层搭建及碳排放信息的逐层提取,自底向上地构建了产品的碳排放分层递阶模型。重点分析了该模型在锻压机设计中的应用,搭建了锻压机低碳化设计平台,通过对产品的碳排放分层描述,准确定位各层高碳排零部件,为低碳优化设计提供实际指导。 基于新闻要素的在线新事件检测 在线新事件检测的主要任务是从以时间顺序到来的新闻报道中识别出未知事件。提出一种基于新闻要素的自动在线新事件检测方法。首先,构建基于新闻要素的报道和事件表示模型,该模型包括新闻报道地点、人物和内容等要素,使用多维要素的优越性在于可以区别相似事件;为计算各要素对应特征的相似度提供对应的相似度算法:使用基于地理本体树的地名相似度算法计算地点相似度,使用基于维基百科的语义相似度计算方法计算报道内容之间的相似度;为了衡量各要素的重要性,使用SVM模型训练得出各要素的权值;最后,以single-pass聚类算法为基础,在算法过程中不断修改事件的表示向量以防止事件中心的漂移,同时使用滑动的时间窗口以减少因处理大量不活跃事件引起的时间消耗。实验结果表明该方法可以有效地降低系统的漏检率和误检率,提高事件检测的性能。 HadoopUnit的研究与实现 JUnit是一个单元测试框架的事实标准。介绍一个分布式测试框架HadoopUnit。该框架把JUnit迁移到Hadoop平台上,把测试用例包创建成许多独立的MapReduce工作,再分派到Hadoop集群上的各个节点并行执行。实验结果表明,相比传统的测试用例的单机运行,HadoopUnit框架加快了软件测试的速度,缩短了整个软件项目周期,能满足用户对软件进行快速有效测试的要求。 人工鱼群与粒子群混合图像自适应增强算法 图像处理和分析的智能化和自动化一直是图像处理学科研究热点之一,也是一个亟待解决的关键问题;一般的智能优化算法由于算法较为单一,寻优效果不尽完善,会出现局部搜索不精确、易发生过早收敛等问题;考虑将人工鱼群与粒子群算法混合对图像非线性增强参数进行寻优能很好地避免此类缺陷;实验表明,该算法具有较高的自适应性,即避免了陷入局部极小,加快了收敛速度,且图像灰度覆盖范围广、增强质量评价明显提高。 改进的脱机手写体汉字细化算法 在手写体汉字识别中,细化是关键的步骤。数学形态学细化方法是近年来汉字细化采用的主要方法。细化效果的好坏取决于结构元素的选取。在对细化算法进行大量分析的基础上,针对手写体汉字的特点对原有算法的结构元素进行了改进,细化的对象由原图像改进为归一化后图像,文中算法使用了23组汉字,每组100个汉字进行实验。实验表明,改进后的算法解决了原有算法的端点信息丢失问题,降低了算法的运行时间。 基于词汇相似度的IPC与CLC映射 专利作为一种具有特殊性质的文献,包含先进的技术方案,但存在管理困难、相对孤立、使用率低等弊端。针对该问题,定义分类法类目的概念模型,通过计算类目之间的概念相似度,为国际专利分类法与中国图书分类法建立类目映射。在计算类目相似度中引入与类目相关的词汇语义相似度计算,综合考虑类目的上下文环境对类目间关系的影响,降低专利数据的孤立性,实现专利数据与其他期刊数据的交互操作。实验表明,该方法能有效提高类目间相似度计算的准确率。 基于K-means的无线传感器网络分簇算法研究 提出一种基于K-均值聚类的无线传感器网络分簇算法。从K-均值聚类算法中要解决的合理聚类数的确定、初始聚类中心的选择以及聚类性能对目标函数的依赖这三个问题入手,运用K-均值聚类算法来实现无线传感器网络分簇。仿真与性能分析结果表明,基于K-均值聚类的无线传感器网络分簇算法既能节省节点能量、延长网络生命,又能改善网络中的能耗均衡,并保证簇首分布的均匀性。 基于PXI总线模块的智能测试系统的设计 针对目前PXI模块种类多样性、差异性生产现状及模块的可靠性的要求进行了研究,设计了一套智能测试系统来实现PXI总线模块的测试;文章详细的阐述了智能测试系统的设计原理及具体的实现方法,其中硬件设计包括通用PXI仪器模块、通用接口的设计及通用适配调理单元的设计,软件设计是基于Lab Windows/CVI的虚拟仪器的设计软件来实现的,利用该软件的系统开发流程及软件开发平台的基础上研究开发的;智能测试系统已经在A/D和D/A类模块测试中应用,并取得良好的效果。 随机需求有时间窗的路径优化及补救策略研究 针对城市物流配送中客户需求量不确定且时效性要求较高的特点,考虑客户需求量为随机变量且有时间窗的车辆路径优化问题,同时基于不同的信息化调度水平,考虑了配送失败时的三种补救策略。构建了机会约束混合整数规划数学模型并转化为等价的确定性模型进行求解。提出了含有多种算子的改进混合进化算法来求解该模型,并基于算例,验证了算法的优越性。同时,对模型的参数敏感性和三种补救策略下的风险成本进行了分析。结果表明,采用提前预测,实时反馈,即时派出新车的补救策略可以最大程度保证满足客户时间约束,同时还具有降低配送路程的经济优势。 基于改进的多层降噪自编码算法临床分类诊断研究 针对临床分类诊断中普遍存在的样本不均衡、错分代价不同、大量无标签样本和测量误差等特点,引入了机器学习中较新的研究成果——多层降噪自编码(stacked denoising autoencoders,SDA)神经网络,并与欠采样局部更新的元代价(metacost)算法相结合,对SDA神经网络进行了改进,使组合模型具有代价敏感、降低不均衡性、有效利用无标签样本、抗噪声的特性。实验中将改进的SDA神经网络与SOFTMAX回归、反向传播(back propagation,BP)神经网络、支持向量机(support vector machine,SVM)、传统多层自编码(stacked autoencoders,SAE)神经网络,以及传统SDA神经网络等作了比较。实验结果表明,改进的SDA神经网络的准确率、ROC曲线下面积等均优于其他模型,提高了分类模型的辅助诊断性能。 阵列数据库系统FASTDB的研究与实现 为有效解决大规模科学数据的存储和分析问题,设计并实现一个分布式阵列数据库原型系统FASTDB,优化大规模科学数据的存储和分析性能,单独分析用户自己上传的科学数据。为验证FASTDB的性能优势,设计一组真实的天文领域科学分析任务,将FASTDB系统与SkyServer系统进行实验比较,实验结果表明,FASTDB系统在多数科学大数据分析场景下的性能远强于SkyServer系统。 高性能桶形整数加法器的设计 为了提高加法器的运算速度,提出了一种新型并行整数加法算法——桶形整数加法算法。该加法器以半加器为基础,将并行与迭代反馈思想相结合,根据每轮迭代后进位链的值判断是否已经累加结束,可以在保持低功耗的同时提高运算速度。仿真结果表明,该桶形整数加法器在面积少量增加的基础上,速度提高明显。 贪心线性推移负载平衡算法 针对环与线性阵列的负载平衡速度较慢与迁移量较大的问题,提出一种贪心线性推移平衡算法。该算法适用于任何具有哈密尔顿通路的图结构网络。其平衡过程的负载迁移量一般不大,平衡负载速度较快。对二维网状网等网络结构的贪心线性推移平衡算法进行改进,得到分二阶段的贪心线性推移平衡算法。实验结果表明,此类改进在平衡条件减弱时能较大地提高算法的时间性能。 用于手势识别的超声波收发器嵌入式系统设计 为了实现超声波手势识别功能来改善人机交互的体验,设计了一个用于手势识别的超声波收发器嵌入式系统,包括前端的发射器驱动电路和接收器信号采集电路设计、可编程片上系统(System on a Programmable Chip,SOPC)硬件电路设计以及相应的软件设计.实验结果证明该系统的软硬件实现方案可以正确控制超声波的发射、接收以及后续的数据传输,同时确保发射和接收之间的相位同步,最终在PC端获得完好的回波信号. 基于稀疏表示的快速l2-范数人脸识别方法 多数稀疏表示方法需要原子数目远远大于原子维数的大规模冗余字典,并采用l1-范数最小化方法来计算稀疏系数。为了降低算法复杂度,提出一种基于稀疏表示的快速l2-范数人脸识别方法。通过提取融合特征和缩小字典规模来改善字典结构,增强l2-范数的稀疏性,从而在保证识别性能的前提下大幅提高算法运行速度。实验表明,与其他稀疏表示方法相比,该方法可以显著降低算法复杂度,同时可以保持良好的人脸识别率和排除干扰人脸的能力。 基于移动Agent的代价驱动的云端存储模型 "针对当前云存储系统副本策略对商业利益追求的考虑不够充分,构建基于移动agent的代价驱动的云端存储模型,引入商业相关概念并给出定义及计算公式,提出代价驱动的移动agent自适应策略。移动移动agent携带数据及副本以用户良好体验及高""收益""原则进行决策计算及判断在云平台异构节点间移动、复制或销毁,实现商业利益最大化的动态存储。给出移动agent自适应动作的算法实现。实验结果表明,该模型在负载均衡和""收益""方面都优于传统静态副本策略。" 面向物联网海量传感器采样数据管理的数据库集群系统框架 物联网是目前国际和国内新兴的一项热门技术,正在给人们的生产和生活方式带来深刻的变革.物联网在带来诸多好处的同时,也给软件乃至整个信息技术领域带来了前所未有的挑战.该文针对物联网传感器采样数据管理中所面临的数据海量性、异构性、时空敏感性、动态流式特性等问题,提出一种面向物联网海量传感器采样数据管理的数据库集群系统框架IoT-ClusterDB.实验结果表明,IoT-ClusterDB具有良好的传感器数据接入与查询处理性能,为物联网海量异构传感器采样数据的存储与查询处理提供了一种可行的解决方案. 基于Xen的域间切换方法研究 为解决开源虚拟化系统的桌面切换问题,提出一种基于Xen的域间切换方法。利用Xen虚拟化支持Intel VT-x的硬件,使用RFB协议根据配置文件连接到VNC Server端,以显示虚拟机桌面,通过加密切换指令验证信息,从而完成域间切换。实验结果表明,该方法能实现Windows域和Linux域的桌面切换。 基于加权融合特征与Ostu分割的红外弱小目标检测算法 为提高红外弱小目标在复杂背景干扰与低信噪比条件下的检测精度与效率,基于局部加权融合特征与分类二维Ostu分割,提出红外弱小目标检测算法。利用红外弱小目标与背景的灰度差异,基于Top-Hat算子设计红外背景过滤机制,使弱小目标从背景中凸显出来,使用图像的熵值定义局部加权融合特征,完成弱小目标的粗定位。采用分形理论计算粗定位区域内所有像素的分维值,构建像素分维像模型完成弱小目标的细定位,并通过特征分类二维Ostu分割机制实现红外弱小目标的精确检测。测试结果表明,与现有红外弱小目标检测算法相比,该算法具有更高的检测精度与更短的检测耗时。 一种基于移动Agent的云端(Cloud-P2P)数据复合销毁机制 "云端融合计算(Cloud-P2P)融合了云计算与对等计算环境的所有节点资源,实现了最大范围的协作与资源共享。数据销毁机制是保障用户数据的安全性和可控性的重要措施之一,然而云端计算环境本身的特性也给数据的有效销毁带来了困难。针对云端数据存储系统对数据的主动销毁、定时销毁和自销毁等复合需求,提出一种基于移动Agent的数据复合销毁机制,该方法不依赖第三方,利用移动Agent技术实现对过期、废弃型数据及时、有效、灵活的销毁,并在恶意主体对数据实施攻击时主动实施防御性数据销毁,有效增强了用户数据的安全性。针对节点上数据的具体销毁,还提出一种新颖的""数据折叠""的数据覆写方法,它充分利用数据本身进行销毁,有效降低了系统的开销。" 异构材料数据集成系统方案 为解决材料无库数据的CRUD和材料数据的安全性问题,在传统中间件形式的异构数据集成框架的基础上,设计结合中间件和数据仓库的多层架构的异构材料数据集成框架,实现对MongoDB、Deep Web数据、关系数据库、文档数据、XML等多种异构数据源的集成处理;实现框架缓存,通过缓存模块提高系统效率;完成满足安全性、包含无库数据源的异构材料数据集成系统的方案实现。 基于FPGA的非制冷红外图像的实时预处理算法研究 针对红外成像设备体积小,功耗低的要求,考虑到图像实时处理算法的硬件实现,产品的各种要求等因素,论文提出基于盲元补偿,非均匀校正,灰度拉伸算法的FPGA的图像处理方法。将一部分图像处理算法移植到FPGA中,采用流水的处理方式,减少处理时间,大大降低系统对于DSP的负担。 液晶显示器斑痕缺陷高质量背景建模 针对目前液晶显示器斑痕(LCD-Mura)缺陷背景抑制检测中重建的背景存在引入性噪声干扰和目标缺损的问题,提出一种基于奇异值分解(SVD)和最大熵的缺陷图像背景建模方法:通过SVD图像像素矩阵,求得奇异值序列;借助矩阵范式推导出图像分量与奇异值的对应关系,进而以图像各分量奇异值所占比率计算各分量的熵值,以此利用最大熵确定重建背景的有效奇异值;再由矩阵重构得到背景,并进一步提出关于背景重建效果评价的一般方法。相比双三次B样条曲线拟合方法,该方法将区域Mura的对比度最少提升0.59倍,提升线Mura对比度最多达到7.71倍;相比离散余弦变换(DCT)方法,该方法将点Mura的噪声最少降低33.8%,将线Mura噪声降低76.76%。仿真结果表明,该模型具有低噪、低损和高亮的优点,能够更为准确地构建出缺陷图像的背景信息。 加油站静电检测方法研究与仿真 研究加油站的静电准确检测问题。加油站对静电要求较高,弱静电信号在混合干扰性气体的情况下,静电离子的离散浓度和移动速度都发生明显的变化。传统静电检测方式多是基于静电传感器,对移动的静电电荷的离散浓度进行判断,完成检测的。一旦静电电荷被干扰气体干扰,发生电荷离散浓度变化,传统的检测方法检测的准确性将明显下降。为此提出一种基于轮转式静电探测算法。采用轮转式校验方阵获取加油站中的静电信息,并依据轮转式中心定位弱估计静电感应原理,检测出加油站内可能存在的静电荷区域。实践证明,轮转式方法能够大幅减少加油站混合气体对静电荷分布的干扰,保证检测的准确性,取得了令人满意的结果。 面向虚拟场景的深度全景视频绘制技术 高度复杂的三维场景通常包含几千万甚至上亿个三角形和丰富的纹理,大大超过了目前图形硬件的处理能力。传统基于几何的绘制系统通过牺牲画面质量来实现场景的快速绘制。与此不同,基于图像的绘制技术利用逼真的图像序列来生成高质量的目标画面。研究面向虚拟场景的IBR(Image-Based Rendering)技术来克服现有方法的不足,提出以深度全景视频DPV作为场景表示的基本单元,通过多段深度全景视频组成的深度全景视频网络来表示虚拟场景的漫游区域,它允许视点在漫游平面的封闭区域内连续运动。绘制算法根据目标视点参数计算深度全景视频环中对目标图像有贡献的候选区域,综合利用GPU的强大处理能力和浮点格式的绘制目标,以及多幅深度图像混合绘制技术对候选区域进行绘制来生成目标图像。实验结果表明,深度全景视频绘制技术可实现大规模虚拟场景高质量实时绘制。 用于城市交通环境的改进型车载路由优化方法 为了优化城市交通环境中车载自组织网络中路由协议的链路存活时间、吞吐量等性能指标,在拓扑反应式路由协议的基础上,引入车载网络节点的位置信息,设计基于动态实时位置信息变化的车载路由协议优化模型M-AODV。该模型按照十字路口车辆优先和相对位置为同方向节点优先转发的原则,根据路由信息表中位置信息区分转发控制包,并给出该路由算法的面向C++语言的UML建模图及其算法流程图。通过NS2仿真平台仿真表明,与传统的路由模型相比,该模型优化了VANETs网络中链路存活时间、时延、吞吐量等性能指标。 SRAM型FPGA单粒子随机故障注入模拟与评估 在空间应用中,静态随机访问存储器(SRAM)型现场可编程门阵列(FPGA)电路会遭遇空间辐射环境单粒子效应(SEU)引起的逻辑位翻转错误.为了在设计过程中,快速对功能电路设计的容错防护能力进行评估,本文提出一种基于部分重配置技术的随机多位故障注入和统计评估的方法,可计算出动态翻转截面.并搭建了一个故障注入系统,以六路移位寄存器作为功能电路进行了多种参数组合(重复次数和注入位数)的随机故障注入试验.实验数据与传统方法数据进行对比,证明本文所述方法的可行和准确性. 多核平台入侵检测系统负载均衡算法设计与实现 负载均衡是基于多核平台实现高速入侵检测系统的关键技术之一。基于真实流量统计分析发现的流阈值与流数目、流字节数之间变化的规律,提出只调整较大流的动态分流算法HCLF,并实现了原型系统。实验测试表明,与静态哈希算法和新流调整算法相比,HCLF算法在负载均衡度、系统丢包率方面具有显著的优越性,改善了多核平台高速入侵检测系统对突发流量和应用环境的适应性。 基于灰色遗传的高速列车速度控制器模型研究 速度控制器是列车自动驾驶系统(ATO)的核心,针对目前尚无研发成熟的速度控制器应用于高速列车的情况,引入灰色系统理论研究高速列车速度控制器模型;在灰色遗传预测模块中,对影响模型精度的λ值提出了基于遗传算法的求解方法,根据列车运行的4个目标设计其适应度函数,并加入先验知识判定对约束条件进行处理,同时建立新陈代谢GM(1,1)模型,在列车运行过程中不断求解新的模型参数a和b,实现模型在线校正,使系统可以进行长期预测;在灰色决策模块中,将高速列车的工况及运行目标转化为决策要素,应用灰靶决策产生最优策略控制列车运行;仿真结果显示了该模型应用于列车自动控速时的有效性和实时性,并使各项运行指标都有所提高。 嵌入式Linux下的FRAM驱动程序设计 针对铁电存储器(FRAM)在基于ARM9的嵌入式Linux系统下设备节点的读写及应用问题,研究Linux系统的驱动设计,分析FRAM的工作原理和硬件特性,提出基于Linux系统标准驱动架构与铁电底层预处理、读写、控制等工作机制相结合的FRAM驱动程序设计与实现流程。底层实现采用内核级基于FRAM的寻址方式,结果证明了该驱动在相应数据存储、传输中的可行性与稳定性。 基于Elman反馈神经网络的导线串扰问题预测 为了更好地预测导线串扰问题,提出使用Elman反馈神经网络方法。该反馈神经网络方法具有很强的联想记忆和优化计算功能,使用与导线串扰响应有关的参数作为网络的输入,将预测到的导线的耦合电压值作为输出。Elman反馈神经网络采用的训练数据为多导体传输线方法计算得到的。采用训练得到的该反馈神经网络预测模型对未知样本进行预测,比较预测结果和真实测试结果。实验表明建立的Elman神经网络对于导线串扰问题的预测误差较小,结果比较准确。 基于平台的SOC设计方法研究 论文分析了可重用设计方法和软硬件协同设计方法,提出了一种适合基于平台设计的更细粒度、可更快捷地实现设计重用和软硬件协同设计的结构。 融合显著性因子的行人纹理提取 针对基于纹理信息的行人特征提取算法中存在特征信息冗余度大,无法刻画人眼视觉敏感性的不足,提出一种融合人类视觉感知特性的基于显著性局部二值模式(SF-LBP)的行人纹理特征提取算法。该算法首先采用显著性计算方法提取感兴趣区域得到各部分的显著性因子;然后将显著性因子权值与行人纹理特征根据核函数相融合,生成基于SF-LBP算子的特征向量;接着统计不同区域的特征向量,形成特征直方图;最后结合自适应Ada Boost分类器构建实验平台进行实验。INRIA数据集中的实验结果显示,SF-LBP特征在检测准确率上比梯度直方图(HOG)特征、Haar特征高出2%~3%,达到97%,召回率达到90%,提高了2%左右,表明SF-LBP算子能够准确描述行人的纹理特征,提高行人检测系统的准确率。 面向粗粒度数据流网络处理器的混合定制硬件加速 本文针对控制流网络处理器固定拓扑结构的限制及指令集并行性开发的不足,将粗粒度数据流设计思想引入到网络处理器体系结构设计中,提出了一种新型粗粒度数据流网络处理器体系结构-DynaNP。DynaNP利用处理引擎(PE)内控制流执行方式获得较高的可编程性,还利用PE间数据流执行方式开发了报文处理中的任务级并行性。为了进一步提高DynaNP的系统流量,面向DynaNP的多核及数据流特性,设计了混合定制硬件加速机制,并详细介绍了实现混合定制硬件加速的关键技术,通过提供统一的混合定制硬件加速接口,可以支持定制指令和协处理器两种典型硬件加速器。 一种基于朴素贝叶斯分类的3G用户流量预测技术 随着3G网络的快速发展和用户数目的迅速增长,3G用户网络数据分析成为当前的研究热点问题之一。本文搭建一个海量数据处理平台,针对大规模移动用户数据进行分析处理,通过观察新用户的短期流量、移动性和设备类型等特征,提出一种基于朴素贝叶斯分类器方法预测用户长期流量的机制,并通过实验证明该方法的有效性,平均预测准确性可以达到80%以上。 基于SLPP和张量分解相结合的人脸识别 针对多线性分析算法对多姿态多身份因素并存时,人脸的识别率大大下降等问题,提出了带监督的局部保留投影映射算法与多线性张量分析算法相结合的人脸识别方法。该方法将人脸转动的近邻点信息作为监督信息引入,更精确地描述了姿态空间的非线性结构,再结合张量分解和核函数将姿态流形系数映射到高维图像空间,使得从低维空间到高维空间映射的精确性得以提高。在东方人脸数据库上进行实验,结果验证了该算法的有效性。 基于双目视觉的人手定位与手势识别系统研究 提出了一种新的人手特征点提取方法,该方法将人手的质心作为匹配点,根据双目视觉定位数学模型计算目标位置信息,同时通过图像分割获取人手轮廓,利用轮廓凸包点特征来识别不同手势。在此基础上,研究设计了一种光学人手定位与手势识别系统,该系统在实时定位空间人手三维位置的同时,能够识别出相应的手势,可将其作为虚拟手的驱动接口,实现对虚拟物体的抓取、移动和释放操作。 基于Unity3D的多平台虚拟校园设计与实现 针对当前虚拟现实开发速度慢、成本高、交互性能差、平台移植难等问题,利用Unity3D进行了上海大学嘉定校区虚拟校园的开发。为加快计算速度并提高交互性,利用多边形简化技术来表现层次细节纹理,实现了三个层次的细节模型。使用动态遮挡技术建立了三维的遮挡区域,而且置于人物摄像机的视域之内,可以在人物移动时剔除不需要显示的模型,减少了计算量。利用椭圆体代替粒子,并建立了椭圆体粒子发射器、粒子动画器、粒子渲染器来表现粒子系统。同时对摄像机跟随、碰撞检测等问题进行了研究和实现。完成了校园漫游模块、虚拟展厅模块、小孩扔书游戏模块、男运动员投篮仿真训练模块。最后将虚拟校园移植到多个平台上,系统运行流畅,达到了设计要求。 基于描述逻辑的XACML策略研究 针对XACML策略间的语义表示、冲突等问题,提出基于描述逻辑的形式化方法,对XACML策略的目标、规则、规则组合算法和策略冲突消解算法进行形式化处理,并给出基于描述逻辑的规则间冲突检测方案。分析结果表明,该形式化方法便于XACML策略的扩展,并且增强了XACML的语义表达能力和推理能力。 基于B+树快速调优的反馈式负载平衡算法 网络带宽飞速发展,应用并行处理技术可以大幅度提高网络入侵检测系统(NIDS)的性能。并行处理环境下的NIDS要求在对报文进行负载均衡分配时要保持连接的完整性,即相关的报文要分配到同一个处理节点。基于B+树的稳定和均衡特性,提出基于B+树快速调优的反馈式负载平衡算法(BLB)。该算法利用B+树搜索性能高、完全平衡的特性,当负载不均衡时,对B+树结构的流表进行快速调优,重映射流表,达到负载均衡。通过仿真实验,证明了该方案能快速使B+树结构连接密集度达到平衡,有效地均衡负载,降低系统的丢包率。 基于弹性网-SVM的疾病诊断关键特征识别 为了更好地识别具有影响因素多、样本量小等特点的疾病诊断的关键特征,辅助临床诊断决策的正确制定,提出了结合弹性网和支持向量机算法的疾病诊断关键特征识别方法。利用弹性网特征选择能力对原始数据集进行降维,得到影响疾病诊断的特征序列;根据特征序列选取关键特征子集,运用支持向量机和10折交叉验证方法获取相应特征子集的分类精度;以UCI中Arrhythmia数据集为例进行测试。结果表明,该方法能够得到较高的分类精度,并可以更有效地对原始样本数据集进行降维,去除影响因素中的冗余和不相关特征,适用于高维低样本量数据集的疾病诊断关键特征识别。 融合KL散度和移地距离的高斯混合模型相似性度量方法 为提高高斯混合模型(GMM)间相似性度量方法的计算效率和准确性,通过对称化KL散度(KLD)并结合移地距离(EMD)提出一种新的相似性度量方法。首先计算待比较的两个高斯混合模型内各高斯成分间的KL散度,对称化处理后用于构造地面距离矩阵;然后用线性规划方法求解两个高斯混合模型间的移地距离作为高斯混合模型间的相似性度量。实验结果表明,将该相似性度量方法应用于彩色图像检索,相对于传统方法能够提高检索的时间效率和准确性。 基于云环境的大型应用软件联调 大型软件通常由多个模块组成,在软件开发过程中软件联调是一个十分重要的环节。随着云计算技术的快速发展,许多传统环境下的软件产品需要推出云环境下的软件版本。软件产品上线在网络环境中运行与传统的实体机运行环境有很大的区别。基于云环境的大型应用软件联调有许多问题和技术需要研究和探讨。结合工作实践叙述了基于云环境的软件联调层级结构和软件联调内容,基于云环境的大型应用软件联调的详细过程以及各个角色在软件联调过程中的职责和任务。之后依据一个典型案例,详细叙述了软件联调的实现过程和联调过程中一些典型问题的处理方法。工作实践表明,做好基于云环境的软件联调工作可以提高软件产品的质量,提高上线后软件产品的安全性与稳定性。 基于PTS的视频流集群同步显示技术 针对集群大屏拼接显示系统各节点机独立解码、视频流显示不同步的问题,本文分析了集群拼接显示系统的特点,提出了利用PTS信息实现集群内各节点机同步显示视频流的机制,研究了组播接收模块、TS流拆包模块、视频解码模块和同步控制模块和拼接屏显示模块等视频流同步显示实现技术.研究试验结果证明了基于PTS的视频流集群同步显示技术的有效性. 基于感知规则集策略的约束空间RFID室内符号定位算法 随着普适计算的不断发展,室内定位技术的研究也成为当今研究的热点问题。室内定位技术的不断进步使得RFID也开始部署到各种各样的室内场景。为了提高室内空间中的定位精度,提出了一种基于感知规则集策略的约束空间RFID室内符号定位方法。算法基于室内空间中的符号,通过定义感知情况来确立定位规则,使得算法具有良好的室内空间适应性,且使用少量的阅读器即可实现较高精度的定位。为了提高定位精度,引入了感知规则集的概念,对场景中的情况抽象提取,进一步增加算法定位精度。最后,以约束空间中的室内场景作为实验环境对算法进行验证,分析结果表明,在室内空间中算法的定位精度及抗干扰能力优于现有算法。 一种改进的并行计算图划分模型 图划分成功地应用在许多领域,但应用于并行计算时,使用边割度量通信量,其主要缺点是不能准确代表通信量,而且图划分模型没有考虑通信延迟和通信额外开销的分布对并行性能的影响.提出了改进的图划分模型,该模型将影响并行性能的多个要素(通信延迟、最大的局部通信额外开销和整体通信额外开销)整合到一个统一的代价函数,不仅克服了图划分模型中边割度量的一些缺点,而且可以通过调整加权参数,处理不同的优化目标和强调不同因素对并行性能的影响. 基于CMM/CMMI的云计算能力评价研究 将CMM/CMMI思想引入云计算领域,从多个角度研究云计算的关键能力,通过文献研究和文献计量确定其评价指标,构建云计算能力成熟度模型,初步形成较完整的云计算能力评价体系。该评价体系力图在研究视野和方法上实现创新,以期对指导各类云计算系统能力的评价具有积极的意义。 基于上下文的概念语义相似度计算模型 针对概念语义相似度计算方法在信息检索中存在的漏检、误检等问题,提出一种基于上下文的计算本体内概念间语义相似度的模型。该模型分别从概念的父节点、子节点以及概念间路径权重3个角度进行计算,加权求和并求得语义相似度。实验结果表明,该模型的计算结果更加接近专家的经验值,为概念之间的语义关系提供了有效的量化。 火灾救援系统中动态定位方法研究 火灾救援系统的一个关键问题是救护人员的标识及其位置的实时获取;现有大多数定位系统需要特殊硬件的支撑,这样会加大系统开销;另外,已有的定位算法大都假设消防员是静止的或者事先布置的GPS点是定位的,这些都不符合实际的需求;文章给出了一个新的定位方法,该方法在消防队员和部分带有GPS的队员间通过通信来获取自己的估计位置,从而当消防员受到危险情况时,可以在第一时间得到救助。并且避免所有消防员都配戴GPS,有效地降低了系统成本。 基于FRFT自相似参数估计的异常流量检测方法 针对传统异常流量检测方法检测精度较低,Hurst指数估计受估计序列尺度的影响,提出了基于分数阶傅里叶变换(FRFT)估计Hurst指数的方法。在此基础上,实现了基于Hurst指数变化的异常检测,有效解决了方法实现过程中FRFT最佳估计的分数阶阶数选择及Hurst参数求解的关键问题。实验表明,基于FRFT的估计不受序列非平稳性影响,对Hurst指数估计具有较高的估计精度,并且可以准确地检测网络异常。 结合增量与启发式搜索的多目标问题处理方法 提出了一种结合增量与启发式搜索的多目标问题处理方法,设计并实现了一个基于路径扩展方法的多目标增量启发式搜索系统.当问题搜索图中边的权重发生改变或添加删除节点时,该系统通过对搜索现场进行实时的更新,部分利用先前搜索保留的信息,从更新后的状态开始求解新的问题,从而提高了重搜索的效率.对gridworld标准测试样例进行了大量的系统测试,实验结果表明:结合增量与启发式搜索的处理方法能够有效地解决状态格局不断变化的一系列相似的多目标最短路径问题. 空调客车实时集中监测系统数据IC卡转存方法研究 介绍了在空调客车微机实时集中监测系统中,利用接触式IC卡读写器及IC卡对系统上位机数据进行存储,实现客车运行数据在下位机中的查询、打印及存档等相应功能。该读写系统编程简单、使用方便、可靠性好,适合在复杂的工业现场使用。 一种自适应切换配准模型的无人机图像快速拼接方法 结合无人机多带图像特点,研究了图像拼接的特征检测、特征匹配、图像配准和图像融合等关键技术,提出一种依据图像质量切换配准模型的无人机侦察图像快速拼接的技术方案。比较了不同特征点检测和匹配方法、不同配准模型以及不同图像融合方法对图像拼接实时性的影响,实验表明该文提出的图像拼接技术方案实时性较好、拼接质量高。 基于通用存取结构的异或区域递增式视觉密码方案 为了优化区域递增式视觉密码的性能参数,提出一种基于异或运算的区域递增式视觉密码方案.首先设计自适应区域分配算法,然后构造单秘密分享视觉密码的加密矩阵,最后设计秘密图像的分享与恢复流程,并给出一种实现方案.实验结果表明,该方案适用于通用存取结构,能提供更加丰富的应用场景,且像素不扩展,可有效地减少共享份的存储和传输开销;同时,恢复图像不存在颜色反转失真,白像素可以实现完全恢复,提高了相对差,能够显著地改善秘密图像的视觉效果. 大规模粒子模拟并行前处理系统的设计与实现 粒子模拟是目前化工、材料、生物等领域重要的研究手段之一。随着计算机软硬件的发展和大规模并行集群的出现,可模拟的粒子规模越来越大,模拟对象也越来越复杂。前处理是粒子模拟初始数据的生成环节,它负责将模拟对象转化为粒子系统,并按照模拟算例需求,将粒子数据输出为文件。前处理是连接模拟对象和模拟计算的纽带,是粒子模拟过程中关键的一步。本文提出的设计方案是首先使用BRLCAD建立模拟对象的三维模型,然后将三维模型转换为空间枚举,接着在空间枚举的规则块中填充粒子,同时通过使用元胞法检测粒子之间的冲突来保证粒子的合法性,最后根据粒子的类型和位置计算粒子的物性并将粒子数据输出到文件。本文根据该设计方案结合MPI并行计算技术,实现了大规模粒子模拟并行前处理系统,并进行了一系列的测试证明了该系统的实用性和可靠性。 基于准时制生产的订单动态产品替补模型及算法 为解决订单在生产过程中因设备故障、员工技能、原材料缺陷等动态因素导致其产品质量不满足约定要求,从而引起订单无法按期交货的问题,建立了最小化订单总拖期和订单之间总替补次数为目标的订单动态产品替补模型;根据问题的特点,证明了该问题为NP完全问题;基于在交货期紧急程度不同的订单之间进行产品替补的方式,提出解决该问题的订单动态产品替补算法。通过实验验证了模型和算法不但具有可行性和有效性,而且完全能够在满足生产实际的条件下获得最优解。同时,比较了产品种类数、批次规模和订单规模发生变化时,对总拖期和替补总次数的影响。 基于格式化文本颜色的安全增强信息隐藏方法 随着办公自动化的日益普及,文本成为信息存储和传输的重要载体,基于文本的信息隐藏技术凸显研究价值;针对文本的信息隐藏方法存在隐藏容量小、安全性低的特点,提出了基于格式化文本中字体RGB颜色值的安全增强信息隐藏方法,依据Kerckhoffs准则和康托展开式设计了隐藏信息的编码方法和信息隐藏过程,分析了该方法的使用条件、隐藏容量、安全性和适用性;通过实验验证,该方法具有较好的信息隐藏容量,适用范围广泛且具安全性较强,具有一定的实用价值。 蚁群算法解决CSAHLP问题时的修正因子的研究 优化蚁群算法是一种基于种群的模拟进化算法,其高效的仿生过程在各类组合问题中有了广泛的应用。CSAHLP经常被用来描述物流在大范围运输时所产生的问题。在CSAHLP问题中,枢流点和节点都是未知参变量,这使得此问题归类于典型的NP问题。ACO作为高效解决NP问题的算法之一,在CSAHLP上有了越来越多的研究应用。但是,蚁群算法也有其自身缺点,受容量约束的条件作为外部约束使得蚁群有时无法得出正确的解。文中详细讨论了蚁群产生非可行解的原因及其处理方法,并通过实验证明方法的有效性。 基于FPGA的差分跳频信号处理器的设计与实现 针对工程应用要求,采用软件无线电的体系结构,设计了一种具有宽带-软跳频特点的差分跳频通信系统方案。在现场可编程门阵列(FPGA)通用硬件平台上,采用Xilinx公司推出的用于数字信号处理的系统生成器(system generator for DSP)设计工具,对系统的核心模块差分跳频信号处理器进行了建模设计,并仿真验证了方案的可行性和设计的正确性,对差分跳频通信系统的工程设计具有一定的参考价值。采用基于模型的设计方法,避开了传统基于HDL语言编程的设计方法,使整个设计工作更加简单、高效,大大缩短系统的开发周期,节约成本。 单片机在C语言串口通信中的应用解析 在分析了单片机涵义和串口通信工作方式的基础上,结合前期采用汇编语言编写的单片机应用系统程序可读性差、可移植性不好,且程序编写周期长、调试比较困难等问题,文中倡导以C语言作为编写单片机应用系统的编程语言,从而使得单片机能巧妙利用C语言实现单片机之间的多机高效通信,充分展示出单片机使用寿命长、运行速度快、低噪音、技术可靠的特征。实验结果表明,单片机应用系统中使用C语言编写的程序可读性、可移植性、稳健性更强,能使串口通信更加高效、便捷、可靠。同时使得价格低、稳定性强、功能好、功耗小等这些单片机的特点得到更充分的发挥。 多用户OFDM系统资源分配的分支定界算法 研究了分支定界算法在多用户OFDM系统资源分配中的应用问题.基于速率最大化准则,进行速率和功率的分配.经典的遗传算法(GA-Genetic Algorithm)虽然很好的解决了非线性问题,使的计算精度得到了提高,但是运算复杂度却提高了;而分支定界算法通过分支、定界、剪支使得计算次数减少从而大大的降低了复杂度,并且仿真结果表明,分支定界算法在性能上接近遗传算法但复杂度上低于遗传算法,性能上优于Linear算法. 羟丙基-β-环糊精对甲氧苄啶包合过程研究 采用Chem3D Ultra 8.0和SYBYL进行分子模拟以及相溶解度法探讨羟丙基-β-环糊精对甲氧苄啶包合的可能性,在此基础上用溶剂搅拌法制备甲氧苄啶羟丙基-β-环糊精包合物;单因素实验考察有机溶媒浓度、主客分子物质的量比、温度、搅拌时间、搅拌速度、pH值对包合性能的影响;选择影响较大的因素进行正交实验,以甲氧苄啶的回收率、包合率和载药率为指标,优选出最优工艺条件;并采用薄层色谱法和差示扫描量热法对包合物进行表征和确认。结果表明甲氧苄啶能被羟丙基-β-环糊精包合;最优工艺为主客分子物质的量比为3:1,反应体系pH为7.5,搅拌时间为4 h;薄层色谱法和差示扫描量热法均验证了包合物的形成。甲氧苄啶包合物溶解度较甲氧苄啶原药增加约26倍。 基于WIFI BSSID相似度和RSSI概率分布的定位算法 随着高校的扩大,教室的增多,以及无线网络覆盖率的增大,能够精确定位在校人员所在的教室位置具有重大意义。基于信号强度的定位算法是现今无线网络定位的主要方法。在已有算法的基础上,针对校园环境,提出了基于WIFI BSSID相似度和RSSI概率分布的定位算法。该算法先将当前扫描的BSSID集合与事先采集的数据库中的BSSID集合进行相似度计算,相似度高的位置可判定为定位位置。若存在多个位置相似度基本相同,则进一步根据信号强度的概率分布进行概率加权运算,权值最大的教室位置即为定位位置。实验结果表明,该定位算法在校园室内定位中可以提高定位精确度。因此,基于该校园室内定位算法,可以有助于精确定位在校人员所在教室位置,给在校人员的学习与工作带来便捷。 旋转弹箭气动导数与气动热仿真计算 研究弹箭气动特性优化问题,基于剪切应力传输(SST)k-ω湍流模型,分别对M910弹丸和旋转弹模型F4进行数值仿真。计算采用CFD和工程经验公式相结合的方法,得到了光弹M910和翼身组合体F4在不同攻角,不同旋转速度,不同马赫数下的气动导数分布。通过与实验数据比较分析,阻力系数,法向力系数,俯仰力矩系数导数,滚转阻尼系数误差均在工程误差范围内,验证了本文计算方法的准确性。同时,数值仿真得到旋转弹体绝热壁面温度分布云图和不同旋转速度、不同攻角的弹箭迎风面中心线上温度分布数据图。计算分析为旋转弹箭气动热弹性仿真提供有价值参考。 多天线协同接收PSK载波参数估计的CRLB 针对利用多个独立天线对同一信号进行协同接收的问题,推导了数据辅助(DA)和非数据辅助(NDA)方式BPSK/QPSK信号频率及相位估计的Cramer-Rao下界(CRLB),并与传统单个天线接收时的CRLB进行了比较。结果表明,在DA方式下,多天线协同接收PSK信号频率及相位估计的CRLB与传统单天线接收的CRLB相同;在NDA方式下,多天线协同接收的CRLB则要低于传统单天线接收的CRLB。 一种求解旅行商问题的热力学演化算法 在综合国内外演化计算研究现状的基础上,基于热力学中的自由能极小化原理,设计了一个全新的热力学演化算法,并通过对于流动旅行商问题求解的数值实验,测试了热力学演化算法的优良性能,实验结果表明了热力学演化算法求出的解比一般演化算法求出的解更加接近于全局最优。 两种无证书代理签名的密码学分析及改进 为了降低计算开销,许春根提出一种无对运算的无证书代理签名方案;张俊茸提出一种无证书代理环签名方案,融合了无证书密码体制、代理签名和环签名的优点。分析指出,许春根方案的代理密钥产生算法存在严重缺陷,致使代理人无法进行有效签名;张俊茸方案存在原始签名人密钥泄露及仿冒授权攻击、公钥替换攻击和匿名性缺陷。针对上述问题,提出了改进方案,弥补了已有方案的安全缺陷,且计算性能较优。 一种新的自适应动态文化粒子群优化算法 为了克服粒子群优化算法在解决复杂问题时易陷入局部最优的缺陷,提出了一种新的自适应动态文化粒子群优化算法。该算法引入评价粒子群早熟收敛程度的指标来判断种群空间粒子群状态,以确定影响函数对种群空间粒子群的作用时机,当算法陷入局部最优时,自适应地利用影响函数对种群空间进行变异更新,从而有效发挥文化粒子群算法的双演化双促进机制。并且根据种群的早熟收敛程度自适应地调整粒子的惯性权重,使种群在进化过程中始终保持惯性权重的多样性,在算法的全局收敛性与收敛速度之间作一个很好的折中。最后对四个经典的测试函数进行仿真,结果表明该算法具有很强的搜索能力,收敛速度和收敛精度也有所提高。 自发表情识别方法综述 介绍了目前自发表情识别研究的现状与发展水平,详细阐述了自发表情识别研究的内容和方法,以及自发表情识别研究的关键技术,旨在引起研究者对此新兴研究方向的关注与兴趣,从而积极参与对自发表情识别问题的研究,并推动与此相关问题的进展。 城市监控报警联网平台流媒体系统研究与设计 为解决大范围监控视频联网过程中面临的不同丢包率、网络类型及系统带宽等复杂网络环境问题,参照GB/T 28181国家标准及相关行业标准,提出一套基于会话初始协议及实时传输协议的城市监控报警联网平台流媒体系统协议框架,并以该协议框架为基础,设计一套城市监控报警联网平台流媒体系统的实现方案。该方案基于中心流媒体服务器及云存储技术,支持多级跨域大规模媒体互联和媒体数据高效集中存储及分发。应用结果表明,该方案的单域实时视频点播响应时间可控制在2 s以内,且能够有效适应丢包率高达30%的网络环境,具有较好的通用性、稳定性及适应性,能够满足安防一线监控视频联网要求。 MIMO-OFDM系统的信道估计方法 在第三代移动通信组织给出的空间无线信道模型(3GPP-SCM)下,基于多输入多输出天线频分复用系统(MIMO-OFDM),设计了基于最小均方误差准则的信道估计器。由于最小均方误差信道估计器是在最大似然信道估计器的基础上基于统计信息的去噪处理,在3GPP-SCM中利用蒙特卡罗方法对不同种典型信道环境做自相关系数统计,得到信道的先验统计信息,进而设计并实现了基于MIMO-OFDM系统的最小均方误差信道估计器。通过仿真,验证了最小均方误差信道估计器相对于最大似然信道估计的准确性,并在误码率方面,带来更好的系统性能。 基于多进制查询树的多标签识别方法 针对传统树形防碰撞算法存在的查询次数多、响应时间长、通信负载大等不足,提出一种基于多进制查询树(MQT)的防碰撞算法。该算法利用映射表对标签的碰撞数据进行多比特仲裁,解决传统算法单比特仲裁的不足,减少查询周期数,根据标签识别所需的响应时间,建立分析模型,推导出最小平均响应时间的最优多进制树。理论分析和仿真结果表明,与碰撞树算法及其改进算法相比,该算法可明显降低多标签识别过程中的时间复杂度和通信负载。 基于Wi-Fi与Web的云计算资源调度算法研究 为缩短云计算执行时间,改善云计算性能,在一定程度上加强云计算资源节点完成任务成功率,需要对云计算资源进行调度;当前的云计算资源调度算法在进行调度时,通过选择合适的调度参数并利用CloudSim仿真工具,完成对云计算资源的调度;该算法在运行时无法有效地进行平衡负载,导致云计算资源调度的均衡性能较差,存在云计算资源调度结果误差大的问题;为此,提出一种基于Wi-Fi与Web的云计算资源调度算法;该算法首先利用自适应级联滤波算法对云计算资源数据流进行滤波降噪,然后以降噪结果为基础,采用本体论对云计算资源进行预处理操作,最后通过人工蜂群算法完成对云计算资源的调度;实验结果证明,所提算法可以良好地应用于云计算资源调度中,有效提高了云计算资源利用率,具有实用性以及可实践性,为该领域的后续研究发展提供了可靠支撑。 全国演出场所监管系统网络架构与设计 网络架构在全国演出场所监管系统中占据着如人体骨骼般的重要地位。从演出场所的监管设计需求出发,建立公网传输的网络体系架构,并结合监管系统的联网设计以及特定的监管传输策略,提出适宜的网络通讯协议体系以及网络控制技术。提出适用于公网传输的网络接入技术以及网络安全技术,并展望全国监管系统网络的建设发展未来。 稠密子图发现的视频语义挖掘方法 目前基于内容的视频语义挖掘方法并未考虑到视频的多模态特性,不能够实现对于目前海量涌现视频的自动分析处理任务。针对此问题,提出了基于稠密子图发现的视频语义挖掘方法。该方法对待处理的视频进行中文连续语音识别、视频目标识别和视频文字识别,对于识别结果进行中文分词和词性标注,保留名词和动词作为图模型的顶点,顶点之间的边权重设置为两个顶点所代表的词语的中文语义距离,根据稠密子图发现算法挖掘视频的语义信息。实验结果表明这种方法是有效的。 基于Matlab GUI的维吾尔文字符识别系统的设计 基于建立对视频中维吾尔文字符的识别提取系统,以视频中维吾尔字符为对象,首先对维吾尔文字符进行了字符检测,以便确定其维吾尔文字符在视频中的大小、位置,其次对所确定的维吾尔文字符进行字符定位以便作进一步的处理,然后运用Canny算子将其提取出的维吾尔文字符进行彩色图像转换为灰度化的边缘图像,最后利用Matlab GUI设计了维吾尔文字符的识别系统,实现了视频中维吾尔文字符的检测、字符定位、图像抖动、对比度调整、灰度化、边缘检测、提取字符等功能。实验结果均显示出该算法的优良性能,并证明了该识别系统性能的稳定性和极其良好的扩展性,为维吾尔文字符的识别研究提供了一个简单有效的仿真平台。 温度和连续生产约束下的动态仿真调度 炼钢等流程工业生产中的温度约束和连续生产约束同时存在时会给生产调度带来很大困难。针对现有工作的不足,提出了调度与仿真相结合的仿真调度方法,通过避免盲目的反复调度和仿真,达到快速动态调度的目的。该方法首先对温度约束和连续生产约束建立了约束调度模型;在此基础上,采用Agent技术与仿真结合的智能仿真调度的方法来实现调度和约束验证的并行处理。通过实例验证了该方法的有效性。 基于ThinkPHP+Workerman的高校学生安全管理系统 针对高校学生在校的日常安全问题,本文提出并设计了一套基于ThinkPHP框架与Workerman框架相结合的高校学生安全管理系统.着重论述了从数据接收,到数据存储,最终实现数据展示及应用整个过程.根据对称加密算法原理,独立设置加密规则,提高了系统的安全性.本系统具有权限设计分明,代码运行高效,安全系数高等优势.通过本系统,管理员可以实现查询学生的基本信息,学生当前实时位置,每日考勤记录,报警数据等.有效解决了高校对学生在安全方面的管理问题. 不确定环境下混流装配线动态准时制物料配送系统 针对不确定环境下混流装配线物料配送准确性和及时性的问题,构建了一种基于射频识别技术的动态准时制物料配送控制系统。分析了混流装配线的物料配送特点及物料分类,阐述了生产计划变动、生产节拍波动、线边库存及物料需求量和时间等物料配送中的不确定因素。综合考虑各类不确定因素,构建了基于射频识别的动态准时制物料配送体系架构,建立了可视化装配过程监控系统,研究了物料配送方案运算模型,设计了物料配送控制系统的硬件架构和软件体系结构。以某公司的1.5TGDI发动机工厂的混流装配线为例,应用J2EE构架开发了基于射频识别的动态准时制物料配送系统,实现了物料配送管理和控制的一体化和可视化,验证了系统的可行性和有效性。 XCON会议框架中举手功能的设计与实现 XCON在信令协议方面具有很好的独立性和可扩展性,是目前主流的紧耦合式会议框架,但是其中的会议Presence服务只能单向地发布通告给与会者,缺乏交互性不能满足用户需求.举手功能是远程会议中一种典型的交互式应用.提出一种基于标准协议的举手功能实现方法,首先设计了会议Presence状态文档,在此基础上扩展设计了支持举手功能的会议Presence状态文档;然后设计了基于会议Presence的举手功能的信令处理流程;最后给出了基于开放源代码的XCON会议框架中举手功能的原型实现.本文方法有助于促进多媒体数据会议中举手机制的标准化工作. PC/104扩展SJA1000控制器简易方法 PC/104是地址线与数据线分立的结构,而SJA1000则是地址线与数据线时分复用的,如何完成两种计算机体系结构的时序配合是两者扩展的关键。本文通过分析PC/104和SJA1000的操作时序,仅利用两片74245和简单的逻辑门完成电路扩展,该扩展结构中使用一条语句就可完成PC/104对SJA1000的访问控制。该扩展方法具有设计新颖、成本低、电路简单等优点,已经成功应用到某型号航天光学相机原理样机中。 合金化炉冷却段流场和温度场的数值仿真研究 以合金化炉冷却段为研究对象,建立了合金化炉冷却段内空气流动与热交换的物理与数学模型,利用Fluent软件,采用标准k-%湍流模型,对合金化炉冷却段内气体流场和温度场的分布进行了模拟,得到了炉内温度及速度分布特征。计算结果表明,数值仿真的结果与现场所测数据基本吻合,且冷却段内气体流动均匀,带钢表面冷却效果良好,对了解合金化炉冷却段的温度分布有一定的指导意义,并为合金化工艺参数的优化奠定了基础。 广义不完备多粒度标记决策系统的粒度选择 粒计算(granular computing,GrC)是知识表示和数据挖掘的一个重要方法,它模拟人类思考模式,以粒为基本计算单位,以建立大规模复杂数据和信息处理的有效计算模型为目标.粒计算主要研究粒的构造、解释、表示、粒度的选择以及用规则形式所描述的粒与粒之间的关系等.针对具有多粒度标记的不完备信息系统的知识获取问题,首先,介绍了广义不完备多粒度标记信息系统的概念,在该信息系统中定义了相似关系,给出了在不同粒度标记层面下信息粒的表示及其相互关系,并定义了基于相似关系的集合的下、上近似概念,给出了近似算子的性质;其次,定义了广义不完备多粒度标记决策系统中的粒度标记选择的概念,阐明了所有粒度标记选择全体构成了一个完备格;最后,讨论了广义不完备多粒度标记决策系统中的最优粒度标记选择问题,并用证据理论中的信任函数和似然函数刻画了协调的不完备多粒度标记决策系统的最优粒度选择特征. 基于WebGL的3D动画实时播放系统 由于受到网络带宽及三维动画数据量过大等因素的影响,三维动画如果要在网络上一次性传输往往需要花费比较长的时间.这不仅影响了动画播放的实时性,同时,传输过程中数据的不完整也会造成动画播放不流畅.通过对基于WebGL的三维动画实时播放关键技术的研究,提出模型文件预加载、文件压缩传输等方法,在有限带宽的条件下将三维动画内容实时传输到用户端,利用WebGL技术实现三维模型的创建和渲染,并在此基础上实现三维动画实时播放,使用户能够直接通过浏览器进行观看,或参与到场景交互中. 基于椭圆曲线ElGamal代理加密机制的MANET组密钥分发方案 针对MANET网络中大规模安全组通信系统的特点,提出一种新的分散式组通信密钥分发方案。该方案基于轻量级的椭圆曲线ElGamal代理加密机制,克服了以往基于代理的密钥管理方案中存在的中间节点知道传输密文的缺点,能够为组通信密钥的分发和更新提供全程私密性保护,解决了第三方节点的可信任问题。安全性与性能分析表明,该方案具有良好的可扩展性和可靠性;能够满足前向安全性和后向安全性;与Chiu等其它方案相比,该方案执行效率比较高,能够有效地节省计算资源和通信带宽,非常适合于MANET网络。 脉冲组织多普勒参数评价心尖肥厚型心肌病右心室功能 目的:应用脉冲组织多普勒参数评价心尖肥厚型心肌病患者右心室功能。方法:应用超声心动图脉冲组织多普勒技术检测心尖肥厚型心肌病11例轻度肥厚组、14例重度肥厚组及20例正常对照组的右心室功能,得出常规二维超声测值及组织多普勒参数值,包括等容收缩期加速度(IVA)、等容收缩期峰值流速(IVV)、收缩期峰值流速(Sa)、舒张早期峰值流速(Ea)、舒张晚期峰值流速(Aa)、E/Ea及Tei指数,并进行统计学分析。结果:3组间常规二维超声测值差异无统计学意义。重度肥厚组和轻度肥厚组的右心室脉冲组织多普勒参数中,Aa、E/Ea、IVRT、IVST、IVA及Tei指数与对照组相比差异有统计学意义;其中重度肥厚组与轻度肥厚组比较E/Ea、IVST、IVA及Tei指教差异有统计学意义。Sa及IVV在各组间比较差异均无统计学意义。结论:脉冲组织多普勒等容收缩期参数可早期无创评价心尖肥厚型心肌病患者右心室收缩和舒张功能。 自动微分在隐式曲线绘制中的应用 自动微分是用于计算多变量函数的导数和偏导数的一种微分技术,在给定一个多变量光滑函数值的程序代码后,可以很容易地利用自动微分来实现有关导数和偏导数的精确计算。将自动微分技术与泰勒方法相结合应用到计算机图形学领域隐式函数曲线绘制的细分算法中,并与未使用自动微分技术前的隐式曲线绘制方法作比较和分析,展示了自动微分方法在绘制隐式曲线方面的优势。 跨部门数据共享平台总体架构与功能分析 随着我国电子政务的迅速发展,就如何解决信息资源有效共享不足、业务难以协同问题,降低投资风险和提高投资效益等当务之急,本文提出建设跨部门数据共享平台的新思路。 基于多目标粒子群优化算法的汽车造型设计决策模型 为降低主观性和个体决策差异对汽车造型设计方案决策的影响,提出一种基于多目标粒子群优化算法的汽车造型设计决策模型,该模型通过限定汽车造型设计决策约束空间、决策变量的数量等因素,利用多目标粒子群优化算法对设计方案进行多目标决策,并采用层级分析法选取汽车造型设计最终(优)方案,将汽车造型设计决策问题映射到数学模型,来完成对整个汽车造型设计方案决策过程的模拟,引导汽车设计师有条理地进行创新设计,并辅助决策者各轮次的方案评价。以某紧凑型SUV汽车造型方案的设计决策为例验证了模型的可行性和合理性。 LTE系统中的Mean-OTDOA定位算法 由于LTE蜂窝网中远近效应的影响,终端测量到的邻近基站信号的定位参数会存在较大的偏差,导致OTDOA定位方法(到达时间差定位法)估计的终端位置存在较大误差。基于此,提出一种改进的Mean-OTDOA定位算法。首先估计终端与各基站的时延,然后对终端与多基站的距离测量值进行平均,作为OTDOA定位方法中的参考距离,最后利用泰勒级数展开法对终端位置进行估计。仿真结果表明,该算法可提高终端的定位精度,在基站数目为5、测量误差标准差为50 m时,本算法的均方根误差比OTDOA算法降低了5.2039 m,且随着基站数目的增加,定位精度的改善程度优于OTDOA算法。 社会网络环境下的协同推荐方法 针对传统协同过滤推荐算法的数据稀疏性及恶意评分等问题,提出了一种融合信任度与矩阵分解技术实现社会网络推荐的方法。首先通过计算节点的声望值与偏见值发现网络中的不可信节点,并将其评分权重进行弱化。然后将用户-评分矩阵与信任度矩阵相结合,实现社会网络环境下的协同推荐。实验表明,相对于传统的协同过滤算法,该算法可以消减虚假评分或恶意评分给推荐系统带来的负面影响,有效地缓解数据稀疏性与冷启动问题,显著提高推荐系统的推荐质量。 一种车载设备通信系统的设计与实现 汽车分时租赁给用车用户带来了很好的用车体验。在用户整个的用车过程中,只需要通过APP取车、还车、支付等操作就可以简单地完成一次用车的体验,过程快捷、方便。给客户带来方便的同时,大量的分时车辆却给运营公司的管理带来了很大挑战,运营系统必须能够快速响应APP的用户请求,并且与相应的车辆进行通信。如何去保证稳定和高效地与这些车载设备进行通信便成为了整个业务的焦点问题,对此提出并实现了一种高效的解决方案,能够很好地满足目前分时租赁企业需求。目前该系统在某分时租赁企业已经成功运行一年多时间没有出现过因通信问题而导致的系统故障。 面向云存储的存储网关 对大容量数据存储和快速读写的需求与计算机网络技术的发展,使得网络化存储系统成为网络服务器系统中I/O子系统研究的热点,作为网络存储系统的关键部件,对分布式文件系统的研究具有非常重要的意义.目前开源社区提供了KFS、moosefs、Fast DFS、TFS、GFS[1]等多款分布式文件系统,其中多数提供了基于Linux操作系统的API或者存储网关,却没有提供Windows版的存储网关.主要对分布式文件系统Windows版存储网关的设计框架和思路进行介绍与分析,并实现了一个基于HDFS的Windows版分布式文件系统的存储网关程序dfsclient. 具有部分多目标轮廓提取特性的单水平集方法 C-V模型只能实现单目标图像的轮廓提取,造成这种后果的主要原因是C-V模型过多地考虑了演化曲线内外部的信息,反而造成了曲线的不正确演化。针对这点,提出了一种新的更为简单的水平集方法,该方法对初始曲线位置选取没有特殊要求,演化过程中符号距离函数也无需重新初始化。运用该方法,给出了几幅不同性质图像的实验结果,结果表明,方法不仅能保证单目标轮廓的正确提取,还具有部分多目标轮廓提取的特点,而且需时少,具有一定的抗噪性。 基于云服务传递网络的弹性P2P VoD覆盖网构造方法 部署于云服务传递网络(Cloud Service Delivery Network,CSDN)基础设施的P2P VoD系统,在每个CSDN节点内将同一节目的所有用户构建成P2P覆盖网,但CSDN单节点内大量节目的并发用户稀少.因此,如何在兼顾流量本地化的前提下,解决CSDN架构下P2P VoD覆盖网构造中Peer资源稀疏问题是当前面临的一个挑战.为此,提出一种P2P覆盖网的弹性构造方法ECM(Elastic Construction Method).ECM依据资源索引来弹性扩展P2P覆盖网的构造范围,有效解决Peer资源稀疏问题;同时根据CSDN节点间距离来选择扩展路径,实现P2P流量本地化.最后模拟实验结果表明,ECM能有效均衡服务器负载和跨ISP流量. 便携式智能导游系统的设计与实现 针对现有智能导游系统在普及和应用中的缺陷,基于以用户为中心的交互设计原理,从可用性和用户体验的角度设计智能导游系统iGuider。该系统结合全球定位系统、地理信息系统及多通道交互技术,为游客提供相关景点的多媒体信息。测试结果表明,系统运行可靠,直观自然的用户界面和反馈信息能使用户轻松使用该系统。 二维双曲守恒律标量方程的三阶CWENO-型熵相容算法 应用提出的中心加权基本无振荡(CWENO)-型熵相容格式求解了二维双曲守恒律方程初边值问题,对所得数值结果进行了分析与讨论,并通过与准确解的比较发现该数值求解格式稳定性条件可以取到0.6,而激波过渡带只有1~2个网格单元。实验结果表明该数值求解格式分辨率高且数值稳定性好。 一种基于混合核函数的SOM网络流量分类方法 由于传统的自组织映射SOM方法对高维、非线性的网络流量数据的分类性能效果不佳,本文引入核方法,提出一种基于混合核函数的SOM(MIX-KSOM)网络流量分类方法。该方法结合了全局性和局部性核函数的优点,采用径向基函数和多项式函数线性组合构成的混合核函数代替内积作为距离度量,使输入空间中复杂的流量样本在特征空间得以简化。实验结果表明,采用MIX-KSOM方法能较好地对网络流量进行分类,较传统的SOM、采用单一核函数的SOM(KSOM)分类方法性能更好,分类准确率也高于NB方法。 基于GPU的复杂网络社区挖掘算法并行计算 由于复杂网络的规模越来越大,在大规模的复杂网络中快速、准确地挖掘出隐藏的社区结构是当前该领域研究的热点问题。目前社区结构挖掘常用的基于快速Newman算法的社区结构挖掘算法之一是一般概率框架方法。以规模日益增大的复杂网络为研究对象,提出了基于GPGPU的一般概率框架并行算法,有效地解决了在大规模的复杂网络中快速、准确地挖掘出隐藏的社区结构问题。实验证明,随着节点数的增加,该并行算法在不损失准确性的前提下运行效率有所提高,为复杂网络社区结构挖掘的研究提供了一种高效的解决方案。 融合项目标签信息面向排序的社会化推荐算法 近年来,推荐系统越来越受到人们的关注,按照应用场景主要分为评分预测和Top-K推荐。考虑到传统评分推荐系统和Top-K排序推荐系统只考虑用户和项目的二元评分信息,具有一定的局限性,因此扩展了一种基于列表排序学习的矩阵分解方法。一方面,充分考虑用户之间关注关系。首先通过用户之间的关注关系计算用户之间的信任度,接着通过用户之间的信任度在原始模型的损失函数中添加用户社交约束项,使相互信任的用户偏好向量尽可能接近。另一方面,计算项目所拥有标签的权重,并以此计算项目之间的标签相似度,再将项目的标签约束项添加至损失函数中。在真实Epinions和百度电影数据集中的实验结果表明,该方法的NDCG值和原始模型相比具有一定的提高,有效地提高了推荐准确率。 基于半径参数周期性缓慢变化的双种群遗传算法 双种群遗传算法引进了主种群和辅助种群,通过控制半径参数的变化来控制辅助种群的变化从而实现种群多样性。但其存在半径参数变化过快导致辅助种群得不到控制的缺陷。针对该缺点,用余弦函数来刻画半径参数的变化,提出了基于半径参数周期性缓慢变化的双种群遗传算法。半径参数的这种变化规律既有利于维持种群多样性,又能增强局部搜索能力。为了估算半径参数的最大取值,给出了把个体与主种群中心的最大距离作为半径参数最大取值的方法。仿真实验表明,新算法优于当前一些较好的遗传算法。 基于ARM的嵌入式Linux图形界面的研究与实现 主要针对基于ARM平台的嵌入式Linux图形界面的研究与实现。通过裁剪定制在ARM9上构建一个基本的Linux系统,然后在此Linux系统上移植了X协议和一个小型的窗口管理器Matchbox,最后在此之上移植了GTK图形库和基于GTK的应用程序。 基于遗传算法的电梯控制模拟系统设计与实现 该文综合考虑了乘客候梯时间、乘客乘梯时间、系统能耗等多个目标,使用遗传算法,应用QT设计师界面类模拟电梯控制系统电梯的运行情况,结合实际合理调度电梯,设计出电梯控制系统。该系统通过将遗传算法合理应用到电梯调度过程中,从而给出最佳的派梯方案。 基于云环境的持续集成 随着云计算技术的快速发展,软件开发的许多环节都在云环境中进行。持续集成作为软件开发过程中的重要组成部分,也需要在云环境中进行集成构建。为了在软件开发过程中正确应用这些新技术,需要开展这方面的研究工作。结合工作实践,以SVN作为配置管理工具,介绍了基于实体机持续集成的特点和运行机制。详细叙述了基于云环境的持续集成,包括在物理实体机上构建私有云平台;基于部门云持续集成的运行原理、部署和特点;基于公司云持续集成的运行原理、部署和特点;基于公有云持续集成的构建过程和特点;基于云环境的持续集成工程运行过程。最后介绍了一个典型的持续集成工程案例。工作实践表明在云环境中开展持续集成工作,可以节约计算机软硬件资源,提高软件开发效率,降低软件开发成本。 完全覆盖热点区域的多重覆盖算法 针对传感器网络中节点的部署和覆盖问题,提出了一种完全覆盖热点区域的多重覆盖算法。该算法根据网络覆盖质量计算出覆盖某一指定区域所需要的移动节点和静止节点数目。移动节点在虚拟力的作用下到达合适的位置,使得该区域中的热点区域能被完全覆盖,整个区域的节点分布相对比较均匀。仿真实验表明,该算法具有良好的性能,达到了预期的目标。 射影变换下新的形状匹配方法 针对射影变换下的形状匹配问题,提出一种基于构造的射影不变量——回路型交比的形状描述方法.首先在形状的凸包上均匀取样,对于每一个样本点,依次与剩余样本点相连构成的直线与图像相交,可得到一个回路型交比谱;然后通过动态时域归整算法计算2个形状特征间的距离,采取最近邻原则进行匹配.选取32张电视台台标和13张彩色交通标志作为模板集,通过设定不同的参数得到48组不同程度射影变换下的图像(共1 536张)和16组不同程度仿射变换下的图像(共208张).实验结果表明,该方法对图像有很好的描述能力,在剧烈射影变换下仍有较高的识别率,并且对相似图形有很强的分辨能力,明显优于最新的交比谱及经典的SIFT方法. 改进的基于暗原色先验的图像去雾算法 针对暗原色先验估计透射率偏小,不适用于天空区域,致使复原图像色彩失真、亮度偏暗等问题,提出了一种基于天空区域分割的图像去雾算法。通过对天空和非天空区域的雾天图像进行有区分地复原,克服了因不满足先验规律而导致的失真问题,通过Gray World和White Patch假设对复原图像亮度进行校正处理,解决了图像偏暗的不足。此外,通过在天空区域估计大气光值,使求得的介质透射率更为精确,复原出的细节更加清晰。实验结果表明,该算法能有效解决天空区域失真问题,复原后的图像也具有较高的亮度和对比度。在时间复杂度不显著增加的条件下,能够达到良好的去雾效果。 基于语义相似度与优化的构件聚类算法 为克服刻面分类表示法的人为主观因素,采用了刻面分类与全文检索相结合的方法对构件进行了表示。同时,从语义角度出发,结合优化技术,提出了一种基于语义相似度与优化的构件聚类算法。该算法有效地减少了刻面分类的主观性因素,进一步提高了构件查询的效率和准确性,并与基于向量空间模型的构件聚类效果进行比较。实验结果表明,基于语义相似度与优化的构件聚类算法的有效性,它在一定程度上改善了构件聚类的效果,提高了聚类质量。 深度逆向强化学习研究综述 深度逆向强化学习是机器学习领域的一个新的研究热点,它针对深度强化学习的回报函数难以获取问题,提出了通过专家示例轨迹重构回报函数的方法。首先介绍了3类深度强化学习方法的经典算法;接着阐述了经典的逆向强化学习算法,包括基于学徒学习、最大边际规划、结构化分类和概率模型形式化的方法;然后对深度逆向强化学习的一些前沿方向进行了综述,包括基于最大边际法的深度逆向强化学习、基于深度Q网络的深度逆向强化学习和基于最大熵模型的深度逆向强化学习和示例轨迹非专家情况下的逆向强化学习方法等。最后总结了深度逆向强化学习在算法、理论和应用方面存在的问题和发展方向。 基于运动物体的时域视频错误隐藏算法 为了减小视频传输中的错误对解码端重建视频质量的影响,提出了一种使用于H.264的基于运动物体的时域错误隐藏算法。分析丢失宏块相邻宏块的运动矢量及灰度值分布,对平坦区域及灰度一致的运动区域先进行错误隐藏,最后对遗漏像素进行隐藏。实验表明,该算法比经典时域隐藏算法提高0.13 dB~1.91 dB,能够很好地保持物体形状,减少块效应,提高了恢复质量。 一种利用极限学习机的数据可视化方法 提出一种利用极限学习机ELM的数据可视化方法,该方法利用多维尺度分析MDS、Pearson相关性、Spearman相关性代替常用的均方误差MSE实现高维数据投影到2-维平面的数据可视化。将所提方法与近期流行的随机邻域嵌入SNE及其改进的t-SNE方法对比,并通过局部连续元准则LCMC进行质量评测。结果表明:该方法的数据可视化结果及计算性能明显优于SNE及t-SNE方法;而在提出的三种学习规则中,基于MDS的学习规则效果最好。 格值语义归结推理方法 归结自动推理是人工智能领域的一个重要研究方向,语义归结方法是对归结原理的一种改进,它利用限制参与归结子句类型和归结文字顺序的方法来提高推理效率。基于格蕴涵代数的格值逻辑系统的α-归结原理提供了一种处理带有模糊性和不可比较性信息的工具,它能对格值逻辑系统中在一定真值水平下的不可满足逻辑公式给出反驳证明。首先研究了格值逻辑系统上一类广义子句集的性质,该类子句集在任意赋值下能分为两个非空子集,接着讨论了这类广义子句集的语义归结方法,并证明了其可靠性和完备性。 关联规则聚类更新的大数据文件请求流分析 综合考虑请求流的流行度和时间局部性对系统性能的影响,提出一种关联规则聚类更新过程,通过每个目标的时间间隔精确生成跟踪的流行度和时间局部性。对文件请求流进行建模,每个源工作负载一次;分析程序,为聚类提取特征;利用关联规则聚类找到相似的目标,利用数据处理工具获得每个聚类分布;生成合成跟踪,根据一个特定模型可以生成多个跟踪。仿真实验采用Yahoo Apache Hadoop聚类的跟踪数据,运行Hadoop分布式文件系统(HDFS)产生4100多个节点聚类,实验结果验证了该方法的有效性,合成结果非常接近真实负载。更新过程不要求模型适应于特定的时间间隔分布或特定的流行度分布。 基于拓扑结构神经网络的绩效管理评价研究 针对现有关于企业绩效管理评价的方法存在一定的缺陷,不能满足企业发展过程对制度评价的准确度要求的问题,通过利用AHP方法在复杂的评价指标体系中筛选出8个代表性的指标作为绩效评价的评价指标,随后对此采用拓扑结构的BP神经网络方法,构建评价模型,设计算法程序,结合计算机技术进行网络训练,得到该算法的仿真结果:算法的误差在训练步数为100时就已经达到了期望结果,而且预测数据的相关系数达到了0.98747,这表明基于拓扑结构的BP神经网络算法在企业绩效管理评价工作中具有一定的可行性与较高的准确性。 面向算术单元的FPGA工艺映射算法 本文提出了一种针对算术单元的FPGA工艺映射算法ArithM.实验结果表明,与公认ABC中的黑盒子映射算法相比,本文算法能平均减少逻辑单元面积7%,减少电路关键路径延时5%.ArithM采用了单元共享、平衡算术链以及吸收邻近节点三种方法来优化算术资源. 一种基于单目视觉的人手检测与识别方法 提出了一种单目视觉下的人手检测与识别方法。该方法结合肤色检测与运动前景检测技术,实现了人手的定位,再由阈值分割获取人手的二值图像。提取傅里叶形状描述子作为二值图像的特征,在与样本的特征进行相似性比对之后获得最终识别结果。实验证明,该方法可以有效地实现复杂背景下人手的定位与识别。 不完备系统中的一种多粒度粗糙集粒度约简算法 本文针对不完备决策信息系统,首先给出粒度上属性值缺失率的定义,结合粒度重要度和粒度上属性值缺失率定义粒度组合重要度,然后以粒度组合重要度为启发函数,设计了新的粒度约简算法,通过调节参数,该算法在保证约简率的同时可以得到属性值缺失率较低的粒度约简结果,最后,实验验证了本文所提算法的有效性. 基于HL7V2.x协议的消息构建与存储模型 针对我国医疗信息系统不能很好地按照统一数据标准进行通信而阻碍医疗信息共享的问题,基于现有医疗信息通信标准HL7V2.x和消息解析理论,设计了将已有数据库中的字段构建成标准HL7V2.x消息和解析后的消息存储到数据库的方法。在此基础上,提出了一种优化消息构建和消息存储的模型,通过使用映射文件节省重复手工配置时间;并利用哈希表作为数据结构,从而提高消息构建的效率。最后通过仿真实验研究验证了该模型的可行性。 基于RS理论的快速属性约简求核方法 粗糙集是用来处理不确定、不完备数据的重要工具之一。属性约简是粗糙集理论研究的一个重要内容,属性核则是属性约简所涉及的一个重要概念,对简化属性约简具有不可替代的重要性。文中指出属性约简的作用,及其涉及到的一个重要概念——属性核的概念和重要性。分析了目前常用求属性核方法,大都建立在内存中,需要构造差别矩阵,存在时空复杂度较大的不足。提出了一种无需建立差别矩阵的简单求属性核方法,并通过实例验证了正确性。 面向稳定性的基于权值的车辆自组网分簇算法——SWBCA 分簇技术是提高无线自组网性能的关键技术之一,增强分簇算法的稳定性即减少簇结构的变化可以有效降低其维护开销。针对车辆自组网的特点,提出了一种面向稳定性的基于权值的车辆自组网分簇算法——SWBCA。该算法使用车辆节点的度数与理想度数的差值以及车辆节点相对于邻居节点的移动性两个指标计算车辆节点的综合权值进而选举簇头,并使用蒙特卡洛思想对簇的维护过程进行优化来提高稳定性。通过NS-2模拟实验表明,SWBCA算法较其他算法具有较强的稳定性,并能有效改善车辆自组网的广播性能。 一种多帧光流的研究与应用 时域相关性在视频分析中具有重要作用,但在估算光流时,这一特性却很少被应用。针对这一情况,提出在H-S光流模型基础上引入前向帧,并加入光流时域上的相关性约束构造出一种多帧光流模型以提高光流估算精度。同时,针对光流非线性能量泛函求解异常复杂的情况,提出运用迭代重加权最小二乘法(IRLS)简化模型线性化求解过程。最后,结合所得光流给出一种改进的车辆检测跟踪方案,通过先估算光流后帧差提取,可得到更加精确的车辆运动信息并可避免多个车辆的光流域连接成块。实验结果表明,IRLS法简化求解的多帧模型可同时估算出多个帧间光流并能显著提高光流估算精度,基于此多帧光流的车辆检测跟踪方案的车辆跟踪成功率在86%以上,达到了预期要求。 改进粒子群算法在雷达网优化部署中的应用 针对粒子群算法整体上容易陷入局部最优的缺陷,将鱼群算法中的视距、拥挤度引入标准粒子群算法,提出一种改进的粒子群算法,有效提高了粒子群算法的全局收敛性。通过基准函数Sphere、Griewank、Ackley和Shekel’s Foxholes的仿真,验证了改进算法的全局收敛能力。最后,以福建地形为背景,应用改进的粒子群算法完成雷达组网优化部署,进一步验证了改进粒子群算法的有效性。仿真和应用的结果表明,改进后的粒子群算法对于多峰值函数的寻优性能有明显提高。 基于DCT的声呐探测信号鉴定方法 针对水下声发射源较多的问题,为能准确鉴别敌我声呐发射源,利用数字水印技术,结合DCT(离散余弦变换)的时频域特征,提出了一种基于DCT的声呐水印方法,通过在离散余弦变换域的时频系数中嵌入数字水印,并且综合考虑信道特性来产生数字水印,结合二维DCT的酉矩阵特性改变水印嵌入位置和水印个数进行仿真分析测试。仿真结果表明所提出的方法在不影响信号本身性能的基础上,能够实现最佳的嵌入方式以达到最优的检测性能,检测精度得到提高。 一种基于双向选择的多Agent系统自动集成方案 多Agent系统集成方案的选择能极大程度地影响多Agent系统的性能。目前大多数的多Agent系统集成方案只关注于系统级的行为与性能。本文在关注多Agent系统性能的同时,也关注各个Agent的收益。本文提出一种基于双向选择的多Agent系统集成方案,在此方案中,Agent根据自身的意愿选择合适的角色进行申请,同时角色根据对各个Agent的信任值选择合适的Agent来承担其任务。实验表明,随着若干次系统学习,多Agent系统协作任务完成时间能较快地下降,Agent在单位时间内的收益逐步提高。 复杂网络的鲁棒性与中心性指标的研究 网络鲁棒性是指网络遭到随机故障或蓄意攻击时仍能维持其功能的能力,理解复杂网络部分结构的失效对网络结构和功能的影响有着非常重要的意义。针对不同的开放数据集和爬取的新浪微博数据集,通过计算移除部分节点后的巨片和连通子图数目等指标,着重分析蓄意攻击对网络的影响,发现度攻击策略对不同网络结构影响均较大,紧密度和介数攻击策略对网络结构的影响有明显区别。实验表明,非微博网络的蓄意攻击中,采用度和介数攻击策略效果较好,而微博网络应采用度和紧密度攻击策略。 网络节点覆盖优化仿真研究 "为了提高无线传感器网络节点的覆盖率,延长网络生存时间,在分析基本粒子群优化算法缺陷的基础上,提出一种逃逸粒子群算法的网络节点覆盖优化方法。首先以网络覆盖率作为优化目标,然后采用逃逸粒子群算法进行求解,求解过程中,引入遗传算法交叉机制保持粒子群的多样性,防止""早熟""现象出现,并采用动量算法对粒子搜索轨迹进行平滑,加快收敛速度,最后通过仿真测试算法的性能。仿真结果表明,逃逸粒子群算法克服了基本粒子群算法存在的覆盖率低的缺陷,获得了更好无线传感器网络节点覆盖率优化效果。" 基于HowNet查询扩展方法的探究 考虑到查询扩展方法在信息检索中很少考虑词语的顺序、分布和词条概念关系等因素,难以在信息检索中对文本相似性做出全方位的度量。针对这种情况,基于词语概念本体How Net对词序、词距、扩展词权重特征进行概念相关性度量,并将词语相关性度量作为特征词权重因子引入文本检索过程中,实现了一种改进的特征量化方法。Top-N检索结果的实验表明,采用的方法使得系统整体性能获得有效提升。 集装箱码头连续泊位-岸桥分配的仿真优化 泊位和岸桥是集装箱港口非常最要的资源,合理的分派与调度可以有效提高作业效率。集装箱码头连续泊位-岸桥分配的仿真优化研究中,大多以总在港时间最短或总成本最小为优化目标,往往忽略个体船舶的等待时间,没有兼顾服务公平性。在考虑偏好泊位的基础上,加入容忍度约束,以船舶在港总时间成本为目标,建立连续泊位-岸桥分配的非线性数学规划模型。设计求解模型的一种基于嵌套循环进化算法,内循环采用贪心算法生成相应的泊位调度计划;外循环采用遗传算法进一步生成岸桥调度计划。最后,以VC++开发仿真程序进行求解。实验结果表明,该算法能够在可接受的计算时间内获得稳定的满意解,新的泊位岸桥分配策略及算法可以较好的解决容忍度约束下的连续泊位一岸桥分配问题。 基于视觉图像的植物受旱等级鉴定研究与仿真 研究图像识别植物受旱等级准确鉴定问题,一些耐旱植物在吸收不同水分的条件下,叶子的叶片细胞液浓度、比鲜叶重等像素、图像形态特征变化极小。传统的利用图像的植物受旱等级鉴定方法多是基于叶片细胞液浓度、比鲜叶重等像素特征在不同干旱条件下,表现的差异进行植物干旱程度判断,一旦特征差异不明显,其特征变化参数将不准确,造成受旱等级鉴定准确度不高。提出像素局部敏感判别分析算法的植物受旱等级鉴定方法。利用一个映射,使得邻域内不同植物受旱像素点之间的边界最大化。细微差异像素点经过计算映射后在子空间内使得干旱植物叶片点更聚集,克服传统方法的弊端。实验结果表明,利用改进算法进行受旱等级鉴定,提高了鉴定的准确度。 引入共享近邻加权图的Chameleon算法 针对Chameleon算法中采用距离函数度量数据点间的相似度,导致距离相近的两个点可能仅拥有很少的共同特征,最小二分实际操作困难,合并时需要人工指定阈值以及一旦合并完成后不能撤销的问题,对Chameleon算法进行改进,提出一种引入共享近邻加权图(WSnnG)的Chameleon算法。该算法以数据对象间的共享近邻数来衡量相似度,进一步构造WSnnG,再利用网络模块性评价函数指导最小二分,然后以结构等价相似度作为合并的依据,最后通过引入内聚度度量函数解决合并后不能撤销的问题。在UCI数据集及4个二维人造数据集上的实验结果表明,该算法在聚类精度和运行时间方面具有更好的效果。 基于加权定量方法的产品配置解优化 加权逻辑程序可以很好地表示产品配置知识,在程序有解的情况下,可以很快地计算出产品配置问题的配置解。实际上,由于客户不了解领域知识,或者需求超出产品的配置范围等原因,客户所提出的需求往往不能完全被满足,造成程序无解。为了在无解情况下,找到最接近用户需求的最满意解,本文以加权逻辑程序为基础,提出了一种定量的优先关系,方便而简洁地给出了最满意解方案,并与相关的工作进行比较。 探空温度传感器的流固耦合多物理场仿真分析 高空大气温度探测过程中,太阳辐射引起的探空温度传感器升温是影响温度测量精度的重要因素。传统的经验估测法和模型简化法难以对探空温度传感器在高空气流环境中的温度测量结果进行精确的定量求解。为解决上述问题,采用流固耦合多物理场分析方法对探空温度传感器进行计算流体动力学仿真。仿真结果表明,引线夹角较大的探空温度传感器的测温结果受太阳高度角影响较小;四引线结构探空传感器的测温结果受太阳照射角度变化影响较小,可获得较高精度。通过遗传算法对仿真结果进行拟合,获得探空温度传感器在不同太阳高度角和方位角条件下的测温结果计算方程。最后,通过理论分析探空温度传感器测量结果的变化趋势,验证流固耦合多物理场仿真分析结果的可信性。 节点分布对无线传感器节点定位性能的影响 为了提高无线传感器节点的定位准确性,针对当前算法没有考虑节点分布对无线传感器节点定位性能的影响,提出一种考虑节点分布的无线传感器节点定位算法。分析节点分布对无线传感器节点定位性能的影响,估计锚节点之间的实际距离和估算距离的误差,并采用DV-Hop算法进行初步定位,综合学习粒子群算法对DV-Hop算法的定位误差进行修正,采用多个实验对算法性能测试。实验结果表明,无论在节点分布均匀或分布不均匀条件下,该算法可以较好地修正DV-Hop算法定位误差,均明显提高了未知传感器节点的定位精度。 空间遥感器光机扫描控制器测试系统的开发与实现 为提高遥感器光机扫描控制器的研制效率,针对目前空间扫描系统常用的执行、测角反馈部件,研制一套测试系统,用于对扫描系统的动力学运动特性参数、角度测量特性进行测试,同时根据测试信息快速开发控制器,形成开发-测试的闭环,提高控制器开发的精确性;根据设计需求,对测试系统的结构、具体参数,快速原型机的构成、实时硬件开发平台,以及信息集成平台的结构进行了详细介绍;利用直流无刷电机、旋转变压器模拟系统以及快速原型机对系统进行了模拟测试,证明测试系统可有效进行遥感器扫描系统控制器的快速测试及开发。 基于小波变换和管道滤波的红外空中小目标检测 针对空中远距离红外小目标检测的实际问题,提出了一种基于小波变换和管道滤波的检测算法。该方法利用小波变换的优良性质,通过分析噪声系数、背景边缘系数和目标系数在尺度间的不同特性,计算各个信号在尺度间的相关系数并归一化。按照自适应阈值法对噪声和背景边缘系数进行抑制,进而通过反变换得到抑制背景增强目标的图像。结合目标面积信息选择适当阈值,对重构图像进行分割生成单帧检测结果。基于目标运动的连续性和噪声的随机性,通过分别设置目标检测和位置变化门限,利用改进管道滤波完成小目标检测过程。试验结果表明,提出的算法能够准确地检测目标,相对于通常的小目标检测算法,该算法在背景抑制方面具有一定的优势,能够获得相对较高的信噪比。 基于CPLD的某装备指令信号采集系统研究 论文采用CPLD对某指令系数信号采集系统进行控制。对采集的信息信号和指令信号进行了详细的分析,利用Verilog语言进行了时间模块和指令系数计算模块的设计,并对设计的模块进行了仿真,通过仿真,验证了时间模块和指令系数计算模块设计的正确性。 无线传感器网络节点自定位算法仿真研究 研究无线传感器网络节点自定位问题,由于传感器节点固定能量有限,给识别定位一路带来困难。针对无线传感器中,节点定位误差较大,精确度不高等问题缺陷,提出了一种跳数和RSSI测距技术的DV-Hop定位算法,可有效利用每跳的统计信息并结合RSSI测距技术,在不增加传感器节点的硬件开销的基础上有效提高定位精度和扩大定位范围。仿真结果表明,改进算法在不同的节点比例和节点数的情况下,定位误差小和定位范围广等性能,与原始的DV-Hop定位算法相比定位误差明显减小,精度明显提高。表明算法是一种高效节能的定位算法。 基于传输分集信号检测的TD-LTE系统PDCCH解资源映射的算法研究 TD-LTE系统有传输分集和空间复用两种传输模式。对于PDCCH(physical downlink control channel,物理下行控制信道)的信号检测采用传输分集模式。传统PDCCH的解资源映射是以REG(resource element group,资源粒子组)为单位,并且要考虑参考信号,导致接收端计算量大大增加。提出一种改进算法,在信号检测时去除参考信号,进入解资源映射模块时即可以四元符号组为单位完成解资源映射,使计算量大大降低。该方案的可行性、高效性在TD-LTE射频一致性测试系统的开发中得到了验证,并实现于TMS320C64×DSP中,使系统性能得到了改善。 面向港口集装箱运输的共享式集卡动态调度 针对码头每日装运计划和动态新任务,以集卡的最小行驶成本为目标函数,建立共享式集卡动态调度模型。通过将动态问题转化为一系列静态问题进行求解,设计了变邻域禁忌搜索算法得到初始静态解,插入算法对该解进行动态调整,并提出两行序列编码。通过实例计算并与其他模型算法比较表明,共享式集卡动态调度模式能大幅减少运输距离,增加集卡重载时间比;而变领域禁忌搜索算法和插入算法可快速求解该模型,得到最优方案。 HIFU治疗子宫肌瘤中MRI与超声图像的融合研究 超声图像是高强度聚焦超声(HIFU)消融肿瘤中应用最多的影像学监控技术,但是超声图像质量差,图像伪影明显,通常还需要借助MRI图像,基于此,提出了一种新的影像监控方案,利用从MRI图像上分割出的肿瘤边界与实时超声图像融合,共同对HIFU治疗进行监控与导航。实验结果表明,基于MRI图像可以实时获取到任意切面平滑、准确的子宫肌瘤轮廓线,并融合显示于实时超声图像上,清晰的轮廓线既不影响超声的实时监控又弥补了某些切面的超声图像中肿瘤边界不完整的缺陷,为HIFU的精确治疗打下基础。 车载环境下的语音端点检测 为了提高车载噪声环境下语音端点检测的准确性,提出了一个基于GRU-RNN的神经网络结构,对带噪语音的Log-Mel特征序列进行处理,实现语音与噪声的分离,从而恢复出纯净语音的LogMel特征序列;在此基础上,提出一种新的特征Log-Mel-Sum,并用该特征进行端点检测。实验结果表明,在车载环境下,本文方法具有很好的端点检测性能。 基于复杂网络的情感脑电相位同步性分析 使用相位锁值(Phase locking value,PLV)来量化任意两个电极通道之间的相位同步性,构建相应的脑功能网络的关联矩阵,提取网络不同稀疏度下的度、中间中心度两个局部属性的曲线下面积作为特征,对不同类型情感的网络特征进行非参数检验,找出显著性的节点。同时采用得到的特征值作为分类依据,训练SVM分类器。实验表明,利用PLV相位同步方法得到功能网络的局部属性,可以有效地区分不同类型的情感脑电数据,为基于脑电数据的情感识别提供了一种有效的方法。 电流串联双调压喷嘴试验台测控系统设计 喷嘴是发动机推进室的重要组成元件,通过研究其工作原理,根据流量特性检测需求,设计了一套集硬件线路设计和工艺采集于一体的喷嘴试验台测控系统;针对系统电路进行改造,利用信号传输特性设计了电流串联回路,解决了传感器重复使用的问题;建立以太网通信,利用Labview创建共享变量调用PLC数据,设计了阶梯式双调压采集系统,提高了试验工况和参数采集的精度;实验表明,系统可以通过上位机界面实现信号的实时采集以及数据处理、记录与分析等功能,试验工况误差达到1%。 基于模型参考自适应的四旋翼飞行器控制 针对复杂工作环境下四旋翼飞行器参数改变时的控制方法适应性问题,提出了一种基于模型参考自适应控制设计方法;在线性化的四旋翼飞行器模型的基础上,设计了基于线性二次型的姿态控制器以及基于传递函数的高度控制器,然后设计了自适应补偿器消除飞行器载荷改变或其它原因导致的参数不确定的影响;对加入了模型参考自适应的四旋翼飞行器进行仿真,仿真结果验证了该控制方法的有效性,说明了在复杂环境下质量与转动惯量变化时,基于该方法的四旋翼飞行器能够实现良好的控制性能。 基于概率攻击图的内部攻击意图推断算法研究 内部攻击行为具有明显的多步骤性和伪装性.这些特性增加内部攻击检测的难度,影响检测结果的准确性.攻击图模型能够描述攻击行为的多个攻击步骤之间的因果关系,但由于单步攻击检测结果存在的不确定性,使得攻击图模型无法准确地推断攻击者的意图.该文在攻击图模型中引入转移概率表,刻画单步攻击检测结果的不确定性,即从观测事件推导出某步攻击发生的概率,提出了一个面向内部攻击意图推断的概率攻击图模型.基于该模型,提出了一种推断内部攻击意图的算法以及针对攻击目标的最大概率攻击路径的计算方法.实验结果表明该文的工作能够有效地推断攻击意图和计算攻击路径,减少不可信报警数量,为网络安全管理员提供良好的可配置性. 基于差空间的双向2DPCA和SVM人脸识别算法 为降低SVM人脸识别算法对样本进行训练和识别的时间,提出了一种改进的基于差空间的双向2DPCA(Bidirectional two dimensions PCA)和SVM相结合的人脸识别算法。该方法充分考虑了表情和光照对人脸图像的影响,不但利用小波变换对人脸图像进行预处理,而且成功地把类内平均引入到双向2DPCA的计算中,并结合了SVM在分类识别方面的优势,有效节省了算法所需的时间。在Yale人脸库上的实验表明,它不但可以提高识别率,而且所用时间明显减少。 基于信息素调整的蚁群算法求解JSP问题 为更好的求解作业车间调度问题,针对基本蚁群算法求解作业车间调度问题容易进入局部最优问题的情况,提出了一种基于信息素调整的蚁群算法。该算法通过判断信息素矩阵中最大值与最小值之间的比值,当该比值达到算法设定的阀值时,根据相应策略对信息素矩阵进行调整,有效地缩小了信息素之间的差距,有利于跳出局部最优状态;给出了该算法实施的具体步骤。用该算法求解作业车间调度问题,仿真实验结果表明,该算法与基本蚁群算法相比在收敛速度和计算最优解方面都有了改进。 基于Eucalyptus的多租户水利系统应用研究 多租户作为云计算的核心计算,它解决了在相同的系统资源或软件应用中实现多用户共同访问和使用,提高了系统软硬件资源的利用率,如何保障租户服务质量的前提下提高服务器资源利用率是一个挑战性问题.本文在Eucalyptus平台基础上,利用多租户技术,实现了陕西农村饮水规划调查数据库系统.数据的存储方式采用共享数据库共享模式,提出了多租户改进遗传放置算法,将租户合理的放置到虚拟机上,在最少硬件资源使用的情况下保证了租户服务质量.在此基础上进行了实验分析,表明了上述算法的可行性和有效性. 改进蚁群算法和支持向量机的网络入侵检测 为了提高网络入侵检测的正确率,提出一种改进蚁群优化算法(ACO)和支持向量机(SVM)相融合的网络入侵检测方法(ACO-SVM)。将SVM模型参数作为蚂蚁的位置向量,采用动态随机抽取的方法来确定目标个体引导蚁群进行全局搜索,同时在最优蚂蚁邻域内进行小步长局部搜索,找到SVM最优参数,采用最优参数建立网络入侵检测模型。利用KDDCUP99数据集对ACO-SVM性能进行测试,结果表明,ACO-SVM提高了网络入侵检测正确率,降低了误报率,可以为网络安全提供有效保证。 基于MB-LBP和HOG的掌纹识别 掌纹识别是模式识别及智能信息处理领域的研究热点。针对传统掌纹识别方法易受噪声影响,且旋转鲁棒性差的问题,提出基于分区的多块局部二值模式(MB-LBP)和梯度方向直方图(HOG)的掌纹识别方法。该算法利用分区MB-LBP和HOG算法分别提取掌纹的纹理、边缘特征,将提取的两种特征通过串联的方式进行融合,最后计算测试图像与训练图像的绝对值距离并利用最近邻分类器得出分类结果。实验对比传统算法(PCA、LBP和HOG),得到较高的掌纹识别率。因此,将基于分区多块局部二值模式与梯度方向直方图特征进行融合,可提取较完整的掌纹有效信息,对于光照不均匀和有位置变化的掌纹具有一定的健壮性,具有良好的掌纹识别性能。 海天背景图像去雾方法研究 海天背景图像存在大面积天空区域,且在远距离平视时目标一定出现在海天线附近,现有的去雾算法对天空区域的改善都是弱化天空区域的处理,这样势必会造成海天线附近去雾效果的减弱,不利于后续的目标检测.针对该问题,提出一种基于大气散射模型的图像复原去雾算法.首先,利用海天背景图像的特点,采用边缘检测算子将图像划分为天空和非天空区域,并结合大气光的物理意义,取天空区域最大的值作为大气光的估计值;其次,针对有雾图像对比度很低而无雾图像对比度较高这一先验信息设计代价函数,并通过SLIC超像素分割进行分块,通过求解每个小块内该函数的最小值,估计出粗透射率,再用引导滤波对粗透射率进行细化从而消除块效应;最后,利用大气散射模型,代入前两步求得的参数便可以得到恢复的无雾图像.实验结果与分析结果表明,本文能对海天背景的图像取得较好的去雾效果. 基于改进局部搜索策略的人工蜂群算法 针对人工蜂群算法在函数优化问题求解过程中容易陷入局部最优,收敛速度慢的缺点,提出了一种基于改进局部搜索策略的人工蜂群算法。该算法中跟随蜂采用基于当前最优解的混沌局部搜索策略,侦查蜂采用基于当前最优解的自适应侦查策略,并使其局部搜索范围随着迭代次数的增加逐渐减小,从而提高了人工蜂群算法的局部搜索能力,有效地避免了其陷入局部最优。6个测试函数的仿真实验结果表明,与传统的人工蜂群算法相比,改进后算法的求解精度和收敛速度明显提升。 不完备信息系统的一种权重确定方法 深入分析了粗糙集表征的属性重要度,针对现有粗糙集理论的属性权重确立方法的不足,结合属性集中条件属性的整体重要度和系统中条件属性的个体重要度,提出了在不完备信息系统的一种权重确定方法,分析了其合理性。通过算例分析表明,新的不完备信息系统的权重确定方法可以解决原有粗糙集权重确定方法存在的问题。 基于构件行为聚类的软件工程知识分类 针对传统软件工程知识分类方法效率低下的问题,提出一种改进的软件工程知识分类方法。依据软件工程知识体系(SWEBOK)对构件行为进行聚类,确定关联系数、最佳聚类数和模糊关联矩阵,基于K-NN算法和结构建模方法生成软件知识分类系统,并根据训练先验知识将新知识归入到SWEBOK的对应类别下。实验结果表明,该方法具有较好的分类效果。 《信息安全研究》期刊简介 "[正文]习近平总书记指出""没有网络安全就没有国家安全,没有信息化就没有现代化"".数字时代信息安全工具的大众化是不可阻挡的历史潮流.大众化的信息安全已经直接影响到我们每个人的利益,信息安全已成为国家、地方区域经济结构优化提升和转型发展的新机遇.在信息安全上升为国家战略、行业迎来崭新发展机遇形势下,《信息安全研究》期刊应时代而生." 基于MapReduce的约束频繁项集挖掘算法 传统的约束频繁项集挖掘方法无法实现对较大数据量的快速处理,针对该问题,结合分布式框架Hadoop的分布式计算优势,提出一种基于MapReduce的约束频繁项集挖掘算法。将一个完整的挖掘任务分成若干个相对独立的子任务,根据用户自定义的约束条件对子任务进行并行挖掘,提高算法的执行效率。实验结果表明,该算法具有较好的实用性和良好的扩展性。 防治公交串车的近似动态规划法 公交串车是公交系统运行失效的集中体现。为防治公交串车,建立了公交线路运行的近似动态规划模型,从而实现公交线路的动态自适应式控制。利用Q学习算法和基于人工神经网络近似的价值函数求解上述模型可以得到公交线路控制的最优策略,即基于系统状态确定站点滞留时间的状态价值函数。新的防治方法不仅可以利用仿真模型细致刻画公交线路的实际运行过程,而且可以动态整合在线和离线数据实现控制策略的动态优化调整。数值分析验证了新方法的有效性,与无控制情景相比,新方法不仅能防止公交串车发生,而且可有效降低公交车头时距的波动。 高超声速飞行器的滑模边界层模糊自适应控制方法研究 针对高超声速飞行器飞行过程中存在的高度非线性、强耦合、参数不确定性等问题提出了一种基于滑模边界层模糊自适应的控制方法;首先将纵向模型进行精确线性化,通过引入一个滑模边界层可调参数,在边界层外施加基于正切趋近律的准滑模控制律;在边界层内,去掉准滑模控制律,采用饱和函数法设计的控制律;边界层参数用模糊逻辑系统进行在线调节,从而消除了系统处于准滑动模态时的高频抖振;仿真结果表明:该方法在保证控制系统具有良好跟踪性能的同时,具有削弱抖振的能力和强鲁棒性。 多路复用器模块在自检子系统中的应用研究 自检子系统设计与开发是通用自动测试系统开发的重要内容之一;为实现自检子系统的自动化测试要求,开展了对多路复用器的研究工作,提出了一种以多路复用器为主、开关为辅的自检方案,设计了多路复用器与系统其它资源的电气连接线路,采用虚拟仪器技术设计开发了相应的控制函数;测试了多路复用器各通道上的电阻值、电压值及频率值,数据均符合系统设计要求;测试验证结果表明,多路复用器能够方便实现对通用自动测试系统其它关联资源的自动测试。 基于RISC结构的Java处理器研究与设计 文中结合PicoJava和JOP等一些经典的Java处理器的优势,设计了一种基于RISC结构的Java处理器.它充分利用了Java指令折叠技术和精简指令集处理器的优势,不仅降低了设计复杂度,而且在很大程度上提高了Java处理器的性能. 非线性状态估计在网络故障数据检测中的应用 在网络故障数据检测中,在使用传统的方法检测网络故障时,由于故障参数易受外界干扰因素的影响,稳定性差,表达方式过于单一,造成故障检测准确率低。提出一种采用非线性状态估计算法的网络故障数据检测方法。设计在线神经估计器,运用综合方法不断更新神经网络的参数,在线估计故障向量,通过判别逻辑完成网络故障检测,当检测出网络故障后,应用在线补偿控制器,完成控制律重构。无故障发生时,采用常规网络故障控制器;当有故障发生时,引入补偿控制器,保证网络系统正常运行。仿真结果证明,利用改进算法进行网络故障数据检测,检测精准度与效率都有了明显的提高。 基于Keil51汇编与C语言混合编程方法研究 分析基于Keil51汇编与C语言混合编程的基本方法,给出每种方法的具体操作步骤。在应用实践中,为了充分发挥两种语言的优势,提高程序的执行效率,可根据问题的特殊性选择相应的编程方案,以期达到缩短程序设计过程的目标。 致谢审稿专家 [正文]尊敬的审稿专家:您好!新年伊始,《计算机应用》编辑部的全体同仁向您及您的家人表示由衷的感谢并致以新年的问候!祝您在新的一年里身体健康、工作顺利、阖家幸福!《计算机应用》承蒙您多年来的关爱,期刊质量不断提高,影响力不断扩大,这与您的认真审读密切相关,您的工作使作者和编者都受益匪浅。借此机会,我们向您表示衷心 改进NB算法在垃圾邮件过滤技术中的研究 朴素贝叶斯(NB)是一种简单高效的分类算法,且在垃圾邮件过滤中得到广泛应用,但是其属性间独立性的假设在一定程度上影响了分类效果.针对这一问题,提出一种改进的NB算法——FOA-NB算法.该算法将NB算法与果蝇优化算法(FOA)相结合,根据不同特征属性对分类的影响程度赋予不同的权值,通过FOA对权值进行优化,得到全局最优特征权向量,该算法在保留NB算法的简洁高效的优点的同时,通过权值优化获取更加具有决策性的特征属性,从而提高垃圾邮件过滤的正确率和召回率.通过仿真实验与NB算法、加权贝叶斯(WB)进行对比,结果表明FOA-NB算法使得垃圾邮件过滤效果得到明显改善,正确率和召回率均有所提高,且提高幅度约为5%. 基于SIFT特征和ISM的X射线图像危险品检测方法 针对行李安检时X射线图像中的危险品检测问题,提出一种基于尺度不变特征变换(SIFT)和隐式形状模型(ISM)的检测方法;首先,采集不同姿态的危险品X射线图像,并标注目标位置,构建训练数据集;然后,通过SIFT算法提取目标关键点,并以此构建目标的ISM模型;在检测过程中,将提取的目标SIFT描述符与ISM模型中的视觉描述符进行匹配,通过投票机制来判断目标是否为危险品;通过手枪和酒瓶的检测实验表明,该方法能够从X射线图像中准确检测出危险品,且对目标姿态变化具有鲁棒性。 基于领域本体的用户兴趣模型构建方法研究 现阶段的电子商务个性化推荐系统中,存在构建用户兴趣模型过程复杂、繁琐,蕴含的语义信息要素较少或者不完整等问题,研究基于本体的用户模型构建方法已十分迫切和必要。为此,提出了一种基于本体投影算法和概念兴趣度结合的用户兴趣模型构建方法。通过抽取数据库中商品的属性和特征值,对抽取后的属性和特征值进行处理,采用OWL语言表述方法手动构建茶叶领域本体,进而在此基础上采用投影算法生成用户兴趣本体;充分运用本体中的概念、属性以及实例描述用户兴趣,从语义层面解读用户个人兴趣,从而达到在个性化推荐中提高结果精度的目标。实验结果表明,该方法易于构建用户模型,且模型中的语义要素丰富,使用该模型进行推荐的精确度有所提升。 基于子组与社会行为的缩小群组推荐列表方法 以多个用户为推荐对象的组推荐系统已成为研究热点。目前,组推荐系统大多考虑如何充分挖掘用户偏好来尽可能满足所有用户的需求,但这也造成了推荐列表规模过大的问题,从而导致群组成员无法快速做出决定。针对该问题,文中提出了一种缩小群组推荐列表的方法(Recommendation Method based on Sub-Group and Social Behavior,RMSGSB)。该方法通过划分子组来缩小群组规模并减少群组偏好属性数量,利用成员的社会行为,从容忍度与利他行为两方面为子组分配权重,以保证推荐公平性。在真实数据集上的实验对比结果表明,该算法具有更好的群组推荐效果。 一种智能手机上下文信息获取的代价模型及其应用 随着信息技术的发展和应用需求的增长,智能手机中嵌入了各种传感器和网络接口,它们是获取上下文信息,进而构建智能型移动应用的关键。尽管在智能手机中获取上下文信息的代价很大,但是这种代价却通常被移动应用开发者所忽视。提出了一个上下文信息获取的代价模型,它能对上下文信息获取的代价进行度量。设计并实现了一个上下文信息获取代价模型的测量工具CRCTest,并对Android智能手机的代价模型进行了测量。基于测量得到的Android平台上下文信息获取的代价模型实现了一个应用实例,通过对比两种位置上下文信息生成方式的代价,说明了基于代价模型优化设计上下文获取的可行性。 虚拟机动态迁移中的安全分析 "网络计算与""云计算""兴起过程中,其基础技术虚拟化技术发展迅速。计算机虚拟化的动态迁移,是重要的虚拟化应用功能。基础的动态迁移协议较简单,存在安全可信的隐患。在Xen虚拟化的平台下,测试了现有虚拟化动态迁移组件的使用对动态迁移本身的性能影响,提供了基于网络嗅探及地址解析协议(address resolution protocol,ARP)欺骗技术的攻击方案,验证了其安全防护能力的不足,提出了解决方案。" 带有色观测噪声的广义系统Kalman滤波器 对于带自回归滑动平均(ARMA)有色观测噪声的多传感器为广义离散随机线性系统,应用奇异值分解,将其变换为等价的两个降阶多传感器子系统,提出了广义系统多传感器信息融合状态滤波问题。为了提高精度,采用Kalman滤波方法,在线性最小方差按块对角阵最优加权融合准则下,给出了按矩阵加权解耦的分布式Kalman滤波器,可减少计算负担和改善局部滤波精度。为了计算最优加权,提出了局部滤波误差协方差阵的计算公式。一个Monte Carlo仿真例子说明了方法的有效性。 基于PMC故障模型的NASD诊断算法 在PMC故障模型下,现有的自适应顺序诊断算法(ASD算法)不能充分利用所有的测试结果。为了有效地减少测试次数,提高诊断效率,提出一种新的自适应顺序诊断算法(NASD算法)。引入相对故障单元的概念,给出并证明了故障单元和无故障单元的判别定理。据此给出系统诊断的策略:(1)边寻求无故障单元边确诊故障单元;(2)已确认的故障单元不再参与任何测试;(3)找到无故障单元或故障单元数接近一半时,系统诊断结束。实例表明,NASD算法优于其他ASD算法。 有向Ad hoc网络中一种基于DNAV包调度的多址接入协议 移动Ad hoc网络中应用方向性天线,在增加网络吞吐量的同时会产生队列头阻塞问题(head of lineblocking,HOL)。提出一种基于有向网络分配矢量包调度的多址接入协议(directional network allocation vector-based packets scheduling for MAC protocol,DAPS),使用有向网络分配矢量(directional network allocation vector,DNAV)作为包调度的策略,将节点周边区域进行划分,在可用区域内选择理想目的数据包进行发送。利用OP-NET仿真软件对其进行了网络仿真,并在结果分析中验证了DAPS协议更适合网络拓扑结构的变化,且有效地解决了HOL问题和进一步提高了网络吞吐量。 一种基于共享近邻亲和度的聚类算法 为解决密度聚类算法在处理高维和多密度数据集时聚类结果不精确的问题,提出一种基于共享近邻亲和度(SNNA)的聚类算法。该算法引入k近邻和共享近邻,定义共享近邻亲和度作为对象的局部密度度量。算法首先根据亲和度来提取核心点,然后利用广度优先搜索算法对核心点进行聚类,最后对非核心点进行指派即完成整个数据集的聚类。实验结果表明,该算法能够发现任意形状、大小、密度的聚类;与同类算法相比,SNNA算法在处理高维数据时具有较高的聚类准确率。 一种多处理器异构系统设计与实现 嵌入式系统是将应用程序和操作系统与计算机硬件集成在一起的系统,目前对嵌入式系统数据处理需求在不断提高,单纯依靠提高处理器的性能,来提高系统的实时性已经无法满足。提出了一种新的架构,在系统中使异构多处理器来保证实时性,采用并行技术,同样有助于提高系统的处理能力,再加上系统采用冗余备份,有助于提高可靠性,在设计中采用国产处理器,双机冗余备份及存储系统,动态热备份技术,对要求可靠性高、速度快的实时控制领域具有重要意义。 私有云平台中的数据传输信道均衡模型仿真 私有云平台进行云数据传输和调度过程中,数据传输信道是实现信息通信的通道,对私有云平台中的云数据传输信道进行均衡设计,以提高云数据传输的抗干扰能力,降低数据传输的误码率.提出一种基于判决反馈均衡串扰抑制的私有云平台数据传输信道均衡算法.进行私有云平台的云数据交互结构构架及信道模型构建,进行云数据传输的信息融合处理,采用自适应串扰匹配检测方法进行数据传输的码间干扰抑制,采用判决反馈均衡串扰抑制,进行私有云平台的信道均衡设计,保证私有云平台云数据传输和信道调制的收敛性.实验分析得出,采用该方法进行私有云平台的云数据传输,能有效去除码间干扰,信道均衡性较好,数据传输误码率低于传统方法. 一种面向大规模网络拓扑发现的研究 "由于互联网路由存在不对称的特点,即""源-宿""方向和反方向路由路径的不一致,使得已有的拓扑发现算法存在路径丢失问题。增加测量点会增加流量负荷。利用源-宿双向测量的方法,解决了拓扑发现中的路径丢失。实验结果表明该算法不仅可以获得较高的发现率,还具有网络流量负荷小的特点。" 众核结构上线程级推测执行能力评估器设计 由成百上千处理器核构成的众核处理器在提供大量计算能力的同时,也对如何高效利用资源提出挑战;具有不同并行度的应用对处理器核资源有不同的需求,不合理的分配会造成资源浪费(分配过多)或者限制并行性开发(分配过少).针对众核结构上串行程序线程级推测执行面临的处理器核资源分配问题,提出一种基于硬件的推测执行能力监测和评估机制,设计三种线程级推测执行能力评估器;该评估器能够根据串行程序推测执行能力的动态变化,对应用分配的处理器核资源数量进行实时调整.实验结果表明,利用一个硬件开销极小的评估器对众核平台上串行程序的线程级推测执行进行资源分配指导,即可使性能和资源利用率达到有效的平衡. 面向维修现场的故障树设计 针对传统故障树方法的重要度设计简单,具体应用领域针对性不强的问题,文中以指导故障排除、缩短工时为目的,给出了能够反映单位工时有效性的综合诊断重要度定义,设置了避免重复诊断的多值标志,引入了解决实际故障排除中存在部件检测次序依赖问题的全序拓扑方法,并设计了与之相适应的诊断算法,文中方法兼顾了维修工时和故障概率两方面因素,指导现场维修针对性更强;通过某型航空发动机滑油告警故障为例,与传统FTA方法相比,排故时间缩短23.6%,验证了文中方法的有效性。 复杂环境下雷达三维探测范围 构建虚拟战场系统,为实现雷达在复杂自然环境和复杂电子干扰环境影响下的雷达探测范围,根据高级传播模型(APM)的基本原理,并综合考虑电子干扰环境的影响,提出了一个改进的支援式干扰模型。该模型混合了APM和电子干扰模型,重点考虑了大气折射率的影响,可描述复杂自然环境和复杂电子干扰环境的双重影响。在可视化时,利用点绘制代替面绘制和中点代替插值点改进了移动立方体(MC)方法,提高了渲染速度。根据数据获取、数据处理和数据渲染的流程,利用可视化软件包(VTK)实现了对雷达探测范围的三维显示。 三维并行约束Delaunay网格生成算法及实现 针对二维并行约束Delaunay网格生成算法直接应用于三维条件下会导致人工边界产生过短边的问题,提出并实现了基于主从模式的三维并行约束Delaunay网格生成算法.首先对求解区域进行分解,通过交换人工边界面上的数据解决子区域间网格一致性问题;其次为每个人工边界面选定主从子区域,由主子区域产生边界面网格并发送,从子区域负责接收;最后采用贪心算法平衡各个子区域的通信负载,得到算法效率的提升.实验结果表明,该算法可以大规模并行生成边界一致四面体网格,具有较好的并行效率,并能够保证最终的网格质量. 翻转课堂教学模式的研究——以《程序设计基础》课程为例 "针对《程序设计基础》课程的特点和教学中存在的问题,按照""教为主导,学为主体""、""突出能力提升""的理念,提出在课程中应用""翻转课堂""模式改进教学,分别从教学流程、师生角色、学习资源的设计等几个方面陈述了""翻转课堂""的核心,通过课堂实际教学案例说明了该教学模式的创新点,并检验了该模式的教学效果和意义。" 基于尺度自适应局部时空特征的足球比赛视频中的多运动员行为表示 为提高足球比赛视频中的多运动员行为识别的准确率,提出一种基于尺度自适应局部时空特征的足球比赛视频中的多运动员行为表示方法,利用时空兴趣点来表示足球比赛视频中的多运动员行为。首先将足球比赛视频序列中的多运动员行为看作是三维空间中的时空兴趣点的集合,然后采用直方图量化技术将时空兴趣点集合量化为维数固定的直方图(即时空单词),最后采用K-means聚类算法生成时空码本。在聚类生成码本之前,对每个时空兴趣点都进行了归一化,以保证其缩放和平移不变性。实验结果表明,该方法能够大大减少足球比赛视频中的多运动员行为识别算法的计算量,显著提高识别的准确率。 基于DSP的心电信号实时滤波算法的实现 介绍数字心电图的心电信号处理中实时滤波算法的实现,探讨针对心电信号的工频干扰、肌电和基线漂移的实时数字滤波方法,在数字心电图的DSP芯片平台上选取有效的滤波算法分别用于消除和抑制基线漂移、工频干扰及肌电干扰。实验表明,在DSP上实现的心电数字滤波方法能达到预定设计要求,具有实时性、有效性等优点,并成功地应用到国家医药管理局攻关项目的十二导联数字心电图机上。 基于颜色传递的不同季节植物表观仿真 为模拟植物在不同季节的颜色变化,提出一种在虚拟环境中实现对不同季节植物表观仿真的方法。采用基于二阶统计量的全局颜色传递算法,得到不同季节的纹理库;基于生成的纹理库实现对不同季节植物的表观仿真。为改善传递效果,引入高阶矩,调整目标图像的斜度和峰度分布,使之与样本图像一致。建立评估模型,从颜色和纹理两方面对传递效果进行评估,评估结果表明,该方法的仿真效果较好。 突发信号宽带检测概率分析 针对突发频段超出瞬时接收带宽的突发信号宽带检测概率计算问题,建立信号宽带检测问题模型,结合信号特征检测算法参数,分析扫描及守候接收策略下未知参数突发信号的检测概率计算方法,推导两种接收策略下检测概率理论计算公式,采用计算机仿真验证推导结果的可靠性。理论分析表明在信号特征持续时间较长时采用扫描策略进行信号检测要优于采用守候策略,理论仿真结果可指导突发信号最优检测策略的选择。 平面选址问题的引力搜索算法求解 为求解平面选址问题,给出了一种基于引力搜索算法的求解方法。算法利用万有引力定律进行全局搜索,采用一种邻域搜索方法进行局部搜索,实现算法全局优化和局部优化的平衡。通过大量实验和与现有求解方法的比较,结果验证了算法的可行性和有效性。 基于结构相似性的k-modes算法 聚类是数据挖掘中重要的技术之一,它是按照相似原则将数据进行分类。然而分类型数据的聚类是学习算法中重要而又棘手的问题。传统的k-modes算法采用简单的0-1匹配方法定义两个属性值之间的相异度,没有将整个数据集的分布考虑进来,导致差异性度量不够准确。针对这个问题,提出基于结构相似性的k-modes算法。该算法不仅考虑属性值它们本身的异同,而且考虑了它们在其他属性下所处的结构。从集群识别和准确率两个方面进行仿真实验,表明基于结构相似性的k-modes算法在伸缩性和准确率方面更有效。 基于业务逻辑分层Petri网的RFID复杂事件处理的研究 针对RFID数据不稳定性、动态性、巨量性和时空关联性等特点,为了简化RFID数据检测及处理过程,提出了面向业务逻辑分层Petri网的RFID复杂事件检测方法,给出面向业务逻辑分层的Petri网定义,同时结合纺织工业的生产特点给出基于面向业务逻辑分层Petri网的RFID复杂事件检测的具体方法。用面向RFID的复杂事件处理语言实现了设计的模型,解决了根据RFID复杂事件进一步组合成复杂事件的问题。 基于计算机技术的室内环境远程监测技术研究 在经济腾飞的同时,环境问题日益突出,由于人们大部分时间都呆在室内,所以对室内环境质量的要求尤为严格,需要随时随地能够了解室内环境情况,室内环境远程监测是满足人们这一需求的重要手段;目前大多数室内环境远程监测技术都是在分析室内环境特点的基础上,通过将室内环境信息采集到计算机,然后经过串口传送到上位机,完成数据实时显示;但这种方法没有对采集的信息进行分析处理,难以保证室内环境监测数据的准确性;为此,文章提出一种新的基于计算机技术的室内环境远程监测技术,首先分析室内环境中空气污染成分及危害,针对每种空气污染成分提出通过不同的采集分析方法完成室内空气成分及数量检测,然后对室内环境远程监测中的温湿度调节电路进行设计,通过分析温湿度检测传感器与温湿度之间的非线性相关关系,完成对室内环境的温湿度检测,将检测数据与温湿度信息数据进行汇总并处理,通过计算机将数据传输到上位机,从而完成室内环境远程监测;实验证明,所提方法能够有效提高室内环境监测的准确度,减低远程监测响应时间和能耗,具有良好的使用价值。 群搜索优化算法中角色分配策略的研究 群搜索优化算法把群体中的个体分为三种角色:发现者,加入者和游荡者.算法选择最优个体作为唯一的发现者,采用随机决策策略分配加入者和游荡者.该策略过于盲目,算法性能也较差.针对这一缺点,本文考虑个体与发现者的分布关系,以发现者为球心,聚集在球心(或圆心)周围的个体均为加入者,散布于球外的个体为游荡者.而球的半径大小的确定,提出两种不同的方法:自适应半径策略和固定加入者个体数量策略.前者取个体到发现者的平均距离的K倍作为球的半径,后者规定离发现者最近的一定数量的个体被认为分布于球内的加入者.通过实验表明,这两种策略提高了种群多样性的同时,还保证了算法快速收敛到最优解. 利用矩实现植物叶片长宽的测量 叶片长宽的测量常采用旋转法,其在测量时需多次旋转叶片直至外接矩形面积最小为止,耗时较大;由于叶片形状各异,旋转法的测量准确性也不高。鉴于此,给出了运用矩测量叶片长宽的方法,用零阶矩和一阶矩求得叶片重心,通过一阶矩和二阶矩获得叶片的主轴方向,运用旋转矩阵得到叶片的最小外接矩形,将此矩形的长宽作为叶片的长宽。对122幅叶片图像进行实验,结果表明:矩的方法测量准确率是98.4%,而旋转法的准确率是37.7%。在测量过程中旋转法需多次旋转叶片,而矩的方法只需旋转两次,测量时间至少缩短了一半。总之,基于矩的方法准确性高、测量速度快。 基于子图同构与事例匹配的装配体局部结构相似性分析 基于事例推理是解决面向装配的设计和装配工艺规划问题的有效方法,装配体检索是应用此方法的关键步骤.为此提出一种基于子图同构与事例匹配的装配体局部结构相似性分析方法.该方法将装配体零件间的装配关系用属性邻接图来表示,通过对装配体中联结关系的定性分类及装配体属性邻接图预处理规则的定义,利用Ullmann算法匹配出相似的装配体图(子图)结构,并给出了装配体局部结构相似度计算公式.最后通过实例验证了文中方法的有效性. 自然语言处理中主题模型的发展 主题模型在自然语言处理领域受到了越来越多的关注.在该领域中,主题可以看成是词项的概率分布.主题模型通过词项在文档级的共现信息抽取出语义相关的主题集合,并能够将词项空间中的文档变换到主题空间,得到文档在低维空间中的表达.作者从主题模型的起源隐性语义索引出发,对概率隐性语义索引以及LDA等在主题模型发展中的重要阶段性工作进行了介绍和分析,着重描述这些工作之间的关联性.LDA作为一个概率生成模型,很容易被扩展成其它形式的概率模型.作者对由LDA派生出的各种模型作了粗略分类,并选择了各类的代表性模型简单介绍.主题模型中最重要的两组参数分别是各主题下的词项概率分布和各文档的主题概率分布,作者对期望最大化算法在主题模型参数估计中的使用进行了分析,这有助于更深刻理解主题模型发展中各项工作的联系. 一种检测睡眠呼吸暂停和阻塞的新方法 呼吸机对阻塞性睡眠呼吸暂停综合症OSAS(Obstructive Sleep Apnea Syndrome)的治疗安全有效,其与患者的顺应性是决定治疗效果和呼吸舒适性的关键因素。根据压差传感器采集到的呼吸信号波形的特点,提出一种实时检测睡眠呼吸暂停SA(Sleep Apnea)和判断阻塞的方法,其结果可用于自适应地调节呼吸机输出气流压力大小。将此方法同时应用于ResMed呼吸机与自行设计呼吸机进行对比实验,结果表明该方法实时有效,可以有效提高治疗的顺应性。 基于STC89C52单片机的车库智能监控系统设计 针对传统的车库监控系统实时性能低、抗干扰能力弱及可扩展性差等缺点,为了提高车库监控系统的智能化管理水平,以STC89C52单片机作为控制核心,利用红外对射传感器、单片机对通过出入口车辆的信息进行采集和处理,再经过电机驱动模块和信息显示模块控制电机驱动电路实现车库门的开关,并且驱动信息显示模块将车位余量以及车库状态等相关信息输出显示。多次试验测试结果表明:车库智能监控系统能够准确地开关车库门,并且实时显示更新车库的状态信息,具有实时性能好、稳定性高、抗干扰能力强、可扩展性好等特点,满足设计要求,具有一定的应用推广价值。 基于等概率的ASON业务授权设计 作为EPON组网重要支撑技术的动态时隙分配法是当前智能光网络(ASON)领域研究的热点。该分配法是建立在固定轮询周期前提下基于数据突发性而设计的,但缺乏考虑网络负载和多业务等级(CoS)特性,进而导致一系列QoS问题。论文提出一种时隙均衡调度机制为不同CoS业务进行授权。该机制通过实时计算负载及业务权重来动态调整轮询周期,为每一个ONU的不同等级业务分配授权。授权过程既为每个ONU的CoS提供了带宽保障也兼顾到了负载变化时的丢包率和传输时延。 基于终端角度约束的自适应鲁棒制导律设计 针对空地导弹攻击地面目标的末制导问题,提出了一种新的基于终端角度约束的自适应鲁棒制导律;基于末制导中二维弹目相对运动学关系,考虑目标的运动特性,建立了描述弹目相对运动的数学模型;基于零化弹目视线角速率的思想,并将目标机动运动和系统结构摄动作为外部扰动,以命中点终端姿态角跟踪误差为性能指标,设计得到了非线性自适应鲁棒制导律,同时该方法利用Lya-punov稳定理论严格证明了制导系统的全局渐近稳定性;数字仿真表明这种制导律在不需要任何目标运动信息的情况下,仍然保证终端角度和制导精度的要求,具有很强的鲁棒性和适应性。 模糊降质图像恢复技术研究进展 模糊降质图像的恢复是图像处理中一个非常经典也非常具有挑战性的问题,解决该问题的相关技术被称为模糊降质图像恢复技术或图像去模糊技术。针对近期模糊降质图像恢复技术进行了研究,介绍了近期模糊降质图像恢复技术的研究进展。首先分析图像模糊的成因,继而对图像模糊的数学模型进行介绍,并介绍了图像去模糊中的几种经典方法,给出了这几种方法的实验结果。在回顾经典算法的基础上,对近期的图像去模糊算法研究进展进行分类分析,从自然图像统计特性、图像边缘、字典学习这三个方面介绍了近期经典的图像去模糊算法研究进展,并给出了几种有代表性算法的实验结果。在对经典回顾和对近期研究进展分析的基础上展望了图像去模糊技术的发展前景。 基于CST域相位与幅值信息的医学图像融合方法 为了克服传统医学图像融合方法不能高效表示待融合图像中医学特征信息的问题,提出一种基于复数剪切波变换域幅值和相位统计信息的医学图像融合方法.首先利用复剪切波变换得到待融合医学图像的低频子带和高频子带,揭示各个高频子带系数相位呈现双峰分布的特点,提出基于两状态Von-Mises分布的相位统计模型;然后将经典的图像结构相似性(structural similarity of image,SSIM)推广至复数剪切波域,给出了结合幅值和相位的SSIM新表达形式,并基于此新表达式和相位统计模型提出全局-局部的融合规则;最后通过逆变换重建得到融合结果.对实验结果进行主观视觉比较和客观量化分析表明,该方法能够得到更好的融合结果. 基于自适应细分的保刚性变形算法 为了对二维平面形状进行变形,提出一种高效的基于自适应细分策略的保刚性变形方法.首先通过显式求解变形问题中需要的旋转矩阵,使其计算效率高于原始的采用SVD分解来计算旋转矩阵的方法;其次采用一种局部的细分策略,对在变形过程中遭受拉伸扭曲较为剧烈的区域中的三角片进行自适应的加细可以显著地减少输入网格的扭曲程度,获得更好的变形结果.文中方法对传统的ARAP变形方法上进行了改善,使之在变形结果的质量和算法的效率之间达到较为理想的平衡状态. 计算机实践教学中评价体系的构建 计算机基础实验教学是各高校计算机类课程的基础核心课程,根据笔者所在院校在计算机基础实验教学中的经验,通过分析计算机实践教学评价中存在的问题,探讨了在坚持知识与能力结合的原则的基础上,保持实践教学评价的独立性,从多维度对教学效果进行评价,并综合考虑学生的课外综合表现,构建完善的计算机实践教学评价体系。 Vague熵的约束准则及计算公式 通过对Vague集的模糊度本质进行分析,结合现有的Vague熵的约束准则,给出了改良的Vague熵的约束准则以及能够体现未知性和模糊性的Vague熵公式,并通过实例验证其合理性。 状态控制安全策略研究 从讨论当前流行的访问控制策略的安全缺陷,及其与状态控制策略的互补性和完备性特征入手,分析了研究状态控制策略的必要性;定义了状态控制安全策略描述形式,并以XML语言为基础,制定策略描述基本规则;同时,按控制目标和控制对象的不同,给出了状态控制策略应用模式;此外,分析了状态控制策略的复杂性问题,并给出初步的解决思路。 一种面向内核接口的顺序依赖规则挖掘与违例检测方法 内核扩展函数以接口的形式提供给驱动,用于管理设备和申请相关的资源.这些接口中存在大量的顺序依赖规则,如自旋锁必须经过初始化才能加锁,然后才能解锁;驱动在加载时申请的内存,卸载时必须予以释放等.然而,驱动开发者常常不熟悉或疏忽内核接口的使用规则,导致驱动中存在大量的接口使用违例,影响驱动及系统的可靠运行.文中提出了一种面向内核接口的顺序依赖规则挖掘与违例检测方法(SD-Miner).该方法结合驱动源码的结构特征,对驱动代码使用的内核接口进行统计分析,挖掘并提取内核接口的顺序依赖规则,并利用提取的规则检测现有的驱动源码中的使用违例.SD-Miner对Linux 3.10.10和2.6.38的驱动源码分别进行了规则挖掘和违例检测.对比检测结果发现,在2.6.38中检测出的错误中,有64处在3.10.10中得到了修正.SD-Miner检测和分析Linux 3.10.10的3781款驱动的过程仅耗费5min,共计提取出了220个顺序依赖相关的接口使用规则,并检测到了756个使用违例,作者将其中50个提交给了开发者,累计有25个回复者对20个使用违例进行了确认.实验结果表明,SD-Miner能够有效地挖掘出内核接口的顺序依赖规则,并检测出使用违例,进而辅助开发人员对驱动进行修正来提高驱动可靠性.此外,规则的挖掘是基于驱动的结构信息和统计信息,不需要开发者在源码中提供额外的注释及标注. 自适应去噪的地震数据采集系统设计 地震数据采集是地震观测与研究的基础。提出一种基于NI高精度数据采集卡USB-4432和LabVIEW的地震数据采集系统设计方案,包括基于OP07和LM224N的前端调理电路,基于USB-4432和LabVIEW的实时采集与分析系统。为进一步提升采集信号质量,提出一种自适应小波阈值去噪算法,该算法能根据采集信号强度自适应去噪,提高采集信号信噪比(Signal-to-Noise Ratio,SNR)。实验表明,系统稳定可靠,相比传统小波阈值去噪算法,新算法能显著提高SNR。实验结果表明,系统去噪性能优良,应用价值较强。 基于LEACH协议的安全路由设计与分析 无线传感器网络中,路由算法是否安全直接影响整个网络的安全性和可用性。所以,基于LEACH路由协议,通过增加身份认证机制、密钥的动态更新机制,以及双重密钥加密机制,提出了一个新的安全策略——IS-LEACH,并给出了该策略的详细设计和分析。 基于优化协同过滤与加权平均的群推荐方法 为面向群体用户提供推荐,提高群体用户的信息搜索效率,提出了一种新颖的基于优化协同过滤与中位数加权平均的群推荐方法,综合考虑了项目的评分相似性与类型相似性,通过集成项目相似性与用户相似性预测出群体用户对项目的评分;在集结群体用户评分时,采用基于中位数的加权平均集结策略消除个别用户评分差异过大带来的影响,综合考虑群体用户在评分过程中的作用。通过预测项目评分实验与集结用户评分实验,结果表明,用新方法得到的准确率均高于常用的传统方法,从而表明该方法是有效的。 分布式飞行器数学仿真系统设计与实现 通过仿真手段辅助评定飞行器性能指标是提高综合试验鉴定能力的重要途径。为了进行某飞行器实时仿真和模拟打靶,充分检验飞行器性能和飞行精度,建设一套基于反射内存网和高速以太网结构的分布式数学仿真系统,开展了系统设计方法研究和系统实现,并进行了仿真。结果表明,仿真数据与实际数据一致性好,系统可信度较高。 卫星导航系统模拟电路故障注入方法研究 针对卫星导航系统电路故障中的模拟畸变TMB故障的机理进行了理论分析和仿真,着重研究伪码的相关函数和功率谱;基于现场可编程门阵列(FPGA)和调制方式的特性,提出了伪码先振荡后量化的设计方式,重点探讨了其在FPGA上的实现方法,并对关键的IIR滤波器的实现进行了分析;结合自研的卫星导航系统模拟器平台实现故障注入,对故障信号的相关函数和定位结果进行测试;结果表明,在该设计系统中随着振荡频率和衰减系数的增大,对系统的定位影响越小。 一种基于粗糙集的纺织企业纱线质量评价模型 纺织企业对纱线质量进行客观评价具有重要现实意义。针对现有评价体系过度依赖于主观经验判定的缺点,将粗糙集理论引入纱线质量评价,提出了评价指标离散化算法并构建了评价度量函数,在此基础上建立了基于粗糙集的纱线质量评价模型。实验结果表明该模型实现简单,运行效率高,为企业质量管理者进行决策提供了更加客观的数据支持。 电纸书WCDMA网络在线阅读系统的研制 为了使电纸书能够高速访问互联网,充分利用互联网资源,提出Linux嵌入式电纸书WCDMA网络接口的设计方案。通过3G无线模块接入WCDMA网络,Linux系统通过PPP协议与无线模块进行数据交换,通过网页浏览器进行资源访问,并在电纸屏上显示。实验结果表明,相比原来的电纸书技术,该系统充分发挥互联网优势及WCDMA 3G接入技术,不仅丰富了电纸书的阅读内容,还增强了它的阅读功能,拓展了电纸书的应用范围,WCDMA较高的联网速率完全可以满足在线阅读要求。 基于隐马尔可夫模型的内部威胁检测方法 提出了一种基于隐马尔可夫模型的内部威胁检测方法。针对隐马尔可夫模型评估问题的解法在实际应用中存在利用滑动窗口将观测事件序列经过放大处理导致误报率偏高的缺陷,在Windows平台上设计并实现了一个基于系统调用的内部威胁检测原型系统,利用截获Windows Native API的方法,通过程序行为的正常轮廓库来检测程序异常行为模式。实验结果表明,新方法以程序的内在运行状态作为处理对象,正常轮廓库较小,克服了传统评估方法因P(O|)值太小而无法有效区分正常与异常的问题,检测性能更好。 基于改进变精度粗糙集的漏洞威胁评估 变精度粗糙集理论能有效处理带噪声的数据,但其移植性较弱。针对这种情况,引入阈值参数α,提出了一种改进的变精度粗糙集漏洞威胁评估模型。首先,根据漏洞特征属性建立评估决策表;然后,使用k均值算法对连续属性进行离散化处理;接下来,通过多次计算,调整参数β和α的值,进行属性约简并提取概率决策规则,构造决策规则库;最后,将测试数据与规则库进行匹配,得到漏洞威胁评估结果。仿真实验表明,所提方法的评估正确率比改进前提高了19.66个百分点,并且移植性有所增强。 不确定时间序列的统计降维方法 由于不确定时间序列的长度很长,并且每个采样点的取值具有不确定性,导致了维度灾难和庞大的可能世界集,给不确定时间序列相似性匹配带来了巨大的困难,因此对不确定时间序列降维是实现对其方便存储、快速查询和相似性匹配的首要任务。不确定时间序列普遍采用小波变换的降维方法,但是该方法没有考虑到采样点之间的相关性。为解决该问题,提出一种基于概率统计和数据相关性的降维方法,该方法将不确定时间序列分为概率维度和时间维度,并分别对两维度进行降维。在时间维度,根据采样点之间的相关性,使用某个采样点代表后续相关度高的采样点;在概率维度,使用大概率点表示相邻的小概率点。实验效果表明:使用该方法对不确定时间序列进行降维后,降维序列可以保持原序列的变化趋势,压缩程度显著,并且可近似地恢复原序列。 MPC08SP运动控制卡在烟把智能定位系统中的应用 烟叶解把是烟叶复烤的重要环节,为克服工人摆把位置不精确,致使烟把切割位置不准的缺点,设计了烟把智能定位系统中的机械定位部分,采用机械手控制精度以及编码器分辨率作为设计指标,计算并确定机械定位系统的相关参数。利用MPC08SP四轴运动控制卡和伺服电机实现对烟把精确定位的控制要求,采用Visual C++6.0开发底层控制程序,建立一个较为通用的烟把运动控制系统。在玉溪烟厂的成功运行,表明本系统实现了烟叶解把时的准确切割,满足了该系统对准确性、稳定性和易操作性的要求。 基于动态会话密钥的传感器网络双向认证方案 无线传感器网络中大量节点都部署在不安全的环境中,为确保传感器节点提供数据信息服务时对隐私信息的保护,即仅为合法查询者提供数据查询服务,提出一种基于动态会话密钥的双向认证方案。方案中综合采用时间戳、hash函数和随机数等技术手段设计动态会话密钥,并使用祖冲之加密算法对查询请求信息加密和提供信息完整性认证,能够实现数据机密性、完整性和双向认证等安全功能。为验证所提方案的系统性能,搭建了由TelosB节点和网关构成的传感网实验系统并部署了相关实验。实验结果表明,感知节点和网关之间可互相认证且认证时间较短,并能抵御假冒攻击、信息重放、DoS攻击等传感网常见攻击。 搜索引擎中基于状态的Ajax动态网页提取研究 Ajax(Asynchronous JavaScript and XML)动态网页的提取是目前搜索引擎研究的热点和难点。在分析已有Ajax动态网页提取方法的局限后,针对使用最广泛的基于DOM(Document Object Model)树的提取方法存在空间浪费和信息丢失的问题,引入状态S的形式化定义,提出基于状态的页面元素、事件与函数绑定关系的提取算法AjaxCrawling,并说明算法提取得到的资源库在搜索引擎中的有效性。通过比较实验,得出AjaxCrawling具有保证提取到的信息的完整性和节约存储空间的优势。 散乱点云近离群点识别算法 针对原始曲面变化度的局部离群系数(SVLOF)无法有效滤除三维实体的棱边或棱角处的离群点问题,提出了一种散乱点云近离群点的滤除算法。该算法首先将SVLOF定义在类k邻域上,并将SVLOF的定义内容进行了扩展,使其既能滤除平滑曲面上的离群点,又能滤除三维实体的棱边或棱角点处的离群点,同时仍然保留SVLOF原有的足够宽泛的阈值选取空间。仿真数据和实际数据的实验结果均表明,在效率基本保持不变的情况下,所提算法能比原始SVLOF算法更有效地检测出距离主体点云近的离群点。 改进的频繁词集短文本特征扩展方法 针对短文本结构短小、语义不足、难以建模的特点,提出一种利用改进频繁词集进行短文本特征扩展的方法。通过计算单词集的支持度和置信度,挖掘出具有共现关系和类别同向关系的频繁二元词集,并在挖掘出的频繁词集基础上定义关联关系对所选词集进一步扩充。同时,在TF-IDF的基础上引入词语信息增益表示词语在文本集合中的类别分布信息,以加强词语权重。由频繁词集通过改进后的词语权重构造出词语相似性矩阵,利用非负矩阵分解技术将其扩展至短文本特征空间,从而得到短文本模型。实验结果表明,该方法构造的短文本模型能显著提升短文本的聚类性能。 OpenSSL密码安全平台实现机制的研究 详细介绍了OpenSSL的组成和各个功能模块,探讨了OpenSSL中的封装机制,包括两类重要的封装接口:网络及I/O操作接口(BIO)和各种加解密算法接口(EVP),给出了使用EVP实现对称加密算法的应用流程,并对OpenSSL中的Engine机制进行了分析。 IEEE 802.15.4协议无线传感器网络干扰测试 在IEEE 802.15.4协议与WLAN局域网系统共存情况下,对IEEE 802.15.4协议下射频层的抗干扰性能进行实验研究。使用TI射频芯片CC2430,根据课题目的设计节点间的无线通信程序,解决了针对研究IEEE 802.15.4通信协议抗干扰性能研究需要解决的关键技术问题:DMA控制器的数据传输方式、接收节点从寄存器读取RSSI值、发送节点发送功率循环改变、发送节点及接收节点的信道循环改变并同步。根据CC2430提供的信道质量参数对不同条件下的IEEE 802.15.4抗干扰性能进行实验测试并对实验结果进行数据分析,综合测量数据,给出多种典型情况下干扰影响的定量分析。同时,分析了影响射频层丢包率的因素及其与接收信号强度指示RSSI的相关性。 一种快速的加权矢量图像匹配方法 为了解决工业生产中产品的快速定位,提出了一种快速的图像匹配方法。首先运用Surf算法提取出图像的特征点,然后运用形状上下文特征进行匹配,得到图像的大致位置,最后依据特征点描述子及其位置特征,通过加权矢量匹配的方式得到图像的精确位置,实现产品的快速定位。该算法稳定性高,计算速度快。实验结果表明,该算法能满足实时的工业生产要求。 基于OpenFlow的主动式节点失效保护机制 提出了一种节点失效时的主动保护和快速恢复机制,这种NFP机制在节点失效时能更有效地保证网络的通畅,同时消除了与控制器之间的通信延迟,并且备用信息不需要在主流表中占用空间。仿真实验结果显示,随着网络规模增大,所提出的机制比目前广泛应用的被动式方法拥有明显的优势,在大规模网络中关键节点失效的情况下仍能保持电信级网络所要求的恢复速度。 对流密码LILI-128的差分故障攻击 对LILI-128算法对差分故障攻击的安全性进行了研究。攻击采用面向比特的故障模型,并结合差分分析和代数分析技术,在LILI-128算法LFSR d中注入随机的单比特故障,得到关于LILI-128算法内部状态的代数方程组,并使用Crypto MiniSAT解析器求解恢复128位初始密钥。实验结果表明,280个单比特故障注入就可以在1 min内完全恢复LILI-128全部128位密钥。因此,LILI-128密码实现安全性易遭差分故障攻击威胁,需要对加密设备进行故障攻击防御,以提高LILI-128密码实现安全性。 基于MapInfo与MapX的电子地图 随着GIS(地理信息系统)的发展与完善,它的开发工具也日趋成熟。MapInfo公司顺应这发展潮流,开发了MapInfo以及MapX控件,可以实现复杂的GIS系统设计。根据桂林市规划地图,首先利用MapInfo 9.5构建地图数据,然后通过Geoset Manager生成Geoset格式文件,最后在Visual Studio 2008编程环境下、利用C#编程语言对MapX控件进行二次开发,最终实现桂林市电子地图。 高校IT运维外包服务质量评价模型研究 如何科学评价高校IT运维外包公司的服务质量,是高校IT管理单位面临的一个现实问题。在Driver和Johnston提出的服务质量属性模型的基础上,全面考虑影响IT运维外包服务质量的各种因素,运用模糊综合评价方法,构建高校IT运维外包服务质量评价模型,对服务质量进行量化评价。应用实例表明,模型符合实际情况,具有参考价值和现实指导意义。 一种基于GALS的四核内部互连及任务调度研究 本文给出一种基于全局异步局部同步(Global Asynchronous Local Synchronous)的四核数字信号处理器(Digital Signal Processor)内部互联设计方案.全局异步局部同步的设计模式可以使四个DSP核心根据任务需要工作在不同的频率域,从而降低芯片的总功耗且避免了全局时钟树设计.多核之间采用DMA通道进行数据交换,在占用较小CPU负担的同时,获得较大数据带宽.本文给出一种任务队列的任务调度机制,用于完成多核之间任务的自助申请调度以及数据流的控制.以MP3的解码程序为例,对任务在多核上的分割方法和调度策略进行详细的阐述. 面向增材制造的机器人轨迹自动生成技术 针对同轴送粉激光熔覆成形复杂曲面的工艺过程中,机器人轨迹规划困难的问题,提出一种从CAD模型自动生成机器人加工轨迹的新方法,以提高机器人熔覆的效率。首先对复杂曲面零件模型进行分析,采用拉普拉斯算子的方法对网格模型进行了优化。根据立体光刻模型提取零件的几何信息,基于三角面片边的拓扑关系重建三角面片的拓扑关系,通过包围盒的方法生成沿逆时针方向的机器人轨迹,利用仿真实验动态地演示了熔覆过程。最后,通过熔覆实验验证了该方法生成机器人轨迹的可行性。 高动态GPS/INS组合导航中一种新的选星算法 传统的GPS选星算法采用高度角对可用星进行判断,然后选择具有最佳几何精度因子的卫星组合进行导航;由于最佳几何精度因子法的运算量大,很难适用于高动态环境对实时性的要求;因此,通过建立可用星圆锥体,并结合模糊选星算法的思想,提出一种基于惯性导航系统辅助的GPS选星算法;仿真结果表明,新的算法能够根据载体姿态信息,有效地进行可见星判断和卫星组合选择,运算时间比最佳GDOP值法降低约80%,精度较模糊选星算法更优。 基于半监督聚类的微视频标注方法 针对微视频语义标注问题,提出一种基于半监督聚类的微视频标注方法。从事件驱动的角度,以镜头事件为单位,用事件组来标注微视频。进一步构造半监督K-means聚类算法,优化目标函数,使得最终的聚类结果既体现类间的低耦合及类内的高聚合,又体现类内局部的数据分布密度。该聚类算法实现了诸如微视频等多属性异构数据的聚类,提高了微视频标注效果。实验结果表明,所提微视频标注方法具有很强的语义表达能力,该聚类方法也具有很强的聚类准确度。 基于K-均值聚类粒子群优化算法的组合测试数据生成 在解决组合测试中的测试数据集生成问题时,粒子群优化算法(PSO)在待测数据量增加达到一定程度以后,出现迭代次数增加、收敛速度减慢的缺点。针对该问题,提出了一种应用于组合测试数据集生成问题的基于K-均值聚类的粒子群优化算法。通过对测试数据集合进行聚类分区域,增强测试数据集的多态性,从而对粒子群优化算法进行改进,增加各个区域内粒子之间的影响力。典型案例实验表明该方法在保证覆盖度的情况下具有一定的优势和特点。 基于包围盒十字相交的装配干涉检测方法 鉴于现有装配干涉检测方法仅限于利用包围盒排除不干涉的对象,提出轴向包围盒和方向包围盒的十字相交判断方法,使得基于包围盒即可检测出零件在平移过程中产生的硬干涉关系,从而有效缩短检测时间。利用基于扩展结构的层次包围盒逐渐逼近且紧密包覆几何模型、排除不可能干涉的零件并进行包围盒十字相交判断,可大幅度减少干涉检测的运算量并提高算法效率。基于包围盒十字相交判断与层次包围盒,提出面向零件平移的装配干涉检测算法和流程。在AeroAssem装配设计系统中实现了该方法,通过在装配干涉矩阵生成中的实例验证分析,说明所提方法是有效的和精确的,可广泛应用于装配规划与仿真等设计任务中。 航姿参考系统姿态算法性能优化仿真研究 航姿参考系统(AHRS)利用重力及地磁场矢量观测值估计载体姿态,但采用加速度计测量重力会受到载体运动加速度的干扰而造成姿态估计误差。提出一种对运动加速度进行估计和补偿的新方法,上述方法在AHRS姿态算法外部实现运动加速度的估计与补偿,因而无需改动AHRS原有滤波器结构设计,几乎不需增加存储空间及计算量。仿真及结果表明,所提出的新方法能与各种常用AHRS姿态滤波算法相配合,能有效地消除运动加速度对姿态估计精度的影响,从而有助于提升AHRS在动态环境下的性能。 HT-LSM的欠定混合盲信号分离方法的研究 利用Hough变换可以检测观测空间中的直线方向从而确定混叠矩阵的方法,提出了欠定盲源分离中估计混叠矩阵的一种新算法——HT-LSM算法。该算法在介绍欠定盲信号分离基本原理的基础上,介绍基于Hough变换的盲信道估计算法,并将改进后的Hough变换与最小二乘法相结合,在不影响检测结果速度的同时又进一步提高了检测精度,应用到欠定语音信号分离中,取得了良好的实验效果。 词位标注汉语分词中特征模板定量研究 基于字的词位标注的方法能极大地提高汉语分词的性能,该方法将汉语分词转化为字的词位标注问题,词位标注汉语分词中特征模板的设定至关重要,为了更加准确地设定特征模板,从多个角度进行了定量分析,并在国际汉语分词评测Bakeoff2005的PKU和MSRA两种语料上进行了封闭测试,得到如下结论:同等条件下,训练出的模型大小与扩展出的特征数成正比;不同的单字特征模板在同一语料中扩展出的特征数基本相同,单字特征模板对分词性能的贡献要比双字特征模板小得多;增加B特征模板之后,训练时间大大增加,模型大小基本不变,对分词性能都是正增长。 GFMRA的浮点数编码消噪变异 "MRA是构造小波的重要方法,而GFMRA可以构造任何具有单一母波的正交小波。浮点数编码在函数优化和约束优化领域明显有效于其他编码,但浮点数编码在遗传操作环境中产生的""噪音""严重地影响着遗传算法的性能。在理论分析的基础上,提出基于GFMRA构造的正交小波对浮点数编码消噪变异的FPRGAG方法,并进行了实验。理论研究和实验结果表明,无论是收敛速度还是收敛精度,FPRGAG都远远优于传统算法。该方法理论上是可靠的,技术上是可行的。" 自适应遗传算法的改进及在曲线化简中的应用 针对固定遗传概率容易引起早熟及收敛慢的问题,对现有自适应遗传算法进行了改进。通过常见测试函数优化求解试验,验证了改进算法具有更好的全局收敛性和更快的收敛速度。在此基础上,将改进算法应用于曲线化简。仿真试验表明,其不仅能够较好地保持曲线的整体形态,还能够得到形变误差更小的化简结果。 三维视景仿真系统中仿真过程管理的研究 三维视景仿真系统的仿真过程管理一直是计算机图形学领域的热点之一。三维视景仿真系统的研究主要集中在虚拟环境的真实性方面,仿真过程管理的研究还没有被很好地解决。文中按照功能将三维虚拟视景仿真系统分为七个模块:数据输入、键盘输入、配置文件、消息映射、场景控制、仿真环境显示、数据记录;又从用户角度划分出三个模块:输入模块、处理模块和输出模块。同时,文中提出了配置文件和三维模型XML描述两种关键方法,分别用来存储仿真环境相关数据和简化模型调用方式,提高了虚拟仿真系统的通用性。此方法在三维视景仿真系统的开发过程中成功地进行了应用。 基于SVM和小波系数的图像去噪算法研究 结合小波系数的性质和SVM的优点,提出一种基于SVM和小波系数的图像去噪算法,选取特定的支持向量,输入到训练机中进行训练,然后得到最优的分类函数,最后用最优分类函数对含噪图像进行去噪处理.仿真结果表明,该方法具有很好的去噪效果,且能达到较高的峰值信噪比. 一种基于多唤醒机制的休眠调度算法 低占空比无线传感器网络使节点处于低占空比状态,能极大延长网络的生命周期,但却使网络中的休眠延迟变长。为此,提出一种能耗与时间权衡的多唤醒休眠调度算法。通过动态感知剩余能量并采用多唤醒机制,从而确定一个适用于任意拓扑结构下的延迟下界。仿真结果表明,与LES算法及TOSS算法相比,该算法的休眠延迟性能提升明显,能均衡网络能耗,有效延长网络的生命周期。 基于半实物仿真的测试性验证系统设计与实现 针对当前机载设备研制过程中缺乏测试性验证试验平台,不能有效地支撑航空装备研制阶段的测试性设计工作,提出了一种机载设备测试性验证系统;通过半实物仿真的方法实现对飞机燃油测量系统的基本功能及运行方式的模拟,避免了因建模不准确而造成的仿真误差,提高了仿真置信度;采用探针故障注入、仿真故障注入、软件故障注入等多种方法,实现了被测系统多种典型故障的模拟,从而对机载设备的故障检测、隔离性能进行验证;通过试验验证了系统可以实现对预期故障模式的自动注入并完成基于半实物仿真的测试性验证。 基于WebSocket的电力系统实时数据更新研究 可视化技术在电力系统中已得到广泛的应用,其利用人对图形的感知能力,直观地展示设备运行状态的相关数据,大大提高了系统管理水平和运行人员的工作效率。可视化数据的实时更新是可视化技术的核心部分,本文阐述传统的数据更新方式,讨论其优、缺点,提出基于双向通信的WebSocket的解决方案,实现真正的实时数据更新,最后给出应用范例及关键部分的代码实现,为今后的相关研究、应用提供依据。 无人机航迹规划与监控系统设计 无人机作为现代战争中广泛使用的设备,使无人机安全、准时完成任务;航迹规划就是针对各种任务需求,为无人机提供一条或多条可行航线,确保无人机处于自主飞行状态;本研究目的是研发通用性特征的无人机航迹规划与监控系统,在阐述设计该系统原则基础上,详细介绍无人机航迹规划与监控系统分层架构及用户控制层、数据管理层等,并提出开展各项测试;结果表明,所设计系统能顺利通过测试,达到实际应用的需求。 基于用户反馈与链接关系的网页排序改进算法 为改善传统PageRank算法存在主题漂移、网页欺诈、偏向旧网页等不足,提出一种基于用户反馈与链接关系的网页排序改进算法FLPR。通过分析两网页各自链出页面的共同页面,衡量网页内容的相关程度,设计内容相关度因子;融合页面停留时间和链接点击量,设计可信度因子;添加时间相关因子,共同对PR值进行修正调整。仿真结果表明,相较传统PageRank算法和WPR算法,改进后的算法在网页排序质量、查准率以及用户满意度等方面性能均有一定程度提升。 基于Unity3D的虚拟博物馆信息可视化系统 信息可视化技术可以帮助我们加深对大规模复杂数据和信息间相互关系的理解,而三维技术为信息可视化领域带来了新思路.本文根据杭州工艺美术博物馆虚拟博物馆三维展厅浏览和藏品知识点信息浏览的可视化需求,设计并实现了藏品信息可视化系统.该系统基于Unity3D开发平台搭建,根据杭州工艺美术博物馆虚拟博物馆藏品信息可视化需求分别设计实现相应的三维可视化组件,通过Web3D客户端技术实现了用户通过浏览器高速、便捷地浏览博物馆三维可视化信息的功能需求. 基于“断弦护枝”思想的MST构造算法的设计与分析 "为弥补MST经典算法的局限性,开创性地提出了构造MST的""断弦护枝""思想,并基于此思想创造性地设计与实现了一种全新的MST构造算法。实验结果和数学证明表明新算法是正确的;实验和分析结果表明新算法在某些实际应用领域能显著弥补经典算法的不足,具有重要的理论和应用价值。" 采用拟仿射变换进行分形树模拟 针对分形图形生成中带凝聚的IFS(迭代函数系统)的延伸变换难以有效地选取,其参数修改达不到所需水平的问题,提出一种新的分形树模拟方法,利用拟仿射变换来实现树木成行、树木成林,该方法不涉及延伸变换,而以分形图拟仿射变换取而代之,并通过调整参数可取得理想的效果;另外,对所生成的树木进行渲染(着色),增强了视觉上的真实感。实验结果表明,该方法与传统的实现树木成行、树木成林方法———带凝聚的IFS相比,算法简单,操作灵活,模拟效果较好。 基于车牌识别系统车辆轨迹的行为异常检测 目前已有很多面向智能交通管理的车辆异常行为检测方法,但是在公共安全领域的异常行为检测研究不足.为此提出了一种基于车牌识别系统车辆轨迹的行为异常检测机制,通过车牌识别系统获取抓拍记录,分析各个车辆在系统卡口的历史通行记录,提取车辆轨迹的时间空间特征,通过空间特征发现异常路线并计算路线的围绕质心累积转动角度值检测徘徊行为,用聚类算法获得时间特征的聚类中心并计算离群点检测特殊时间活跃行为.利用实际部署的车牌识别系统收集的数据测试了所提出的异常检测方法,实验结果表明该检测方法能够很好地检测面向公共安全领域的车辆异常行为,在卡口设备识别率不理想的情况下有效地提高了异常检测率. 节点具有相关性的树形结构的验证方法 实际业务中的各个实体之间往往存在着相互影响,采用树形结构反映这些实体之间的组织结构时,由于各个实体映射成为树形结构的各个节点,这些节点之间也具有相关性,造成了进一步应用的不便,因而提出了一种验证此类具有节点相关性的树形结构的验证方法。通过将实体间的相关性整理成树型结构,同验证对象进行比较;在处理节点的不同取值时,采用了生成虚节点并与原节点同名不同值的方法;分析了影响算法时间开销的各种原因;给出了一个实例对验证方法予以说明。 链状4,4'-联吡啶桥联钴配位聚合物的晶体结构与量子化学计算 六水合硝酸钴与4,4’-联吡啶在乙醇与水的混合溶液中反应,合成了一维链状配位聚合物{[Co(4,4’-bipy)(NO3)2(H2O)2]·2H2O}n,经IR、元素分析和X-射线单晶衍射表征结构。配合物晶体属正交晶系,空间群Pccn,晶体学参数:a=1.1760(2)nm,b=1.9537(3)nm,c=0.74195(12)nm,V=1.7047(5)nm3,Z=4,Dc=1.602Mg·m-3,μ(MoKα)=1.065 mm-1,F(000)=844,R1=0.0365,wR2=0.0930。晶体结构分析表明,配合物中心Co离子为六配位畸变八面体构型,通过4,4’-联吡啶N原子桥联成一维无限链状,经分子间氢键作用进一步扩展成三维超分子。利用G03W程序在LANL2DZ基组对配合物中[Co(C5N1H4)(NO3)(H2O)]结构单元进行理论计算研究。 非负矩阵MapReduce梯度下降半监督社区发现算法 为提高社区发现算法性能和计算效率,提出一种非负矩阵MapReduce梯度下降半监督社区发现算法。两个矩阵间存在的Frobenius平方范数差异以及Kullback-leibleer(KL)散度,构建社区发现的矩阵迹优化规则;利用梯度下降法对社区非负矩阵进行求解,并构建基于MapReduce的并行计算方式,同时给出算法的计算复杂度分析。该方法在社区发现过程中无需全程人工参与,是一种半监督社区发现方式。通过仿真实验显示,该算法在社区发现精度、计算效率、模块度、模块密度等指标上要优于选取的对比方法,验证了算法有效性。 机会路由中一种候选节点数量估计方法 针对机会路由中候选节点数量过多的问题,提出一种基于距离的候选节点数量估计方法(DBNCE)。该方法根据当前节点到目的节点的距离,结合网络密度、当前节点邻居节点的数量等因素,为参与数据包转发的每个节点设置相应数量的候选节点。仿真结果表明,在机会路由中应用该方法,能够在保证数据传输成功率的基础上,有效地减少网络中候选节点的数量,从而提升网络的性能。 变体飞机可变翼型非定常气动特性仿真研究 变前掠翼飞行器是一种典型的变体飞机,机翼变掠过程中翼型为可变翼型,其气动特性对变前掠翼飞行器的飞行性能有着巨大的影响,它也是飞行器气动布局设计首先要解决的难点问题之一。为了探究可变翼型的非定常气动特性,首先建立了10个不同前掠角下的可变翼型模型,然后采用S-A湍流模型并经过CFD仿真计算,最后分析了可变翼型非定常气动参数随前掠角和迎角等的变化规律。结果表明,翼型的升力、阻力和力矩系数在小迎角时随前掠角变大而略有减小,在中大迎角时随前掠角增大而增大,这些气动特性变化规律可为变体飞行器的气动布局设计和动力学分析提供有益的参考。 基于微博转发的社交网络模型 探究微博转发网络的构建机制有助于深刻理解信息在微博平台上的传播过程,得出针对微博营销、舆论管控的有效策略和建议。针对这一问题,提出了一种有向加权网络模型。根据微博在转发过程中被多次转发的现象,在模型建立过程中,在节点间连边时引入三角连接机制,并且用连边的方向选择表征了活跃用户和知名用户的不同行为特征,同时考虑了权值的动态演化过程。理论分析和仿真实验表明模型的强度分布、度分布、强度-度的相关性均服从幂律分布,幂指数为1~3,而且具有高聚类、短路径的特点,平均聚类系数可达0.42,平均路径长不超过6,同时采集了微博转发的实际数据验证了模型的正确性。 卷积神经网络在验证码识别上的应用与研究 针对日前在互联网中被广泛使用的验证码,提出将卷积神经网络引入到验证码识别之中。通过设计新的卷积网络拓扑结构,针对可分割成语验证码提出基于k-means聚类的字符分割方法,以及仿真验证码图片自生成训练集,通过预训练过程使得卷积训练网络具有良好初值,加速收敛并提高模型泛化能力;针对不可分割验证码,省去字符分割操作直接将验证码整体作为卷积模型输入,引入仿射变换、水漫填充等预处理和SVM算法二分类,或者结合多标记学习方法设计卷积拓扑等。最终实验研究证实卷积神经网络对于可分割及不可分割验证码的平均识别准确率均收敛于99%左右。 构音语音测量系统及其实验研究 本文设计研发了一种基于Matlab平台的构音语音测量系统。本系统的技术核心是声母的提取,主要包括端点检测和声韵母分离。然后,根据各类声母的特点提取相关的声学特征参数进行声母类型的判别。最后,利用Matlab 2008b平台开发出可独立运行的构音语音测量软件系统,并介绍了构音语音测量系统的实际应用案例。 基于BI-LSTM-CRF模型的限定领域知识库问答系统 随着开放领域问答系统的发展,迫切需要机械行业与人工智能融合,建立面向机械领域的知识库问答系统是十分必要的。基于机械行业数据和自然语言处理技术,本文提出采用条件随机场和长短期记忆神经网络结合构建网络模型,提升信息抽取性能,建立机械行业的知识库问答系统。通过实验数据对比分析,本文模型取得了较好的效果。 基于信息物理融合系统执行器输出事件的价值评价调度策略 对于信息物理融合系统状态转移实时过程会影响系统性能及其正确性的问题,针对执行器的输出事件驱动系统状态转移过程,提出一种基于信息熵与数据质量的执行器输出事件的价值评价调度策略——VE-IE&QoD。首先,以超致密时间模型表达事件的实时性,定义输出事件的自信息量、执行器的信息熵及其数据质量分别为价值评价的函数指标;然后,对执行器执行任务的过程进行价值评价,并考虑适当增加加权系数;最后,利用PtolemyⅡ平台建立包含价值评价调度策略、传统最早截止时间优先(EDF)调度算法以及考虑信息熵的IE*调度策略的离散事件模型。分析不同算法模型的运行情况,对比价值评价的变化以及执行时间,实验结果表明,价值评价调度策略可降低系统平均执行时间,提高内存使用效率与任务价值评价。该策略能在一定程度上提高系统性能及其正确性。 无线网络冲突感知广播调度算法 在协议干扰模型下,通过证明、计算、仿真实验等方法,提出了一种冲突感知广播调度算法(简称CBS算法),以解决无线网络中的广播调度延迟问题。研究结果表明,CBS算法能够保证节点在广播调度过程中不会相互干扰,避免冲突产生;只需发送少量消息,就能完成网络广播调度;能够同时调度广播树中多个层的节点进行传输,有效地提高了网络信道的利用率。仿真实验结果表明,CBS算法能在较短的时间内完成广播调度,有效地降低了广播延迟。 服务机器人的语音情感识别与交互技术研究 分析语音情感识别技术的发展现状和关键技术,将基于隐马尔可夫模型的语音情感识别方法应用在机器人中,目的在于使机器人能够识别人的语音信号中的情感信息,并做出相应的情感表达.这在我们研制出的服务机器人中得到了较好的应用,该机器人能够识别人的语音情感并能与人进行一定的交互. 网络群体心理趋势智能分析模型研究 网络群体是随着互联网网民的飞速膨胀而出现的虚拟聚集但真实存在的群体现象。由于互联网的隐秘性、自由性,使得网络群体能针对某一事物现象更真实地表述自己的观点。网络群体心理趋势分析是综合心理学、云计算、信息检索、自然语言处理、统计学等多学科理论和方法设计的智能模型。构建了以程序流为核心的网络群体心理趋势智能分析模型架构,突破了数据流方案,解决了云计算软件技术模式瓶颈。进一步研究了智能分析模型架构中核心模块的设计与实现,并以大学生网络群体的3万篇文本来检验该模型。结果表明,该模型能高效地实现网络群体心理趋势特征分析,能通过云图及结构方程模型给用户一个真实的感受。 一种改进的射线跟踪路径搜索算法 基于几何光学原理的射线跟踪信道建模方法能够对场强、时延、到达角等多径信息进行精确预测,该方法多用多边形或三角构建三维场景模型。为了提高射线跟踪的路径搜索效率,提出一种改进的三角化射线跟踪路径搜索算法。在原带符号体积法中加入对实际不相交三角形的排除过程,简化判断过程,减小计算量。以三个不同类型的场景模型为例,对改进前后的算法进行仿真比较。结果表明,改进的路径搜索算法比原算法运算速度更快,且场景模型越复杂,效率提高越明显。 基于装饰纹样的剪纸图案设计方法 以传统剪纸图案为研究对象,分解出构成剪纸图案的纹样,将这些纹样分为独立纹样和复合纹样。通过对二维图形进行布尔运算等方法构建丰富的独立纹样库。以独立纹样为基础,应用扩大对称、渐变等图案设计技术建立复合纹样库,同时,对折叠剪纸效果进行模拟。实验结果表明,该方法能够根据需要生成不同形式的折叠剪纸图案。 基于信息模型的通用自动测试系统体系结构研究 由于目前自动测试系统存在的模块化,系列化程度低,通用性差的现实存在,导致了装备全寿命周期保障费用增大的难题,通过对自动测试系统体系结构的研究,找到并提出了解决这一问题的答案,即通用自动测试系统;通过对通用自动测试系统的发展历程的研究,提出了基于信息模型的通用自动测试系统体系结构,并对其通用性原理和各信息模型包含内容进行了简要的介绍,对形成系统开放、体系结构完善的通用自动测试系统具有很好的指导作用。 基于上下文翻译的有监督词义消歧研究 针对目前有监督词义消歧方法存在的数据稀疏问题,提出一种基于上下文翻译的词义消歧方法。该方法假设由歧义词上下文的译文所组成的语境与原上下文语境所表述的意义相似。根据此假设,首先,将译文所组成的上下文生成大量的伪训练语料;然后,利用真实训练语料和伪训练语料训练一个贝叶斯消歧模型;最后,利用该消歧模型决策歧义词的词义。实验结果表明,与传统的消歧方法相比,所提出的方法消歧准确率提高了4.35%,并且超过了参加SemEval-2007测评的最好的有监督消歧系统。 新的单目立体视觉的视差图的获得方法 在立体视觉中,视差间接反映物体的深度信息,视差计算是深度计算的基础。常见的视差计算方法研究都是面向双目立体视觉,而双焦单目立体视觉的视差分布不同于双目视差,具有沿极线辐射的特点。针对双焦单目立体视觉的特点,提出了一种单目立体视差的计算方法。对于计算到的初步视差图,把视差点分类为匹配计算点和误匹配点。通过均值偏移向量(Mean-Shift)算法,实现了对误匹配点依赖于匹配点和图像分割的视差估计,最终得到致密准确的视差图。实验证明,这种方法可以通过双焦立体图像对高效地获得场景的视差图。 基于Web的运维流程管理系统的设计与实现 变更类业务是互联网企业集群运维中最为核心的部分,一个良好的变更类业务流程管理系统能极大地提高效率。结合具体的企业生产环境,设计并实现了一个变更类业务流程管理系统。系统基于Web实现,前端提供了用户友好的界面,后端采用了服务化的架构进行服务拆分。详细论述了系统的整体架构、各个服务的实现逻辑,及采用的相关技术和方法。系统投入运行后很好地满足了变更类业务的需求,在稳定性、扩展性上表现良好。 iDose~4与O-MAR迭代算法对TACE术后CT成像质量和疗效评估的价值 目的:探讨O-MAR与iDose~4迭代重建算法在TACE术后CT扫描中的优化潜能及iDose~4重建后图像在TACE术后肿瘤残存和复发中的评估价值。方法:选择肝癌TACE术后患者56例进行常规剂量上腹部CT平扫,分别采用FBP(A组)、FBP+O-MAR(B组)、iDose~4(C组)及iDose~4+O-MAR(D组)算法生成重建图像,计算噪声、CNR、SNR;按1~4分主观评价诊断信息(图像伪影、图像噪声及诊断信心),对四组处理方法得到的结果以及在不同碘油沉积类型间进行统计学比较;选择其中TACE规律治疗后半年内行CT平扫与增强,间隔3~5d行DSA复查的患者25例,比较应用FBP和iDose~4迭代重建后肿瘤残存和复发的检出情况。结果:A组与B组、C组与D组测量数值完全相同,C组噪声值较A组降低28.9%,CNR、SNR较A组分别升高30.4%、41.9%,结果差异均有统计学意义(P<0.05);与客观评价结果相一致,A组与B组、C组与D组间图像质量主观评分无统计学差异(P>0.05),C组主观评分明显高于A组,差异有统计学意义(P<0.05),不同碘油沉积类型应用四组图像处理方法后噪声值间无明显变化(P>0.05);25例患者中DSA病灶检出数为23个,应用iDose~4后肿瘤残存和复发检出数为18,FBP重建后病灶检出数为15个。结论:iDose~4迭代重建算法能够减少TACE术后肝内碘油沉积所致硬化伪影,对CT图像质量以及TACE术后肿瘤残存和复发的检出率方面均有所提高。 基于人眼视觉特性的图像视觉质量评价方法 为了更好地评价图像质量,在研究人眼视觉模型和人眼视觉特性的基础上,提出一种自顶向下的无参考图像客观质量评价方法。对待评价图像进行分块,并在每个色彩通道中对子块进行计算,输出平均视觉特性值,使评价模型既符合客观质量评价的优点,又兼顾人眼视觉感受,符合人眼主、客观一致性。选取美国TEXAS大学LIVE的图像数据库中图像的主观评价值对方法进行了实验证明,实验结果表明所提方法与主观评价取得了较好的一致性。 关于车载动态称重算法研究 在车载动态称重优化的研究中,由于传统称重算法车与称重设备是分离的,造成车载动态称重数据精度较低。为此提出了利用小波变换和径向基神经网络(RBF网络)的车载称重算法。首先利用小波变换对称重信号滤波预处理,然后以称重信号、倾角信号、速度信号、加速度信号和车型组建RBF网络模型,并对其进行大量的实测数据训练,对训练之后的RBF网络模型进行车辆动态加载称重实验验证。实验结果表明,系统称重精度高、实用性强,能够满足当前的车载称重需求。 多推理机实时监控软件的研究与设计 多推理机实时监控软件是一个面向实时监控的系统软件,该软件不但能够保证监控进程的可靠运行,而且能够根据系统的负载状况进程自动调度。文章引进了航天器专家诊断系统平台,把航天器专家的知识表示为推理机能够处理的知识,推理机运行时加载这些知识,并结合当前航天器运行参数状态给出诊断结果。实践证明,采用该监控软件不但可以大大提高推理机运行的稳定性,还可以优化系统资源,动态调度推理机进程,从而大大提高了航天器管理效率,节约了大量的人力物力。 多用户MIMO多载波系统空时预编码技术的研究 多天线空时预编码技术利用信道状态信息能够有效地改善无线通信系统性能与吞吐量,成为未来无线移动通信领域研究的热点。根据发射端利用信道状态信息情况,预编码技术可分为完全信道预编码技术和部分信道预编码技术。文章主要研究了多用户MIMO多载波无线通信系统中空时预编码技术,研究了多用户MIMO多载波系统中空时预编码技术,文中还重点对基于码本的多用户MIMO预编码进行了研究。 利用VPN网关改善远程TCP传输性能的方法研究 为了改善远程TCP的传输性能,提出一种基于VPN网关的TCP代理方法,通过对TCP数据包的ACK确认号和通告窗口进行修改,屏蔽TCP发送端对于网络丢包的感知,防止了拥塞窗口不必要的降低。实验结果表明,这种方法可以有效地消除高丢包率所带来的影响,提高了链路的利用率以及远程TCP连接的传输速度。 考虑准则依赖的多准则变权决策新方法 为克服Choquet积分、网络分析法以及决策试行与评价实验室方法在处理具有准则依赖特征的多准则决策问题时存在的指数灾难、难以进行有效判断以及忽视因素自我影响强度等内在缺陷,吸纳网络分析法、数据包络分析以及非线性加权影响测度体系的核心思想,提出一种全新的考虑准则依赖的多准则变权决策方法。该方法不仅从超矩阵构造机理上实现了对系统方案的变权评价,而且更易于反映复杂决策问题的非线性、涌现性、复杂性等本质特征以及决策者的偏好判断信息。案例对比验证结果表明,所提方法是科学可行的,对于解决复杂系统多准则决策问题有着较强的实践应用可操作性。 点云数据的k近邻快速建立改进算法 针对点云数据最近点搜索时栅格化所得空间子块大,并且在未完全找到前搜索范围需扩展一圈的问题,提出一种基于二次栅格化和扩展方向可控的快速搜索算法。采用传统分块算法一次栅格化数据空间;综合考虑非空栅格、最近点数目及一次划分边长,计算二次栅格化的边长;在局部搜索过程中控制扩展方向,优先在最有可能出现的栅格中进行搜索。实验结果表明,与现存的方法相比,该算法在搜索时间上至少减少了20%。 无随机预言模型下可否认的基于属性的指定证实人签名方案 首次在无随机预言模型下构建了可否认的基于属性的指定证实人签名方案。在该方案中,签名者和指定的证实人均可通过执行相同的协议来对签名的有效性进行确认,同时可以通过执行相同的协议来否认无效的签名。最后,对方案的正确性进行了分析,并在无随机预言模型下证明了方案的安全性。分析表明,本方案具有不可伪造性和隐形性。 基于改进神经网络的医疗数据关联定位技术 为实现神经网络医疗数据的高精度定位,需要改进神经网络医疗数据定位技术;当前神经网络中不包含位置信息的医疗数据一般是没有意义的,神经网络技术具有功耗低、成本低、时延短、可靠、安全等特点,近年来发展较为快速;当前使用的技术,因为定位精度低、功耗高、容易受外界因素影响等原因导致数据定位结果容易出现较大的偏差,已经无法达到当代医疗数据定位的标准;因此,提出一种基于改进神经网络的医疗数据关联定位技术,通过组建神经网络医疗数据关联模型,分析神经网络医疗数据形成原因,提取医疗数据特征,构建神经网络医疗数据关联定位模型,通过对神经网络医疗数据时频特征进行滑动时间窗口重排,提高神经网络的医疗数据定位的精准度;实验证明,所提方法能够快速地完成医疗数据定位,并且具有较高的精准度。 一种自适应权重的并行PSO快速装箱算法 随着智能制造时代的到来,生产线后期产品的智能装箱已成为工业生产的重要环节,如何更快速地得到装箱结果对于提高生产效率尤为重要。以快速装箱为目标,文中提出了一种适用于工业生产线的智能化装箱算法。该算法采用自适应权重法改进了粒子群优化算法,相较于标准粒子群优化及遗传等传统启发式算法有更快的收敛速度;并采用GPU加速,实现了高性能的并行计算,大幅加快了计算速度。实验表明,所提算法同样能得到很好的空间利用率,同时其收敛速度也显著优于传统算法。 MES深化应用的研究与实践 在当前金融危机和全球化竞争加剧的形势下,本文总结以往生产执行系统的开发与实施经验,结合石油化工行业的特点,提出了一种平稳增效的解决方案:MES深化应用工具箱。该种方案适用于具有一定信息化建设基础,且有意愿通过深度挖掘生产管理需求的企业,以点带面,通过局部流程再造和信息再组织,充分发挥信息系统资产价值,促进提升企业竞争力。最后本文在项目取得显著成效的基础上,提出了下一步的工作规划。 一种基于平均互信息的预编码矩阵选择方法 在长期演进系统下行链路闭环传输模式中,当子载波数较大时,会造成计算量和反馈量过大。为解决该问题,提出一种基于平均互信息的预编码矩阵选择方法。将K个子载波划分成D个子载波组,对每一个子载波组的信道矩阵进行算术平均,利用信道均值选择一个预编码矩阵,使K个子载波上的和速率最大。仿真结果表明,该方法与已有的预编码矩阵选择方法相比,在误块性能和容量性能基本不变的前提下,计算复杂度明显降低。 自适应融合的小波域水印方法 当前多数水印方法通过实验获取最佳融合参数或直接采用固定的融合参数,但都无法获得理想的效果。为此,提出一种自适应计算融合参数的方法,该方法可以针对不同的载体图像、水印信息及攻击类型计算出对应的融合参数。与现有方法相比,该方法可以避免重复实验,无需对嵌入水印的载体图像所受攻击类型进行预估。实验结果表明,该方法自适应能力强、运算效率较高。 基于SD5020温度传感器的数字温度计 针对传统的温度检测大多以热敏电阻为传感器,测量温度精确度低,线性度差的缺点。现采用某公司生产的数字温度传感芯片SD5020通过分段线性插值数据处理,使该温度计在-50℃~+120℃时误差值小于±0.1℃。SD5020在单片机连接时仅需要一根I/O口线即可实现双向通信,占用单片机的端口少节省大量的引线和逻辑电路。具有线路简单,测量方便等特点。 两种无证书签密方案的密码分析和改进 对两种新提出的无证书安全签密方案进行密码学分析,证明这两种方案都是不安全的。对于第一种方案,不仅使主密钥泄露,而且在类型Ⅰ和类型Ⅱ敌手攻击下是无法保证机密性和抗伪造性。对于第二种方案,在类型Ⅰ敌手攻击下,不仅可以利用公钥替换伪造任何用户对任意消息的签密,而且可以冒充合法接受者解签密消息。利用公钥与哈希函数绑定、增加随机数和公钥配对参与运算的方法,分别对它们进行改进。在随机预言机模型中,对改进方案进行安全性证明,表明改进方案是安全的。 基于群组的C2C电子商务信任模型研究 国内的电子商务平台大多采用eBay信任模型,对买家反馈评分进行简单累加得到卖家信誉值,未区分买家反馈评分的合理性及其参考价值的重要程度。为此,提出一种基于群组的C2C电子商务信任模型,通过计算买家与卖家的熟悉度,确定买家的可信度,综合考虑反馈评分、交易次数、交易价格、交易时间、以往买家的可信度对信誉的影响,构建电子商务信任模型。模拟实验结果证明,相比Sporas模型,该模型能为买家提供更全面、更准确的卖家信誉信息。 基于OpenCV的机场跑道异物检测系统设计与研究 机场跑道的异物对飞机起降过程的安全带来非常大的威胁,所以机场跑道的异物精确检测已经成为保障飞机飞行安全一个非常重大的问题。文章主要从软硬件两个部分来研究了机场跑道异物检测系统,主要包括了机场道路监控中心和应急中心两个服务平台,重点分析了采用Camshift目标跟踪算法并应用在系统中,从而实现了监控系统前景目标识别和多目标跟踪两大功能;最后在windows系统下做了测试实验,以VS2008为实验环境,采用OpenCV函数库开发一款机场跑道异物监控系统;通过实验结果的分析,该软件系统可靠性高,运行稳定,满足了识别精确度高和跟踪实时可靠的要求,显示系统具有较高的检测精确机场跑道异物检测系统设计度,为机场跑道放入侵监测系统更深入的理论研究和进一步的实际应用提供了方便。 基于V模式开发插电式混合动力汽车整车热管理控制策略研究 为解决目前的环境污染和能源消耗的问题,插电式混合动力汽车的推广是一个重要的解决方案;插电式混合动力汽车整车控制软件开发是一个重要的核心技术得到了企业的重视和投入;基于模型的V模式开发流程是目前主流的软件开发流程,介绍了该开发流程的步骤,优势,并基于该开发模式进行了整车热管理控制策略的开发,通过PHEV整车热管理系统描述,基于该开发模式进行了PHEV整车控制软件一个重要功能整车热管理控制的开发,包括算法设计,模型开发,单元测试和功能验证,经实车验证,该控制功能能够保证各零部件的工作温度在合理范围内,符合其控制软件的功能需求。 P2P网络中基于模糊评判的推荐信任模型 为了提高P2P系统中交易的安全性和信任度量的准确性,提出一种基于模糊综合评判的推荐信任模型。充分体现节点间信任关系的模糊性、动态性,采用二层模糊综合评判方法来计算直接信任值,降低了人为赋值的误差,将交易金额和交易时间作为二层评判的权重。在推荐信任计算中引入节点交易密度函数和推荐共谋检测方法来计算推荐节点的推荐可信度。仿真结果表明,该模型可以有效抵御共谋推荐,对恶意节点有较高的检测率。 基于服务冗余的SOA系统容错方法研究 Web Service的分布、异构和动态特性使面向服务的软件系统易受网络和软硬件故障影响,导致Web Service不可用,进而使整个SOA系统失效。论文提出一种基于服务冗余的容错体系结构,给出服务域划分规则,并介绍了三种容错策略以及一般的容错过程。 基于SIFT图像特征提取与FLANN匹配算法的研究 针对传统的图像匹配算法存在误匹配率高,特征提取信息也比较少的问题;文章提出了SIFT与FLANN匹配算法结合起来的一种图像匹配方法;首先,将尺度不变特征变换算法SIFT应用到图像的特征点提取与匹配中;其次,SIFT算法主要就是在在构建成功的尺度空间中寻找出极值点,寻找出稳定的特征描述,特征描述必须具备以下特征,对尺度、光照以及图像的变形都要具有很强的适应能力;最终,利用高维数据的快速最近近邻算法FLANN进行特征匹配,找到图像中准确的匹配点对,研究了SIFT这种应用广泛的特征点提取算法,通过实验比较了这种组合算法的特征点提取在不同光照,平移,旋转下的结果,匹配精度满足一定的要求。 《计算机科学与探索》投稿须知 "[正文]《计算机科学与探索》是由中国电子科技集团公司主管、华北计算技术研究所主办的国内外公开发行的计算机学报级高级学术期刊、中国计算机学会会刊,工业和信息化部优秀科技期刊,北大中文核心期刊,中国科学引文数据库(CSCD)核心期刊,中国科技论文统计源期刊(中国科技核心期刊),并被""万方数据——数字化期刊群""、""中国学术期刊网络出版总库""、""英国《科学文摘(SA/INSPEC)》""、""美国《剑桥科学文摘(CSA)》""、""波兰《哥白尼索引(IC)》""收录。月刊,大16开。欢迎踊跃投稿。办刊方针坚持""双百""方针,传播计算机信息,把握行业动态,探索计算机发展规律,开拓计算机科学技术发展新思路,促进科技交流。" 空域航空器碰撞风险评估的建模仿真研究 空域运行风险评估利用预估碰撞风险概率来保证飞行间隔。为保障空域安全,提出采用缩小垂直间隔(RVSM)Reich模型的椭柱形改进模型,用以对RVSM空域碰撞风险进行建模分析。新算法首先确定评估空域、影响安全性的各参数间的关系和最大可接受的碰撞风险值等用以建立模型,计算出碰撞风险的发生概率;最后采用临界值比对方法得出当前的安全等级系数,以确定出标准。采用结构尺寸较大机型的实测数据进行仿真,并将Event模型算法与新方法进行比较。仿真结果验证了新模型简化算法的同时也提高了评估精度,具备可行性和优越性。 基于信任度加权的合作频谱感知算法 为进一步提高认知无线电频谱感知性能,提出一种基于信任度加权的软合并感知算法TWCS(trustweighted cooperation sensing)。该算法首先定义一个模糊型指数信任度函数,对两认知用户间的信任程度进行量化处理,并通过信任度矩阵度量各认知用户测得数据的综合信任程度,以合理分配测得数据在融合过程中所占权重。仿真结果表明,与最大比合并和信噪比加权合并等算法相比,TWCS算法不仅具有更强的可靠性,而且使系统整体感知性能得到了较大提高。 基于PKI技术的数字签名在办公网上的实现 论述了一种基于公共密钥基础设施PKI体系结构的数字签名实现方式。数字签名不容易伪造,且由CA颁发的数字证书可以确定用户身份的合法性,因此,可以利用PKI技术,并结合公钥加密原理来实现数字签名,采用权威机构CA发放的证书作为通信双方的身份标识。在内部网上将办公流程与数字签名相结合保证了整个办公过程中信息的确定性与完整性。 碳酸盐岩油藏堵水工艺有效性预测研究 堵水工艺对于油田的稳油控水起到了关键的作用,堵水措施效果预测技术是堵水工艺评价的重要组成部分。近年来,针对碳酸盐岩储层进行了大量的探索和试验,取得了一定的降水增油效果。由于碳酸盐岩油藏复杂的储集特征,使得堵水效果预测难度加大。本文针对堵水效果的有效性,开展了表征参数的研究。综合应用多种统计学习算法,评价了算法的准确性,优选出适合于堵水有效性预测的支持向量机算法。建立的分析方法,可以准确地预测堵水工艺的有效性,为堵水井选择提供决策依据。 类规模对面向对象度量易变性预测能力的潜在混和效应:一个元分析 最近的研究表明,类的规模对面向对象(OO)度量的易变性预测能力存在很强的混和效应,因此需要将其作为一个混和变量来考虑,否则有可能会得到误导性的结果.然而,先前的研究仅仅分析了一个软件系统,因此不清楚这个结论是否可以推广到其他系统上.为解决此问题,文中在102个Java软件系统的基础上利用元分析技术检查类的规模对55个OO度量和易变性之间关联关系的潜在混和效应.对每一个OO度量,首先在单个系统上分别计算在控制规模和不控制规模的两种情况下它与易变性的关联强度.然后,利用随机效应元分析模型计算在所有系统上且分别在这两种情况下它与易变性的平均关联强度.最后,在此基础上利用统计方法检测类规模的潜在混和效应.实验结果表明类规模的混和效应是广泛存在的,因此在验证OO度量的易变性预测能力时确实需要将其作为一个混和变量来考虑. 改进的C4.5算法的研究与应用 针对C4.5算法构建特征决策树方法的研究,发现目前方法时常出现准确率低的情况,导致模型使用过程缺乏准确性。为此,在数据挖掘的过程中深入研究C4.5算法,提出一种改进的算法,即C4.5-W算法,该算法的主要思想是通过在C4.5算法中引入参数W,调整属性度量标准信息增益率的取值范围,进而构建决策树模型找出涉案人特征规律进行预测。通过实验表明,该算法在使用过程中能够有效提高预测涉案人的精准率。因此,C4.5-W算法可提高决策树模型的准确率。 基于虚拟坐标系统的无线网络地理路由算法 针对地理路由算法中的路由空洞问题,通过引入虚拟坐标的方式,提出了一种新颖的无线网络地理路由算法——双重贪婪算法(DGA)。根据网络的拓扑结构信息,DGA为每个节点分配虚拟坐标,在基于真实地理位置的贪婪算法遇到路由空洞时,以基于虚拟坐标系统的贪婪算法作为恢复机制,从而保证路由算法的收敛性。DGA克服了GPSR等传统地理路由算法只能适用于理想的单位圆图(UDG)的缺点,能够适用于更加真实的无线网络模型。仿真实验验证了DGA高效的路由性能及良好的扩展性。 软件VPN在企业网络安全中的应用 随着企业本身发展壮大与跨国化,企业分支机构遍布世界,员工需要随时随地联入企业网,企业与各战略伙伴需要随时通信。如何构建既安全又廉价的企业网络是当前企业信息化实施的重要基础问题。本文介绍VPN的概念和关键技术,并着重给出商用软件VPN服务器的系统需求和网络架构实现方案。经过工程实践验证,可以安全地进行信息传输,对企业构建VPN网络有一定的参考价值。 海量道路数据下的最短路径规划效率 通过内存映射完成大拓扑文件的快速加载,估价函数快速过滤无效点和路段,折线简化算法加速长路径的显示等手段,解决了海量道路数据下的最短路径规划效率问题。经比较分析与实践检验证明,规划算法的综合性能指标小于5秒,具有较好的工程应用价值。 基于MVC的网络化学习云平台架构 首先分析了网络化学习系统中引入云计算的优点,然后在深入阐述当前网络化学习的基本结构的基础上,提出了采用使用任务管理模型来协调教与学活动的基本思想,并基于MVC架构和任务管理模型构建了网络化学习云平台.该平台把网络化学习和云计算融合在一起,能够使得教育机构和个人能更方便地利用更多的资源. 基于二维分段线性映射的图像加密方法 提出了二维分段线性映射,证明了该映射是混沌的.利用映射生成图像加密时所需的随机矩阵与随机序列,通过对原图像进行异或运算和循环移位运算,达到加密图像的目的.该方法简单、实用,实验结果表明:加密结果图像具有良好的加密效果和较高的安全性. 基于频域RLS的UWB信道估计算法研究 为了提高超宽带接收机性能,提出一种适用于TH-PPM调制方式的超宽带信道估计算法,并对其误码率性能及复杂度进行了分析。算法基于信道频域特性,结合递归最小二乘(RLS)计算,达到信道参数估计的目的。算法可同时对多路信道进行估计,而且对幅度和相位不存在模糊因子。通过计算机仿真和与最大似然法信道估计算法的对比表明,该算法在误码率为10-4时,信噪比增益约为2 dB。通过对该算法性能的理论分析及计算机仿真实验表明,该算法在保证信道估计性能的前提下具有低复杂度,易于工程实现的优点。 参考文献著录规则 [正文]一.总要求为了帮助向本刊投稿的作者按规范著录参考文献,现将常见类型文献的著录格式作如下要求。本刊要求双语参考文献,所有的中文参考文献均需附英文译文,示例如下:示例1:[1]焦李成,杜海峰,等.免疫优化计算、学习与识别[M].北京:科学出版社,2006.JIAO Licheng,DU Haifeng,et al Immune optimization calculation、Learning and Recognition[M].Beijing:Sci- 集团制造多主体外协订单任务制造资源配置 集团制造企业的外协订单任务制造资源配置过程具有多主体、多任务、多资源、多工序以及协同性的特点,增加了订单制造资源配置过程的复杂性和不确定性。针对这一问题,提出两阶段多主体外协订单任务制造资源配置方法;设计了基于成本—工期—收益的订单任务排序算法和基于PageRank的订单任务制造资源排序算法,并建立了多主体外协订单任务制造资源配置模型;运用遗传算法求解多目标下多主体外协订单任务制造资源配置模型的Pareto最优解。以某建材装备制造集团的外协订单任务制造资源配置为例,验证了所提理论方法的合理性和有效性。 电网输电杆塔塔材结构强度优化设计 由于输电杆塔塔材结构强度分析是一个复杂不确定性问题。由于传统的塔材强度计算方法精度较低,为了有效提高塔材强度计算的精度,提出了输电杆塔塔材结构强度优化设计方法。应用粗糙集对塔材强度影响因素集进行约简,采用数据挖掘法从大量的历史数据中挖掘有用数据构造学习集,根据学习集运用梯度下降法不断迭代学习来确定因素集权重,并将得到的权值综合评价计算塔材的实际强度。仿真结果验证了上述方法的准确性和可行性,可解决输电塔材强度优化问题。 情感语音合成中韵律参数的基频研究 在语音合成技术的研究中,情感语音合成是当前研究的热点.在众多研究因素中,建立恰当的韵律模型和选取好的韵律参数是研究的关键,它们描述的正确与否,直接影响到情感语音合成的输出效果.为了攻克提高情感语音自然度这一难点,对影响情感语音合成技术韵律参数进行了分析,建立了基于关联规则的情感语音韵律基频模型.本文通过研究关联规则、改进数据挖掘Apriori算法并由此来获得韵律参数中基频变化规则,并为情感语音合成的选音提供指导和帮助. 无人机序列影像快速无缝拼接方法研究 以一种快速无缝的方式对测区影像进行拼接是保障无人机充分发挥机动灵活优势的关键。在无其他控制点的情况下,仅通过利用无人机序列影像和自身记录GPS信息对整个任务区影像进行快速无缝拼接。根据GPS记录的坐标信息对测区影像进行分块。使用GPU-SIFT算法对分块后影像进行匹配与误匹配剔除。提出一种具有较小时间开销的拼接缝消除算法。实验结果表明,该拼接方法在保证一定拼接精度的前提下,大大提高了拼接效率,可为突然自燃灾害等紧急情况下提供应急数据源。 AFSA与改进FSOA相结合的优化方法 提出一种采用AFSA和改进FSOA相融合的混合优化方法。该优化方法在寻优过程中交替使用AFSA和改进FSOA优化方法,使用AFSA来搜索局部最优域,使用改进FSOA优化方法来加快算法收敛速度和提高优化精度。实验仿真结果表明,该优化算法具有收敛速度快、优化精度高、全局寻优能力强、算法稳定性好等特点,对于求解高维复杂函数的优化问题是有效的和可行的。 基于PPPoE的带宽汇聚NAT设计与实现 PPPoE技术使得已有的以太网能方便地实现宽带接入和计费功能,但Windows操作系统内置的PPPoE及ISP只支持用户单帐号登录,用户即使登录多个宽带帐号,再开启系统的宽带共享功能,也只能共享一个帐号的带宽。为解决这一问题,使用户能够在多帐号的状态下实现高速数据传输,在PPPoE的基础上,设计并实现了一个可以汇聚多个帐号带宽的NAT系统。实验结果表明,运行该系统时,用户登录帐号越多,链路带宽越大,实现了汇聚多帐号带宽的功能,为用户和ISP实现高速接入提供了另外一种途径。 装备测试性最优诊断方案获取方法研究 为了在次优诊断方案中选出最优诊断方案,传统的依靠故障检测率和费用评价次优诊断方案存在明显不足;为了能够综合考虑多个属性指标进行决策,采用极差变换法对决策矩阵进行正规化变换,采用信息熵法产生各属性的权值,采用TOPSIS法作为综合效用判断指标,建立基于客观熵值权重的TOPSIS多属性最优诊断方案决策模型;示例中综合考虑7种属性进行决策,分别是费用、FDR、结构匹配、重量匹配、故障率、扩展性和操作性,结果显示该方法是可行的、有效的。 关于分层三维重建中模约束的一点讨论 在分层三维重建中,从射影重建到仿射重建是一个关键步骤,而模约束是从射影重建提升到仿射重建的一种重要方法,其本质在于确定无穷远平面对应的三维向量.模约束成立的前提是三维重建中所有图像对应的摄像机的内参数相同,针对当无任何关于待重建场景的先验信息或摄像机运动的先验信息时,模约束是唯一的对无穷远平面的可行约束的提法,文中指出,保持摄像机的一个或多个内参数不变,进而对摄像机进行标定,是三维重建从射影重建到度量重建的本质,而模约束不过是这种本质属性当所有内参数均保持不变下的一种特殊形式. 一种基于能量值的双彩色图像水印算法 提出了一种将彩色水印图像嵌入到宿主彩色图像的自适应水印算法.该算法首先将宿主彩色图像分解为R、G、B三个分量并进行8*8分块DCT,再将彩色水印图像R、G、B各分量的能量值对应嵌入到宿主彩色图像R、G、B各通道中.实验结果表明,该算法具有较好的不可见性和鲁棒性. 优化协同商务虚拟工作流的Web服务组合路径搜索 为了解决协同商务系统中面向虚拟工作流的业务流程动态组合、生成问题,从抽象层面上运用W eb服务及其组合技术,分析面向工作流的、跨组织的、分布式动态服务组合模型;探讨基于工作流环境实施动态W eb服务组合的多层次组合方案推理和选择的一般化模型,在多层多可选服务情况下基于路径代价和服务偏好设计了一种最优服务组合路径的搜索算法,并对该算法进行了验证。验证结果表明,该算法可以有效地解决W eb服务组合技术支持下的协同商务虚拟工作流的优化问题。 基于网络编码的协作中继技术 为提高协作中继中网络编码的性能,提出了一种软网络编码-软估计转发(SNC-SEF)的改进方案。在中继节点中对接收到的多路信息依次进行软输入软输出的信道编码、网络编码以及最为重要的基于最大似然准则推导的软符号调制的操作。该方案在最大限度地保留了软信息的同时可以获得解码增益。仿真实验结果表明,SNC-SEF方案相比传统的网络编码-解码转发(NC-DF)方案有明显的系统增益。 基于FPGA的高速图像实时信息合成处理器的设计 提出了一种由硬件进行实时化信息合成的解决方案,设计了基于FPGA的实时信息合成处理器.在相机输出图像的过程中,实时合成同步信息,最多可接收并合成16路RS-422串行数据和8路RS-232串行数据,并可自动适应输入图像的分辨率和帧频.实验数据验证了信息合成的正确性.具有实时性好、稳定性高、集成度高的优点. 2HL055恒流二极管参数测试 2HL055是贵州博越公司生产的恒流二极管,该类器件恒定电流大,极限电压低,可以直接驱动负载,但器件形成实验室成品后,没有进行过参数测试,其基本参数范围不能确定。文章拟通过试验,进一步测试2HL055器件的基本参数,并确定部分参数的测试方法。 WSR:一种基于维基百科结构信息的语义关联度计算算法 该文提出了一种基于维基百科结构信息的语义关联度的计算方法——WikiStruRel(WSR).维基百科作为目前规模最大和增长最快的在线百科系统,其典型包括两个网状结构:文章网络和分类树(以树为主体的图),这两个网状结构包括了丰富的、明确定义的语义知识.WSR充分分析维基百科的文章网络和分类树,进而计算词语间的语义关联度.该方法没有涉及文本处理,算法开销较小,在3个数据集上的实验,取得了较好的准确率和覆盖度. 基于DCT和SVD变换的盲数字水印算法 结合奇异值分解(SVD)变换,提出一种基于DCT域内DC分量的数字盲水印算法。对原图像进行8×8分块DCT变换,对DC系数集合进行2×2分块的SVD变换,使用量化步长Q量化每个小块对应的最大奇异值,向量化后的结果嵌入水印。由于选择DC系数进行SVD变换,且SVD变换结果表现的是图像的内蕴特性而非视觉特性,故算法具有较高的稳健性和透明性。实验表明,该算法可以有效地抵抗中值滤波、JPEG压缩、噪声等常规篡改处理,水印的提取不需要原始图像参与,执行起来简单方便。 基于监督Isomap的步态识别方法 由于步态图像的复杂性,使得很多维数约简方法不能有效地应用于步态识别中。等距特征映射(Iso-map)是一种很好的非线性维数约简算法,但在实际应用中该算法没有利用样本的类别信息,并存在泛化能力差的问题。在该算法的基础上,提出了一种新的监督Isomap算法,并应用于步态识别中。该方法不但具有Isomap算法的特性,而且能对新样本进行低维映射。在真实的三个步态图像数据库上的实验结果表明,该方法对步态识别是有效而可行的。 嵌入单纯形法的混合类电磁机制算法 针对类电磁机制算法存在局部搜索能力差的问题,提出一种基于单纯形法的混合类电磁机制算法。该混合算法首先利用反向学习策略构造初始种群以保证粒子均匀分布在搜索空间中。利用单纯形法对最优粒子进行局部搜索,增强了算法在最优点附近的局部搜索能力,以加快算法的收敛速度。四个基准测试函数的仿真实验结果表明,该算法具有更好的寻优性能。 一种基于分布式数据库的全局频繁项挖掘算法 针对FDM(Fast Distributed Association Rules Mining)可能会出现全局频繁项集丢失的问题,引入双射空间的思想和标识位,提出一种DS-CFDM(Double space-Fast Distributed association rules Mining based on Center)算法,算法实现通过一中心站点来协调信息的传输以此来减少网络通信的负担。 改进的领域本体概念相似度计算方法 在领域本体中,概念间往往存在多条路径,现有的基于语义距离的方法只考虑最短距离的路径,不能完全体现出概念间的相似度。基于此,提出一种基于加权语义距离的概念相似度计算方法。该方法搜索出两概念间的所有路径,以所有路径的加权平均距离代替最短距离来计算相似度,并综合考虑节点深度、公共父节点对相似度的影响。实验表明,该方法计算出的概念相似度能够更准确地体现出概念间的相似程度。 面向Web Services的U2TP测试模型扩展 采用Profile方法对UML元模型进行扩展,构造了针对Web Service的UML模型描述集。在此基础上,运用衍型叠加技术对U2TP测试模型进行扩展,最终生成了面向Web Service的测试模型U2WSTP,实现了U2TP对Web Service测试的支持,为模型驱动的Web Service测试奠定了基础。 基于近邻关系求解TSP的离散PSO算法 针对NP-hard组合优化问题,提出一种基于启发因子的自适应混合离散粒子群算法对其进行求解。通过改进离散粒子群运动方程,并加入启发因子,从而提高算法的收敛性和稳定性;依据粒子多样性的动态变化,引入自适应扰动算子,以保持种群进化能力。该算法对低、中、高维的TSP数据仿真结果表明,与其他混合离散粒子群算法相比,具有更好的全局收敛性和稳定性。 基于适配器的构件组装技术 为提高软件复用过程中软件系统的开发效率,针对适配器技术特性,分析软件构件组装技术的优缺点以及基于JavaEE平台下的Web开发特点,从构件组装的角度,提出在Web开发环境下利用适配器来组装构件的模型,对基于适配器的构件组装模型进行具体的项目开发,通过具体的项目开发论证该模型的便捷性与可行性。 一种求解MPMGOOC问题的启发式算法 具有间隙约束和一次性条件的最大模式匹配(Maximum Pattern Matching with Gaps and One-Off Condition,MPMGOOC)是一种具有通配符长度约束的模式匹配问题,其任务是寻找彼此互不相关的最多出现.文中基于一种新的非线性数据结构——网树,提出了一种解决MPMGOOC问题的启发式算法.与树结构不同之处在于,除根结点外,网树中任何结点可以多于1个双亲结点.文中给出了网树的定义及其相关的概念和性质.基于这些概念和性质,提出了一种选择较优出现(Selecting Better Occurrence,SBO)的启发式算法.该算法在搜索一个出现的循环中,采用了贪婪搜索双亲策略(Strategy of Greedy-Search Parent,SGSP)和最右双亲策略(Strategy of RightMostParent,SRMP)寻找相同叶子的两个出现并选择其中较好的出现作为SBO算法的结果.SGSP策略的核心思想是每一步都寻找当前结点的一个近似最优双亲(Approximately Optimimal Parent,AOP);SRMP策略的核心思想是每一步都寻找当前结点的最右双亲结点.实验结果表明,在多数情况下SBO算法可以获得更好的解且解的质量较其它算法有显著的提高.文中不但提供了一个解决MPMGOOC问题的启发式算法,更重要的是对于求解其它复杂问题具有一定的参考价值. 舰艇编队重叠子网联合无线资源调度算法 无线资源调度技术是无线网络对用户提供服务质量保障的关键。层次分析的跨异构子网联合无线资源调度算法以编队通信需求为基础,综合考虑了跨异构子网端到端传输性能最优化问题,通过层次分析法建立了跨异构子网联合资源调度模型。在此模型基础上,求解了各方案的灰度关联系数,得出了跨异构子网无线资源调度优化算法(AHP-WRA)。对AHP-WRA算法、随机接入(RA)算法和高带宽优先(HBA)算法进行了对比仿真,仿真结果表明,在系统有效吞吐量方面AHP-WRA算法明显优于其他两种算法。 基于Mesh结构的海上无线局域网组网性能研究 为解决海上通信网络高带宽、自组织、强实时性等通信需求,在分析无线Mesh网络特点和组网结构的基础上,提出了一种将网状结构与无线局域网相结合的多跳组网模式。通过智能天线技术和空中中继平台增加网络覆盖范围,并利用OPNET对该网络结构进行仿真实现,结果表明,该网络结构在吞吐量、丢包率和时延等性能方面有着良好的表现。WAMesh网络可满足海上移动环境中高速率、低时延的传输要求,对Mesh网络在军事领域的深入研究具有一定的参考价值。 基于矢量与能量的水下无线传感器网络路由协议 水下无线传感器网络具有能耗大、数据传输效率低、拓扑结构动态变化的特点。针对水下环境中节点的移动优势,提出基于矢量和能量的路由协议。从源节点到汇聚节点建立矢量,根据候选节点到该矢量的距离确定优先级。在考虑距离的同时结合候选节点的剩余能量以确定其是否成为最佳下一跳节点。仿真结果表明,与VBF协议和LB-AGR协议相比,即使无法知晓节点的地理位置,该协议在交付率、平均端到端延时和能耗方面仍可获得较好的结果。 分布式任务调度在电力市场交易系统中的应用设计 为应对电力交易规模不断扩大,电力交易系统已开始引入云计算、微服务、大数据等最新IT技术。使用微服务技术会进一步增加系统的分布式架构特性,这种架构的演进会对系统的任务调度功能提出更高的要求。在分析电力交易系统集群规模小、任务绝对量少、策略复杂等特点的基础上,参考互联网行业的解决思路,提出一个基于分布式控制的任务调度解决方案。利用Leader选举的方式,解决互斥任务的调度,并且有效规避脑裂问题。采用易于同Spring整合的触发机制,降低介入的复杂度,解决新型分布式电力交易系统的任务调度功能面临的难题。通过压力测试和实践证明,该方案具有可实施性。 基于频谱方差和谱减法的语音端点检测新算法 针对低信噪比情况下频谱方差法对语音信号进行端点检测时准确率降低的问题,提出了一种结合频谱方差和谱减法的语音端点检测新算法。算法采用改进的谱减法对语音信号进行动态降噪处理,并依据得到的降噪后信号的频谱方差设置双门限值进行端点检测。仿真实验表明,该方法具有抗噪性好、自适应性强等优点,在低信噪比情况下检测的准确率与普通的频谱方差法相比有很大的提高。 基于最大加工体的特征模型转换方法 针对需要铣削或车削的粗加工零件,提出一种基于中间模型的、从设计特征模型向加工特征模型的逐步转换方法.在提出最大加工体概念的基础上,基于设计特征历史逐步生成基本最大加工体;并根据基本最大加工体的来源特征类型合并产生新的最大加工体,所有最大加工体及其加工参数构成了中间模型;最后基于加工优先规则和用户交互策略实现中间模型向加工特征模型的逐步转换.实例结果表明,该方法能够生成有意义的多种加工解释,用户可以自动或者方便地获得合理的加工特征解释. 基于Web日志挖掘的网上学习行为研究 网络教育要想为学习者提供个性化的指导和服务,必须注重教学过程跟踪,注意对学生学习行为的分析。Web服务器日志中记录了访问者的所有信息,通过数据挖掘的方法可以获得需要的有用知识,并由此得到用户的访问模式。文中使用Web日志挖掘的方法分析学生的网上学习行为,通过数据过滤、用户辨别和会话辨别,采用模糊集和粗糙集的方法获得访问用户的聚类和分类等有用信息。实验证明,通过Web日志挖掘的方法,可以更好地了解学生的学习偏好,提高教学服务质量。 基于3D MIMO异构网性能分析的码本设计 2D预编码技术通过数据处理仅能在水平方向调整波束,对于多用户系统尤其是基站边缘用户会造成严重的小区间干扰。针对该问题,在频分双工3D MIMO异构网络系统中,利用随机几何研究有限反馈机制下反馈开销对系统性能的影响。并在基站部署均匀平面阵列的基础上,提出基于水平发射角和垂直下倾角的3D MIMO多用户码本设计方案,且对所设计的码本方案进行仿真分析。结果表明,反馈开销以及微基站密度影响系统吞吐量,甚至影响到3D预编码方案的误码率。与基于2D和3D离散傅里叶变换码本的预编码方案相比,该方案大幅降低了系统的误码率,并提高了系统的吞吐量,优化了系统性能。 基于卷积神经网络的图像混合噪声去除算法 针对现有的去噪算法,只能去除某一或两种特定的噪声,提出了基于卷积神经网络的图像混合噪声的去除算法.采用9层卷积网络,分别经过特征提取、维度收缩、非线性映射、维度放大和图像重构对含噪图像进行训练最终得到去噪模型.实验结果表明,算法生成的网络模型适用于含不同类型、不同程度的含噪图像的去噪,且在主观视觉效果和客观指标上均有很好的结果. 基于复合控制算法的移动机器人沿墙导航研究 室内复杂环境下,由于超声波传感器测量精度不高、数量有限,导致移动机器人沿墙导航效果不佳,现有的控制算法实现较为复杂。为此,提出一种基于复合控制算法的沿墙导航策略,通过PID控制算法和Bang-Bang控制算法切换,控制移动机器人进行沿墙导航并最终实现室内环境的边缘检测。实际运行实验验证了该方法的可行性和鲁棒性。 基于Android内核的流媒体播放器分析与研究 Android是一个移动平台上的开源操作系统,被广泛应用于各种移动终端设备。但其对流媒体的支持功能有限,比如仅支持3gp等格式,对标准流媒体协议支持兼容性不好,不能播放VLC作为流媒体服务器的视频流,且不能用作服务器端。文中在深入分析Android内核的流媒体实现方案之后提出将开源流媒体解决方案Live555移植到Android内核中,扩充其对流媒体的功能,且使其可以作为流媒体服务器来使用。实验结果表明Live555在Android内核中可以有效地完成对流媒体数据的接收和处理。 异构型网络通信数据结构冲突检测仿真 对异构型网络通信数据的结构冲突进行检测,能够有效提高异构型网络的稳定性和可靠性。对通信数据结构冲突的检测,需要选取冲突检测任务分配负载的节点集合,计算检测任务节点分配负载的概率,完成对通信数据结构冲突的检测。传统方法计算数据观测值与预测值之间的差异值,建立通信数据结构变化参考,但忽略了计算分配负载的概率,导致检测精度偏低。提出基于负载权值的异构型通信数据结构冲突检测方法。在各个节点获得各个待检测数据结构的局部等价类,对通信数据结构冲突检测任务进行分配,进行数据结构迁移,构建数据冲突检测响应代价模型,利用负载权值选取冲突检测任务分配负载的节点集合,引入负载差值计算检测任务节点分配负载的概率,使通信数据冲突检测任务负载分布均衡。实验结果表明,所提方法能够有效提升数据结构检测效率,且可扩展性较强。 集成电路刻蚀过程三维仿真模型优化 集成电路三维刻蚀仿真模型的原始方案使用的是可变点阵的三维刻蚀仿真模型。但是在这种模型作用下新的刻蚀点空间坐标的误差会随着刻蚀点间距的不断增大而增大,最终的积累误差会导致仿真的效果变差。改进了原有算法,使用了新的固定点阵的离散化数学模型代替原有可变点阵的模型,大幅改善了刻蚀精度和显示效果。并给出了刻蚀仿真的结果并进行了讨论。 基于重要事件的文本分类方法研究 在分析了文本中重要事件识别和文本分类方法的基础之上,提出了一种基于重要事件的文本分类方法.重点研究了该方法涉及到的两个关键技术:以重要事件表示文本和获取文本类别的模板.在中文事件语料CEC上,使用本文介绍的文本分类方法得到的平均准确率达到80%,而使用传统的以词为特征的文本分类方法得到的平均准确率为72%. 基于知识蒸馏方法的行人属性识别研究 行人属性识别是当前视频监控分析技术重要研究方向。为了解决神经网络对训练数据中隐藏知识利用不充分的问题,以及训练数据中的部分信息被“丢弃”的问题,提出一种基于知识蒸馏的模型。通过使用一种混合的损失函数,挖掘被丢弃的属性信息中的隐藏信息。利用这些隐藏信息可以对原本的属性识别任务有巨大的提升。在RAP数据集上验证该方法的有效性。与深度卷积网络ResNet101的对比实验证明,该方法优化后的网络,分类准确率有了明显提高。 机内测试技术综述 机内测试(BIT)是一种能显著提高系统测试性和诊断能力的重要技术,是实现可测试性设计的重要技术手段之一,文章在综合大量文献的基础上介绍了机内测试技术的定义、特点、结构、分类以及国内外发展现状等,重点阐述了目前研究和应用中的智能BIT技术,即灵巧BIT、自适应BIT以及基于时间应力测量的增强BIT,智能BIT技术大大改善了当前研究应用中存在的虚警率较高的不足,成为测试领域21世纪的重点研究项目之一,最后对测试性技术的发展趋势进行了探讨和展望。 一种采用蜂群全局引导搜索策略的入侵杂草优化改进算法 针对入侵杂草优化算法收敛速度较慢、易陷入局部最优的缺点,提出了一种改进的入侵杂草优化算法。首先,采用反向学习初始化方法对种群进行初始化以提高其全局收敛速度;其次,利用改进蜂群算法中的全局引导搜索策略,对繁殖后的种子进行最优引导搜索以提高其跳出局部最优点的能力。最后,对不同维数的5个标准测试函数进行了仿真验证。试验结果表明:与GABC及标准IWO(Invasive Weed Optimization)算法相比较,该改进算法在函数优化方面具有较快的收敛速度和较强的跳出局部最优的能力。 一种用于ADSL的高精度调制器的建模与仿真 要模数转换问题的研究中,介绍了∑-△调制器的过采样和噪声整形技术,为提高转换精度和速率,提出了一个采用四阶级联结构和∑-△调制技术实现高精度的调制器的行为级模型,根据影响建模的各种非理想因素,对各种实际非理想因素(例如开关热噪声、时钟抖动、运放的有限直流增益等)通过优化系统参数之后,可以得到一个用于ADSL设计中的高精度∑-△调制器行为级模型。并在MATLAB下对其仿真验证,结果表明为实际设计提供了依据。调制器在基带带宽1.5MHz、24倍过采样率条件下,系统的信噪比达到87dB,精确度可达14比特。 基于专家经验的神经元PID控制主汽温系统 研究火电厂锅炉主蒸汽的温度控制优化问题。针对主汽温对象的大惯性、大迟延,受到的扰动因素较多,以及汽温模型的不确定性,按照的典型工况整定的固定参数PID控制难以适应负荷变化,不能获得满意的控制品质,存在实时性差和稳定性低的问题。为提高性能,提出一种基于专家经验的神经元PID的主汽温控制方法。通过神经元在线整定PID参数,采用专家控制规则调节神经元的增益系数。不仅具有神经网络的自学习能力,还保持了PID串级控制的优点,同时改善了神经元的响应快速性,提高了系统的自适应性。仿真研究结果表明采用基于专家经验的神经元PID控制器的主汽温系统的控制品质优于常规的PID控制和单神经元控制,具有良好的动态性能,在不同工况下仍保持强鲁棒性,可以为火电厂锅炉主汽温温度的优化控制提供参考。 基于TMS320F28035的微型光伏逆变切换系统 针对并网型分布式光伏发电系统需要与市电网络频繁切换的问题,以TMS320F28035为控制核心,设计了由DC-DC电路、DC-AC电路、隔离驱动电路、参数检测电路和无缝切换模块组成的微型光伏逆变切换系统;通过检测市电的电压、频率和相位等参数,采用DSP控制器产生PWM波控制逆变器输出特性与市电同步,在电压过零点时实现光伏发电系统与市电的无缝切换;实验结果表明,光伏逆变切换系统电路设计简洁实用,输出电压、频率和相位等参数跟踪准确,系统转换效率约为93.7%,可以在微型分布式光伏电站中推广应用。 以数据为中心的多用户跨平台的Web开发技术 比较了现有的Web系统的相似之处和差异,在已有的Web开发架构基础上,提出了能在多种数据库平台下,实现不同的用户交互界面的基于DBMS和XML数据岛的Web开发模型,讨论了模型中的关键过程,并通过实验验证了模型在Web系统集成方面的作用。 基于多元线性回归预测模型的sensor态势研究 "由于系统漏洞层出不穷,网络管理员也不容易获得用户主机的安全态势,如何在攻击者利用漏洞之前,对网络中用户主机的漏洞、脆弱性进行自动发现成为保障计算机网络安全、平稳运行的关键问题。文中针对多元线性回归预测模型算法,首先利用最小二乘法实现对多元线性回归方程的求解,然后利用""t-检验""检验回归系数的显著性,实现对sensor安全的精确预测,使网络管理员能直观了解到sensor的全状况,以寻求最低的事故率、最少的损失和最优的安全投资效益。" 基于PXI总线的Φ0.5米高超声速风洞测量系统研制与应用 测量系统是风洞中必不可少的重要的设备之一,其性能对风洞试验的准确性、可靠性和运行效率具有至关重要的影响;近年来,Φ0.5米高超声速风洞原有测量系统逐渐暴露出精准度下降、软件通用性不足、维护不便等缺点,已不能完全满足日益复杂的风洞试验需求;针对此情况,该风洞重新研制建立了一套基于PXI总线的64通道的测量系统,并通过严格测试投入应用,该系统的应用在一定程度上提高了试验数据测量的准确性、可靠性和自动化程度,拓宽了Φ0.5米高超声速风洞的综合性能。 基于循环平稳性的约束自适应时延估计 在考虑通道非平稳干扰信号和平稳噪声影响的基础上,提出一种基于循环平稳性的约束自适应时延估计算法,对该算法的时延估计性能进行收敛性分析。该算法利用信号的循环平稳性有效地抑制干扰和噪声的影响,适用于空间相关噪声的情形,在低信噪比的情况下可以准确地直接估计非整数倍采样间隔的时延,大幅减少插值法的计算量。仿真结果验证了该算法的有效性。 集成创新 服务国家 中科院成都信息技术有限公司 [正文]公司简介中科院成都信息技术有限公司是由创立于1958年的中国科学院成都计算机应用研究所整体转制而来,是中科院直接控股的高科技公司。公司主营业务是以高速机器视觉、智能分析技术为核心,为党政会议、烟草、油气、特种印刷等行业提供信息化整体解决方案、智能化工程和相关产品与技术服务。秉承科学院求真务实传统,中科信息人团结拼搏。转制十余年来公司保持持续增长势头,主要发展指标年均复合增长率为30%以上;在国科控股所属企业综合绩效考评中连续四年排 软件测试过程模型研究 "在对现有模型进行系统研究的基础上,提出了一种新的软件测试过程模型——并行""与""模型,并详细描述了各阶段的具体活动。该模型贴切地描绘出软件测试活动的复杂关系,充分体现出了软件测试活动与开发活动之间的层次性、并行性、时序性和迭代性。" 无线传感器网络操作系统TinyOS的移植 TinyOS系统以其组件结构模型、事件驱动、简易的编程环境等优点成为目前最受关注的无线传感器网络操作系统,而CC2430以其高性能、低成本、低功耗被广泛应用于无线传感器网络节点,但TinyOS不支持CC2430芯片,因此在分析TinyOS基本原理、NesC编程语言实现机制及其编译过程的基础上,介绍了基于CC2430的TinyOS及NesC语言的移植方法,完成了NesC语言、CC2430的Timer组件、Uart组件、ADC组件和RF组件的移植,并测试了各组件的移植效果。在移植的基础上,进行了基于优先级的任务调度机制的改进和星形网的组建。实验测试结果表明,两项扩展应用均已开发成功。 虚拟仿真技术在电解锰生产与教学中的实践及应用 我国是锰、铜、锌、铝等金属生产及消费大国,然而电解及电沉积过程周期长、电流大危险性高,十分有必要对其进行虚拟仿真,以便于生产的学习或培训。虚拟仿真作为高等教育信息化建设的重要内容,是一种采用虚拟现实技术在计算机上建立虚拟仿真实验室环境,从而提供可操作的虚拟实验过程平台,是学科专业与信息技术深度融合的产物。本文首次采用Autodesk 3ds Max 2012进行三维建模,利用Unity 3D 5.3.4进行场景构建、虚拟漫游、UI交互等方式对电解锰过程进行了虚拟仿真。仿真系统分为生产原理介绍、设备简介和过程仿真三大部分。利用该仿真系统,不仅可以充分展示电解锰的生产场景,了解电解锰微观过程,而且可以对电解锰生产进行数值仿真。该项目的成功实施,对电解铝、电解锌、电解铜等高危过程虚拟仿真的开发,提供了一种有益探索和借鉴。 基于属性的可认证搜索加密方案 PEKS仅适用于特定环境下的关键词密文与特定单个用户之间的一对一通信,存在不能被多方查询的局限性,为此,结合无证书公钥密码思想,提出一种基于属性的可认证搜索加密方案。该方法突破了关键词密文只能被唯一用户正确查询的限制,增强了信息的共享性,保证了密文数据的安全,提高了检索效率。 多工况大规模工业过程模型参数估计 在对工业过程进行建模时,模型规模庞大,现场运行工况点较多。构造全联立参数估计模型,模型规模随着工况数的增加成倍扩大,在初值较差的情况下,求解收敛性差。针对此问题,本文提出1种目标序贯式参数估计方法,按照特定规则分批调整目标,逐步添加约束,使得目标分批逼近设定值,最后在新的初值基础上求解联立参数估计优化命题。本文以PTA氧化反应工段模型为例,对其反应动力学常数进行参数估计,结果表明,目标序贯式参数估计收敛性强。 一种低成本RFID的双向认证协议 对低成本RFID(Radio Frequency Identification)系统存在的安全性问题进行了分析,并提出了一种新的安全认证协议。该协议使用散列函数、异或运算及移位运算等常见算法,既解决了安全问题又降低了标签要求。通过在服务器上保存每个标签的新、旧标识,有效地解决了服务器和标签的同步问题,同时能抵御窃听、重放、跟踪、阻断、模拟等多种攻击。通过性能分析,该协议比常用的Duc、CC协议在标签的存储空间和计算能力等方面要求更低,适合低成本标签使用。 基于虚拟化技术的远程数据镜像系统的实现 "介绍了一种远程镜像系统VRDMS,它具有如下特点:1)支持多种块级设备的传输协议;2)适用于多种不同的网络环境以充分发挥数据镜像的适应性,可适应云存储的架构的部署环境;3)利用站点式方式管理各个数据源卷和镜像卷;4)结合虚拟化技术以减少用户的使用复杂性的同时增加数据复制性能.目前该系统已应用于""八六三""海量存储项目的高端磁盘阵列中." 同类货物集装箱装载问题的启发式算法 提出了求解同类货物集装箱装载问题的一种启发式算法。算法中采用了层的思想,集装箱的每个面都可用来构建层。通过对二维布局、层高组合和用来构建层的集装箱面的选择等三个方面的优化求解,得到了具有较高装载率的集装箱装载方案。实例结果表明,该算法是求解同类货物集装箱装载问题的一种行之有效的方法。 基于正则化的乘更新在线分类算法 大样本集上在线预测算法时间空间复杂度小、预测准确性高,与批处理学习算法相比,有明显的优势。自从Jivinen和M.Warmuth提出权衡正确性与保守性的在线学习框架后,在线学习框架已被广泛引用。但是在Jivinen和M.Warmuth提出的梯度下降和指数梯度下降算法中,对目标函数中的损失函数求导过程中使用近似步骤会引起在线学习结果恶化。运用对偶最优化理论,提出了非近似的基于不同距离和损失函数的乘更新分类算法,一系列的实验显示算法提高了预测准确率。 云环境下性能监测数据预处理方法研究 基于性能监测单元(performance monitoring unit,PMU)的分析技术对深入理解程序运行特征十分重要,也是性能优化的重要依据.在云计算环境下,由于机器数目庞大,PMU起着更为重要的作用.然而性能计数器却存在监测效率低,数据质量不高的问题.从两方面对这一问题进行了研究:缺失值的填充和异常值的替换.对缺失值,采用回归方法来进行补全;对异常值,采用局部滤波的方法进行过滤.提出了基于知识库的数据预处理方法(KBDP)将监测效率提升了2-5倍,综合分析了使用PMU对程序执行造成的可能影响,处理后的结果与参考值的相似度高于80%,最高达95%. 嵌入式平台QR码译码程序的移植方法 给出一种嵌入式平台QR码译码程序的移植方法.选用UP-NETARM2410-S嵌入式平台作为硬件开发平台,首先介绍了系统的硬件平台的组成,然后,对使用Qt-Creator进行QR码译码程序的开发的具体流程进行详细的介绍,测试阶段,利用qvfb虚拟屏,对程序在开发平台的运行情况进行模拟.最后,将程序向嵌入式平台移植.测试结果表明,译码程序能够在嵌入式平台正常运行,并能进行QR码的译码.所提出的移植方法,对于不同平台QR码译码程序移植有一定的借鉴意义. 单纯腹腔网膜脂肪突入食管裂孔多层螺旋CT多平面重组的表现及意义 "目的:研究单纯腹腔网膜脂肪(PAOF)突入食管裂孔(EH)形态特征,探讨MSCT诊断EH单纯网膜脂肪疝的价值和限度。方法:回顾性分析2008年12月~2012年8月MSCT横断位发现EH膈上脂肪囊41例,获取亚毫米资料作MPR及MIP,观察脂肪囊、胃左动脉(LGA)形态特征及其与EH关系。结果:41例脂肪囊横断位呈类圆形15例,椭圆形17例和分叶形9例,23例囊内显示点条状血管影。MPR上11例脂肪囊呈狭基底形(疝囊形),30例呈广基底形(膨隆形),其底部均与腹腔网膜脂肪相连。囊内血管主要为LGA及其分支,其中9例LGA主干僵直,食管支附近呈对称性""∩""形突入胸腔,脂肪囊均为疝囊形;32例走向自然未进胸腔,30例脂肪囊呈膨隆形(χ2=19.988,P=0.031)。结论:PAOF突入EH横断位表现为膈上类圆/椭圆/分叶形脂肪囊;MPR上大致呈膨隆形和疝囊形两种形态,前者提示EH功能减退,后者结合LGA""∩""形突入胸腔征象有助于网膜脂肪疝诊断。" 基于Minitab的测量系统偏倚及线性分析 为减少因仪器设备引起的测量误差、保证产品的测量质量,采用Minitab软件对MEMS微传声器频响、灵敏度测量系统的偏倚及线性进行分析,给出复合测量系统的概念。根据研究结果,提出一种应用整体偏倚值对测量系统进行修正的方法,通过Minitab软件对改善后的测量系统进行预测。实验结果证明,该方法能提高测量系统测试数据的可靠性。 事件本体中的动作推理研究 事件是随时间变化而变化的具体事实,事件是由动作、时间及其它要素组成,动作是事件定义中的主要构成部分.在面向事件的知识库系统中,关于动作的推理研究一直是重要的研究课题之一.现有的动作推理形式化系统旨在描述和推理现实世界中状态的变化,忽略了时间要素对推理过程的影响.针对这种不足,本文在描述逻辑的基础上扩充了一个Action-TBox和一个Action-ABox,并将事件本体中的动作要素和时间要素相结合,形式化定义了动作的一个三元组表示方式以及多种时间构造算子,用以刻画组合动作的发生过程,在此基础上研究了事件本体中关于动作的几种推理服务. Web服务安全问题研究 Web服务具有平台无关性、动态性、开放性和松散耦合等特征,这给基于异构平台的应用集成带来极大便利,同时也使其自身面临许多独特的安全问题。Web服务的安全性对其发展前景产生重要的影响,也是目前Web服务并没有进入大规模应用阶段的主要原因之一。总结了Web服务存在的主要安全问题;概述了已有的Web服务安全标准;然后从消息层安全、Web服务安全策略、Web服务组合安全、身份与信任管理、Web服务访问控制、Web服务攻击与防御、安全Web服务开发等方面详细分析了目前有代表性的Web服务关键安全技术解决方案;结合已有的研究成果,讨论了Web服务安全未来的研究动向及面临的挑战。 基于互相关矢量重构的解相干实值Root-MUSIC算法 实值Root-MUSIC算法是一种计算量小、精度高的波达方向估计算法,但其最多能处理两个相干信源,阵元利用率很低。为了解决此问题,提出了一种新的实值Root-MUSIC算法,利用阵列接收数据的互相关矢量重构相关矩阵,使其具有Toeplitz特性。新算法无须空间平滑,无阵列孔径损失,可估计的相干信源数更多。实验仿真表明,与原算法相比,新算法实现更简单、计算量更小、性能更好,对于八阵元的阵列,原算法只能分辨两个相干信源,新算法可以成功估计四个相干信源,为实值类算法在多径环境领域的DOA估计提供了一条新途径。 弱关联字符型数据的密文检索模型优化仿真 研究弱关联数据库字符型密文检索优化问题。对于加密后的字符型关系数据库,由于字符型密文数据关联性较弱,原有明文字符顺序性特征也会被弱化,给查询操作带来了极大的困难。传统的字符型数据库密文检索模型,无法全面分析加密后的密文字符的排名大小以及概率值大小,获取的字符型密文检索在语义上具有歧义性,效率低。提出采用模糊粗糙集的弱关联字符型数据密文检索模型。提取字符型数据的属性特征,从而为密文检索提供依据。根据模糊粗糙集相关理论,建立密文目标匹配度检索机制,对弱关联字符型数据进行密文检索。实验结果表明,利用改进算法进行字符型密文检索,能够在字符型数据关联性较差的情况下准确的检索到目标对象,有效的提高检索过程中的查全率和查准率,从而满足不同领域对于信息的需求。 基于DSP的电子行走辅助系统的设计与实现 为帮助视障者独立行走,基于DSP设计一套电子行走辅助系统。介绍系统的功能和基本结构,详细描述各模块的硬件设计和系统软件工作流程。该系统以实时图像处理为基础,能够完全脱离PC机实现视频图像的采集、处理和语音提示等功能,帮助视障碍者独立行走。该系统具有小巧、便携、使用方便的特点,与传统助盲设备相比提高了导盲精度,与大型电子盲道或全球定位系统相比节省了成本,实验验证了其有效性。 基于字典学习的模糊车牌中文字符识别 车牌识别技术已经是一项非常成熟的技术。而车牌当中的中文字符由于笔画比较复杂且位置较偏导致拍摄条件受限,得到的车牌中文字符图像质量不佳,往往较难辨认,从而给车牌识别工作尤其是车牌中文字符识别带来了极大困难。文中采用基于费希尔判别准则的字典学习方法来提取中文字符的特征,为了从不同的角度对中文字符提取特征,用不同的训练样本训练三个字典学习模型,将车牌中文字符样本分别通过训练好的三个字典学习模型,从而形成三种残差信息,用Softmax对三种残差信息进行整合,最终得到识别结果。通过实际测试表明,由于文中采用了更加具有区分能力的基于费希尔判别准则的字典模型,且采用三种不同的字典学习模型同时对同一个中文字符进行特征提取,与传统的中文识别方法相比,该方法对模糊车牌中文字符具有较好的识别效果。 基于频繁项目集的震前遥感异常信息挖掘 已有研究表明地震活动区域红外遥感数据存在异常。本文针对遥感数据提出一种数据挖掘方法,运用频繁项集挖掘地震区域数据的异常特征,然后进行地震预测,同时选取非震数据进行反向验证。使用大气红外探测器(AIRS)2006~2013年的数据进行分析,根据大量实验,初步得出大气红外探测器数据可用于地震预测,地震预测最佳前兆时间为30天,前兆区域为边长2°正方形,预测的准确率最高可达81.8%,误报率为5.6%。 分布式异构数据库同步集成的研究与应用 企事业单位拥有众多不同系统,形成信息孤岛,为了更好地利用数据资源,实现数据共享,提出一种基于XML/JAVA的分布式异构数据库同步集成方案,该方案屏蔽了各个异构数据源的操作系统、数据库平台、数据结构等方面的异构性,为系统提供了灵活多变的集成方案。 多特征融合的在线更新目标跟踪算法 为了适应跟踪过程中目标光照条件的变化,并对目标特征进行在线更新,提出一种将局部二元模式(LBP)特征与图像灰度信息相融合,同时结合增量线性判别分析对目标进行跟踪的算法.跟踪开始前,为了获得比较准确的目标描述,使用混合高斯模型和期望最大化算法对目标进行分割;跟踪过程中,通过蒙特卡罗方法对目标区域和背景区域进行采样,并更新特征空间参数,得到目标和背景的最优分类面;最后使用粒子滤波器结合最优分类面对目标状态进行预测.通过光照变化的仿真视频和自然场景视频的跟踪实验,验证了文中算法的有效性. 基于Snake和外观模板的组合式图像对象分割 针对目前图像对象分割方法对图像的特征提取和抽象度不够,导致分割精度低的问题,提出了基于Snake模型和外观模板的组合式图像对象分割研究方法。该方法将改进的外观模板图像对象分割算法得到的分割结果经过预处理作为改进的Snake模型的图像分割算法的输入初始轮廓进行精确处理。该算法在提升对象分割精度的有效性上达到了96%以上。 基于向量模的坐标变换不变性的碎片匹配方法 根据向量模在平移、旋转等坐标变换中具有不变性的特征,给出了一种新的碎片匹配算法。先对碎片图像进行预处理得到图像边界,然后计算每条边界曲线上任意两点构成的向量的模(欧式距离),并按边界点顺序排列向量模值,比较向量模值,找出最长的匹配段就是两个碎片的匹配边。在拼接时,同样根据模的这一特征,已知点坐标和模值,解方程组即可求得其余边界点在目标坐标系的坐标。该方法原理简明,编程容易,计算速度快。最后通过实验验证了该方法的有效性。 某常减压装置换热网络节能改造 应用夹点技术对某炼油厂常减压蒸馏装置换热器网络的用能状况进行分析,找出其瓶颈所在,对整个网络进行调优,提高拔头油换热终温、网络可操作性和操作弹性,求得最小网络投资和操作费用。根据现有换热网络流程结构,收集、提取相关冷热流股的数据,按照问题表格法进行夹点计算,确定原换网的最小传热温差、夹点位置及相关用能信息。绘制原换热网络格子图进行用能诊断,分析其中存在的不合理用能问题,确定能量回收目标和节能潜力;依据夹点设计法基本原则,同时考虑到现行换热网络结构,分两步进行整体调优。优化连接方式、换热顺序,充分利用原有换热器,首先考虑夹点处物流的匹配,然后分别向两头进行物流间的匹配换热,重新匹配某些冷热流股,调整局部传热温差,使换热器的负荷及温差更趋于合理。制定出两套改造方案,计算了改造费用和投资回收期,分析了两方案的优劣。两方案均消除了原换热网络中违反夹点设计基本原则的不合理用能现象,节约了加热和冷却公用工程费用,在原生产换热网络的基础上,两方案分别将拔头原油的换热终温提高了12℃和17℃,同时提高了整套装置操作弹性。 一种面向环境识别的恶意代码完整性分析方法 针对恶意代码动态分析方法存在行为获取不完整的问题,提出了一种面向环境识别的恶意代码完整性分析方法,通过分析恶意代码执行过程中的数据流信息识别恶意代码敏感分支点,构造能够触发隐藏行为的执行环境,提高了恶意代码行为分析的完整程度。通过对50个恶意代码样本的分析结果表明,该方法能有效缩减分析时间,获得更加全面的行为信息,有效提高分析效率和分析的完整性。 空间机器人姿态稳定方法研究 研究机器人动态优化问题,空间机器人的动力学耦合特性会影响系统的稳定性。空间机器人由于机械运动基座产生扰动,影响姿态稳定。通过建立空间机器人的动力学模型,结合动力学耦合特性分析了空间机器人的零反作用空间。提出基于零反作用空间的基座姿态稳定方法,实现了机械臂与基座的动力学解耦。不采用反作用飞轮或反作用喷气装置,即可使空间机器人的基座姿态达到稳定,达到了节省星上能源,延长空间机器人使用寿命的目的。在Matlab上进行数值仿真实验,结果验证了方法的有效性和可行性。方法能够为空间机器人在轨操作提供理论依据。 一种无证书的在线/离线盲签名方案 无线通信终端处理能力和响应速度能力有限,普通的数字签名方案无法适用。为此,结合优化的在线/离线签名,构造一种无证书的在线/离线盲签名方案。在签名过程中,大部分运算在盲消息给出之前进行,在给出盲消息之后,再进行少量运算得出签名,签名人并不知道所签文件内容。性能分析结果表明,该方案可以应用在无线网络上,用于保护用户隐私。 结合RGB颜色特征和纹理特征的消影算法 在视频监控的运动检测中,运动阴影常被误认为视频对象。为了解决这个问题,提出了一种结合RGB颜色特征和纹理特征的运动阴影检测方法。利用背景差和多帧差结合的方法,获得包含运动阴影的前景分割,在此基础上利用阴影区域中RGB特征的相似性(其RGB向量夹角较小)与局部二值纹理模式(Local Binary Pattern,LBP)相结合,进行阴影检测与去除;使用形态学的方法去除像素突变的边缘部分。实验表明,该方法在运动目标检测及跟踪方面取得了较好的效果,与其他消影算法比起来,速度较快。 一种面向分布式嵌入式计算机的性能评估模型 不同领域的嵌入式计算机的性能评估有很大的差异性,对分布式嵌入式计算机的性能评估需要考虑其自身特点。分析了分布式嵌入式计算机的一般特征,提出了一种结合软硬件的、面向分布式嵌入式计算机的性能评估模型。该评估模型从分布式嵌入式计算机的通用技术特征方面入手,提出了度量系统性能的指标和评估准则,具有指标定义明确、评价过程简便等优点。总结了几种常见的综合评价方法,为分布式嵌入式计算机的性能评估定义了完整的综合评价过程。通过实验表明了该方法的可行性。该研究对分布式计算机系统的体系结构设计、性能分析与改进具有重大的意义。 一种Cisco IOS通信过程分析方法 Cisco IOS无法进行动态调试分析,难以截获通信过程的系统异常。为此,提出一种Cisco IOS通信过程分析方法。构建路由器硬件抽象层虚拟机,设计虚拟化动态分析平台,给出关键指令流与通信特征的提取方法,对多指令集架构、多版本的Cisco IOS进行加载实验。实验结果表明,该方法可以有效截获指定通信处理过程。 基于概率超图的视频事件语义检测 视频事件类别的归属具有模糊性和不确定性,将超图的点边射入矩阵拓展成概率形式的软超图进行关联关系分析和语义分析,将会更有利于提高多事件检索检测的精准率和召回率。提出基于概率超图模型的视频事件语义检测算法(PHVESD)。该方法首先将颜色、灰度共生矩阵、Tchebichef矩、局部二值模式(LBP)等四种底层视觉特征进行融合;然后定义视频段的亲密度函数并利用亲密度的信息构建概率超图模型,其中每条超边对应一种事件语义;采用随机游走过程来预测视频段属于每条超边的概率;最后结合阈值采用条件概率模型对视频段进行事件语义分类。将该方法用于交通突发事件多语义检测中并与其他的识别算法相比较,实验结果表明,与基于超图模型的多标签随机游走算法(MLRW)相比,PHVESD的算法使多语义事件检测的准确率提高了10%,召回率提高了8%。 城市轨交自动售检票车站管理系统的研究与实践 城市轨道交通自动售检票车站管理系统在轨道交通运营中直接面向乘客,并在线路自动售检票系统中承担着承上启下的关键作用。从车站管理系统的功能需求出发,结合自动售检票系统具有分层系统的结构特征,对车站管理系统的构架模式和参考模型进行研究和设计,提出关于XML规范接口协议简约与重构的技术思路,描述异构平台数据收发的处理流程,并给出基于WPF技术编程实现设备运营监控界面示例。 改进的自适应谱聚类NJW算法 聚类算法是近年来国际上机器学习领域的一个新的研究热点。为了能在任意形状的样本空间上聚类,学者们提出了谱聚类和图论聚类等优秀的算法。首先介绍了图论聚类算法中的谱聚类经典NJW算法和NeiMu图论聚类算法的基本思路,提出了改进的自适应谱聚类NJW算法。提出的自适应NJW算法的优点在于无需调试参数,即可自动求出聚类个数,克服了经典NJW算法需要事先设置聚类个数且需反复调试参数δ才能得出数据分类结果的缺点。在UCI标准数据集及实测数据集上对自适应NJW算法与经典NJW算法、自适应NJW算法与NeiMu图论聚类算法进行了比较。实验结果表明,自适应NJW算法方便快捷,且具有较好的实用性。 救灾物资军用车辆合理调度方法研究与仿真 研究救灾物资军用车辆高效调度问题。灾区环境复杂,部分路段容易发生意外阻塞,这种灾区路径阻塞在路径和拥堵放向都是不定的,存在较大随机性和突发性,造成后期调度模型的路径选取存在突发性错误。传统算法多是基于车辆调度模型进行调度的,如果路径选取出现随机突发性错误,导致车辆调度效率降低。提出了一种蚁群信息反馈算法的救灾物资军用车辆调度方法。计算路段阻塞系数,并将其反馈到调度端,利用蚁群极值方法选取最优路径。实验证明,改进算法能够避免路径突发性阻塞造成的的缺陷,提高了救灾物资军用车辆的调度效率。 输电线路单组检修计划模型及求解 为保证电力系统运行的安全性和可靠性,建立一种基于单组维修输电线路检修计划的时间约束旅行商问题模型。考虑线路重要性,同时保证线路检修时段始终控制在可选范围内,以可靠性理论中故障率为基础的经济损失风险最小为目标,设计出一种新的改进蚁群算法对模型进行求解,以便改善基本蚁群算法易于陷入局部最优解的缺点。实验结果表明,应用该算法的模型能够较好地解决输电线路检修计划的制定问题。 红外搜索跟踪系统的半实物仿真系统设计 信息处理组件的设计与验证是红外搜索与跟踪系统研制过程中的关键环节;设计了一个基于嵌入式多媒体系统的红外信息处理组件半实物仿真测试系统,能够通过嵌入式系统完成红外目标场景视频流的实时生成、伺服控制模型的在线解算,软件仿真环境通过以太网与实物系统交互,实现针对红外信息处理组件的半实物闭环仿真测试,为信息处理组件的设计、仿真验证和性能评估提供了集成验证环境,将能够有效缩短整个系统的研制周期,提高研制质量。 Web采集中信息组合自学习的研究 Web采集者为了尽可能准确地采集符合主题的网页信息,一般会根据多种Web信息来预测待采集链接的价值。文中为了提高Web采集系统预测链接价值的准确性,提出了一种能根据已采集页面自行调整Web信息重要性的Web采集者。它具有学习能力,能通过对训练集的爬行,分析出对于预测链接价值各种Web信息的重要性,以此调整采集过程中各Web信息的组合权值,得到符合实际Web情况的较优搜索策略。以计算机作为采集主题,对此算法和传统的Web信息固定组合的算法进行了比较。实验结果表明,较之传统的Web采集者,使用此算法的采集者具有较高的Web搜索精度。 一种能量有效的无线传感器网络路由算法 无线传感器网络中传感器节点能量有限,为了提高能量利用率,针对现有算法随机选择簇首、簇结构不合理等缺陷提出了一种新的能量有效的分簇路由算法EERA.EERA采用新的簇首选举、成簇,以及构建簇间路由算法,基于节点剩余能量与节点的相对位置选择簇首、成簇,使剩余能量较多的节点优先成为簇首并且各簇首能较均匀的分布在网络区域内;构建簇间路由时将最小跳数路由算法与改进的MTE算法结合起来,在簇间形成最小跳数、最小能耗路径.仿真结果表明,EERA算法可以均衡全网能量消耗,延长网络的生命周期. 小麦冠层图像H分量的K均值聚类分割 大田环境下小麦冠层图像具有光照不均匀、背景复杂及阴影遮挡等特点,经典图像分割算法存在精度低、过分割等问题,提出一种基于HSI空间下H分量的K均值聚类算法。使用R+G-B归一化处理RGB空间下的彩色图像,以抑制其B分量;将归一化图像进行RGB到HSI的颜色空间转化;根据光照是否均匀,使用K均值聚类算法对彩色图像的H分量进行不同的聚类处理,经形态学开运算及去噪处理获得最终目标图像。实验表明,该方法对不同施氮量、不同光照、不同生长时期小麦冠层图像的分割效果较好,相对基于Lab空间的K-means聚类分割,该方法可一定程度避免过分割现象;相对基于H分量的Otsu算法,对光照不均匀图像分割更完整,对复杂背景图像分割更精确。 星载测向定位滤波算法研究 为了有效改善运动状态中辐射源测向定位精度,并进行实时定位,根据星载正交干涉仪测向和定位技术原理,对星载测向过程中存在的系统指向误差进行了数学建模。引入了扩展卡尔曼滤波技术,通过最优估计值为标称值对测向系统非线性模型的线性化处理,采用状态空间递推方法来进行实时估计,从而对测向随机过程进行实时最小方差估计;建立了地理位置推算的扩展卡尔曼滤波具体实现算法。仿真给出了一组典型的系统误差和位置误差滤波推算结果曲线,表明提出的扩展卡尔曼滤波模型和算法的正确性,达到了可纠正系统误差,改善辐射源地理位置估计值的效果。 一种八边形的混合快速运动估计算法 根据图像序列的运动矢量的时空相关性和中心偏移特性,并结合零运动判断,设计研究了一种八边形模式搜索[1]。其在中等或大运动块的情况下相对于FS、TSS、DS、MVFAST能够得到更高的精确度,又由于对非静止块采用了起始点预测,减少了搜索的时间,同时可以大大提高搜索速度。 基于非均匀分簇多跳通信的改进Q-Leach研究 为了进一步提升Q-Leach协议的性能,均衡无线传感器网络能量消耗,文中提出了一种改进型路由协议UMQLeach。该路由协议合理利用了Q-Leach协议的子区域划分规则,借此简化了簇间多跳通信机制;为了进一步均衡网络能耗,引入了非均匀分簇策略,并设计了随节点能量变化的自适应竞争半径,通过自适应竞争半径改善了协议性能。仿真结果表明,与Leach、Q-Leach协议相比,UMQ-Leach具有更好的网络能耗均衡性,进一步延长了网络的生命周期。此外,仿真结果同样说明UMQ-Leach协议中最大竞争半径的选取能够影响协议性能。 基于多视图深度采样的自然场景三维重建 自然场景的多视图三维重建一直是计算机视觉领域的基本问题,有着广泛的应用.随着深度获取设备的日益普及,如何有效地利用多视点的深度图信息重建场景的三维模型已成为一个重要的研究课题.为了自动剔除输入深度图中的错误深度信息,恢复高质量的场景模型,提出一种多视图深度采样方法来实现自然场景的三维几何重建.首先对深度图进行非均匀采样以获得每帧的三维点集,并剔除部分深度误差较大的三维点;然后通过深度置信度估计的方法对多帧的三维点集进行融合并剔除多帧之间重复冗余的三维点,从而获得整体场景的三维点云;最后基于融合后的三维点云生成完整的场景几何模型.一系列复杂的自然场景实例证明了该方法的正确性和鲁棒性,其不仅能够重建小规模的物体,也同样适用于大规模场景的三维几何重建. 表演驱动的矢量化二维表情动画 为了方便快捷生成生动的卡通表情动画,提出将表情数据重定向到卡通形象上的算法.该算法由用户在卡通形象上标记出与表情数据相对应拓扑结构的控制点,以此控制点集合与表情数据首帧控制点集合做比对;使用得到的比例关系将后续表情数据重定向到卡通形象上,从而形成针对卡通形象的表情数据.实验结果表明,运用文中算法可以制作出较为生动形象的卡通表情动画. 基于寿命分布模型的数控装置可靠性定量评估方法 由于数控装置的使用周期长、故障次数少、分布模型不确定等特点,使得可靠性测试与评估工作难以实施.针对该问题,本文引入了基于数理统计的方法,根据已知的产品故障失效时间,通过假设检验确定产品寿命分布模型.本文以该模型为基础,通过引入加速寿命试验的方法,在保证失效机理不变的情况下,极大缩短了可靠性试验时间,完成了数控装置可靠性试验和定量计算,从而使得数控装置的可靠性测试与评估工作变得切实可行. 基于Hadoop MapReduce的组合服务性能优化研究 对Hadoop中的任务调度进行了研究,在分析Hadoop作业调度算法的需求的基础上,文中提出了调度算法在线性意义上的解空间。针对Hadoop的编程模型框架,提出了一种结合禁忌搜索思想的改进人工鱼群算法。在该算法中,以任务总执行时间作为寻优函数,采用线性编码方式,每一个N维向量代表一种具体调度方案;利用将解向量直接作为人工鱼的方法,使人工鱼群算法可以直接在解空间内运行。结合禁忌搜索思想,既保留了人工鱼群算法计算基数大仍能快速收敛的优点,又充分利用禁忌搜索不会陷入局部最优解的优势。通过仿真实验将该算法和Fair算法进行比较,结果表明:改进的人工鱼群作业调度算法可以提高系统性能,降低任务运行时间,是一种Hadoop环境下有效的任务调度程序。 基于嵌入式Linux的USB3.0视频驱动的改进 虽然嵌入式Linux下的USB技术有了突飞猛进的发展,但嵌入式USB3.0的应用和普及还处于发展的起步阶段;因此,以LZ3014开发板为开发平台,嵌入式Linux为操作系统,奥尼ANC C289摄像头为视频采集设备,完成了新的数据传输机制的设计,并实现了USB3.0视频驱动的改进及优化;经过测试,数据传输速率较未改进前有了明显提高,但CPU占用率较高。 移动数据库中企业信息质量优化选择研究 对移动数据库中企业信息质量的优化选择,能够有效提高数据信息的质量。对信息质量的选择,需要把信息信号的解析模型进行分解,将信息节点选择数据发送至数据节点。传统方法简化数据参量间相关的关系,构建异常的选择体系,但忽略了信息节点的传送处理,导致选择精度偏低。提出基于分布式离群的移动数据库的信息质量优化选择方法。该方法首先对数据库中的信息质量进行特征提取,建立数据信息网络模型,利用固有的模态分解把信息信号的解析模型进行分解,利用分布式离群的选择算法对提取的数据特征进行数据优化选择,将信息节点利用滑动的窗口选择数据发送至数据节点,选择数据节点间的离群数据和数据节点,最后将数据节点选择传送的离群数据得出优化后的数据,由此完成对移动数据库的信息质量优化选择。实验结果表明,所提方法能有效地提高数据优化选择的准确率。 第十五届全国嵌入式系统学术会议将在辽宁省沈阳市隆重举办 [正文]第十五届全国嵌入式系统学术会议将于2017年11月17日-19日在辽宁省沈阳市举办。会议由中国计算机学会(CCF)主办,CCF嵌入式系统专业委员会、东北大学计算机科学与工程学院、东北大学智慧系统国际创新实验室等联合承办。本次大会将邀请院士和国内外嵌入式系统领域的顶级专家、学者作大会特邀报告,同时还设有专题论坛和分会场口头报告,科技成果展示、学术论文交流等形式多样的活动。会议旨在讨论嵌入式系统领域的最新研究成果和发展趋势,开展广泛的学术 基于观测器的全方位平台滑模轨迹跟踪控制 以Mecanum轮型全方位移动平台为对象,建立运动学和动力学模型,研究机器人的观测目标优化控制问题。针对全方位轮特有的滑移和平台的重心偏移等不确定和非线性因素对运动的影响,采用多体动力学软件RecurDyn,构建了包含各种非线性因素的虚拟样机模型。提出采用等效控制的滑模控制器,通过非线性扩张状态观测器,可以实现对系统不确定项和干扰的估计,有效地降低了切换增益。引入双曲正切函数代替符号函数,消除了输出抖振。通过仿真分析,取得了良好的轨迹跟踪效果,表明该方法是有效和可行的。 基于知识制造系统优化及ASP.NET下实现 研究了基于知识的制造系统的性能优化,首先说明如何表示制造系统的知识以及怎样将这些知识管理起来并应用到设计过程中,用知识单元表示每条知识,每个知识单元又包含对象、已知、未知和求解方法等要素;然后,研究了在ASP.NET和SQL SERVER 2000环境下,基于知识的生产系统的整体架构;最后以串行生产线为例,按照系统架构,实现了计算平均生产率的算法的调用,表明在ASP.NET环境下,基于知识的制造系统的性能的计算和优化是可行的,能够很大地提高制造系统的设计效率。 基于嵌入式技术的测试设备远程监控数据采集设备 为满足导弹测试设备远程监控数据采集的需要,基于嵌入式技术设计了一种测试设备远程监控数据采集设备;它以嵌入式计算机为核心,作为整个测试设备远程实时运行监控管理系统前端信息采集处理设备,分布配置在各测试现场,用于获取测试现场相关信息,并上报到监控中心,是监控中心主要信息的来源;实验结果表明,该数据采集设备结构简便、功能强大、运行稳定,易于扩展,能够完成导弹测试设备远程监控数据采集功能。 视线跟踪中基于虹膜识别的一次标定方法 为了简化头戴式视线跟踪系统中的标定过程,提出一种无需添加任何硬件的、基于虹膜识别的一次标定方法.使用者只需在第一次使用头戴式视线跟踪系统时进行标定,再次使用时,系统会自动进行虹膜识别,调出使用者第一次标定时的眼部图像数据来计算当前眼部图像与标定时的眼部图像的相对偏转角和偏移量,得到使用者当前的标定参数.实验结果表明,该方法可以在不影响系统原有精度的条件下,省去使用者每次使用视线跟踪系统时都需要进行的烦琐的标定过程,大大简化了系统的标定,降低了系统的使用复杂度. 三次插值频率估计的改进算法 对正弦信号频率估计的几种插值算法进行了研究,重点介绍了一种精度较高的三次插值算法,针对其估计误差仍然较大,而且在频率接近某一区域时精度下降的情况,提出了一种修正算法,仿真结果证明该算法在只增加少量计算量的情况下性能非常优越。 移动电子商务消费者交易信任度评估仿真研究 对移动电子商务消费者的交易信任度进行评估,能够有效提高电子商务交易过程的安全系数。对移动电子商务交易信任度的评估,需要实现交易信任度评估权值的分配,得到交易信任度值的隶属度,完成移动电子商务消费者交易信任度评估。传统模型给出移动电子商务实体交互信任度量公式,计算出信任推荐实体的可信程度,但忽略了得到交易信任度值的隶属度,导致评估精度偏低。提出基于模糊决策理论的移动电子商务消费者交易信任度评估模型。以直觉模糊理论为依据计算出消费者评估拟隶属度,结合K均值聚类理论得到直接信任和间接信任,实现移动电子商务消费者交易信任度评估权值的分配,得到移动电子商务消费者信任度值的隶属度,并组建移动电子商务消费者交易信任度评估模型。实验结果表明,所提模型在移动电子商务消费者交易信任度评估方面更加准确,能够有效降低交互风险。 基于DCS的电力节能优化控制系统的设计与实现 为了解决电力系统的节能优化和有效控制的问题,论文对分散控制系统进行了充分研究,将分散控制系统应用于电力系统的设计之中;同时对传统的粒子群智能搜索算法分析进行了分析,研究了其特点和不足之处,在传统的PSO节能控制方法的基础上,提出了一种多重自适应的粒子群优化算法,该算法相较于传统粒子群搜索算法具有较高的搜索精度;论文结合分散控制系统与所提算法设计与实现了一种新的基于DCS的电力节能优化控制系统;数值仿真的结果说明了使用所提出的粒子群算法的基于DCS的电力节能优化控制系统在电力调度最佳节点的搜索精确度要高于相同条件下的一般的电力控制系统,并且能有效地对电力能耗进行优化,达到节能目的,且可减少一部分人力资源,具有较高的实用性。 Android手机端运动量检测的研究与应用 运动量是否合适与人们的健康密切相关。虽然有不少文献对日常运动量、运动耗氧量与运动加速度的关系做过深入的研究,然而由于缺少大众化的、方便及有效的硬件支持,这些理论很难应用到人们日常生活。而随着重力传感器及其他各类传感器在智能手机上的出现,特别在Android及其他平台的智能手机的逐渐普及,使得手机成为这些理论的最佳实践环境。将当前运动量检测研究的最新理论应用于Android手机端的运动量检测软件。通过实验结果表明,该软件对人体的运动量检测准确度高,信息采集灵活。 基于IRST的谱图相似性查找方法研究 光谱图相似性匹配是推测化合物结构的重要研究方法之一,而如何在标准谱图数据库中进行相似性查找是关键步骤。传统的谱图匹配方法在数据量较大时,检索效率较低。本文首次将互关联后继树(TRST)算法思想应用于光谱图数据领域,从光谱图特征数据点出发,通过对算法的改进,提出了1种基于斜率序列的互关联后继树算法(SSIRST)实现光谱图相似性匹配查找,旨在通过减少匹配过程中的数据量缩短查找时间。实验结果表明,算法可以有效提高光谱图相似性匹配查找效率1倍以上。 静态排序算法设计与分析 描述静态排序算法的定义、设计思想以及具体的实现,并且从理论值和实验值两个方面入手对静态排序算法与其它排序算法的复杂度进行了详细的比较,最终根据对比结果认真分析得出各种算法的适用情况。 组加权约束的核稀疏表示分类算法 提出了一种称为核加权组稀疏表示分类器(kernel weighted group sparse representation classifier,KWGSC)的新型模式分类算法.通过在核特征空间而非原输入空间引入组稀疏性和保局性,KWGSC能够获得更有效的鉴别性重构系数用于分类表示.为获得最优重构系数,提出了一种新的迭代更新策略进行模型求解并给出了相应的收敛性证明以及复杂度分析.对比现存表示型分类算法,KWGSC具有的优势包括:1)通过隐含映射变换,巧妙地规避了经典线性表示算法所固有的规范化问题;2)通过联合引入距离加权约束和重构冗余约束,精确地推导出查询样本的目标类别标签;3)引入l2,p正则项调整协作机制中的稀疏性,获得更佳的分类性能.人造数值实验表明:经典线性表示型算法在非范数归一化条件下无法找到正确的重构样本,而KWGSC却未受影响.实际的公共数据库验证了所提分类算法具有鲁棒的鉴别力,其综合性能明显优于现存算法. 基于小波包最优基的运动想象EEG自适应特征提取方法 "针对运动想象脑机接口系统存在分类正确率低、自适应能力差等不足,提出一种基于小波包最优基的自适应特征提取方法;该方法首先对运动想象EEG进行小波包分解;其次,对传统的距离准则进行改进,通过引入权重因子表征对类内距离和类间距离的关注程度,获得一种既可满足小波包最优基评价准则的可加性条件,又有效地增强了频带特征信息的可分离性的评价准则;进而,采用""自底向顶、自左至右""的快速搜索策略获取小波包最优基,并选取最优基对应的分类性能评价值较高的部分频带小波包系数构成分类特征;仿真结果表明本方法最高分类正确率可达93.4%,与常用的时频分析方法对比,验证了本算法具有较高的分类正确率和较小的时间花费。" 基于数学形态学的集装箱箱号分割改进算法 提出了基于数学形态学和直方图投影的集装箱箱号分割改进算法。在箱号定位阶段,运用基于边缘检测和数学形态学的改进算法,能自适应确定形态学结构元素的大小,将箱号区域连通成一个区域,并能解决集装箱文字纵向排列的问题。字符分割阶段用数学形态学方法消除干扰边缘和噪声,通过投影直方图法完成行与列分割。实验表明,该算法简单可行,只需较少的集装箱先验信息,并且整箱分割正确率达到93.33%,证明了算法的有效性。 基于业务量感知的动态TDMA协作MAC协议 针对动态TDMA协作MAC协议(C-DTDMA)在高业务量或低信噪比网络中性能下降的问题,对动态TDMA协作MAC协议进行改进,提出一种基于业务量感知的动态TDMA协作MAC协议。通过在控制时隙进行信息交互,传输失败节点利用业务量较小节点的数据时隙进行协作重传。对改进的协议进行数学建模和数值仿真分析,仿真结果表明,该协议可使传输失败节点在高业务量网络中获得较高吞吐量,在低信噪比环境下满足了业务量较大节点的吞吐量需求。 基于ARMv8架构gadget自动搜索框架 为了在ARM公司最新发布的首款支持64位处理器的ARMv8架构上实现ROP(Return_Oriented Programmig)技术,设计了ARMv8架构上的ROP gadget的自动搜索工具。通过结合ARMv8架构指令系统的特点,首先在库文件搜索出所有的以RET指令结尾的短指令序列,并把这些指令序列存储在gadget库中,然后采用一些优化策略对该库进行优化,最后根据用户的输入在库中搜索到与用户输入功能相同的gadget链。通过对搜索工具搜索到的gadget的统计,结果表明gadget集具有图灵完整性,可以执行任意的操作。最后通过一个实例证明了ROP在ARMv8架构上的可行性。 基于训练数据动态分配的深度学习并行优化机制 基于MXNet框架,针对同步并行下参数同步耗时过长这一问题,提出了一种多机同步并行下的训练数据动态分配算法。基于计算节点的计算效率,每一次迭代后将动态调整节点需要处理的样本数据量。这样的机制使模型既能同步并行也降低了等待梯度更新的耗时。最后,利用天河二号超级计算机对此优化算法进行了对比实验,实验结果表明,所提出的优化机制达到了预期效果。 多核虚拟机监控系统 随着多核处理器时代的到来,虚拟化技术被广泛使用,而多核虚拟机就是其中一种.目前多核虚拟机监控一般都是采用硬件虚拟化的技术,即通过虚拟化技术虚拟出多个串口来达到监控目的.给出一种基于系统级共享内存的多核虚拟化监控系统方案,并提供了完整的设计与实现方案. 冠状动脉CTA对比剂注射方案优化的前瞻性研究 目的:验证一种基于药物代谢动力学和生理学模型的原型软件,再结合患者胸部大血管时间-密度曲线,优化冠状动脉(冠脉)CTA检查对比剂注射方案的效果。方法:连续收录自2016年6月至9月于我院行冠脉CTA检查的患者,并随机分入A组(团注对比剂跟踪组)、B组(小剂量团注测试组)和C组(原型软件计算组)。冠脉诊断的目标CT值为350HU。冠脉CT值由一位放射科医师手动测量。所有数据的统计采用SPSS 18.0分析,并进行方差分析和Levene检验。结果:共199例患者,三组间患者的一般资料之间没有显著差异。A组的冠脉强化程度在三组中最高,但是远高于诊断需求的CT值(350HU)。与B组相比,C组的右冠状动脉中段(S2)、远段(S3)的强化程度更高,而其他节段的强化值则与C组相仿。且C组所用的对比剂总量在三组中最少。除了S2、S3段外,C组冠脉强化程度标准差在三组中最低,表明C组冠脉(除了S2、S3段以外)强化程度的组内差异最小。结论:与传统的对比剂注射方案相比,通过原型软件计算,可以显著减少冠脉CTA检查对比剂的使用量,同时获得较好的强化效果(最接近诊断需求的强化值和最均匀的强化效果)。 改进的遗传算法优化二维不规则图形排样 针对大规模零件和不规则石材下料优化排样问题,提出了改进的遗传算法优化排样方法。采取二进制与十进制混合编码的策略,既克服了单独使用二进制编码时,编码串太长且操作不方便的不足,又解决了十进制编码中相近的编码方案获得的材料利用率却相去甚远的问题;通过计算矢量图形的相似度,从而对图形群体进行分类,降低了遗传算法的时间复杂度。实验结果表明,该优化排样算法在时间复杂度和空间占有率上均优于传统的遗传算法优化排样。 基于距离加权模板约简和属性信息熵的增量SVM入侵检测算法 为了解决SVM入侵检测方法检测率低、误报率高和检测速度慢等问题,提出了一种基于距离加权模板约简和属性信息熵的增量SVM入侵检测算法。该算法对K近邻样本与待测样本赋予总距离加权权重,对训练样本集进行约简,并以邻界区分割和基于样本属性信息熵对聚类样本中的噪声点和过拟合点进行剔除,以样本分散度来提取可能支持向量机,并基于KKT条件进行增量学习,从而构造最优SVM分类器。实验仿真证明,该算法具有较好的检测率和检测效率,并且误报率低。 机顶盒家庭媒体共享系统研究与实现 数字家庭是近年来家庭电子消费领域逐渐升温的一个新概念,其定义是由家庭中的电子产品组成的互连、互操作的网络系统。基于此实现的多媒体和娱乐资源在家庭范围内的共享,成为近期技术发展的热点。机顶盒作为家庭媒体娱乐中心,除了实现传统电视直播、点播、Web浏览等业务,与家庭内其他电子设备实现媒体娱乐资源的共享成为必备的功能特性。为深入掌握家庭媒体共享技术并指导产品开发,本文对其系统构成、协议体系和工作原理等进行研究分析,并在此基础上,针对性提出了机顶盒作为媒体服务设备和媒体播放设备的基本架构和组成单元,并且对各单元功能进行详细描述,介绍了相关SDK的应用方法和流程,为机顶盒和其他家庭多媒体终端实现媒体共享功能提供了理论依据和实现参考。 反潜鱼雷对抗能力试验设计与评价方法研究 随着反鱼雷水声对抗器材的蓬勃兴起,水声对抗能力作为鱼雷作战能力的一项重要指标越来越受到重视,对抗能力试验设计与评价方法是反潜鱼雷试验鉴定面临的难点;为了解决这一问题,介绍了水声对抗器材工作原理和水声对抗基本作战使用原则,分析了影响鱼雷对抗能力主要因素和对抗器材组合方法,设计了贴近实战环境条件下的对抗试验方案,提出了一套反潜鱼雷水声对抗能力的综合评价方法,进一步完善了鱼雷试验鉴定理论。 基于M-tree的不等半径覆盖相异多样集求解方法 通过查询结果多样化处理,能够显著提升用户查询体验.过去的研究集中在等半径结果集多样化的无向图求解,而首次研究不等半径下多样集的有向图求解方法.基于结果集的覆盖相异多样集定义及有向图描述,提出多样化结果集的启发算法,并基于M-tree索引结构实现算法的求解.为了提高算法执行效率,提出剪枝等策略.最后,通过实验,从多样化结果集的大小及计算代价两个方面对比分析验证文中提出算法,并得出相关结论. 智能家居网关与云服务器数据同步协议的研究 在智能家居环境中,智能家居网关负责直接管理智能家庭设备,并且存储个性化的设备数据和情景模式数据。由于单个用户的智能家居数据是分散存储在智能家居网关中的,这给管理人员的维护与管理带来了诸多不便。因此,基于云技术研究了一套智能家居网关管理系统,实现对用户分散的智能家居数据的统一管理,从而提高管理效率。管理系统提供的功能主要有:对所有用户智能家居网关数据的集中存储、对所有用户智能家居网关数据的定时备份、对单个用户智能家居网关数据的管理、对单个用户智能家居网关数据的选择恢复。而这些功能的实现都基于智能家居网关与云服务器之间的数据同步技术。由于数据存储需求的不同以及硬件设备的限制,云服务器与智能家居网关之间需要使用异构的数据库。因此,针对保证异构数据库之间快速的数据同步问题,在分析与借鉴现有的数据同步协议后,提出了一套精简而实用的数据同步协议,用于解决云服务器与智能家居网关之间异构数据库的增量数据同步问题。 混合遗传算法求解退役工程机械回收及再制造系统中的碳排放问题 "对退役产品进行回收及再制造能够降低二氧化碳的排放量,但尚没有一个定量模型对退役产品的整个回收及再制造过程的碳排放进行评估。针对这种现状,以工程机械退役产品为对象,对回收及再制造过程中的""碳足迹""进行深入研究,建立了工程机械产品回收及再制造过程的碳排放评估模型;并采用改进的混合遗传算法对模型进行了求解,该算法将遗传算法和模拟退火算法相结合以克服它们各自在局部搜索和全局搜索方面的缺陷,引入了竞争机制和自适应机制来进一步抑制算法的早熟,实现了对复杂回收及再制造网络系统的高效处理。实例结果表明,该模型能够有效地解决退役产品回收及再制造系统的碳排放计算问题。" F-22飞行速度对雷达探测性能的影响 针对隐身飞机飞行速度不同会影响雷达探测性能的问题,采用侧站平飞模型对隐身飞机的空气动力学原理进行了分析,推导雷达坐标系与机体坐标系之间的转换矩阵进行了视线姿态角的解算,进而设定了航迹参数。结合F-22的全空域静态RCS数据库,仿真了三种飞行速度下动态RCS的起伏变化量,并进一步得到了归一化雷达作用距离与检测概率分别在时间序列上变化的仿真结果。分析结果表明:隐身飞机的飞行速度越快,防空雷达搜索和跟踪目标的难度将会增加,且在一定程度上降低了雷达的探测性能。 遥感卫星小推力轨道转移控制 在太阳同步回归轨道遥感卫星的小推力轨道转移控制问题的研究中,小推力推进与化学推进方式有本质不同,不能再用速度脉冲的方法来设计轨道。针对推力方式不同的问题,采用了一组无奇点的春分点根数表示小推力卫星的动力学模型,从最优控制理论出发,给出了协态变量微分方程和最优推力方向,将轨道转移问题转化为非线性参数优化问题,利用非线性序列二次型规划法求解。对遥感卫星在1天回归和10天回归轨道之间的转移控制问题进行仿真,证明了方法的有效性。 新型同相供电系统的仿真研究 关于电力系统三相平衡优化问题,同相供电技术能解决三相负载不平衡问题,取消电分相环节,有利于未来重载、高速铁路的发展。将YN,d11变压器和综合潮流控制器结合,构建新型的同相供电系统。根据有功电分离法实时检测谐波及综合补偿电流,采用滞环比较电流控制方法,控制综合潮流控制器消除系统三相不平衡,滤除无功、谐波电流。在MATLAB环境下建立新型同相供电系统,通过仿真证实构建的系统结构、补偿电流方法和电流控制方法是正确的,能实现同相供电,方案可行。 基于透视投影变换与动态候选策略的目标交接算法 提出使用透视投影变换与动态候选目标策略相结合的算法来实现多摄像机可靠的目标交接。首先提出使用透视变换来获得多摄像机画面的对应关系,然后采用最短距离法计算出多摄像机之间的对应目标。但由于摄像机镜头存在畸变系数,或受光照、图像背景干扰使得目标提取不准确,使目标投影到另一个摄像机画面的位置发生误差;特别当多个目标之间的距离较近时,采用最短距离法进行目标交接会产生多个候选目标。因此提出新的动态候选策略,根据目标运动信息的先验知识和颜色外观模型建立最大似然相似函数,获得特征相似度值最大的目标作为对应目标。实验结果证明,该适应场景复杂度的动态候选策略具有较强的鲁棒性。 求解云计算压力测试中并行任务密度的高速算法 针对当前云计算负载压力测试过程中,对所采集数据计算并行任务密度的算法效率较低的问题,基于空间换时间的思路,使用数学分析的方法,提出了一种时间复杂度为O(n lb n),空间复杂度为O(n)的求解并行任务密度的高速算法。实验结果表明,该算法与时间复杂度同为O(n lb n)的OpenSTA算法相比,效率约有6~8倍的提升。该算法对多个相同的并行任务密度能够解得并行时长最长者,可以准确反映负载最重的情况。该算法适合云计算进行负载均衡算法设计时,获取真实参照数据使用。 C-V活动轮廓模型的一个注记 "Chan-Vese提出的""无边活动轮廓""模型(C-V模型)是一个著名的基于区域的图像分割模型,它是基于Mumford-Shah泛函和二值PC函数(目标区域取一个值,背景区域取另一个值)解决图像分割问题的。在C-V模型中,定义能量泛函的面积项的系数被要求为非负值,这个要求限制了模型适用的范围。实验研究表明:面积项系数取负值时,C-V模型能够分割某些原来不适用的图像。" 面向ASCRA的循环流水启动间距自动分析及优化 在实现循环到流水硬件结构自动映射过程中,迭代间启动间距的自动分析技术是可重构编译器研究的难点.在现有细粒度可重构编译器中,主要采用人工输入制导语句的方法来控制循环并行流水硬件结构自动映射所需启动间距信息的生成,该方法只能采用固定启动间距方式对流水硬件结构进行控制,不能充分发挥并行流水硬件结构的性能,同时人工确定启动间距的方法降低了可重构计算应用的部署效率.针对细粒度可重构编译器的现状,文中提出了一种面向ASCRA的循环流水启动间距自动分析及优化方法.在细粒度可重构编译器中,建立多层循环流水迭代间启动间距分析模型,提出非固定启动间距控制策略,采用自动生成算法得到迭代间启动间距向量信息,并采用流水线调度技术对迭代间启动间距进行优化.实验结果表明,与现有HLS工具相比,文中方法不仅能够提高可重构计算应用在异构加速平台上的部署效率,同时能够有效改善循环应用在FPGA协处理器中流水执行时的性能,具有一定的可行性. 一种采用随机归一化相关系数调制的量化水印 该文提出了一种新颖的量化水印.该方案通过调制由宿主信号变换产生的特征信号嵌入水印信息.特征信号由源宿主信号与一个随机信号的归一化相关系数构成.对该信号的调制方法是从水印信息对应的码本中选择一个码字,码本设计使用了均匀量化器并考虑了多进制的情况.加水印的宿主信号在最小化嵌入失真的意义下产生,并使其与随机信号具有调制后的归一化相关系数.同时,该文给出了嵌入失真与可移除水印信息的最小通道失真的表达式,根据它们可以在码本中搜索最优的码字以提高水印性能.该文方案对幅值缩放攻击具有不变性,而且抗噪能力优于著名的扩展变换抖动调制水印.图像仿真结果表明,该方案具有良好的不可感知性以及能抵抗广泛的攻击,和几种典型的水印方案相比具有显著的性能优势. 高性能计算机总线变换器输出阻抗优化设计 针对中间母线架构分布式供电的高性能计算机电源稳定性问题,详细分析了三种不同控制方法总线变换器的输出阻抗特性,给出了负载点变换器输入阻抗低频值和总线变换器输出阻抗峰值的计算公式。建立了由一个总线变换器和两个负载点变换器组成的实验电路,对总线变换器输出阻抗进行了优化设计,并实际测量了输入和输出阻抗,验证了理论分析的正确性。实验和应用结果表明,输出阻抗优化设计可以有效解决高性能计算机电源稳定性问题。 基于多授权中心属性基加密的多域云访问控制方案 针对多授权属性基加密方案的合谋攻击和多域共享数据问题,提出了一种基于多授权中心属性基加密的多域云访问控制方案。中央认证机构不参与用户私钥的生成过程,有效避免了用户与授权机构之间的联合攻击;通过线性秘密共享方案和代理重加密技术,云服务器对上传的数据文件进行重加密,实现了单域和多域用户数据的共享。分析结果表明,新方案在用户私钥生成和文件加/解密上具有较高的性能,并在q-parallel BDHE假设下是自适应性安全的。 基于PDM平台和Workbench框架的SHIDS系统实现 SHIDS是中国船舶科学研究中心开发的船舶水动力性能集成设计系统,在船舶总体设计的前期阶段起着非常重要的作用。基于PDM平台和ANSYS Workbench框架,集成SHIDS系统,提供船舶初步设计的解决方案,具有重要的应用价值。介绍Work-bench框架及其二次开发方法,分析PDM平台与SHIDS系统数据交互接口,研究并阐述Workbench中集成SHIDS系统的设计框架与关键技术。 实时大规模远程实验通信方案研究 针对开放式远程实验平台的高并发、实时性、可靠性和安全性需求,设计并实现了一种高并发访问的远程实验通信方案。该方案能够实时连接大规模传感器网络,具有实验仪器与用户并发访问、实时可靠消息传输、网络安全控制和仪器安全保障功能,能有效提高远程实验的通信性能。在模拟大规模用户实时并发访问的情况下进行性能测试,测试结果表明该方案不仅能满足实验通信的并发需求,还能有效确保消息的实时可靠传输和安全控制,具有较高的应用价值。 一种基于社会网络的SIP垃圾即时消息的检测方法 根据SIP即时消息通信的行为特征,建立用户的社会网络关系图;提出一种IMNTA(IM network topology arithmetic)算法,将具有双向通信关系的用户归并为一类,建立SIP垃圾即时消息识别模型.通过提取待检测的即时消息的特征属性,判定是否SIP垃圾即时消息,并对SIP垃圾即时消息识别模型进行自动更新.实验结果表明,本方法只需要少量信息就可以快速、准确地对SIP垃圾即时消息进行检测. 基于群体语义信息的顾客需求重要度确定方法 为系统化地分析顾客需求的相对重要性,提出一种利用产品规划质量屋中的竞争性信息及卡诺模型调整顾客需求基本重要度的方法。首先,利用基于语言信息的决策理论进行竞争性评价和群体语义信息集结,根据集结结果构建均方差最大化模型并设定顾客满意度改进目标,获得顾客需求的竞争性重要度和改进优先度;其次,由顾客满意度双因素分析法识别顾客需求的卡诺分类并估计卡诺因子;最后,通过竞争性重要度、改进优先度和卡诺因子三者对顾客需求基本重要度进行修正,获得顾客需求综合重要度。通过某工业除尘机的改进设计实例证明了该方法的现实性和合理性。 激光多普勒动态位移测量系统信号处理方法的研究 为了实现远距离、非接触方式对爆炸容器的高速变形过程进行测量,项目研究了激光多普勒动态位移测量系统;但是随着试验任务要求的不断提高,现有激光多普勒动态位移测量系统一些技术指标(量程、分辨率)不能满足测试要求的现状,通过信号分析方法的研究,在不改变硬件的条件下,改进信号处理方法,重新研制数据处理系统,挖掘原系统的潜力,扩展原有测量系统的量程,并提高测量对象的分辨率;实验结果表明,该数据处理系统运行稳定可靠,检测精度高,其信号处理方法是可行的。 基于模拟退火算法的构件检索方法 现有构件检索方法在面对用户复杂功能需求时检索时间较长而且检索结果不够准确,针对该问题,提出基于模拟退火算法的构件检索方法,定义构件检索过程中的有序匹配解及其邻域,并结合构件的分类描述特征设计模拟退火指标函数,在此基础上迭代搜索出覆盖用户功能需求且成本近似最低的构件集合。实验比较结果证明,基于模拟退火算法的构件检索方法在检索速度和准确度方面有一定的提高。 轮廓初始点检测对眼睛虹膜区域反射光的去除 针对传统人眼反射光模型单一的缺陷,提出了一种自适应的对不规则形状人眼反射光的检测并去除算法。对含有人眼反射光区域,应用区域生长算法对人眼图像进行分割,并利用垂直边缘检测算子提取虹膜左右轮廓边界,拟合虹膜轮廓,对虹膜所在轮廓区域,采用提出的反射光轮廓初始点检测算法,反射光区域判定算法,对其轮廓的位置进行自动定位并提取,利用虹膜及瞳孔的纹理信息对人眼反射光覆盖区域进行修补。通过对不同人眼图像进行验证,结果表明该方法对检测不同噪声条件下的虹膜反射光具有鲁棒性,并且能够更加快速有效地对虹膜反射光进行去除。 数字化机步旅抢修任务动态调度框架研究 针对一体化机动进攻作战中战场抢修任务动态分配缺乏定量化确定方法的问题,对数字化机步旅抢修任务动态调度的框架结构进行了研究;借鉴Hall三维结构方法论,从对象维、过程维和技术维3个维度建立了抢修任务动态调度的框架结构,并以此为基础,分析梳理出了抢修任务动态调度的7个核心子问题,总结了这些核心子问题的自身特性,为抢修任务动态调度的后续研究提供了宏观规划和微观指导。 无线多跳网络中具中继负载平衡预估的路由算法 无线多跳网络中通信节点利用相邻的中继节点转发数据,当节点因移动而需切换中继时,决定中继选择的路由协议对通信性能起关键作用.本文在具有基础设施的混合式无线多跳网络中,提出一种以OLSR路由算法为基础来构建最小生成树中继拓扑的MST-OLSR路由算法,通过引入对中继负载预估的机制,减少各节点通信中在上行链路所需的中继跳数的同时,平衡了中继间的流量负载.仿真结果表明,与传统的OLSR相比,MST-OLSR可以有效提高移动节点中继切换的时延及丢包的通信性能. 信息检索中相关实体发现综述 实体是Web页面中的重要信息载体,用户通过搜索引擎进行信息检索中时一般想得到某个具体的实体,而不是某些文档的列表,因而信息检索中的相关实体发现研究就具有非常重要的意义。对信息检索中的相关实体发现的基本过程进行了综述,重点描述了相关实体发现的重要组成部分:全文检索、实体识别、实体分级,主页查找及其各部分所涉及到的关键问题。 基于陀螺仪的无人自行车平衡控制方法 针对零速无人自行车平衡控制问题,提出一种基于陀螺仪的直立姿态平衡控制方法;首先,针对自行车平衡控制系统,依据拉格朗日方法建立无人自行车非线性动力学模型,然后,采用根轨迹方法设计直立姿态平衡控制器;最后,使用DSP 28335作为核心控制器,设计相应的外围数据采集与处理单元,以及上下位机软件,依据偏移传感器采集到的偏移信号,经过控制算法运算得到控制力矩,将其作用给陀螺仪框架,从而在自行车车架上产生对应的恢复力矩;相关测试结果表明,文章给出的方法能够有效控制无人驾驶自行车的直立平衡。 基于抽象解释的服务间消息的数据约减 面向服务软件中服务间消息的变量值可能存在无穷域的情况,从而导致模型检测时产生状态空间爆炸问题。为了使终止性验证在实践上可行,需要约减模型状态空间的大小,使得计算时间和空间需求合理。为此,基于抽象解释的区间抽象理论扩展了经典区间抽象域方法,并在统一的区间抽象域方法上借助异常控制流图对变量进行区间分析,在此基础上逆向分析得到服务间消息的变量区间集。变量区间上任意值相对于终止性验证是等价性,因此从每一个变量区间集中选取一个代表值,可组成服务间消息变量的约减值,从而为异常处理的终止性验证提供了约减的初始配置,有效避免了状态空间爆炸。 基于小波变换和SVM的心电早搏信号识别 对心电信号的实时、准确识别在临床上具有重要意义。研究基于小波变换自动识别室性早搏(PVC)和房性早搏(APB)的方法,首先对信号进行Marr小波变换并提取信号在小波域上的特征参数,构建时频域特征向量,然后使用径向基核SVM进行训练,研究模型参数的选取对训练结果的影响。使用MIT-BIH心电数据库中的数据进行测试,结果表明:在小样本的情况下,建立的模型对正常心电、房性早搏和室性早搏的识别具有较高的准确率。 基于遗传退火算法的堆垛机路径优化研究 研究堆垛机优化控制问题,自动化立体仓库作业效率的影响因素有很多,其中很重要的一项就是堆垛机的作业路径。为了达到提高立体仓库整体效率的目的,针对堆垛机的作业路径优化存在次序调整问题,首先分析了堆垛机的几种常见的作业模式,进而对相关的作业模式建立了数学模型,提出了兼具遗传算法和模拟退火算法两者优势的遗传退火算法对模型进行求解。最后基于MABLAB对某立体仓库进行了仿真,仿真结果表明,提出的遗传退火算法可以有效地优化堆垛机的作业路径,为设计提供了参考。 嵌入式操作系统的内核安全研究与设计 为了保证电力系统中嵌入式操作系统的内核安全性,根据安全内核的设计原则和内核调用的访问控制,提出了安全内核的设计方案。该方案考虑到应用安全访问控制对稳定性以及实时性的影响,结合电力系统的需求引入了决策缓冲机制。将安全内核设计划分为访问监视器、策略缓存、仲裁服务器,以及安全审计系统,构建了内核的安全访问控制,实现了内核安全的隔离性,确保系统内核的可信访问。该嵌入式操作系统安全内核的设计具有通用性、可移植性。 线性化电子俘获响应系统的设计与应用 针对利用放射源或非放射源产生大量低能热电子,对亲电子有机物浓度的检测,提出一种线性化电子俘获响应系统。该系统采用PID自动调节的方式实现恒电流频率调制式。系统自动根据亲电子有机物浓度高低,即俘获电子的多少,通过闭环反馈电路实现控制脉冲频率的改变,即吸收电子的电场强度,保持恒定地收集电流;同时系统对基线漂移自动跟踪、自动调节,从而达到改善和提高检测的灵敏度、线性度及线性范围的目的。实验结果显示,该系统具有检测灵敏度高、定量精确、线性范围较宽的优点。另外该系统留有多种通信接口,为仪器智能化程度的提高提供了基础。 基于物联网的啤酒发酵过程温度控制系统设计 为解决传统发酵控制系统复杂的现场连线的问题,实现在无线环境下对发酵过程参数的有效监控,文中介绍了一种基于物联网的啤酒发酵控制技术。首先分析物联网技术和啤酒发酵大滞后过程,然后设计基于物联网的啤酒发酵控制方案。具体使用CC2430等芯片,组建基于ZigBee协议的无线通讯网络,实现啤酒发酵的自动检测与控制。研究表明,Zig-Bee无线网络控制结构简单,通信灵活方便,可以使啤酒发酵生产降低投资,减少人力资本,完成发酵过程的优化创收。对于提高啤酒质量打下良好的基础。 基于扩展CPN的OWL-S过程语义建模及分析方法研究 OWL-S过程语义的建模与分析是语义Web服务相关领域需要重点研究的问题。分析了目前OWL-S过程语义研究中存在的问题,提出了一种扩展的着色Petri网PM_net(过程模型网,Process Model net)来对OWL-S的过程语义进行转化与分析。结合OWL-S过程模型元素的特点,PM_net对基本着色Petri网的变迁和触发规则进行了扩展,使OWL-S的原子过程、组合过程和数据流等核心元素能够等价映射到PM_net。同时说明了如何基于PM_net对OWL-S的过程语义一致性进行分析,为OWL-S本体演化、语义Web服务组合和验证提供了合理的理论基础。 网络编码中ACK类攻击的防御研究 近年来,网络编码以其巧妙的思想展现出生机勃勃的应用前景,但是安全问题一直是其在网络中大规模应用的最大障碍.本文分析了网络编码中存在的特有的ACK类攻击,给出了针对该类攻击的基于典型集和哈希函数以及马尔可夫模型的防御方法,并利用数据挖掘的方法获得了典型集的元素组成,同时分析了TCP流中ACK状态数的分布,展望了网络编码的发展方向. 弥散加权成像在不同病理类型的脑膜瘤鉴别中的应用 目的:探索弥散加权成像中定量ADC在鉴别诊断不同病理亚型良、恶性脑膜瘤中的价值。方法:研究对象共141例,男女比例为1:2.7;均为手术病理证实。扫描仪为GE 1.5T。弥散加权扫描b值为1000s/mm2。rADC为病灶实质部分ADC比对侧正常白质ADC。结果:t检验良性脑膜瘤和恶性脑膜瘤rADC两组数据有明显统计学差异(P<0.01)。血管瘤型脑膜瘤的实质rADC都要比其他病理亚型高(P<0.01),间变型脑膜瘤rADC比纤维型、上皮型、血管瘤型低(P<0.05)。而纤维型、上皮型和非典型脑膜瘤之间rADC没有统计学差异(P>0.05)。间变型脑膜瘤瘤周水肿rADC大于纤维型脑膜瘤(P<0.05)。其他病理亚型脑膜瘤瘤周水肿rADC无统计学差异(P>0.05)。结论:肿瘤实质rADC可以在鉴别良性和恶性脑膜瘤以及不同病理亚型脑膜瘤中发挥重要作用,瘤周水肿rADC在鉴别间变型脑膜瘤有重要意义。DWI对脑膜瘤术前评价有较高的实践价值。 水下传感器网络的虫洞攻击模拟 "在水下传感网络中,为了提供可靠的通信,安全成为一个首要关注的问题。通过分析目前模拟虫洞攻击的方法后,提出一个在NS2中用于水下传感器网络的虫洞攻击模拟方法。虫洞攻击的核心在于在两个恶意节点之间建立""隧道""。如果在两个恶意节点之间形成了""隧道"",那么正常节点就会丢失路由。为了解决这个问题,在NS2有线模拟包中选择了有线对象链接,通过复制本地包,并将其发送到远程节点的虫洞对象的方法来模拟""隧道""。实验结果表明,NS2中的虫洞组件运行有效,并且符合理论分析。" 本体映射中结构策略改进算法 相似度传播是本体映射中结构策略的实质内容。然而,目前的相似度传播算法却没有对相似度传播值进行合理的定量分析。针对此问题,提出了基于概念信息量的相似度传播算法,该算法根据已匹配节点的概念信息量大小来判断其子父节点匹配概率大小,通过匹配概率大小调整相似度传播值,从而进行更精确的相似度传播,提高结构策略的可信度。理论分析与实验结果证明了该算法是有效的。 分簇结构高效谓词机制及编译优化方法 谓词执行是在控制流存在的条件下可以有效挖掘指令级并行性的硬件机制,而在分簇结构上实现谓词机制,可以提高分簇结构上条件的执行效率。针对分簇结构展开谓词体系结构的研究,提出了分簇结构部分谓词的高效实现方法,以及基于循环展开的分簇结构部分谓词支持框架。实验表明,提出的分簇结构部分谓词及编译框架可以很好地提高条件执行程序的执行效率,部分典型算法核心的加速比可以达到350%500%。 分类不平衡对软件缺陷预测模型性能的影响研究 分类不平衡是指不同类别间样本数量分布不均衡的现象.在软件缺陷预测中,传统预测模型的性能可能会因数据集分类不平衡而受到影响.为了探究分类不平衡对软件缺陷预测模型性能的影响程度,该文提出一种分类不平衡影响分析方法.首先,设计一种新数据集构造算法,将原不平衡数据集转化为一组不平衡率依次递增的新数据集.然后,选取不同的分类模型作为缺陷预测模型,分别对构造的新数据集进行预测,并采用AUC指标来度量不同预测模型的分类性能.最后,采用变异系数C·V来评价各个预测模型在分类不平衡时的性能稳定程度.在8种典型的预测模型上进行实验验证,结果表明C4.5、RIPPER和SMO这3种预测模型的性能随着不平衡率的增大而下降,而代价敏感学习和集成学习能够有效提高它们在分类不平衡时的性能和性能稳定程度.与上述3种模型相比,逻辑回归、朴素贝叶斯和随机森林等模型的性能更加稳定. 基于局部—全局方法的三角网格优化算法 在基于网格形变的图像缩放算法中,表示图像的网格质量对于这类算法的结果有着很大的影响。为了改善图像网格质量,提出一种基于局部—全局方法的平面三角网格优化算法。在局部阶段利用自定义的最相似规则,为网格中的每一个三角形单元求取与之最相似的正三角形,得到一组目标仿射变换函数;全局阶段采用尽可能刚性方法,利用最小二乘法求取一组满足最小变形能量函数的最优解,使得最终生成的网格由尽可能相似于正三角形的三角形构成。同时,在优化过程中加入约束控制,保护网格中的重要区域不发生改变。实验结果表明,优化后的网格质量得到了明显的改善,有助于图像缩放算法后续工作的进行。 基于可控调节的半导体调光照明研究与仿真 半导体照明是公认最为有效的照明设备。当前的以半导体为基础的照明设备主要根据反激式变换器理论,采用以设定区间为主的半导体调光方式,通过调节半导体LED的正向工作电流控制发出的光能,调光范围虽然能达到标准,但是控制过程不灵活、效率低下,电流发生波动时,容易引起半导体发光光谱偏移,产生过多的热量,影响LED设备。提出一种可调节的半导体发光控制方法,通过分析反激式变换器电路的工作原理,定时调整电路信号占空比,对电路的最大输出电流值进行有节奏的调节,实现非必要限流节能;根据输出与实际结果的反馈,对信号的占空比进行校对,实现精确电流输出,达到半导体调光节能效果。经仿真数据检验,该方法可以较好地调节半导体发光中的光强度信息,起到了较好的节能效果。 半P-集合(X~■,X)与噪声数据剔除-应用 半P-集合(half packet sets)是由内P-集合X■(internal packet set X■)与有限普通集合X构成的集合对,或者(X■,X)是半P-集合,它具有内-动态特性。为了剔除噪声数据,获得目标数据,利用半P-集合提出了基于属性补充的递推-剔除噪声数据的方法。提出了噪声数据、噪声数据集成与■-数据核概念;给出了噪声数据与■-数据生成的递推方法与递推结构、噪声数据集成与■-数据核关系定理、■-数据依赖与辨识定理、噪声数据递推-剔除定理、噪声数据辨识准则与噪声数据递推-剔除准则,以及噪声数据递推-剔除应用。半P-集合是P-集合理论与应用的一个新的研究分支,是研究具有内-动态信息系统的一个新的数学方法。 非对称超结场效应晶体管设计和仿真 为了克服传统功率MOSFET通态电阻和击穿电压之间的矛盾,引入了超级结(SJ)器件,通过引入横向电场来提高击穿电压.针对工艺中非对称pillar的设计需求,建立了非对称的研究分析模型,通过引入影响设计的非对称因子k,分析了k的物理意义和修正了不同pillar比例下的k值来设计相关参数,推导出超结的设计解析表达式.为了验证设计的准确性,以沟槽栅SJ-MOSFET为器件,进行了仿真验证和比较,理论与仿真结果符合良好,可以用于超结MOSFET的设计指导. 基于统计阈值的Snort规则集动态产生的设计与实现 Snort作为开源的入侵检测系统,利用定义的静态规则集合实现对网络的入侵事件的检测。本文分析入侵检测系统的基本原理和模型,阐述Snort入侵检测系统部署到网络时,其静态规则集的配置方法,根据统计流量阈值和告警频率阈值动态产生动态规则集的方法,改进并提高了部署Snort应有的灵活性。 基于椭圆曲线的多银行电子现金方案 目前安全移动终端设备广泛地应用于移动电子商务,但移动终端设备存储能力和处理能力都相对较低,不适合处理基于离散对数问题的电子现金系统。因此,论文基于Wang的方案。提出一个基于椭圆曲线的匿名可撤销的可分的多银行电子现金方案。由于椭圆曲线加密具有密钥强度高,并且椭圆曲线加密可以提高数字签名和认证的速度,因此,论文基于椭圆曲线的电子现金系统对资源和带宽占用率都很低,更适合于安全移动终端和各种嵌入式设备。 基于排队论的组件式系统的性能测试方法研究 提出了一种利用软件的结构特性进行软件性能评估的方法;这种方法利用正式模型和非正式模型的优点,进行软件架构的描述,提供了一种软件架构设计级的软件性能评估方法;首先,将软件系统的静态结构描述为序列图,将结构性能描述为UML组件图;然后将所描述的模型自动转化为自动化接口(Interface Automata),从而能够提供性能评估的基础;最后,利用排队论(queuing theory)评估软件系统的性能;提出的方法与其它方法的主要区别在于提出的方法利用了信息描述方法,比如UML来描述软件系统的结构;这种方法具有正式的、简便的语言来提供验证和评估的基础;同时能够在软件开发的早期进行性能的评估,特别是在架构设计阶段,能够进一步降低软件开发的成本。 基于消息队列遥测传输协议的智能家居消息中间件设计 针对智能家居系统中不同设备间没有统一的通信和消息传递标准,导致对这些异构设备进行管理和控制困难的问题,设计了基于消息队列遥测传输(MQTT)协议的智能家居消息中间件(MOM),采用基于主题的发布/订阅机制实现消息的推送,为智能家居中各设备提供轻量级的实时可靠的消息传递。首先建立了智能家居消息中间件的架构,包括设备端、监控端及代理服务器;其次设计了消息代理服务器为客户端提供服务的工作流程;最后针对分层级的消息主题设计了基于树结构和树遍历算法的订阅关系管理。实现时充分考虑跨平台、可移植及对多编程语言的支持。测试结果表明该消息中间件能很好满足智能家居系统中消息有效传递的需求。 改进的LM神经网络工程地质综合评价模型 以可靠的遥感地质信息为基础,采用步长自适应调整的Levenberg-Marquardt神经网络建立了工程地质灾害综合评价模型,实现对地质条件和地质灾害危险性的有效评价。通过对地质灾害危险性评价单元进行分析量化,将评价结果集成在三维地理环境中,实现了评价结果的三维可视化,实现对地质条件进行直观分析和评价。实例验证表明,基于步长自适应调整的LM神经网络具有准确度高、速度快的优点,是一种较为理想的工程地质综合评价方法。 电网融合泛在网信息平台设计及安全威胁分析 "随着电力系统信息化的快速发展,电力系统已建立成EMS能量管理系统、SCADA电网调度自动化系统等管理系统。为了解决电力系统众多异构子系统造成的""信息孤岛""问题,提出基于SOA的电网融合泛在网信息平台架构,并针对其体系结构分别从基础设施层、数据层、服务层对安全威胁进行研究。基础设施层的安全威胁研究主要针对终端设备、网络和服务器等物理设施的安全问题,数据层的安全威胁研究主要针对隐私信息泄漏或破坏以及非法访问等安全问题,服务层的安全威胁研究主要针对认证授权措施不当等问题。最后针对各层所面临的安全威胁提出相应的安全措施和建议。" 基因表达式编程的理论研究综述 对新兴的基因表达式编程算法在国内外的理论研究现状进行了系统的研究,剖析了GEP的基本原理和特点,并对国内外关于GEP理论和算法的研究现状进行系统的讨论,最后根据笔者的见解探讨了未来研究的重点方向。 工作流技术在法院管理系统中的应用 法院管理系统是实现案件受理、立案、案件审理、结案等流程为一体的综合管理系统,案件审理具有多样化的特征。本文运用工作流技术,设计并实现一个法院案件管理系统。该系统依据WfMC提出的工作流参考模型0,对案件审理过程进行任务、角色和权限的抽象,将案件审理过程中的案件受理、立案、审理、结案等通过工作流技术完美地整合在一起,满足了案件审理流程多样化的需求,提高了案件审理的效率。 基于扰动属性投影的说话人确认系统 在说话人确认系统中,由于训练和测试语音来自不同的信道,会产生信道失配现象。为此,提出一种基于扰动属性投影的说话人确认系统。利用有信道标注信息的语音训练出高维空间映射矩阵,通过映射将自适应得到的超矢量作为SVM的输入,削弱说话人特征中的信道信息。实验结果表明,该系统能降低信道失配带来的负面影响。 基于认知网络行为模型的资源分配策略 在分析了区分服务网络中的主动队列管理机制的基础上,根据认知网络的特点和优势,提出了闲置预留资源的自适应借用策略.该策略在节点增加了相应的功能模块,并为特殊的实时业务(如额外业务)预留一定比例的资源.新业务到来时,首先考虑新请求的类型,然后根据新请求提供参数的不同分配资源,如果现有的剩余资源不能满足接入要求,则实时业务可以适当借用其他节点的闲置预留资源,以满足其所需要的最小带宽.通过仿真表明,RBFR策略既保证了特殊业务的优先性,又在网络资源利用率,新申请接入率,提高用户QoS方面均具有良好的性能,具有很好的应用前景. 人脸检测的继承式集成学习方法 "基于""遗传+变异""模式,提出继承式集成学习方法框架,它可以训练出四种不同形式的层叠分类器。除了基于""无遗传""模式的基本层叠分类器与基于""全部遗传""模式的嵌入式层叠分类器两种传统方法之外,还有基于""部分遗传+部分变异""模式的特征继承层叠分类器与弱分类器继承层叠分类器。虽然后两种层叠分类器都有一定的继承代价,但是其拟合性更好,可以更好地均衡收敛速度和扩展性能,其综合性能优于传统方法。基于RAB、GAB算法与LUT弱分类器的正面直立人脸检测实验结果表明了新的继承式集成学习方法的有效性。" 无线传感器网络流量的冗余抑制机制研究 冗余抑制是一种通过在接收节点缓存频繁传输的内容以避免多次发送重复数据的网络流量抑制技术。现有的解决方式需要有大量的内存,用于分析当前冗余流量并维持缓存。由于硬件的限制,无线传感器节点在同一时刻不能够提供相应的资源;协议和通信模式的多样性还使得通信中冗余发生的频率及其所占比例难以预测。因此,给出了一种改进的冗余抑制协议,通过概率流量分析以确定和缓存那些造成最多流量储存的冗余传输子集,实现性能表现接近于建立在全面分析和无约束缓存基础上的冗余抑制解决方案。 基于图割优化的能量最小化模型图像分割方法 针对主动轮廓模型中利用梯度下降法求解能量函数容易陷入局部极小的不足,设计了一个离散化最小能量函数模型。该模型以Chan-Vese模型为基础,利用图割方法优化能量泛函,实现能量的全局最优解。新模型首先将图像映射为图,将基于像素的能量泛函转换为可用图表示的离散化能量函数,通过计算节点及其邻域关系权值,迭代求解最小化能量并将其作用于形变轮廓曲线,直至达到稳定状态。新模型改进了主动轮廓模型对弱边界图像初始轮廓敏感的问题,提高了分割精度和运行速度。 Xen虚拟机Credit调度算法的实时性能分析 为了降低开销以及增加灵活性,通过虚拟化技术将多个系统运行在一个通用计算平台上已成为复杂实时嵌入式系统的趋势。Xen是近年来应用最广泛的虚拟化技术,对其默认使用的Credit调度算法进行实时性能分析,使得能够直接对运行在Xen上的实时系统进行可调度性测试,并且可以通过形式化的资源界限函数对Credit的实时性进行直观的评估。首先分析了Credit调度算法的基本实现,提出并且证明了一种配置VCPU参数的方法使得Credit的实时性得到提升,在此基础上,通过证明得到了Credit算法的基本性质,并得出其在最坏情况下为VCPU分配的资源函数曲线。 基于语音识别的电梯辅助控制系统设计 针对盲人或者行动不便的特殊人群能够更加方便地使用电梯,同时也为了电梯的使用变得更加人性化、智能化,论文提出一种基于语音识别技术的电梯辅助控制系统的设计方法。设计部分主要包括:语音识别模块、MCU主控电路、继电器控制模块、语音播报模块。语音模块电路采用了语音芯片LD3320,该芯片集成了语音识别处理器和一些外部电路,并且不需要外接任何的辅助芯片,直接集成在现有的产品中即可以实现语音识别、人机对话功能。MCU主控电路采用STC11系列单片机,具有低功耗,超低价,高速,强抗干扰等特点,与语音芯片LD3320通信,接收语音命令,并输出相应控制命令。继电器模块,采用SONGLE继电器,能够承受28V~250V电压,能够实现通断控制。 基于多维度QoS约束的大规模企业信息副本定位方法 为解决大规模企业信息系统中副本定位的目标节点服务质量问题,提出了一种基于多维度服务质量约束的副本定位方法。该方法把目标节点面向特定应用的多维度服务质量保障程度纳入副本定位计算,采用分层和对等的混合定位机制,在高效定位的同时有效避免了现有副本定位中目标节点服务质量无保障的风险。给出了大规模企业信息系统覆盖网模型、基于多维度服务质量约束的副本定位方法的定义和算法及与之相关的应用层路由算法和副本发布算法。通过在某企业中的应用,验证了所提方法的有效性。 掌纹识别中的尺度不变特征转换改进算法 针对在低分辨率掌纹图像中,传统尺度不变特征转换算法易产生误匹配的问题,提出一种用于掌纹识别的改进尺度不变特征转换算法。根据局部匹配的思想,结合欧氏距离及加权子区域匹配方法对图像进行匹配,计算得出的相似度能反映图像的局部与全局特征。仿真实验结果证明,改进的尺度不变特征转换算法比原算法具有更高的识别率。 基于速率和队长的大时滞网络AQM算法 针对网络拥塞控制系统在大时滞网络中产生的不利影响,提出一种基于速率和队长的大时滞网络AQM算法。该算法采用缓冲区队列长度和包到达速率作为网络拥塞的判别依据,在结合Smith预估的模糊PID控制方法中加入速率控制项。仿真表明该算法在大时滞和网络动态变化的环境中拥塞响应较快、收敛时间短,并能较好地将队列长度稳定到期望值附近,提高缓冲区的利用率。 结合SURF算子和极线约束的柑橘立体图像对匹配 提出一种结合SURF算子和极线约束的立体匹配方法。对采集的双目视觉柑橘图像进行R-B分量的计算,在该分量上,采用快速hessian检测子进行特征点检测,并使用SURF描述子对检测到的特征点进行64维的特征描述。采用欧式距离和极线约束进行特征点匹配。实验表明,该方法对一幅图像对的平均处理时间为293ms,在果实被遮挡或光线变化的情况下均能较好地进行特征点提取和匹配。该方法为后续的深度信息计算提供了基础。 基于QC-LDPC码的Niederreiter公钥密码体制 提出基于准循环低密度奇偶校验(QC-LDPC)码构造的Niederreiter公钥密码体制。由于QC-LDPC的校验矩阵具有稀疏和分块循环的特性,且QC-LDPC的纠错能力大,与以往基于纠错码构造的公钥密码体制相比,该体制密钥量大大减少,提高了传信率。同时引入对角形式的可逆变换矩阵Q,通过线性变换产生新的校验矩阵H’,隐藏了码字的校验矩阵,可以抵消矩阵H’稀疏易攻击的弱点,增加了体制的安全性。并且通过对现有的攻击方法分析,证明了体制的安全性。 一种移动打印系统组建技术方案 可移动打印是用户追求向往的应用目标,但目前绝大多数网络用户使用的都是普通打印机,无法实现打印机的自由移动,他们一般不会舍弃当前在用的设备转而去购买价格高昂的无线网络打印机。通过对无线网络应用技术的研究与试验,实现了一种将普通打印改造成为移动打印系统的技术方法。将打印服务器连接在AP的网络接口,后面连接普通打印机,这样,打印机通过USB线连接在了打印服务器上,打印服务器通过网线接在了AP上,而AP能够接入无线网络,从而能够实现打印机的可移动。 数据流选择性集成的两阶段动态融合方法 选择性集成分类算法虽能提高集合分类器在整体数据集上的分类性能,但针对某一具体数据进行分类时,其选择出的个体分类器集合并不一定是最优组合。为此,从数据自适应角度出发,提出一种数据流选择性集成的两阶段动态融合方法,利用待分类数据所在特征空间中的位置,动态选择个体分类器集合,并对其进行分类。理论分析和实验结果表明,与GASEN算法相比,该方法的分类准确率更高。 信息物理融合系统的软件需求分析 计算过程与物理过程的融合使得信息物理融合系统(Cyber-Physical Systems,CPS)的软件需求分析成为一个具有挑战性的任务.首先,分析人员需要从用户所期望实现的物理实体变化出发,基于对物理实体性质的认识来推理分析软件需求;其次分析人员已不能将CPS中的软件看成一个整体去描述它的外部行为,而需要分析CPS所应包含的各个软件实体和物理实体,描述每个物理实体的性质和软件实体应具有的行为;还有计算过程与物理过程的融合也使得分析人员必须采取一种统一的方式来描述物理实体的性质和软件实体的行为,以方便用户需求的满足性验证.基于问题框架方法和面向目标方法,作者提出了一种适合于CPS的软件需求分析方法.该方法采用现象间的因果关系式这一具体形式来统一描述CPS中物理实体的性质和软件实体的需求,然后从用户所期望的物理现象出发,遵循面向目标的方法,将CPS软件需求的分析过程转化为建立满足用户需求的现象间因果关系链的过程,最后通过基于Alloy的目标现象可达性验证来检验用户需求的可满足性;并且用一个自适应巡航控制系统的案例来说明所提方法的可行性. 网上银行安全支付问题研究 随着网上银行用户数量和交易金额的日益增多,网上银行系统成为很多攻击者的首选目标,其安全问题也成为很多用户选择网上银行时的主要考虑因素之一,这在一定程度上阻碍了网上银行业务的发展。在线支付的安全问题已成为各大银行和研究者的关注焦点。根据在线支付系统的一般流程,对客户端在登录和请求支付时可能面临的各类攻击模型进行讨论,根据攻击手段给出其安全性分析和具体的解决方案,重点分析了攻击者篡改签名数据的方法和流程,给出了相应的设计方案和防范措施。 一种新的启发式优化算法:学习搜索算法 基于学习的积极和消极现象,提出一种新的启发式智能优化算法:学习搜索算法(LSA).该算法设计了两种学习模式,一是积极模式,充分发挥当前最优学生的引导作用,使所有学生进行积极地学习,不断提升学识水平;二是消极模式,有效地吸收最差学生所具有的优点,增强学习的全面性.利用这两种模式的结合,有效地均衡学习搜索算法的全局搜索能力和局部搜索能力.为了验证学习搜索算法的有效性,对几个经典基准函数进行了测试,结果表明,算法在整体上优于其他几个有发展潜力的启发式算法,具有更好的优化潜力. 带宽自适应的人体运动跟踪均值漂移算法 传统Mean shift算法带宽固定的问题,导致了人体逐渐远离或者逐渐靠近摄像头运动时跟踪效果不好甚至失败.考虑到人体运动目标特征提取后的二值图像中,头部区域的图像呈圆形形状,并且可以用Hough变换检测出圆半径的大小.本文提出根据Hough变换检测出的圆半径在人体视频图像序列中的缩放比例,对带宽进行相应调整的算法.实验和分析表明本算法有很好的准确性和鲁棒性. 一种群组行为模拟绘制系统软件框架设计方法 基于感知模型,提出了一种针对群组行为模拟绘制系统的框架。将系统分离成场景处理、场景管理、用户交互、渲染绘制四个模块,设计了层次结构来保持模块之间的独立性,以便于各个模块的修改、更换和扩展,提高了绘制系统快速开发的灵活性。针对群组中智能个体感知模型的建立提出了一些构想,赋予个体拟人的感知能力;阐述了群组个体的基本行为,描述其具体实现方式,并对其中的一些行为做了适当的改进。为群组动画技术理论的研究以及群组动画系统的开发实现提供一种可以实践的方法和思路。 RT-LAB中的脉冲信号输入输出处理模块特性 硬件在回路实时仿真是研究复杂机电系统过程中一个重要环节,复杂机电系统中包含了大量的电力电子装置,使得开关信号数量繁多,仿真中用于处理开关脉冲信号的时间开销也随之增加。介绍了RT-LAB实时仿真平台的架构,并着重对其中用于脉冲信号调理模块的特性进行了详细的研究:对不同方式的脉冲信号输入模块特性进行对比,对CPU关于输出模块数据编码的优化方式进行了探究。通过实验验证,给出不同情形下脉冲信号处理模块的选取方式,从而减小系统用于处理脉冲信号的时间开销,保证复杂机电系统硬件在回路仿真的实时性。 基于近邻传播聚类的铁路客运节点类别划分 为简化旅客列车开行方案优化编制问题,采用聚类法对铁路客运节点进行类别划分。选取2014年日均旅客发送量排名前100位客运节点的相关属性数据为实例,以铁路客运节点的类别划分依据作为属性变量,首先运用分层聚类中的凝聚法对属性变量进行聚类,然后根据简化的客运节点变量指标,运用近邻传播算法对客运节点样本进行聚类,并引用CH、KL、IGP三种聚类有效性指标对聚类结果加以分析。研究结果表明,将100个客运节点分为五个类别时,具有最好的聚类效果,可为旅客列车开行方案的设计奠定基础。 基于Shell命令和共生矩阵的用户行为异常检测方法 用户行为异常检测是当前网络安全领域研究的热点内容.提出一种新的基于共生矩阵的用户行为异常检测方法,主要用于Unix或Linux平台上以shell命令为审计数据的入侵检测系统.该方法在训练阶段充分考虑了用户行为复杂多变的特点和审计数据的时序相关属性,依据shell命令的出现频率并利用阶梯式的数据归并方法来确定事件,然后构建模型矩阵来刻画用户的正常行为.在检测阶段,首先为每一个当前事件序列构建一个部分正则化共生矩阵,然后根据矩阵2范数计算这些矩阵与模型矩阵的距离,得到距离流,最后通过平滑滤噪处理距离流来判决用户行为.在Purdue大学实验数据和SEA实验数据上的两组实验结果表明,该方法具有很高的检测性能,其可操作性也优于同类方法. 双步圆的反走样生成算法 首先介绍了圆生成算法的发展及现状,接着对绘制圆的Bresenham算法及Kuzmin单点生成算法进行了实验分析及总结,并指出了其存在的优缺点。基于Wu和Rokne双步圆算法提出了新的双步反走样生成算法,使其成为一种反走样效果更佳的方法。该算法的灰度级数是原来Wu和Rokne算法的3倍,且最大灰度误差也比原来的减少了1/4;另外该算法由于只用整数运算,避免了除法,因此便于硬件实现。 基于项目属性和云填充的协同过滤推荐算法 传统协同过滤推荐算法中经常因用户评分矩阵极端稀疏而导致相似性度量方法不准,推荐质量不高,针对这一问题,提出一种基于项目属性和云填充的协同过滤推荐算法。利用云模型对用户评分矩阵进行填充,在填充矩阵基础上,利用传统的相似性计算方法得到项目之间的评分相似性,同时结合项目属性,计算项目的属性相似性,通过加权因子得到项目的最终相似性,从而形成一种新的相似性度量方法。实验结果表明,提出的算法可有效解决传统方法中由于数据稀疏所导致的相似性度量不准确的问题,并显著地提高了算法的推荐精度。 SAR~2:针对无线传感器网络丢包的自适应修复与调整策略 网络传输丢包是无线传感器网络中的一种常见现象,频繁非受控的丢包严重降低了网络性能。导致丢包的原因复杂多样,而已有的针对这一问题的解决方案通常趋于复杂和低效。本文通过对大规模无线传感器网络系统GreenOrbs的观察发现,RSSI(接收信号强度指数)是网络丢包的重要指标。当数据包在接收端的RSSI接近接收端的灵敏度下限(即灰度区域)时,丢包现象就会显著发生。基于这样的观察结论,本文提出了一个轻量级自适应修复与调整策略(SAR2):基于节点间的RSSI,把丢包原因进行对应分类,并相应地采取调节发包速度、调节发送能级和切换路由等策略以缓解网络丢包。基于TelosB节点实现了SAR2,实验结果表明,SAR2可以有效降低丢包率,提高网络性能。 一种基于特征点匹配的图像拼接方法研究 针对图像间因具有旋转及光线强度差异等现象而导致的拼接效果不佳及拼接速度慢的问题,提出一种基于特征点的配准算法;在特征点提取阶段,尺度不变的特征变换方法 (SIFT)具有对图像尺度缩放、旋转、放射变换以及亮度变化保持不变的优点,文章采用了改进的SIFT特征点提取算法;在特征点匹配阶段,采用改进的RANSAC算法对特征点匹配对提纯;最后用加权平均法实现拼接图像的融合;实验证明,该算法有效提高了图像拼接的效率和准确性,拼接精度可以达到亚像素级。 两厢车空气动力阻力数值解与网格无关性研究 研究两厢车气动阻力系数的数值解与网格的关系,存在精度不高的问题。针对MIRA快背式模型,为了找到计算两厢车模型气动阻力较为精确的网格方案,通过对四面体网格和混合网格分别进行汽车整体加密及汽车尾部加密,得到MIRA快背式模型在不同网格形式、不同加密方式、不同网格数下的阻力系数,然后和试验结果进行对比。结果表明:加密后的网格能够很好地捕捉到MIRA快背式模型的尾部涡结构。阻力系数随着网格数增加而减少。当得到阻力系数与网格数无关的解时,网格数均超过1000万。同时,数值计算得到的阻力系数与网格数无关的解,与试验数据存在误差。 面向不均衡数据集中少数类细分的过采样算法 在不均衡数据集中,少数类样本的分布相对于决策边界具有差异性,而传统的过抽样算法通常并未对差异性做不同处理。为此,提出一种面向不均衡数据集的过采样算法SD-ISMOTE。该算法根据少数类样本的k近邻分布将其细分为DANGER,AL_SAFE,SAFE 3个集合,DANGER和AL_SAFE中的样本更靠近决策边界。借助ISMOTE思想在n维球体内随机插值,扩大两类样本的过采样范围,同时引入轮盘赌选择算法进行采样选择,避免新生成的样本冗余。实验结果表明,SD-ISMOTE算法在C4.5和朴素贝叶斯分类器下的分类性能相较于Borderline-SMOTE和ISMOTE均有不同程度的提高,可有效解决数据集中样本分布不均衡的问题。 应用非负矩阵分解模型的社区发现方法综述 非负矩阵分解(nonnegative matrix factorization,NMF)在提取高维数据中隐含模式和结构方面具有良好性能,已成为数据挖掘领域的热点研究之一。NMF作为无监督学习的有效工具,在模式识别、文本处理、多媒体数据分析以及生物信息学等研究领域得到了广泛应用。目前,已有工作将NMF模型应用于网络数据挖掘,发现网络中隐含的社区结构。对基于NMF的社区发现方法进行了总结,包括无监督的社区发现方法和半监督的社区发现方法,通过在实际网络和人工网络进行实验,比较分析了不同算法的性能,进一步研究了当前基于NMF发现社区结构所面临的挑战,并对下一步研究方向进行了展望。 基于非抽样Contourlet变换的多聚焦图像融合算法 文中研究了非抽样Contourlet变换(NSCT)的原理,以及其多尺度、局部化、方向性和各向异性等优点。提出了一种基于NSCT的多聚焦图像融合新算法。本算法将多聚焦图像进行NSCT分解,不同子带采用不同的融合规则,低频子带采用新的基于灰度形态学梯度算子的融合算法,并做一致性检测,带通子带采用基于区域能量的融合算法。最后将融合得到的系数进行NSCT反变换得到融合图像。实验结果表明,与其他融合算法相比较,该算法可以更有效地保留源图像信息和细节特征。 基于平面标靶的三维扫描与数据配准 为构建以三角测量为基础的结构光三维扫描系统,实现多方位扫描结果的数据配准,提出一种基于简易平面标靶的标定方法,利用平面标靶中的特征点对扫描系统进行姿态和位置标定。在扫描时,利用平面标靶上的特征点求出激光平面,计算得到三维点云数据;根据移动前后标靶图像上特征点信息,计算扫描系统的外部参数,即平移旋转矩阵,实现多方位扫描数据的配准。实验结果表明,在距离45cm处,几何投影变换求得的平面方程误差小于0.5%,每幅图像处理的时间小于60ms,误差低于1.15mm,基本满足三角测量稳定可靠、精度高、成本低、较适合现场标定等要求。 改进的约束多目标粒子群算法 在约束优化问题搜索空间分析的基础上提出了一种改进的约束多目标粒子群算法(CMOPSO)。提出一种动态ε不可行度许可约束支配关系作为主要约束的处理方法,提高了算法的边缘搜索能力和跨越非联通可行区域的能力。设计了一种新的密集距离度量方法用于外部档案维护,提高了算法的效率;提出了新的全局向导选取策略,使算法获得了更好的收敛性和多样性。数值仿真实验结果表明约束多目标粒子群算法算法可得到分布性、均匀性及逼近性都较好的Pareto最优解。 产品时效性感知的个性化推荐算法 在实际生活中,许多产品具有时效性,人们对于新产品和旧产品的选择通常会基于不同的理由.因此,在推荐中应该考虑这种差异.然而,目前的推荐算法中并没有考虑这种差异性.文中在分析产品时效性的基础上,提出一种时效性感知的个性化推荐方法,它采用了联合矩阵分解的算法,将产品按时效性划分为多个矩阵,再将其联合训练.这样既考虑到了时效性,又克服了产品的稀疏性,并且联合训练又可以得到产品的某种特征向量,挖掘划分成多个部分的时效性产品之间的内部联系.并将流行度作为正则化项.实验表明,该方法可以得到具备良好的推荐性能. 基于AJAX应用程序的爬行测试算法 为了解决AJAX技术带来的测试新问题,针对流行的爬行算法进行了改进。通过捕获用户界面的状态以及改变状态的转换条件,从而推导出状态流程图。利用推导出的状态流程图,提出使用K最短路径算出多条最短路径作为测试集;设计合并测试路径的方法缩减测试集,减少测试集的生成,并同时保证测试覆盖率。通过结合实例,在不同位置注入故障的方法对算法进行了数据分析,分析结果表明该方法的可行性和实用性,为AJAX应用程序的测试提供了更有效的解决途径。 电机故障检测的小波分析红外图像增强 针对红外成像电力机车电机检测技术中图像特征不明显,故障点获取困难等问题,提出基于小波分析的红外图像增强算法。采用对图像边缘高频图像信号进行提取,舍去其他高频信号,并对低频分量进行直方图均衡化处理,以此来重新构建红外图像,达到对红外图像去噪、边缘以及故障点增强的作用。通过实验证明,该方法能有效去除图像高频噪声,保留红外图像的边缘特征,对红外图像故障检测提供有效信息。 GPRS在交通图像采集中的应用 为满足交通监控中需要快速部署地点的要求,同时降低成本,提出GPRS网络通信结合低成本图像采集设备的解决方案。数据采集设备以MC9S12XS128单片机为核心,选用OV7620数字摄像头作为图像传感器,使用EM310无线通信模块作为GPRS通信模块。监控网络通过具有公网IP的服务器建立采集设备和监控中心的远程数据连接。该系统具有低成本、快速部署和监控范围广的优点,能够满足应用的要求。 基于模式分类的图像区域作伪检测 为了鉴别一幅数字图像是否存在作伪的区域,提出一种利用改进的图像特征进行区域作伪检测的算法。基于模式分类的思想,该方法把图像分割成适当大小的块,从图像块中提取特征数据,用SVM分类器训练数据并得到支持向量机模型,利用该模型检测嫌疑图片是否存在作伪。该算法从噪声相关性、残差噪声、图像质量、小波域等方面分析相机图片的特点,获取每种的统计特征,形成特征集。实验结果表明,该方法能有效地检测出图像的具体作伪区域。 OPC数据访问客户端的研究与实现 介绍并分析OPCDA2.05A规范,在VC++6.0开发环境下,基于活动模版库实现组件对象模型对象,进而实现OPC客户端程序,给出开发OPC客户端的一般步骤以及关键技术。采用Kepware公司的OPC服务器KEPServerEx V4.0测试,验证该OPC客户端可与标准OPC服务器进行稳定、快捷的数据交换。 简化数据流图的功能点分析方法研究 随着软件工程在各个行业的日益深入以及软件规模的日益庞大,在软件生命周期的早期阶段对软件系统进行规模度量显得尤为重要。在需求分析阶段所建立的逻辑模型的基础上,使用E-R图来简化数据流图,并结合功能点分析的度量原理,以简化的数据流图作为度量要素,详细给出了度量简化数据流图的映射规则和度量规则,以实例验证了这些规则的使用方法,简单实用。 针对有向无环图结构的多版本分布模式优化 为提高多版本协同设计的整体存储及查询性能,针对具有有向无环图结构、结合完整和增量存储的多版本模型,在研究多版本演化过程、版本间继承关系的基础上,提出可双向恢复的增量版本定义方式,将有向无环图结构转换成带权无向图结构。以版本差异为指标计算版本恢复成本,使用改进的Floyd算法,以计算所有版本最小恢复成本为目标设计多版本分布模式优化算法。分析和实例结果验证,该方法可以提高协同设计多版本的数据存储可靠性和提取效率,并且优化算法具有较高的计算效率。 美学改进的空间优化树绘制 如何在有限的屏幕空间呈递层次规模尽可能大的树并保持其清晰的层次结构以避免认知困难问题一直是树可视化研究的主要问题之一。提出的改进的空间优化的树方法采用更合理的子树空间划分,进一步提高空间利用率,通过改变边缘结点定位方式进一步降低了原方法中因其分布不规则性而造成的认知负担问题。 一种大口径身管内弹道目标速度修复方法 在管状结构内,身管、管内运动目标、反射板和毫米波干涉仪等多目标的强烈联合振动使得利用毫米波干涉仪测试获得的目标运动速度曲线出现多段缺失,影响了管内运动目标速度测量;针对该问题,提出了一种基于阈值判别和粒子滤波优化的大口径管状结构内目标速度修复方案,该方案是在对实际信号的小波去噪预处理上,通过短时傅里叶变换(STFT)进行时频分析,得出速度曲线,针对速度曲线的多段缺失采用阈值判别法进行初步修复,再利用粒子滤波进一步修复与优化;实验结果表明,提出的方法能够有效解决目标速度缺失现象,实现大口径身管内目标速度有效测量,并且能适应处理多种速度级别的雷达信号。 面向服务组合的跨组织工作流性能预测与分析 面向服务的体系结构促进了工作流技术与服务组合技术的结合,为跨组织业务应用开发提供重要技术支撑。针对实时监控到的服务质量属性数据,提出一种基于时间序列的工作流性能分析方法,采用综合自回归移动平均模型来预测工作流的动态性能。当预测到性能值超标时,分析导致工作流性能异常的主要服务,有利于为组合服务提供更加合理的优化服务调整策略。实验结果表明所提出方法的可行性。 GPU加速逆时偏移技术的应用和分析 在地震资料的处理应用中,逆时偏移等处理技术由于计算资源的需求量巨大,而不能在实际生产中被广泛采用。GPU及CUDA编程架构的引入大幅提高其运算性能,是解决类似技术应用的有效途径。同时,GPU独特的物理特性使得一些应用不仅不能提高性能,甚至使性能急剧下降。通过逆时偏移技术应用实例来说明GPU的加速效果,同时将其和常规流程进行对比和分析给出应用软件的GPU适用性评价方法。 分离机制移动性管理系统测试 分离机制移动性管理系统是基于分离机制的在扩展代理移动IPv6的基础上提出的一种新的网络结构,它包括三个子系统,即身份与位置分离子系统、控制平面与数据平面分离子系统以及接入网与核心网分离子系统。描述了该系统中涉及的实体功能,以及移动节点的注册及通信流程。对系统进行了功能和性能测试,给出了测试结果。 基于重复纹理映射的动态云实时模拟研究 云是一种常见、普遍的自然现象,云的建模和渲染是计算机图形学领域的一个难点问题。利用重复纹理映射技术将多幅二维纹理图片加以组合,对三维动态云进行建模,通过瞬时对云进行平移、缩放等操作来模拟云的动态变化,进一步采用Alpha色彩融合技术模拟动态云的聚集、消散以及受到风力影响后云的形态变化等效果,通过采用多层重复纹理映射的叠加模拟层云,取得了很好的动态云模拟效果。所提出的动态云的建模方法简单,避免了大量繁琐的计算,提高了动态云的生成速度,满足了动画等应用场景中对动态云的实时性要求。实验结果验证了所提出的动态云建模方法和渲染生成方法的有效性。 基于聚类支持矢量机的汉语方言辨识 该文提出了一种新的汉语方言辨识方法,来解决在总多辨识系统中存在的较弱辨识能力的问题,这种新方法运用高斯混合模型和N元语言模型,来产生一个全局的语言特征,然后使用聚类支持矢量机来做最后的分类。实验结果表明该方法不仅可以提高正确的辨识率,而且可以提高系统的鲁棒性。 一种改进的二进制防碰撞算法 主要针对RFID系统中标签的碰撞问题,在返回式二进制防碰撞算法的基础上提出一种改进算法。该改进算法规定当标签发生碰撞时,将被识别标签UID从最高碰撞位开始的k bit UID值,通过计算重新生成2kbit数据返回给阅读器。该数据包含了原标签的k bit UID的值的信息,使得碰撞后阅读器依然能正确识别出该值,减少了命令搜索次数。最后通过仿真与其它改进的二进制树算法做了对比,证明该算法在阅读器寻呼命令和搜寻时间上的高效性。 基于DFRFT的脉压方法及与匹配滤波性能对比 针对线性调频体制雷达的目标检测与测距,提出采用离散分数阶Fourier变换实现脉压,推导基于采样型离散分数阶Fourier变换脉压方法的理论模型,对采用离散分数阶Fourier变换实现脉压时出现的时延模糊进行分析,提出用与谱峰相邻数据的相位差估计真实雷达目标的时延解决模糊问题。在雷达目标检测与测距中,基于离散分数阶Fourier变换与匹配滤波的脉压方法相比,距离分辨力相同,运算量降低近一半。 大型网络异常数据库的快速数据定位模型仿真 在对大型网络异常数据库快速数据定位的研究过程中,采用当前的算法进行快速数据定位时无法精确地提取特定的异常数据特征,存在数据定位精确度低的问题.对此提出基于改进多子群萤火虫算法的大型网络异常数据库的快速数据定位建模方法.先组建大型网络异常数据库的实体模型,并以组建的模型为依据详细地分析异常数据形成的原因,同时提取网络异常数据库中异常数据的特征,融入多子群萤火虫算法,获得大型网络异常数据库中特定异常数据特征,并根据其特征建立大型网络异常数据库的快速数据定位模型.实验仿真证明,基于改进多子群萤火虫算法的大型网络异常数据库的快速数据定位建模方法定位精确度高,适应性强. 认知无线电网络中安全的协作频谱检测算法 在认知无线电网络中,当认知用户存在虚假感知信息SSDF时,协作频谱感知的性能会受到巨大影响。为了确保频谱感知的鲁棒性,提出了一种基于信誉度加权序贯频谱检测算法来识别恶意用户并减轻他们造成的影响。该算法通过使用认知用户的近期感知信息计算本地信誉度函数,再综合考虑其信誉值与感知过程中表现的稳定程度以消除恶意用户对主用户的传输效率带来的影响。仿真结果表明,在恶意攻击环境下,新算法优于现有典型协作频谱感知算法。 基于MPB的三维重建方法研究及实现 针对无人机遥感影像三维重建需求,提出了基于MPB(mosaic-pose-bundle)的三维重建方法,将三维重建过程分为影像拼接、姿态估计、捆集调整三个步骤。影像拼接是将所有遥感影像配准到一个基准平面,建立地形的平面模型;姿态估计则根据平面模型解算出相机的外参数,完成相机的概率定向;捆集调整则是对定向参数和平面坐标进行非线性优化,完成地形的稀疏三维重建,并在此基础上重建场景的PMVS点云,进行表面重建及纹理映射,完成地形的实景真三维重建。依据上述思路,开发了一套基于无人机遥感影像的三维重建系统,通过对不同地形的遥感影像进行测试,验证了方法的正确性及稳定性,相对于传统的三维重建系统更加高效,为遥感影像的三维重建提供一种高效、稳健的新方法。 基于混合架构的FMM算法硬件加速 以高性能计算中的经典问题——多体问题的快速多极子(FMM)算法为例,分析FMM算法的各个步骤,根据计算、通信和存储特性将算法中的子过程归类。在CPU、GPU、FPGA和CELL上分别进行测试,提出执行FMM算法的混合可重构体系结构配置方案,并进一步优化算法,分解任务流。针对不同任务流的特点,提出可行的解决方案。结果证明,该方案可提高算法效率。 基于BIM的低成本工程项目进度控制系统设计 针对工程项目中出现的施工进度规划不合理等问题,提出低成本工程项目进度控制系统进行设计;传统的工程项目进度控制方法覆盖面有限,仅可完成某个领域进度的控制,存在成本高,效率低的问题;针对该问题提出基于BIM的低成本工程项目进度控制系统设计方法;该方法首先对控制系统的整体硬件结构进行设计,通过ARM7微处理器以及液晶显示模块、IC总线、以太网模块构建系统硬件组成了整体系统结构;对于所设计的系统软件部分,是基于CSDT-S对TR(传送请求)的处理,结合对TR的处理调度,先实现用户的工程项目进度控制的实时请求,并对其进行响应高效处理,进而利用进度数据信息存储器进行并行分布控制,对获取的控制结果进行进一步整合,按照相关度排序传送到用户的控制界面;为验证所设计系统的有效性,进行一次仿真实验,实验结果表明该系统能够实现人力资源与建筑资源合理分配,并且可以高效控制低成本工程项目管理的具体进度。 基于PIC单片机的超声测距报警系统 本文设计了一种基于PIC16F877A的超声测距报警系统,通过温度补偿、软件滤波以及提高发射驱动电压的方法,解决传统测距系统测量距离较近的问题,提高了探测距离和测量的精准度,并实现了实时报警的功能;解释了CX20106A芯片输出信号电平变化的原因,提出了两种报警思路并对其进行了验证和实现。实验结果表明该系统能很好的满足安防监控的需求。 量子衍生萤火虫搜索算法 为提高萤火虫搜索算法的寻优能力,通过在经典萤火虫搜索算法中引入量子计算机制,提出了一种量子衍生萤火虫搜索算法。该算法采用量子比特编码个体,采用泡利矩阵确定旋转轴,采用传统萤火虫飞行原理确定旋转角度,采用量子比特在Bloch球面上的绕轴旋转实现个体更新。标准函数极值优化的实验结果表明,与传统萤火虫搜索算法相比,该算法的搜索能力确有明显提升。 基于坡度的鲁棒优化方法 提出一种基于坡度的鲁棒性评价指标,通过三阶反距离平方权差分算法计算坡度,以坡度指标来反映函数的变化趋势和平滑程度,从而设计了一种求解鲁棒优化问题的多目标进化方法,仿真实验证明其有效性。实验还表明该方法在解的分布性与区分度上更有优势。 FOX密码的中间相遇攻击 FOX是基于Mediacrypt公司的需求而设计的系列分组密码。为进一步评估FOX密码的安全性,主要研究FOX密码抗中间相遇攻击的能力,根据其加密算法的结构,给出FOX64的3轮和4轮中间相遇区分器,以及FOX128的3轮中间相遇区分器。通过分别在以上区分器后加适当的轮数,对4到8轮的FOX64和4、5轮的FOX128进行中间相遇攻击,并给出攻击结果。结果证明了8轮FOX64/256是不安全的。同时表明,5轮FOX64/128、7轮FOX64/192、8轮FOX64/256和5轮FOX128/256均不能抵抗中间相遇攻击。 基于部分交互的软件近似度量模型 软件对环境的依赖性主要体现在软件与环境的交互。在实际中,有时软件与环境未必成功交互。其交互程度对软件的性能有着很大的影响。为测试不同软件在环境下的性能,需要对软件与环境的交互能力进行比较。在进程代数理论基础上,利用完整迹语义,建立软件近似程度的量化模型。形式化描述软件与环境的部分交互。列举一些例子对模型进行说明。建立软件近似程度的量化模型,证明一些代数性质。进一步,建立评价软件在与环境交互方面好坏的标准。 基于证据理论融合多特征的物体识别算法 为了提高物体的识别正确率,提出一种基于证据理论融合多特征的物体识别算法。提取物体图像的颜色直方图和尺度不变特征,采用极限学习机建立相应的图像分类器,根据单一特征的识别结果构建概率分配函数,并采用证据理论对单一特征识别结果进行融合,得出物体的最终识别结果,采用多个图像数据库对算法有效性进行测试。测试结果表明,该算法不仅提高了物体的识别率,而且加快了物体识别的速度,具有一定的实际应用价值。 基于分类的M近邻判别性低秩字典学习算法 针对稀疏编码学习的字典过大而导致字典冗余和计算复杂的问题,提出了一种M近邻判别性低秩字典学习(MLR)算法。该算法首先引入低秩表示,去除字典的噪声,使同类字典原子之间具有更强的线性相关性,可增强字典的紧凑性和纯粹性,提高字典的质量。然后用K-奇异值分解(KSVD)算法更新字典,保持字典的表示性能,获取最优的稀疏解。在分类中,结合M近邻思想,可得到与测试样本能量相近的字典原子,增强其聚类能力,并能提高分类的精确度。基于扩展的Yale B和AR人脸数据库上的实验结果表明,该方法用较小的字典得到更好的分类性能,并优于对比的算法。 基于开源软件MJPG_Streamer的智能视频监控系统设计 针对目前开发数字化的视频监控设备普遍成本较高、周期较长的特点,在ARM+Linux嵌入式平台,应用开源免费软件MJPG_Streamer实现视频图像的采集以及通过TCP/IP网络协议传输到上位机进行远程显示.同时提出了一种结合三帧差分算法和背景减法的目标检测算法,实现智能控制.实验结果表明,该系统能够进行实时的、远程的监控,同时能够快速对入侵目标进行语音报警. 基于DV-Hop定位算法的改进 针对DV-Hop算法采用跳数乘以平均每跳跳距估算节点间的跳距,利用三边测量法或极大似然估计法估算节点坐标信息,算法过程存在缺陷从而造成定位误差过高的问题。为此提出一种基于节点密度区域划分的DVHop改进算法(DZDV-Hop),依据网络的连通度和节点密度限制参与估算的信标节点的跳数,采用加权质心法估算定位坐标。Matlab仿真测试结果表明,在相同的网络硬件和拓扑结构环境下,改进后的算法能有效地减少节点通信量,且平均定位误差率比传统的DV-Hop算法减少了13.6%左右,提高了定位精度。 低通宽带滤波器应用和改进 为了减小低通宽带滤波器引起的负载端谐波电压畸变,提高负载整流侧直流电压,减小换向重叠角度,保证整流负载稳定工作,提出了宽带滤波器的优化设计方法。分析了串联电抗器对换向过程的影响,提出了宽带滤波器谐波抑制效果与负载波形畸变之间存在的问题,在宽带滤波器中引入了吸收回路并且对滤波器参数设计方法进行了改进,达到了减小负载端波形畸变的目的。将该设计方法应用于工程实际中,解决了负载功率降低等问题。实验结果表明了该方法的有效性和可行性。 适合物联网环境的混合双向匿名认证协议 为了物联网环境下数据传输和信息的安全,在对现有经典的物联网认证协议进行分析研究的基础上,提出了一种新的混合双向匿名认证协议。新协议是利用可信计算技术,采用基于双线性对的一次性公钥和散列函数相结合的方法。通过对安全性和效率的分析,新协议能够很好地满足物联网环境对存储和运算开销的要求,有效地保证终端与服务器端通信的隐私和数据安全,实现了双向认证和匿名认证。 基于相对密度的不确定数据聚类算法 传统的基于相对密度的聚类算法有效地解决了密度聚类算法对参数敏感以及不能区分不同密度等级簇的问题。基于相对密度的不确定聚类算法,借用了相对密度算法的思想,根据不确定数据的特征,定义了不确定数据的距离公式、相对密度、核心点、密度可达等相关概念,从而提出了一种能够有效地处理不确定数据的新算法。数据仿真结果表明了该算法的有效性和可用性。 产甲烷常温菌和嗜热菌代谢网络特征的比较研究 以产甲烷的常温古细菌Methanosarcina acetivorans(MAC)和嗜热古细菌Methanopyrus kandleri(MKA)的代谢网络为研究对象,分析了网络的拓扑结构与功能之间的关联性。本文利用Networkx计算了代谢网络的度、度的中心性、紧密度中心性以及介数中心性等参数值,通过比较分析发现常温古细菌MAC和嗜热古细菌MKA存在氨基酸代谢以及碳水化合物代谢保守途径,且都具有明显更高的度。结果同时表明子途径00010(糖酵解/糖异生)、00020(柠檬酸循环)、00250(丙氨酸、天冬氨酸和谷氨酸代谢)是常温古细菌MAC与嗜热古细菌MKA2个生物体的重要途径。 基于性能的导航飞行程序自动化管理仿真研究 民航飞机按照性能的导航(Performance Based Navigation,PBN)飞行程序自动飞行,能够极大地提高飞行的自动化程度。但是利用模拟机来验证PBN飞行程序周期长,成本高。虽然利用计算机仿真系统来验证PBN飞行程序效率高,但难点在于飞行程序的读入、飞行程序三维构建以及飞机模型建模。为此提出了实时仿真验证系统的实现框架,以飞行动力学以及运动学为基础,实现了导航系统的建模,对飞行程序数据利用XML格式进行转换并读取,然后对飞行程序利用三维形式进行展示,同时利用Geometry Clipmap和Clipmap结构来实现地形的构建和渲染。仿真结果表明,系统能够实现对PBN飞行程序的读取、解析、三维航路构建以及实时飞行验证。PBN飞行程序仿真验证系统的实现,确保了飞行程序设计的完整性、有效性和可用性,降低了模拟机飞行验证的成本。 名词性数据的五种代价敏感属性约简算法比较 代价敏感学习是数据挖掘研究领域最具有挑战性的问题之一。属性约简是数据挖掘中重要的经典问题。代价敏感属性约简问题是对经典属性约简问题的自然扩展,已经逐渐成为研究的热点。对当前具有代表性的5种处理名词性数据的代价敏感属性约简算法进行了分析和比较,总结了每种算法的各方面特性及不足之处,从而便于研究者对已有算法进行改进,并且进一步提出具有更好性能的新的约简算法,方便用户对算法的选择和使用。 基于移动对象轨迹段分割的聚类算法研究 将对象的运动轨迹作为一个整体聚类,将丢失相似子轨迹段有用的信息。为了找出相似子轨迹段,提出针对某一个轨迹进行子轨迹的聚类算法,先把长轨迹在特征点分割为一组直子段,再用基于密度的聚类算法对子段进行聚类,得到子段的可达距离排序并生成可达性图,最后根据生成的可达性图识别聚类结构。实验结果表明该方法能有效准确地识别相似子轨迹段。 基于矩阵的Apriori算法改进 文中介绍了经典Apriori算法的原理、思想和步骤,以及基于矩阵的Apriori算法。针对Apriori算法需要多次扫描数据库和产生大量候选项集的缺点,提出了一种基于矩阵的Apriori算法的改进方法。该方法的不同之处在于矩阵的构建方法,通过对事务数据库的一次整体扫描,把事务数据库中的数据转换成一个上三角矩阵,然后通过访问上三角矩阵中的元素就可直接得到频繁1项集和频繁2项集,再根据经典的Apriori算法,利用频繁2项集得到频繁3项集,依此进行下去。该算法因为有上三角矩阵的引入,故可以适当地减少访问事务数据库的次数,同时还减少了大量候选项集的产生,尤其是二次候选项集,节约了存储空间。实验结果表明,该改进算法是有效的,减少了使用扫描数据库的函数的次数,并且保证了频繁项集的准确性。 基于领域本体知识库的语义查询扩展 为了在检索过程中全面表达用户查询意图,提出了基于领域本体知识库的语义查询扩展方法。该方法借助领域本体推理出的知识,使检索系统从语义层面理解用户查询语句,并通过语义相似度来控制扩展词的规模,避免了查询过度扩展,使得新构造的查询能更准确地描述用户的检索需求,提高了检索的有效性。原型系统的实验结果表明,该方法较传统的关键字匹配法和LAC方法有明显的优势,在保障查全率的基础上,可极大地提高检索准确率。 一种基于信噪比确定主元个数的方法 多段主元分析(MPCA)是针对间歇进行故障诊断一种行之有效的方法.在MPCA中主元个数的确定是模型的关键,关系到主元模型的可靠性、准确性、完备性.传统的累积方差贡献率(CPV)方法确定主元个数主观性较大并且没有考虑故障因素.为了提高检测性能,有效的提取主元,文中提出一种信噪比(SNR)与MPCA相结合选取间歇过程主元个数的方法,SNR表明的是故障诊断的灵敏度和主元个数的影响关系,在确保主元信息充分描述数据的基础上,该方法考虑了故障的信息对主元个数的影响来选取主元.将此方法应用于青霉素间歇发酵过程故障诊断中,仿真结果表明T2统计量和SPE统计量的响应曲线对故障更加敏感,有效地提高了故障诊断的准确率. 基于PDCA的移动运营商网络安全管理平台架构研究 随着互联网的快速发展,其不断渗透到社会生活的各个方面,但随之来的各类网络安全问题也日益凸显.通过分析某移动运营商现有的业务支撑网安全管理平台,结合各级管理部门就网络安全问题的要求,提出一种以PDCA的安全策略为中心,以安全检查为引擎,驱动各项业务功能模块的网络安全管理平台总体架构.最终达到安全管理平台安全事件可发现、可管控、可运维、可测量的目标要求. 节能路由器的动态调频策略研究 网络基础设施中路由器的电量消耗随着网络规模的不断发展已经不容忽视,节能路由器的研制与使用是绿色通信网络发展的重要步骤之一。在分析网络流量的特点和证明细粒度调频有巨大节能潜力的基础上,设计了可动态调整频率的节能路由器系统架构,重点提出两类路由器的自动调频策略:微周期调频和阈值调频,深入分析了四种具体调频方法。结合不同的流量数据,通过实验评估了所提方法在各种网络中的节能效果,对接入网络的真实流量的实验结果显示,采用动态调频方法,理论上最大节能可达到40%左右,为节能路由器的设计与应用提供了参考依据。 基于多目标演化算法的云计算虚拟机分配策略研究 分析云计算虚拟机资源模型,针对模型中虚拟机与物理机的映射关系以及虚拟机多资源因子、多优化目标的特点,将虚拟机分配问题转化成多维装箱问题,引入多目标演化算法进行求解。算法设计了基于组的虚拟机分配链式编码和染色体评估函数,并根据编码设计了两种交叉算子和智能变异算子,通过引入基于超体积的种群更新机制,设计了基于SMS-EMOA的云计算虚拟机分配算法。为验证SMS-EMOA的性能,分别使用优先匹配启发式算法、基于物理节点数量的单目标简单遗传算法、SMS-EMOA进行了模拟。实验结果表明,基于SMS-EMOA的虚拟机分配算法在性能上更优。 大规模定制环境下基于零件复杂度模型的工时估算 针对大规模定制环境下产品种类多、工时制定困难的特点,为了能够快速且准确地获取产品工时,研究了零件复杂度与工时之间的关系,提出一种基于零件复杂度的工时估算方法。通过对零件进行分析,找出影响零件加工时间的关键因素,从零件拓扑关系和工艺属性着手,根据零件拓扑关系相似性原理与三维结构模型,分别构建零件拓扑结构复杂度和零件工艺属性复杂度计算模型;根据编码搜索原则和数据库中的工时信息,运用MATLAB软件编程确定零件复杂度和工时之间的函数关系,从而快速准确地计算出新零件的加工时间。最后通过应用实例验证了该方法的有效性。 一种支持服务QoS差异度控制的Web服务发现模型 服务质量QoS描述了一个Web服务满足用户需求的能力。在动态、开放和多样的网络环境中,由于QoS的不确定性使得服务选择结果与实际结果偏差较大,服务质量难以保证。针对此问题,设计了一种支持服务QoS差异度控制的Web服务发现模型,在该模型中,通过增加第三方监控Interceptor,保证了服务提供者和消费者提供给QoS认证中心的信息以及QoS认证中心交给服务注册中心的信誉度数据的真实性,另外其也作为双方能公平公正地遵守SLA的公证方,保证了服务的合法交易;同时,在服务使用者端和QoS认证中心处增加了QoS属性本地规约验证机制和全球规约验证机制,其可以控制用户的QoS需求值和提供者给出的提供值的差异度,使得在交易过程中能得到服务和服务提供者的一个较准确的客观的信誉度评价。实验表明,该方法能够有效控制QoS差异度,并为用户提供更加满足需求的Web服务。 三维微处理器设计基本方法及前景分析 介绍了三维处理器设计的基本内容,详细阐述了用于三维处理器中三维存储系统的设计方法及发展趋势,最后概括描述了目前三维处理器、三维存储系统设计中存在的问题,这将为国内三维处理器设计提供一定的借鉴. 计算机模拟技术预测井筒涌水量的应用研究 计算机模拟技术作为一种比较先进的技术手段,利用其技术优势弥补了解析法、类比法等传统预测法的不足,对井筒涌水量的预测更加符合实际。论文以平煤股份十矿三水平进风井平顶山砂岩含水层段为例,采用计算机数值模拟软件COMSOL,构建数值计算模型,对井筒的开挖过程进行数值模拟,实现了不同施工工况下,井筒涌水量的预测分析。模拟结果为建井方式的合理设计及井筒安全施工提供了理论依据。 基于ARM9的嵌入式指纹图像处理算法研究 随着指纹识别技术的发展和嵌入式系统的广泛应用,指纹识别逐渐向嵌入式方向发展。针对ARM9嵌入式开发平台,提出了一种适合于嵌入式系统的指纹图像预处理算法。该算法改进了传统的Gabor增强,消除了奇异点处脊线断裂和错连的问题,并采用了复合细化算法对二值图像进行细化以及细化去噪处理。实验表明,该算法具有良好的实用性和快速性,满足了嵌入式指纹识别系统的要求。 基于多分辨率和Powell算法的医学图像配准 针对基于互信息和Powell算法存在局部收敛的问题,在改进的Powell算法的基础上,提出了一种基于多分辨率策略的医学图像配准算法。首先通过小波变换对源图像进行分层,然后在最低频带使用改进的Powell算法进行搜索,并利用搜索结果来指导上一层的搜索,逐层细化,由粗到细,最终实现图像的精确配准。实验结果表明,该方法较传统方法速度快、精度高、鲁棒性好,同时能有效避免局部收敛。 区间多目标优化非支配排序云模型算法 针对区间多目标优化问题,利用云模型对NSGA-Ⅱ算法进行改进,提出一种非支配排序云模型算法(NSCMA)。首先,从初始云团中随机选取一个云滴作为父代,通过正态云算子生成子代云滴,用来替代传统NSGA-Ⅱ遗传操作中的交叉和变异;其次,用约束条件对生成的云滴进行筛选处理,避免不可行解进入下一步算法;最后,运用区间占优关系对满足约束条件的解进行占优排序,对无法比较的同序值解计算拥挤距离。仿真结果验证了所设计算法的有效性。 基于私有云的海洋观测数据同步存储策略研究 研究发现海洋实时观测数据存储系统在运行中常出现延迟甚至内存溢出等问题,针对该问题提出一种基于私有云存储的同步策略,该策略能解决上述因存储海量实时数据而带来的性能问题并节约存储成本。 用于盗版追踪的数字水印协议研究 设计了一种用于盗版追踪的基于数字水印的买卖交易协议。协议中,为了减少可信任第三方的在线参与,引入了一个无记忆的水印认证授权中心WCA,它能为买方一次产生多个数字水印,从而不需要参与买卖双方的每次数字产品交易。为了解决买方匿名问题,WCA应加密买方的数字证书,并通过买方将其传递给卖方,使买方在购买数字作品时方能保持匿名。此外,本协议能解决其它各种已知的安全问题,如消费者权益、水印绑定问题、共谋问题、争论问题。分析结果表明,提出的协议是安全有效的。 基于双目视觉的目标定位与测距系统硬件平台 自主目标识别与定位问题是智能化林业机器人工作的重要基础。以林业环境中树干识别及定位为目标,设计一种基于双目视觉的数字视频实时处理系统硬件平台。使用双目摄像头采集图像,并对采集信息进行三维信息计算,输出目标定位与测距结果。实验结果表明,该硬件平台可以完成图像采集及处理功能,达到预期的实验效果。 基于LBS的移动增强实境浏览器 目前的手机导航方式多局限于平面图文,为使用户获得更直观、立体和真实的导航体验,提出一种基于Android智能手机传感模块的增强实境浏览器。考虑到户外场景与导航图文的虚实融合,设计基于手机GPS信息和姿态角的惯性跟踪注册方法,并通过图像复合变换实现动态渲染。针对网络地图获取的GPS数值偏差较大的问题,选择实地采集经纬度信息,利用方差均值化提高采集数据的稳定性。校园内测试结果证明了该浏览器的实用性和可行性。 并行仿真中全状态保存技术研究 乐观时间同步机制能够显著提高并行仿真的性能,但是在乐观时间推进过程中会出现因果错误,需要根据保存的状态对事件进行回退,因此事件状态保存机制是影响乐观时间推进效率的重要因素。本文首先简要介绍了并行仿真中逻辑进程的执行过程,讨论了乐观时间推进的状态保存与回退机制;然后对全状态保存技术进行了建模和理论分析,并通过实验测试了全状态保存算法的性能,测试结果验证了理论分析的正确性。 基于边界扫描的BIST技术 近年来,随着信息化武器装备复杂度的增加和现场级快速测试诊断需求的增加,迫切需要基于边界扫描的BIST技术;在这种应用模式中,基于边界扫描的BIST技术能解决现场级快速测试诊断需求,测试结果仅需给出板卡是否有故障而不需要定位具体的故障,如果板卡有问题,将板卡送到基地采用基于边界扫描的ATE技术进行详细的故障定位和维修操作,即基于边界扫描的BIST技术解决故障检测问题,而基于边界扫描的ATE技术解决故障隔离问题;文章紧密联系应用需求,并以工程应用作为参考目标,从技术体系上研究基于边界扫描的BIST技术,并给出了边界扫描互连测试最优BIST架构。 自相似网络论坛的模型研究 为描述网络论坛文章数序列的自相似性,使用基于分数差分和ARMA过程的FARIMA模型,对论坛的文章数序列进行建模和分析。FARIMA模型具有描述精度高的特点,但时间复杂度较高。为此,提出λ-ARMA模型。通过实验进行仿真分析,从模型的计算复杂度和拟合准确度方面进行对比,证明了λ-ARMA模型的有效性。 基于DWT-SVD域的彩色图像自适应水印算法 为了保护数字彩色图像版权,提出了一种结合离散小波变换(DWT)和矩阵奇异值分解(SVD)的彩色图像自适应水印算法。其主要设计思想是:先将原RGB彩色图像的各颜色分量进行小波分解;再将得到的中、低频带的小波系数与原水印图像分别进行奇异值分解,水印信息的奇异值重复嵌入到三通道中、低频带的小波系数奇异值中,且利用临界视觉阈值与奇异值之间的关系对水印嵌入强度作自适应调节,从而达到增强水印鲁棒性和确保水印透明性的目的。本算法适用于二值及灰度水印,在二值水印的提取过程中需设定一个提取阈值,以保证提取水印的完整性。仿真结果说明本算法对水印系统的透明性与鲁棒性作了较好的协调,是一种较稳健的算法。 异构数据库集成中间件的设计与实现 随着信息产业和通信技术的发展,企业在信息化建设过程中构建起多个异构的信息系统。为了解决网络环境中异构数据库的数据集成和共享问题,提出了一种基于XML和数据库连接池的异构数据库集成中间件模型。在此模型中设计了翻译器、分发器、集成器,分别用于数据的格式转换、分解和合并,并对模型实现过程中的关键技术给出了详细描述。为了进一步提高数据库访问效率,引入了数据库连接池技术,实现了分布式异构数据库的透明访问和联合查询。 基于离群特征模式的股市波动预测模型 由于股票价格波动具有较强的突变性且易受外界因素影响,导致股票价格走势难以预测。提出基于离群特征模式的股市波动预测模型(SFSVM)。该算法首先利用马尔可夫毯选取目标结点的局部网络结构,以屏蔽其他结点对目标结点的影响;对目标结点的指标进行分析,提取异于一般行为的离群特征模式;利用滑动窗口捕捉离群特征,将离群特征模式作为先验知识加入原SVM模型,预测尖峰点并平滑尖峰点对于预测结果的影响,提高预测模型的稳健性。在股票板块数据上进行实验结果证明,SFSVM算法相对于神经网络和标准的SVM算法,在股票的走势预测方面有更好的预测效果。 基于小波变换的矿井监控图像增强研究 针对在矿井视频监控系统中采集到的井下图像质量差的问题,论文提出了一种基于小波变换的图像增强方法,使用该方法增强的图像细节显示能力强,图像对比度更高,该方法对煤矿监控图像有显著的增强作用。通过与传统的伪彩色增强算法、灰度线性变换算法和直方图均衡算法比较,该方法较好地增强了井下低对比度图像的可视化效果。实验证明,该基于小波变换的图像增强方法能够增强矿井下图像的清晰程度,使矿井视频监控系统更好地发挥作用。 基于巴氏系数和Jaccard系数的协同过滤算法 针对传统基于邻域的协同过滤推荐算法存在数据稀疏性及相似性度量只能利用用户共同评分的问题,提出一种基于巴氏系数和Jaccard系数的协同过滤算法(CFBJ)。在项目相似性度量中,该算法引入巴氏系数和Jaccard系数,巴氏系数利用用户所有评分信息克服共同评分的限制,Jaccard系数可以增加相似性度量中共同评分项所占的比重。该算法通过提高项目相似度准确率来选取最近邻,优化了对目标用户的偏好预测和个性化推荐。实验结果表明,该算法比平均值-杰卡德差分(MJD)算法、皮尔森系数(PC)算法、杰卡德均方差(JMSD)算法、PIP算法误差更小,分类准确率更高,有效缓解了用户评分数据稀疏所带来的问题,提高了推荐系统的预测准确率。 维吾尔文后缀树构造算法的设计与实现 为用后缀树聚类算法对维吾尔文网页进行聚类,通过分析可扩展后缀树和维吾尔文的特点设计了维吾尔文后缀树构造算法。实验结果证明该方法能够在线性的时间范围内构造维吾尔文后缀树,并用它来对维吾尔文网页进行聚类。 Macro-Femto双层网络中资源分配策略 宏蜂窝与家庭基站的双层组网方案能够有效提高通信系统的容量,解决数据业务拥堵的现状。但是,宏蜂窝基站和家庭基站之间的多种干扰问题严重损害了通信质量,降低系统性能。针对该问题,文中提出了一种分层调度资源—联合抑制干扰的算法。首先构建了内外层系统模型(I-O模型),并对双层交叉混合干扰进行了分析,然后分别在内层和外层提出了时频域资源调度和基于子信道和功率的资源分配算法,最后在系统平台上进行仿真。结果表明,所提算法在小区边缘用户频谱效率、系统用户平均吞吐量等方面达到了良好的效果。 基于三角函数的正交矩函数的误差预测与分析 提取图像中旋转不变特征是图像处理和模式识别中重要的应用。在极坐标下的正交矩函数则是提取这种特征信息的主要方法。正交矩函数在图像分解和重建过程中的误差是衡量其特征提取精确度的标准。为了提高正交矩函数在图像重建中的性能,提出了一种新的基于三角函数的正交矩函数和一种基于函数误差分析的新的衡量方法,并分别应用新的衡量方法和传统的在大量图像中进行重建误差统计的方法对新的正交矩函数以及另外两种在特征提取方面表现最好的正交矩函数进行了比较。实验结果验证了新的衡量方法的有效性并得到了新的正交矩函数的重建效果更好的结论。 基于多级框架的不确定知识推理方法研究 在知识推理应用于智能化方法的过程中,为解决知识并发性匹配及可用性等问题,设计了一种多级框架下的不确定性推理方法。该方法通过采用规则-知识衍生方式、构建产生式模型和设计多级框架结构以有效提高推理精度和准确率,通过引入槽值符合率及隶属度作为确信因子以及实现知识的并发性匹配,选定正向推理作为知识推理方式,应用论据累计的Bayes方法消解知识匹配冲突。选用并发异常样本对所提出方法的准确率和并发推理能力进行验证实验。实验结果表明,该算法在准确率和并发推理能力方面具有较大提升,达到了知识结构设计合理、知识抽象化程度明显提高的目的,满足了复杂工业生产等领域对多并发推理的精细化要求。油井生产动态预警应用的实际测试分析表明,所提出的Un MF方法较大程度上提高了预警的准确性,降低了生产异常情况的发生,对稳定高效工业生产具有重要的意义。 粮仓无线温湿度监控系统 设计了一个粮仓温度湿度监测系统,从机采用温湿度传感器SHT11对温度、湿度进行采集后通过无线模块PTR2000发送,主机接收后计算出温度、湿度值显示在液晶屏上,无线通讯对发送数据进行CRC校验提高了数据传输的可靠性。系统发射部分以AT89C2051为核心,包括采集模块,无线发射模块;接收部分以AT89S52为核心,将无线接收,液晶显示等模块结合起来,该系统可广泛应用到粮仓等场合中。 量子谐振子蚁群算法 通过分析目前蚁群算法存在的问题和改进算法的优点,发现量子谐振子系统物理特性能够保证算法最终的收敛性。通过量子谐振子高能态到低能态的转变过程和信息素的增加过程相对应,从而更新信息素,在物理上给算法提供了理论依据。通过量子旋转门改变城市转移规则,通过泡利矩阵变异使蚂蚁有更广阔的空间。综合量子谐振子以上的优点,提出了量子谐振子蚁群算法,并在旅行商问题(TSP)上取得了较好的寻优路径。 CT影像中边缘病变的二维肺野分割研究 为了研究采用基于先验形状约束的活动轮廓模型方法来正确分割胸腔CT影像中高密度病变影响边缘的肺野区域,对已分割获得的胸腔CT影像中的二维肺野区域的形状根据其相似性进行粗略分类,并对这些先验形状进行分类学习,通过学习获得的PCA形状向量与活动轮廓相结合的迭代方法拟合肺野区域的正确边界,最后通过基于边界的区域分割方法对胸腔CT影像进行分割,得到正确的肺野区域。通过所得分割结果的对比表明,采用该模型来拟合肺野区域边界来完成肺野分割是可行的,同时从分割结果的量化评价指标(准确性和敏感性、特异性)可看出本方法分割能够得到正确的肺野区域。 基于Virtools平台的森林仿真风效技术研究 森林场景的仿真是对复杂环境仿真中的重要部分。建立具有沉浸感、高逼真度的森林场景,除了高真实感的树木及花卉等植被,同时需考虑风效等影响森林场景逼真度的自然因素。为快速绘制具有真实感的大规模虚拟森林场景,围绕Virtools虚拟现实仿真平台使用树木三维模型进行实时交互的要求,制作插件结合SpeedTree树木软件绘制森林场景制作仿真系统,通过实验室仿真研究常见的风速模型分析比较,提出适合实时植被绘制的森林仿真风速模型,对应用风速模型的森林场景风效进行实验验证。 一种提升4T-APS像元量子效率的方法 通过调整钳位光电二极管P+层掺杂浓度与阈值注入浓度,抑制了4T-APS像元传输管亚阈值漏电,提升了像元的满阱能力与量子效率,并应用TCAD工具进行了仿真验证.结果表明,像元满阱容量可由3500e-提升至7900e-,550nm入射光条件下量子效率可提升27.8%. 实时调度中条件保证预算的分析 重点研究了固定优先级抢占调度(FPPS)下的条件保证预算(CGB)的可调度性。先引入占用时间和进度的概念,对于具有任意周期和相位的实时资源调度,基于最好情况时间以及最坏情况时间等基础分析技术将周期按照一定规则划分为不同区域并分别加以分析,详细阐述并推理得出计算系统中可以使用的CGB大小的算法。在系统资源可调度性上,提出的计算CGB的方法可以对资源进行更好地配置,提高了资源的有效利用率。 用于产品隔离测试的集散控制系统设计 为满足某些行业产品测试的安全性及自动化要求,文章在综合分析以太网应用于工业领域的优缺点的基础上,设计了一种以工业以太网作为系统总线的集散控制系统,实现了产品隔离测试和实时测试监控。结合系统设计要求,确定了分布式集散控制的整体设计思想,并详细介绍了系统的硬件架构设计和软件设计。重点介绍了软件系统中起核心作用的主控子系统。 基于动态轮廓模型的羽毛分割改进算法 从羽毛图像中分割毛杆适合采用动态轮廓模型,而原始原模型易受局部强边缘干扰产生偏差,且计算规模偏大。根据毛杆的特性,提出用毛杆中心线和毛杆宽度来代替毛杆轮廓,把模型中二维轮廓曲线变化成两个相互独立的一维函数,并据此修改能量方程。改进算法利用对称性避免强边缘干扰,减少了计算规模,能实现全自动分割。实验表明该算法具有较强的抗噪性,使分割毛杆效果良好,能满足工业需要。 改进的模糊形态学边缘检测算法 提出了一种新的基于模糊形态学的边缘检测算法。算法结合模糊增强方法和模糊形态学边缘检测方法,使用简单隶属度函数将图像映射到模糊特征平面,利用滑动窗口技术进行模糊增强,增大边缘的灰度差,将增强后的模糊图像调整回原始区域,采用多方向模糊形态学进行边缘提取。实验结果表明该算法优于传统的边缘提取算法。 基于磁盘阵列的VLBI计算机存储系统 完成对深空测控外部存储系统磁盘阵列的设计是深空战略工作中的一部分.本文在分析磁盘组阵各性能的基础上,为深空测控计算机外部存储系统给出磁盘阵列组阵建议.根据深空测控任务要求出发,对磁盘的各组阵方式的容量、速度和可靠性等方面进行理论分析和实际测量,结果显示RAID0组阵方式是符合存储系统需求的组阵方式. 基于耦合模拟退火优化最小二乘支持向量机的车轮踏面磨耗量预测 针对最小二乘支持向量机(LS-SVM)超参数优化问题,提出采用改进耦合模拟退火(CSA)算法优化LSSVM超参数。首先,耦合模拟退火算法通过并行处理多个独立模拟退火(SA)寻优过程,提高LS-SVM模型超参数优化效率;然后通过调整接受温度控制耦合项超参数的接受概率方差,降低CSA算法初始设置对LS-SVM最优超参数确定过程稳健性的影响;最后结合既有线轮轨现场的实际检测数据,开展了基于改进耦合模拟退火优化的最小二乘支持向量机(CSA LS-SVM)回归模型性能对比实验。结果表明,CSA LS-SVM回归模型达到了模型精度、算法快速性、算法鲁棒性的有效折中,所建立的LS-SVM优化模型用于现场的车轮踏面磨耗量的预测是有效的。 基于自适应线程束的GPU并行粒子群优化算法 基于统一计算设备架构(CUDA)对图形处理器(GPU)下的并行粒子群优化(PSO)算法作改进研究。根据CUDA的硬件体系结构特点,可知Block是串行执行的,线程束(Warp)才是流多处理器(SM)调度和执行的基本单位。为了充分利用Block中线程的并行性,提出基于自适应线程束的GPU并行PSO算法:将粒子的维度和线程相对应;利用GPU的Warp级并行,根据维度的不同自适应地将每个粒子与一个或多个Warp相对应;自适应地将一个或多个粒子与每个Block相对应。与已有的粗粒度并行方法(将每个粒子和线程相对应)以及细粒度并行方法(将每个粒子和Block相对应)进行了对比分析,实验结果表明,所提出的并行方法相对前两种并行方法,CPU加速比最多提高了40。 防范利用远程溢出植入后门的设计与实现 缓冲区溢出是目前病毒主要利用的漏洞之一,首先分析了远程溢出攻击的基本原理,在此基础上给出了具体的远程溢出的设计与实现方法。阐述了Shellcode从高级语言到机器语言的构造过程,介绍了漏洞扫描的具体实现方法,最后给出了远程溢出的防范建议。 一种基于关键字的XML文档查询算法 对XML文档查询的常用方法有两种:一种是使用查询语言;另一种是使用关键字,而使用关键字查询XML文档比使用查询语言更为简单方便。给出了一种使用关键字查询XML文档的索引查找算法。该算法只需要扫描一次关键字对应的编码列,就可以找到需要的编码,提高了查询效率。实验表明该算法是可行的和有效的。 高速模数转换器RHF1201测试技术研究 论文介绍高速模数转换器RHF1201特性,在测试项目动态参数开发过程如何选择合适的测试资源,设计适合器件测试的接口电路,减少噪声的引入满足动态参数的测试。 基于多峰高斯函数的直方图规定化算法 直方图均衡化作为一种特殊的直方图规定化方法,能有效地增强图像的对比度,但其对直方图活动范围的拉伸通常会造成图像过度增强。一种基于高斯函数的直方图规定化算法可提高控制对比度活动范围的能力,然而该算法处理的图像缺乏层次感。基于此,提出一种基于多峰高斯函数的直方图规定化算法,该算法首先用直方图求导方法估算出原直方图的局部峰值和方差,从而得到原直方图的多峰高斯函数,然后采用扩展后的多峰高斯函数作为目的直方图进行规定化。此算法的主要特点是改变局部峰的参数,有选择地对某局部灰度范围进行对比度增强,从而拉伸整个图像对比度的活动范围。对于彩色图像增强,该算法在对彩色图像R,G,B3个分量子图直方图规定化处理的基础上,根据人类视觉习惯,引入彩色恢复因子将规定化的R,G,B分量进行有效合并。实验结果和原理分析表明,该算法能有效增强图像的视觉效果,使其细节明晰,层次丰富。 IMM目标跟踪算法在DSP上的优化与实现 交互多模型(IMM)算法运算复杂、计算量大,很难满足高实时性系统要求,为此,提出了一种将IMM跟踪算法在TMS320C2000系列DSP芯片上实现的方法。根据DSP特点,利用C代码优化、汇编优化和指令流水线等技术对算法进行深度优化。实验结果表明,该方法具有运算效率高的特点,满足高实时性系统要求,采用的优化方法对其它算法的DSP优化有一定的借鉴意义。 融合多种特征点信息的最小生成树医学图像配准 针对医学图像配准鲁棒性强、准确性高和速度快的要求,提出了一种基于融合多种特征点信息的最小生成树医学图像配准算法.该算法首先提取3种特征点,Harris-Laplace,Laplacian of Gaussian和网格点;然后使用遗传算法去除特征点集的冗余,并通过对位映射构建无向完全图顶点集合;进而使用改进的Kruskal算法来构造最小生成树;最后使用得到的最小生成树估计Rényi熵.该算法较好地解决了在噪声数据中使用最小生成树估计Rényi熵面临的特征点不稳定导致鲁棒性低和构造最小生成树遇到的速度瓶颈.实验结果表明:在图像含有噪声、灰度不均匀以及初始误配范围较大的情况下,该算法在达到良好配准精度的同时,具有较强的鲁棒性和较快的速度. 基于Android平台汽车监测与诊断终端 随着人类生活水平的提高,小型汽车逐渐成为人们出行的首要选择,然而随之带来的交通问题却越来越制约着人类社会的发展.本设计以STM32和Android手机为核心,通过蓝牙与3G网络来实现汽车、手机和服务器之间的互相通信,进而实现汽车运行状态的实时监测和在线诊断功能. 基于子树间快捷连接的非结构化P2P资源搜索方法 通过对非结构化P2P网络资源搜索方法的研究,提出了一种P2P覆盖网络。网络采用多路平衡树形拓扑结构,当新节点加入时在其与根节点的各子树间创建仅参与查询消息第一跳转发的快捷连接,搜索过程中利用快捷连接实现查询消息在根的各子树间并行转发并且不依赖根节点。仿真比较了所提网络同Gnutella和随机漫步网络的性能,所提网络具有低消息冗余率、高搜索成功率和较低的平均搜索时间复杂度。分析结果表明所提网络在资源搜索方面是高效可行的。 维吾尔语口语考试系统的开发与应用 维吾尔语口语考试系统,基于C/S结构,由考试系统、考试管理系统等模块组成。应用Socket实现管理机和考试机之间的通信。介绍了维吾尔语口试测试方案和流程,研究了维吾尔语的输入、输出,音频的输入、输出,系统开发平台和运行环境等问题。讨论了在Windows 7下,利用回调函数管理音频数据块和应用低级音频函数进行录音的方法。给出了在录音过程中,采用波形图和柱形图实时显示录音音量大小变化的方法和录音设备故障检测的方法。在维吾尔语口语考试中,应用口语考试系统,取得了成功。 广义三次DP曲线 给出一组带有两个形状参数的三次多项式基函数,它是三次DP基函数的扩展;分析该基函数的性质;基于该组函数定义了广义三次DP曲线,它不仅具有与DP曲线类似的性质,还可通过改变参数λ或μ使其具有形状可调性。当这两段曲线相拼接时,在满足一定的条件下,曲线可达到G2或C2连续,从而为自由曲线设计提供了一种有效的方法。 单载波无线系统载频与定时偏移估计算法 针对受到传播时延、信道噪声干扰以及发送端与接受端振荡器的不匹配等因素影响的单载波无线系统载频与定时偏移问题,提出一种基于周期稳态性的载频与定时偏移估计算法CSFTE。CSFTE算法通过对接收信号的周期稳态性及相关性估计出频率偏移与时隙偏移,进而有效地提高无线系统抗频率与定时偏移的能力。详细的仿真实验以及与现有FOSTR算法性能比较表明,提出的频率与定时偏移估计算法CSFTE在平坦衰落信道加性高斯白噪声干扰下显著地减小了频率与定时偏移误差。 直升机智能PID控制研究 针对直升机俯仰角度控制和旋转轴速度控制需求,对模糊PID控制、神经网络PID控制和免疫PID控制在不同控制规律下的系统控制效果进行了对比研究。仿真实验表明,神经网络PID控制器准确性最高,系统响应无误差,稳定性较好,但响应时间较长;模糊PID控制器系统动态响应时间较快,系统稳定性相对最好,但存在微量误差;免疫PID控制器控制直升机旋转轴时,系统响应速度和稳定性明显优于其他两类控制器,但对俯仰角控制效果差。 新型智能车辆安全车速辅助测控系统的设计 为解决行驶车辆的防追尾问题、提高行车安全性,设计了一种新型智能车辆安全车速辅助测控系统;基于超声测距技术完成了车距和相对车速的测算,基于模糊控制技术设计了车速的辅助控制器,控制步进电机调节油门节气门的开度,从而实现车速的自动辅助调节;基于嵌入式系统开发技术完成了系统软硬件研发;测试结果表明,系统平均控制精度高达97.6%,平均反应时间仅为527ms;系统能够显著提高行车安全性、有效较低追尾事故发生率,具有较高的实用推广价值。 基于混合混沌分类的水下航行器异常压力检测 随着水下航行器随下潜深度的逐渐增加,所受的压力也逐渐增加,当下潜至一定深度时,压力出现异常将影响水下航行器的稳定运行。传统的水下航行器异常压力检测方法存在无法克服水下航行器压力多样性和不可预知性问题的弊端,提出一种采用混合混沌思维的水下航行器异常压力检测方法,将一维时序维数扩展至更高维的空间中,挖掘出充分的水下航行器压力信息,恢复原吸引子的动力学特征,依据此刻状态获取下一时刻的状态,对相空间进行重构,合理选择时间延迟与嵌入维数,为时间序列的预测提供可靠基础。对水下航行器样本集进行多次不同k值的k-均值聚类处理,利用评价函数对聚类结果的聚集性及分离性进行评价,获取最优聚类结果,得到小规模类样本,即为水下航行器异常压力样本。仿真结果表明,所提方法为提高水下航行器检测精度提供了依据。 结合加权特征向量空间模型和RBPNN的文本分类方法 提出了一种结合加权特征向量空间模型和径向基概率神经网络(RBPNN)的文本分类方法.该方法针对传统的文本特征提取方法的不足,根据文本中特征项的位置信息和所属类别信息定义特征权重,然后,依据特征项的权值计算文档特征项的频数,通过TFIDF函数计算特征值并得到文本的特征向量,最后,采用RBPNN网络分类,通过最小二乘算法求解神经网络的第二隐层和输出层之间的权值,最终训练获得文本分类模型.文本分类实验结果表明,该方法在文本分类中表现出较好的效果,具有较好查全率和查准率. 基于加速梯度求法的置信规则库参数训练方法 置信规则库(belief rule base,BRB)的参数训练问题实质上是一个带有约束条件的非线性优化问题,目前在求解该问题上主要使用FMINCON函数及群智能算法,但在算法的应用中存在移植性差,难实现,计算时间长等局限性。通过对这些问题的研究,结合现有的参数训练方法提出了基于加速梯度求法的置信规则库参数训练方法,并将其应用在多峰函数、输油管道泄漏检测的置信规则库的参数训练上。以收敛误差、收敛时间和皮尔森相关系数作为衡量指标,对新方法与其他传统方法进行了对比,实验结果表明,新算法在收敛精度和收敛速度上具有更理想的综合效益。 基于“北斗”通信的文本数据传输 "北斗卫星导航系统是我国自主研发且具有通信能力的区域性卫星导航系统,根据""北斗""覆盖面广、安全性好的特点,可对我军情报数据,装备数据等文本信息进行远距离可靠传输。针对""北斗""传输带宽小的特点,采用Huffman数据压缩技术对文本数据进行无损压缩,可以提高通讯效率。论文主要介绍了Huffman数据压缩技术原理在""北斗""数据通讯中的应用及文本数据面向窄带条件下的分发组织模型。" 基于OSEK的CAN网络管理模拟平台的设计与实现 为增强车载总线CAN网络的安全可靠性,满足日益增加的车载电控单元对网络安全性能的需求,深入研究了OS-EK/VDX中的网络管理标准及实现机制,并探讨了在CAN网络中引入OSEK/VDX直接NM(OSEK/VDX direcr NM)机制的可行性。根据CAN网络的通信机制与直接NM的特点,在NS2仿真系统上扩展了CAN网络模块与直接NM模块,并通过实验有效地实现了两者的结合。实验结果表明,OSEK/VDX直接NM系统可在CAN网络中迅速达到稳定状态,继而为CAN网络的安全、可靠运行提供有效保障。 基于负载均衡的无线传感器网络路由算法 延长网络的稳定周期是无线传感器网络路由算法的重要挑战,负载均衡算法是解决上述问题的重要方法之一.提出了一种负载均衡算法(LDBRA),它根据节点的能量分布状况及通信能耗选择簇首节点以实现负载均衡.在簇首选择过程中,在以上两个因素中选择平衡点实现最优簇头的选择.仿真结果显示LDBRA能够更好的实现负载均衡,延长网络的稳定周期. 多服务器环境下可实现访问控制的身份认证方案 相比单服务,多服务器环境的认证方案具有不需要用户重复注册和记忆多个密码等优点,近年来受到学界关注。2015年,屈娟等人提出一个多服务器环境下基于切比雪夫多项式的三因素身份认证方案。相比目前其他多服务器环境的身份认证方案,该方案具有一定新意。但通过分析可以发现该方案仍然存在如下缺陷:容易受到重复注册攻击;生物特征处理不恰当;认证过程严重依赖注册中心,容易遭受拒绝服务器攻击以及系统整体健壮性不高;协议部分设计存在不合理之处。为了解决上述问题,提出基于安全概略和切比雪夫多项式的三因素身份认证方案。通过分析可知该方案虽然计算量有所提升但是能较好解决屈娟等人所提方案存在的安全威胁,同时该方案也能实现访问控制。 基于不完全信息多阶段博弈的入侵路径预测 随着入侵的推进入侵者掌握的信息会逐步增加,依据新信息入侵者会找到更好的入侵路径并作出调整。为了使防御方能准确预测入侵路径,首先基于超图理论建立动态防御图并提出动态防御图更新方法,对入侵者的信息更新进行预测;然后建立不完全信息多阶段博弈模型对不同阶段入侵者的入侵路径调整进行预测;最后设计基于博弈的动态防御图路径预测算法,对完整的入侵路径进行预测。实验给出对入侵路径进行预测的典型实例,对实例结果的分析说明了模型的合理性与准确性。 BAM神经网络周期解的存在性与稳定性 利用不动点理论、Lyapunov泛函,研究了具变时滞的BAM神经网络周期解的存在性、唯一性和全局指数稳定性问题。所得的充分判别标准由线性矩阵不等式所表示,可以较容易地由Matlab进行验证。仿真实例表明,得到的判据是有效的。 多特征融合决策的发票印章识别 识别发票专用章是提取发票信息的关键步骤之一,为此提出一种多特征融合决策的印章识别算法。利用最大对称环绕(MSS)算法检测图像中的感兴趣区域;依据印章的形态特征剔除无关目标,通过迭代自组织数据分析(ISODATA)算法对图像中的剩余目标进行聚类分析;提取各类别外轮廓上的样本点进行随机最小二乘椭圆拟合,实现印章的识别与扶正。实验结果表明,所提算法的识别准确率高,抗噪性好,对印章轮廓残缺或背景复杂的图像均能得到满意的识别结果。 网球发球图像路径修正优化建模仿真 对网球发球图像路径进行有效修正,可以提高网球训练和比赛的水平。进行网球发球图像路径修正时,针对发球动作呈现旋转多维特征的特点,需要对失误点的角点进行标记,传统方法采用临界节点控制方法实现肢体特征提取,完成发球图像路径修正,但是不能对发球过程的失误点进行标记,降低了路径修正的准确性。提出一种基于计算机视觉特征提取的网球发球图像路径修正优化建模方法。构建了基于远程视频监控的网球发球动作的视觉特征采集系统,通过数据采集系统采集到的视觉图像作为特征分析的信息源,采用图像处理方法对采集的视觉图像进行边缘分割算法设计,以此为基础进行发球动作失误点的角点标记,实现网球发球图像路径修正优化建模。仿真结果表明,采用上述算法实时性和准确性较好,性能优越,能准确跟踪球员在发球过程中的视觉边缘信息特征点,并通过专家系统进行实时评判和指导,有效的对网球发球图像路径进行修正,提高发球技能。 多维平均跳距值的Dv-hop定位算法研究 针对Dv-hop算法在计算到节点间的距离时引进较大误差的问题,提出了一种多维平均跳距值(ADMD)的Dv-hop定位的算法。根据Dv-hop算法定位过程,在平均每跳距离估计、未知节点到各参考节点之间距离的计算等两方面进行了改进,分析和仿真了不同通信半径与锚节点比率及节点密度情况下的定位性能。实验表明,提出的改进措施可较大地提高节点定位精度,改进措施不改变Dv-hop算法的定位过程,不需要增加网络通信量。 基于扩展失败文字检测的MaxSAT完备算法 为提高MaxSAT完备算法剪枝率和运算效率,分析失败文字检测寻找冲突集的过程,提出扩展失败文字检测方法。通过延长失败文字搜索冲突的路径,形成搜索1步、2步和任意步的递进失败文字检测方式,实现改进的MaxsatzEF算法。实验测试了MaxSAT国际竞赛4个类别的500多个算例,实验结果表明,递进失败文字检测方法找到了更多独立冲突集,可有效提高算法的下界,大幅缩短复杂算例的运行时间。 Redis数据库在视频推荐服务系统中的应用 视频推荐是为解决在信息过载的时代,如何准确地把与用户相关的视频信息推荐给感兴趣的用户而提出的,其要求实时并发性。基于内存Key-value引擎的NoSQL数据库Redis相对于传统的关系数据库表现出一定的优势,为了提高效率,把数据暂时保存在内存中,或配置为使用虚拟内存实现数据持久化。本文将Redis数据库的快速高效性运用在视频推荐服务系统中,实现更高效的推荐。 基于Storm的电网时间序列数据实时预测框架 对电网运行产生的时间序列数据展开实时预测研究,提出基于Storm平台和ARIMA模型的预测框架。分析不同类型电网时序数据的特点,预设拟合模型以降低模型构建的盲目性,缩短预测时间,同时设计基于HBase的新型时序数据存储模式加快数据检索速度。通过对海量的时序数据源进行并发预测,比较不同数据样本对预测值的影响并实时分析预测误差。经实例从预测精度、运算速度、占用资源3个角度验证了该框架的有效性与实用性。 解决复杂优化问题的一个有效工具——蜂群优化算法 蜂群的某些群智能行为在昆虫中是很独特的,因此来源于蜂群智能行为的各种优化算法在解决某些实际问题时是很有效的。较之其他的优化算法,目前国内关于蜂群优化算法的文献相对较少。简要介绍了若干蜂群优化算法的发展概况,并探讨了一些未来可做的工作。 直觉模糊集新的熵公式及应用 针对直觉模糊集的熵的公理化定义中存在的问题,修正了直觉模糊熵的公理化定义,给出了一个新的直觉模糊集的熵的计算公式,证明了其正确性。给出了一个基于直觉模糊数的熵的多属性决策算法,应用实例表明其合理性和有效性。 基于FPGA的脉冲宽度调制信号发生器 为了产生各种不同形式的脉冲宽度调制(PWM)信号,提出一种基于现场可编程门阵列(FPGA)的脉冲宽度调制信号发生器。采用硬件描述语言Verilog设计底层模块,并在FPGA芯片内部嵌入一个NiosII软核处理器,使用软硬件协同的工作方式产生多路PWM信号。实验结果表明,该信号发生器的频率输出范围为1 Hz-4 MHz,占空比可调范围为1%-99%,任意两路信号间的相位差范围为1°-180°,达到预期效果。 三方不经意多项式求值协议 针对多方计算中的隐私保护问题,提出一种三方不经意多项式求值协议。协议拥有3个参与者,分别为一个发送者和两个接收者,发送者拥有一个二元多项式P(x,y),两个接收者分别拥有α和β。该协议通过使用Diffie-Hellman密钥交换协议和任意一种安全的不经意传输协议来实现,完成如下目标:接收者得到P(α,β)的值,但无法得到关于多项式P的任何信息,发送者无法得到关于α和β的任何信息。理论分析结果表明了协议的正确性和对发送者、接收者的安全性,给出了该协议在两方Hamming距离安全计算中的应用,拓展了不经意传输协议的使用范围,为安全多方计算的实现提供了一种新途径。 基于双谱和支持向量机的小麦碰撞声分类研究 为实现小麦颗粒的自动分类,采用双谱和支持向量机相结合方法对小麦完好粒、虫蛀粒和霉变粒的碰撞声进行分类识别。对碰撞声信号进行双谱估计,提取信号双谱峰值和对角切片谱两部分特征,用支持向量机分类器进行分类,对完好粒、虫蛀粒和霉变粒3种小麦颗粒识别正确率均达84%以上。实验结果表明,该研究具有较强的实际应用价值,为小麦颗粒的分类提供了新的方法和依据。 基于LBP/VAR与DBN模型的人脸表情识别 针对现有表情识别研究中均采用有监督模型实现特征提取,提出一种新的基于DBN(deep belief net)模型无监督的表情特征提取与识别方法。首先通过对人脸表情图片提取对光照与旋转具有鲁棒性的LBP/VAR初次特征,再通过DBN网络对初次特征实现人脸表情的二次特征提取与分类学习。对DBN参数采用动态搜索的方法,即在一个大范围内搜索确定RBM Mini-batch、BP Mini-batch与RBM隐层数量的最优值,再确定DBN深度与迭代次数最佳值。在CK+数据库上与传统KNN、SVM有监督分类模型进行的对比实验表明,提出的方法在识别率上分别提高了19.34%和14.22%。 一种基于混合存储的高效、可扩展细粒度缓存管理研究 混合型主存储器也是DRAM构成,并把混合型主存储器作为高速缓存使用,因此可以扩展Non-volatile存储器,相比传统型的主存储器,混合型主存储器可以提供更大的存储能力.然而,对于混合型主存储器,要使其具有高性能和高可扩展性,一个关键的挑战是以一种细粒度的方式有效地管理缓存在DRAM中的数据的元数据(如Tag).基于此观察:根据DRAM高速缓存行的局部性,在芯片外相同的高速缓存行中存储数据和数据的元数据,本文通过使用一个小缓冲区缓存最近被访问的片内缓存行来减少细粒度DRAM高速缓存的开销.基于细粒度DRAM高速缓存使用的灵活性和效率,还开发了一种自适应的策略,可以选择数据迁移到DRAM高速缓时的最佳迁移粒度.在配置了512MB DRAM的混合存储系统中,使用8KB的片上高速缓存,相比传统的8MB SRAM元数据存储,即便没有考虑大SRAM元数据存储的能耗开销,实现了不超过6%的性能提升,节约了18%左右的能效. 基于多尺寸网格的LEACH协议改进 针对低功耗自适应集簇分层型(LEACH)协议中节点采集的数据存在大量冗余和能量消耗不均衡问题,提出一种能量高效路由协议MDG-LEACH。该协议基于虚拟网格和多尺寸网格选取活跃节点,采用综合考虑节点剩余能量和空间分布情况的簇头选择机制,簇头与基站之间根据动态规划方法建立最短传输路由树进行数据传输。仿真结果表明,与LEACH协议以及单剑锋的LEACH改进协议(计算机技术与发展,2013年第2期)相比,MDGLEACH协议在均衡节点能量消耗和延长无线传感器网络寿命方面有了较大提高。 基于朴素贝叶斯与ID3算法的决策树分类 在朴素贝叶斯算法和ID3算法的基础上,提出一种改进的决策树分类算法。引入客观属性重要度参数,给出弱化的朴素贝叶斯条件独立性假设,并采用加权独立信息熵作为分类属性的选取标准。理论分析和实验结果表明,改进算法能在一定程度上克服ID3算法的多值偏向问题,并且具有较高的执行效率和分类准确度。 皮肤听声器的数字化及去噪研究 皮肤听声器是目前最新的助听设备,由于采用纯模拟电路实现,使得其在信号处理方面存在一定的缺陷,并且噪音问题使得皮肤听声器的语音辨析能力受到影响;针对这些问题,提出了基于DSP的数字化皮肤听声器,并且将语音增强技术运用于其中,用于解决皮肤听声器的噪音问题;实验表明,基于DSP的数字化皮肤听声器克服了纯模拟电路在信号处理方面的种种缺陷,同时,语音增强技术有效地解决了皮肤听声器的噪音问题,使得皮肤听声器的语言辨析能力得到提高,听声效果达到最好,从而彻底的解决聋人听觉问题。 风力发电机组桨距角鲁棒控制器的设计与仿真 在空气动力学原理基础上建立了变浆距风力发电机组非线性数学模型,以此为基础采用基于动态逆的非线性内模控制方法设计了风力发电机组在额定风速以上工作时的桨距角鲁棒控制律,以使输出功率维持在额定值附近。设计是针对风力机的非线性数学模型进行的,克服了基于局域近似线性化模型设计方法的缺点,适应工况点大范围变动的情形,而且对系统参数摄动具有良好的鲁棒性,求解过程相对比较简单。以一台额定功率为300kW的实际风力发电机组为实例进行了对比仿真,结果表明了该方法能够满足控制要求,具有较好的动、静态特性和鲁棒性。 基于边界样本选择的支持向量机加速算法 针对支持向量机(Support Vector Machine,SVM)处理大规模数据集的学习时间长、泛化能力下降等问题,提出基于边界样本选择的支持向量机加速算法。首先,进行无监督的K均值聚类;然后,在各个聚簇内依照簇的混合度、支持度因素应用K近邻算法剔除非边界样本,获得最终的类别边界区域样本,参与SVM模型训练。在标准数据集上的实验结果表明,算法在保持传统支持向量机的分类泛化能力的同时,显著降低了模型训练时间。 基于部分覆盖表的错误交互定位方法 在组合测试定位模型的基础上提出了部分覆盖表的错误交互定位方法,该方法在错误交互个数已知的条件下,通过生成部分覆盖表,利用测试用例运行结果提供的信息来对软件错误交互定位.从理论上证明了部分覆盖表等价于一类特殊的错误定位表,进而研究了部分覆盖表行数的上界,提出生成部分覆盖表的贪心算法,从而给出了定位引发软件故障的错误交互的非自适应算法,并通过实验验证了该方法的有效性. 改进的二进制循环码盲识别方法 目前已有的循环码盲识别方法在低码率编码条件下效果较好,但在高误码率及高码率条件下不能高效识别,或者只针对循环码中某一子类。为有效解决高误码率以及高码率编码下的循环码盲识别问题,提出一种基于矩阵变换和码重分布的方法,首先对接收序列按估计码长构造矩阵,并对矩阵进行初等变换;然后利用改进的码重分布距离公式对循环码进行盲识别。仿真结果表明该方法在高误码率以及高码率编码时可实现高效的循环码盲识别。 面向三维装配工艺系统的CATIA模型信息提取与应用 三维装配工艺系统是CAPP发展的一个热门趋势,其基础数据主要来源于三维CAD系统模型信息.针对汽车等行业常用的CATIA三维模型装配信息提取的关键技术与难点,介绍如何深入应用CAA二次开发技术,提取装配信息并应用于三维装配工艺系统,编制装配工艺. 区域政务信息资源共享交换平台研究 针对政府部门之间信息资源难以实现共享的问题,提出了区域政务信息资源共享交换平台的概念,并对区域政务信息资源共享交换平台的业务模型、体系结构、核心服务及核心系统进行了阐述。通过实践证明,区域政务信息资源共享交换平台能够有效解决政务部门在资源共享过程中面临的核心技术问题,是当前政府解决政务信息资源共享问题的有效支撑系统。 一种高效率的大流提取方法 随着网络带宽的不断提高,在线识别大流对于拥塞控制、异常检测等网络应用具有重要意义.提出了一种提取大流的算法FEFS(flow extracting with frequency & size),能够通过在线识别和淘汰小流,把大流信息保存在有限的高速存储空间中,从而快速提取大流.该算法利用LRU(least recently used)定位更新频率低的流,并进一步用流尺寸因子s和自适应调节因子M标记其中相对较小的流,最后用新到达的流将其替换.FEFS把LRU策略和尺寸因子s相结合,同时考虑了流的近期更新频率和累积报文数量,因此能够准确在线识别大流.LRU策略和尺寸因子都利用了流大小的重尾分布特征,因此FEFS能以很低的存储代价保存和更新大流信息.模拟实验表明,在限定存储条件下,FEFS的平均相对误差率明显低于经典的multi-stage filter算法,而平均报文处理时间也短于multi-stage filter算法. 基于遗传算法的集装箱港口堆场空间动态分配策略 为解决集装箱港口堆存计划问题,考虑堆场箱区容量的动态变化,以进出口箱混合堆存和每条作业路任务量已知为前提,提出一种基于遗传算法(genetic algorithm,GA)的集装箱港口空间动态分配策略。要求每条作业路卸载的进口箱必须堆放在不同箱区,保证船舶堆存的箱区既集中又分散。对Lingo和遗传算法求解的结果进行比较,比较结果表明了该遗传算法的优越性。 2018中国计算机大会(CNCC) 大会主题:大数据推动数字经济 [正文]CNCC是国内计算领域规模最大、规格最高的学术、技术大会,每届都会有很多大牛嘉宾莅临现场。就在今天CNCC 2018大会官网已经开始公布部分大会信息。大会主题已确定为「大数据推动数字经济」(Big Data Drives the Digital Economy)。大会已确认中国科学院院士、CCF会士、北京理工大学副校长梅宏担任大会主席。中国工程院院士李国杰, 二值图像序列的符号OBDD表征模型的研究 利用有序二叉决策图OBDD对二值图像序列数据进行建模,根据图像序列的帧间相关性,图像序列的OBDD共享了大量结点,节省一定的存储空间,为图像序列的有关处理提供了一个新的数据表示方法。 基于灰狼群智能最优化的神经网络PM2.5浓度预测 "针对目前PM2.5浓度测量成本高和测量过程繁杂等问题,建立了基于灰狼群智能最优化算法的神经网络预测模型。从非机理模型的角度,结合气象因素和空气污染物对上海市的PM2.5浓度进行预测,并使用平均影响值分析了影响PM2.5浓度的重要因素。使用灰狼群智能算法优化神经网络的过程中,引入了检验样本实时监控训练过程以避免发生""过训练""现象,确保建立的神经网络模型具有较好的泛化能力。实验结果表明:PM10对PM2.5的影响最为显著,其次是CO和前一天PM2.5。选取2016年11月1日—12日的数据进行验证,其平均相对误差为13.46%,平均绝对误差为8μg/m3,与粒子群算法优化的神经网络、BP神经网络模型及支持向量回归(SVR)模型的误差相比,平均相对误差分别下降了约3个百分点、5个百分点和1个百分点。因此,使用灰狼算法优化的神经网络更适合上海市PM2.5浓度的预测和空气质量的预报。" 电子文档管理系统的设计与实现 基于C#和Access数据库,分析系统的功能需求,进行系统数据库设计,重点阐述系统的实现技术,实现电子文档的系统管理。 基于kNN的多标签分类预处理方法 多标签学习已成为当前机器学习的研究热点。为了提高分类性能,对训练集中的噪声数据进行预处理,提出一种基于k近邻(kNN)的多标签分类去噪方法:对现有的多标签数据集进行分析后获得近似正态分布的特征,通过将噪声标记改为其k近邻标记的方法,滤去部分噪声信息,从而得到相对高质量的数据集。在MULAN平台上使用多个数据集对6种多标签分类算法进行了噪声去除前后的对比测试,实验结果表明,多标签的预处理方法有效提高了分类器的性能。此方法对于分布特征明显的数据集具有较好的适用性。 移动近红外珍稀木材鉴别云服务系统的设计与实现 用近红外光谱技术检测珍稀木材已经趋向成熟,为了使近红外珍稀木材检测设备更加方便携带外出作业,针对时下市场占有率达81%的Android手机终端,提出一种基于Android手机的移动近红外木材鉴别云服务系统的设计方案。设计了设备到手机、到云服务器的三层架构;重点研究基于Weka的珍稀木材最优判别模型,使用Java Web技术构建部署云服务器,详细阐述Android应用程序开发中设备控制,信息呈现,服务器交互三个主要模块的实现。云端计算程序的部署很好地解决了手机计算能力弱、耗时久的问题,该系统为近红外光谱检测模型的建立、云端部署与Android应用程序的开发提供参考。 DML-Diff:一种面向文本内容的XML变化检测算法 目前国际上对变化检测算法的研究主要集中于在效率或空间上的优化,变化检测的精确程度不能令人满意,比如不能准确定位改变的文字等。通过将XML文档的树型结构和文本之间相似度相结合,提出了一种新颖的面向文本内容的变化检测算法DML-Diff,重点突出了文本内容的变化,使得变化检测结果更精确。 低复杂度的空间调制信号检测 空间调制(SM)算法在接收端常用最大释然(ML)信号检测获得发送天线编号以及调制符号,恢复发射信息比特,但是ML算法复杂度随着天线数和调制阶数的增加呈指数增长,不具有实用性。针对该难题,提出一种新的低复杂度次最优检测算法。通过设置合理的判决门限将信号矢量检测(SVD)和硬限最大似然(HL-ML)算法进行联合。蒙特卡洛仿真结果表明,该算法的误比特率检测性能比SVD算法更接近ML算法,且复杂度与ML算法相比降低了85%。 一种BP逆模型离线训练自适应预失真方法 为了进一步改善非线性功率放大器系统的线性度,提出了一种基于BP神经网络逆向建模的离线训练自适应预失真方法。利用BP神经网络对功放逆向建模,并将建立好的逆模型参数作为预失真器模型初值。为了提高在初始预失真系统中预失真器的线性化效果及系统自适应进程的速度,在建立自适应预失真系统之前,利用BP逆向模型对预失真器进行离线训练。最后采用直接结构和最小均方(LMS)算法调节神经网络预失真器的权值,以消除放大器非线性的扰动。仿真结果显示,此方案可使邻道互调功率降低约18 dB,而经典的直接—非直接结构只降低了8 dB,表明此预失真方案能够更好地改善功率放大器的线性度。 超声逆散射成像方法研究 在研究奇异值分解、最小二乘法的基础上,采用空间域方法研究超声逆散射成像问题。通过脉冲基和点匹配的方法将泛函方程转换为代数方程,运用迭代算法解决方程的非线性问题。利用Picard准则判断方程的不适定程度,并采用均值处理和截断奇异值分解正则化2种方法对方程进行求解。实验结果证明,该方法可以较好地滤除噪声,提高重建图像的质量和可信度,减少迭代过程中的计算量。 基于FPGA的数字电路进化设计与实现 针对目前演化硬件研究中的关键问题:电路的数学表示方法、遗传算法和快速重构硬件平台,文章建立了一个用于描述数字电路的电路网络演化模型;设计了矩阵组编码算子,改进了精英保留策略;最后基于虚拟可重构技术在FPGA中建立了一个适于演化操作的硬件平台,实现了数字电路的内部进化;实验结果验证了该模型的可行性与有效性,采用的矩阵组编码算子在(8,8,8,4)演化区域内显著提高了电路演化的速度,为演化硬件的进一步发展了提供新的方法。 基于传感器与高效二阶最小化结合的追踪方法 在室外环境中,由于追踪目标的运动状态可能会随时发生改变,而且手持设备的硬件性能与台式机相比存在局限性,所以可以运用在移动设备上的高效实时追踪技术一直是研究的重点和难点。在移动增强现实(augmented reality,AR)系统中,提出了一种基于手持移动设备的无标记物体追踪方法。该方法能很好地适应目标物体的旋转和运动模糊的情况。首先在特征点匹配阶段,将传感器的旋转参数引入鲁棒独立的基本二进制特征描述子(binary robust independent elementary features,BRIEF)中,使特征描述子具有旋转不变性。在特征匹配成功后采用改进的高效二阶最小化追踪算法(efficient second-order minimization-small grid-motion blur,ESM-SG-M B)来对目标物体进行追踪。该算法引入了摄像头的快门时间,并将模板图像进行网格划分,从中选择高梯度的子网格进行追踪。实验结果表明,与现有的移动增强现实系统中运用的追踪方法相比,该方法具有更好的鲁棒性和实时性。 利用Mesh技术的广西无线城市组网研究 "随着通讯技术的不断发展以及北部湾开发建设的客观需要,广西自治区各大中城市开始了""无线城市""信息化建设,在几年内,将建成无线城市群。为了给广西无线城市建设网提供建设性意见和技术支持,文章主要通过对比和举例说明对无线城市组网技术的方式进行探讨,分析传统的Wifi方式无线城市建设存在的不足,讨论了利用Wifi Mesh方式进行组网的优点以及要解决的问题,特别是从组网的投资成本,便利性,以及安全性和环保性进行相应的论述。得到了相应的研究结果,为广西无线城市群的建设提供有力的依据。" 动态环境下收益最大化的虚拟网资源分配机制 在虚拟网资源优化分配问题的研究中,为解决自私的虚拟网络提供商对底层网络资源盲目过度占用,导致底层网络资源浪费的问题,提出了底层网络提供商和虚拟网络提供商之间建立收益最大化的虚拟网资源分配机制,根据重复资源分配的随机博弈理论解决了动态环境下虚拟网资源分配的问题,通过仿真对提出的虚拟网资源分配机制与传统的资源分配机制的性能进行比较。仿真结果表明,提出的分配机制使虚拟网提供者获得的收益提高了8.2%,底层网络提供者获得的收益提高了2.6%。 基于节点能量均衡的无线传感器网络目标定位算法 在无线传感器网络目标定位中,由于网络中节点能量是有限的,所以对节点能量如何进行合理利用,延长整个网络工作时间具有必要性;在保证目标定位准确度高的前提下,提出一种基于能量均衡的目标定位算法,该算法有效考虑节点剩余能量和节点覆盖率的权重ω1和ω2,结合两者,从而选择适合的节点对目标进行定位;仿真结果表明,该算法减少了目标定位过程中传输的消息数量,ω1和ω2选取不同对于剩余能量标准差有很大影响,合理选择它们可以减小节点失效时间和能量消耗,并同时延长无线传感器网络的工作寿命。 基于凹性分析的粘连车辆分割 复杂场景中车辆的粘连会导致车辆的漏检,影响道路交通检测的准确度,因此必须建立可靠、实用的粘连分割机制。提出了一种基于凹性分析的分割方法:检测出感兴趣的车辆区域,根据凸包和blob分析判断粘连,若粘连,采用扫描的方法来寻求分割点(凹点),结合一系列准则选择最优分割线。该方法快速地将粘连车辆分割开,有效地解决了车辆粘连的问题。该方法不需要除了车辆形状以外的任何先验知识,具有很强的适应性。 开放式车载动态导航系统的设计与实现 由于不同开发平台各自独立的技术标准,使得各种车载信息处理技术不能自由地用于各种车载终端。最主要的原因在于绝大多数的车载信息处理技术都依赖于封闭且专有的原始设备制造商(OEM)平台,从而使得这些开发平台形成了彼此互相独立的技术孤岛。开发平台的封闭性阻碍了第三方服务提供商创建更有价值的信息服务,也妨碍了实时交通信息的发布和车载动态导航功能的实现。因此,一个结合Android操作系统与Web Service技术并包含两者优点的开放式车载信息服务平台被建立了,并将该开放式平台用于车载动态导航系统的设计中。测试结果验证了运用该开放式平台实现车载动态导航系统的可行性和优越性。 视觉目标的识别及跟踪技术的研究 "结合应用的需要,通过对一些传统算法的修改优化,提出完整的视觉目标的识别算法。该算法利用图像处理方法,对外框和其中特征点进行计算。并在目标跟踪技术上,提出了一种基于可预测的""搜索窗口""的方法,实验证明,这加快了目标跟踪算法的处理速度,算法能提供优秀的跟踪检测并实时处理。" 一种基于决策粗糙集的模糊C均值聚类数的确定方法 Fuzzy C-Means(FCM)是模糊聚类中聚类效果较好且应用较为广泛的聚类算法,但是其对初始聚类数的敏感性导致如何选择一个较好的C值变得十分重要。因此,确定FCM的聚类数是使用FCM进行聚类分析时的一个至关重要的步骤。通过扩展决策粗糙集模型进行聚类的有效性分析,并进一步确定FCM的聚类数,从而避免了使用FCM时不好的初始化所带来的影响。文中提出了一种基于扩展粗糙集模型的模糊C均值聚类数的确定方法,并通过图像分割实验来验证聚类的效果。实验通过比对不同聚类数下分类结果的代价获得了一个较好的分割结果,并将结果与Z.Yu等人于2015年提出的蚁群模糊C均值混合算法(AFHA)以及提高的AFHA算法(IAFHA)进行对比,结果表明所提方法的聚类结果较好,图像分割效果较明显,Bezdek分割系数比AFHA和IAFHA算法的更高,且在Xie-Beni系数上也有较大优势。 贝叶斯框架下的单幅图像去雾算法 在有雾天气条件下拍摄的图像,由于光线在传播过程中受到空气中悬浮颗粒的散射,导致图像内容模糊不清,颜色偏灰白色.为了恢复出清晰的图像,根据大气散射物理模型,利用图像的稀疏先验知识,在贝叶斯框架下提出一种单幅图像去雾算法.该算法用图像梯度稀疏性先验来约束优化结果,并认为图像成像噪声服从零均值的高斯分布,然后用IRLS方法对其求解.实验结果表明,该算法能够很好地恢复图像的对比度和保持图像的真实颜色,噪声小,便于应用. 分形与伪Zernike矩的鲁棒水印算法研究 以分形编码技术及伪Zernike矩相关知识为基础,提出一种可有效抵抗几何攻击的高鲁棒数字水印新算法。算法首先利用分形编码及设置的阈值将图像分成自相似性块和非自相似性块;然后计算自相似性块的伪Zernike矩,并从中选出最鲁棒的矩;最后通过量化调制伪Zernike矩幅值将水印嵌入其中。仿真实验表明,算法不仅具有较好的透明性,而且对常规信号处理(滤波、锐化、加噪和JPEG压缩等)和几何攻击(全局仿射变换、局部失真等)均具有较好的鲁棒性。 基于肤色分割和改进Gabor滤波相结合的人脸检测 针对人脸检测问题,提出了一种基于肤色分割和改进Gabor滤波相结合的方法;该方法首先基于YCbCr空间对图像中的背景区域和人脸肤色进行分割,以消除大量的背景区域提高运算速度;接着利用改进的Gabor滤波对提取出来的人脸肤色区域进行卷积得到人脸的特征向量,并和通过训练样本获得的特征向量进行比较以验证是否为人脸;最后通过实验分析,验证了所提方法能够在保证检测精度的基础上有效提高运算速度。 实时监视招办网站及其数据的程序设计与实现 所介绍的程序可以及时发现招生办网站不可访问及录取查询数据的不正确,并且及时用手机短信通知管理员。在实际运用中,程序能够达到实时监视招生办网站及其数据的作用。对此程序加以改造,可实现对其它网站及其数据的监视。 基于强化学习的分布式智能入侵防御方案设计 协作频谱感知技术能够有效地提高频谱利用率,然而恶意用户的存在将极大地影响整个认知网络的性能,因此恶意用户的检测与防御变得尤为重要。为有效抵御协作频谱感知中的恶意用户及其恶意行为,提出一种强化学习与信誉模型相结合的分布式智能入侵防御方案。在该方案中,认知用户通过不断地观测和学习周围的环境,对于实时变化的网络环境做出最优的选择,选取最优的邻居用户进行合作,以获得最大的回报。当融合中心的判决结果与认知用户的判决结果一致/不一致时,给予相应信誉值的奖励/惩罚,当信誉值低于一定判决门限视为潜在的恶意用户。最终使得智能的恶意用户主动放弃恶意攻击,开始发送正确的感知值,达到一致性融合。仿真结果表明,所提方案能够有效地抵御恶意攻击,极大地提高了网络的健壮性与稳定性。 液压支架试验台计算机监控系统 完成了支架试验台计算机监控系统的设计与开发;首先在分析液压支架试验台的结构功能和MT312-2000试验标准的基础上,确定了基于上下位机的系统设计方案;按照安全性、实时性和可靠性的要求,进行了硬件的选型和控制回路的设计;采用VB6.0开发了具有良好人机界面的上位机软件;建立了试验过程管理信息系统,实现了试验数据的管理及报表打印;利用STEP7开发了下位机控制软件,实现了试验过程的自动控制;在自由口模式下,采用VB中的MSComm控件实现了监控系统的通信,该系统工作稳定可靠且检测精度高,已用于目前国内最大的液压支架试验台,降低了成本,且提高了检测效率。 大型图像数据库的快速检索方法研究仿真 研究大型图像数据库的检索速度优化问题。在大型图像数据库中,存在海量特征的图像资源,图像之间不存在直接性的联系,再加上数量巨大,给建立快速的图像检索带来了困难。传统的数据库信息检索方法,通过简单的属性对应关联进行检索,建立在图像存在单一属性特征的基础上,随着图像复杂性的增加,上述方法很难对图像作进一步的特征提取,造成图像检索耗时大量增加。为解决上述问题,提出一种大型图像数据库快速检索方法。利用局部二维模式纹理分析方法,提取数据库中的纹理特征,从而为数据库的检索提供依据。引入渐变计算方法,在大型图像数据库中,根据数据库中图像纹理特征,完成目标检索。实验结果表明,利用改进算法进行大型图像数据库检索,能够提高检索速度,从而提高大型图像数据库的性能。 一种改善AFDX网络级联传输时延特性分析的方法 文中分析了AFDX级联网络中数据传输时间延迟的不确定性问题及造成该问题的内在原因,对传输时延的组成部分和影响因素进行描述,指出应用AFDX网络技术应先充分验证端到端时延上界值是否符合系统容限要求。介绍了计算时延上界值的网络演算方法的基本原理及其利用对虚拟链路进行分组优化的算法理论,将该算法应用到某实验环境中的AFDX级联网络配置实例中,计算出实例中各数据流的时延上界并对计算结果的悲观性进行分析,最后得出优化后的算法来分析传输时延更能趋近实际的上界值。 图像脉冲噪声检测 提出了一个包含两个自适应神经模糊推理系统和一个后处理块的网络,该网络可用于灰度图像脉冲噪声检测。网络中每个自适应神经模糊推理系统都是一个四输入单输出一阶Sugeno模糊推理系统。所提出的脉冲噪声检测方法分两步进行:对该网络进行优化训练,确定其参数;用优化后的网络对被椒盐脉冲噪声污染的图像进行噪声检测。实验结果表明,与其他传统检测方法相比,所提出的方法,更能有效检测出图像中椒盐脉冲噪声。 OA系统信息传送模块的分析与设计 OA是企业信息化重要的组成部分,信息传送系统作为现有OA系统的一个模块,更有利于提高软件系统的集成度,方便用户使用。针对开发信息传送模块的难点问题,文中进行分析与设计。首先,运用数据流图和与其对应的数据字典进行需求分析;然后,根据需求分析的结果导出软件的模拟结构,并对主要模块功能进行描述;最后,选取典型模块进行详细设计,运用自然语言描述其实现过程。文中的研究内容是开发信息传送模块的基础,对提升企业信息水平,降低运营管理成本,提升企业竞争力等方面具有重要意义。 面向设计意图不变的三维CAD模型重用方法 为了解决目前检索到的三维CAD模型难以重用的问题,提出一种针对B-rep表示的CAD模型重用方法.首先提出一种自动识别B-rep模型中对称特征的算法,依据面的属性对B-rep模型所有的面进行排序,将具有相同属性的面分为一类,并通过不同类之间的合并形成B-rep模型中的对称特征,同时提取该对称特征的约束知识;然后交互式地定义B-rep模型的其他特征,使B-rep模型中的任一面都属于模型的某一特征(主形状特征或局部形状特征);最后以B-rep模型的主形状特征为特征树的根节点,依据特征之间的邻接关系逐次递归地建立特征之间的依赖关系,并自动创建B-rep模型的特征树.与NXTM同步建模方法进行比较的实验结果表明,文中方法利用模型高层次的设计知识重用已有模型,使模型在修改过程中始终保持原有的设计意图,在模型的重用过程中具有一定的优越性. 障碍物增减情况下的单纯型连续近邻链查询 单纯型连续近邻链查询在空间数据挖掘、空间数据库、数据的相似分析和推理等方面具有重要的作用。为了弥补已有方法的不足,对动态障碍物环境下的单纯型连续近邻链查询(Ob SCNNC查询)问题进行了详细研究。利用Voronoi图和判定圆给出了Ob SCNNC_Search算法,进一步提出了障碍物动态增加情况下的查询算法(Ob SCNNC_ADD算法)和障碍物动态减少情况下的查询算法(Ob SCNNC_DET算法)。对所提方法进行了实验比较与分析。理论研究与实验分析表明,所提方法较适合处理障碍物环境下的单纯型连续近邻链问题。 INMDB中复合事件监测机制的设计与实现 对于大多数主动数据库来说,复合事件监测始终是个难题。介绍信息网模型INM(Information Network Model)数据库管理系统中的复合事件监测机制,详细描述利用事件树模型监测复合事件的思想,并提供具体的算法实现。经分析,该算法在运行效率和空间占用上均比常见的有限自动机和Petri网有着更好的表现。 不确定性对象的反向最近邻查询 多数不确定性对象的反向近邻查询不能明确回答某个不确定性对象是否为查询对象的反向最近邻,针对该问题,提出概率反向最近邻查询的概念,设计不确定性对象的概率反向最近邻查询的索引结构,给出一种基于该结构的不确定性对象的反向最近邻查询算法。 无线传感器网络数据管理技术研究进展 无线传感器网络本质上是一个以数据为中心的网络,它处理的数据为传感器采集的连续不断的数据流。因此,现有的数据管理技术把无线传感器网络看作为来自物理世界的连续数据流组成的分布式数据库。由于传感器节点的计算能力、存储容量、通信能力以及电池能量有限,再加上flash存储器以及数据流本身的特性,给数据管理带来了传统分布式数据库系统没有的一些新挑战。从数据库系统的体系结构、数据存储与索引技术、数据模式、查询处理及优化技术等方面介绍了无线传感器网络的数据管理技术的研究现状。 基于最优特征选择的车辆跟踪方法 针对智能交通系统的车辆跟踪问题,提出基于最优特征选择的车辆跟踪方法。综合颜色、纹理和形状特征确定特征集合,采用线性鉴别分析方法从特征集合中选取最优特征,使用Mean Shift算法在最优特征下预测目标位置,根据目标匹配结果确定车辆的运行轨迹,利用特征平滑方法更新特征模型。实验结果表明,该方法适用于不同的公路监控场景,能够准确、有效地跟踪运动目标。 Vista的抵御缓冲区溢出攻击技术研究 缓冲区溢出攻击是一种在互联网时代被广泛利用并危害严重的主要攻击方式。分析了缓冲区溢出攻击的基本原理,总结了缓冲区溢出攻击的关键步骤,并研究分析了Windows Vista的抵御缓冲区溢出攻击的四种关键技术,包括地址空间配置随机化(ASLR)、数据执行保护(DEP)、GS栈保护和安全(structured exception han-dling,SEH)等技术;最后对Windows Vista抵御缓冲区溢出的整体效果进行了分析,指出了Vista仍然不能完全抵御缓冲区溢出攻击。 鲶鱼粒子群算法优化支持向量机的短期负荷预测 "为了准确、有效地预测短期负荷,提出了一种鲶鱼粒子群算法优化支持向量机的短期负荷预测模型(BFPSO-SVM)。基于混沌理论对短期负荷时间序列进行相空间重构;将支持向量机参数的组合看作一个粒子位置串,通过粒子间互作找到最优支持向量机参数,并引入""鲶鱼效应"",克服基本粒子群算法的缺点;根据最优参数建立短期负荷预测模型,并对模型性能进行仿真测试。仿真结果表明,相对于其他预测模型,BFPSO-SVM不仅加快了支持向量机参数寻优速度,而且提高了短期负荷预测精度,更适用于短期负荷预测的需要。" 求解0-1背包问题的量子蚁群算法 0-1背包问题是组合优化中经典的NP难题,在蚁群算法的基础上结合量子计算提出一种求解0-1背包问题的量子蚁群算法。算法采用量子比特表示信息素,用量子旋转门来更新信息素。大量数据实例的比较测试表明,算法可有效提高蚂蚁算法的性能,减少搜索时间,具有更好的全局寻优能力。 负关联规则挖掘算法研究 文中首先描述了负关联规则的基本概念,接着分析了负关联规则挖掘的特点和相关算法,并提出基于相关性和剪切策略的改进算法来进行正、负关联规则挖掘,最后指出了负关联规则挖掘的研究方向. 国防科学技术大学计算机科学与技术学科简介 "[正文]本学科所在的国防科学技术大学计算机学院是由以学部委员慈云桂教授为首的老一辈计算机专家创建的。1958年设立计算机专业,1966年成立计算机系,1971年成立计算机系兼研究所,1999年成立计算机学院。本学科所属的二级学科""计算机系统结构""、""计算机软件""、""计算机应用技术""分别于1981、1984和1993年获得博士学位授予权,1996年获首批全国一级学科博士学位授予权,1988年""计算机系统结构""首批成为全国两个计算机系统结构国家重点学科点之一,2002年" 面向物联网的传感器实体搜索系统 为解决传统通用搜索引擎在检索物联网中传感器实体时效率低下及展示效果不佳等问题,设计并实现面向物联网的传感器实体搜索系统。采用多线程技术,遵循正则匹配法则,实现系统的信息采集;结合Lucene评分机制和传感器实体的地理位置属性,提出一种基于距离的传感器排序算法,实现信息的高效检索;在此基础上,分别利用Google Maps API和AJAX,实现检索结果的动态展示和数据的实时更新。实验结果表明,与传统通用搜索引擎相比,该系统具有较高的检索准确率和较好的用户体验。 基于蚁群算法的供应链滚动优化决策方法 针对钢铁供应链最终客户的需求不确定性,提出了一种将蚁群算法与滚动优化算法相结合的供应链优化决策方法,旨在满足最终客户不确定性需求的同时降低成本。通过实施滚动优化策略,来减少需求不确定性导致的决策失误。在优化模型中考虑了采购规模和生产规模对单位成本的非线性影响。为求解滚动优化中的非线性优化问题,通过将成本等效为路径的长度,将决策变量的候选解等效为城市,从而把决策优化问题转化为蚁群路径寻优问题。在每次静态优化中,优化算法根据历史数据和反馈信息来确定优化决策变量。针对一个包括供应商、生产商、零售商和最终客户的供应链对象进行了仿真研究,结果表明了所提方法在克服需求不确定和模型非线性方面的有效性。 一种自适应双阈值中值滤波方法 为解决中值滤波算法在图像去噪及保护图像细节方面的不足,提出一种自适应双阈值中值滤波方法.在该方法中,首先采用基于均值的双阈值选取方法对脉冲噪声进行判别,然后在噪声滤除阶段使用滤波窗口中像素的中值或其邻域中已经经过滤波处理后的像素的中值代替噪声像素,对于非噪声像素则保持其像素值不变.因为阈值的选取是随滤波窗口的滑动而变化的,这就体现了阈值选取的自适应性.实验结果表明,该方法能有效的滤除脉冲噪声,同时也可以更好的保持图像的边缘及细节信息,通过定量和定性分析,本方法的滤波性能要优于中值滤波及其他改进方法. 城市地下管网系统地图缓存技术研究与优化 城市地下管网随着城市的建设日趋复杂,地下管网在城市的正常运转中发挥着至关重要的作用。城市管网地理信息系统有效地解决了复杂管网规模下管网数据的组织和有效管理。地理信息系统与Internet结合形成的Web GIS,使越来越多的人能轻松获取地理信息数据,随着网络地图并发访问量的增加,对地图服务的性能要求也越来越高。网络地图缓存技术作为提升服务器与客户端间交互效率的重要措施,也成为地图服务方向研究的热点问题。针对地图缓存技术中的地图切片规则、切片编码、切片组织和存储、切片索引等关键技术进行了深入研究。归纳总结了一种电子地图切片方法,编程实现了城市地下管网系统和地图切片程序。通过系统测试表明,地图缓存技术的应用在解决系统响应时间上具有明显的优化作用。 基于统计量模板的半调图像特征提取与分类 为了实现误差分散半调图像的分类,提出了基于统计量模板的半调图像特征提取与分类方法。利用像素对的概念和统计量模板的特征描述方法,提出了基于分块的特征提取算法。提出了类特征矩阵概念;通过建立误差目标函数和利用梯度下降法来求取最优类特征矩阵,以描述半调图像的类别;探讨了最优类特征矩阵的特性。实验中,与其他类似方法进行了分类性能比较,探讨了参数对分类性能的影响,分析了特征提取算法的时间复杂度。大量实验比较和分析表明,提出的方法是有效的。 紧凑路由研究 传统的最短路径路由策略通常需要在每个节点上维护到所有其他节点的路由信息,路由表大小随着网络规模的增加而快速增长,因此可扩展性不好。紧凑路由能够有效降低路由表的增长速度,允许通过路径的小幅拉伸来大幅缩减节点的路由表,从而在路径长度和路由表规模之间获得比最短路径路由更好的平衡。针对通用网络或特定拓扑类型的网络提出了许多紧凑路由策略,在尽可能缩减路由表的同时优化拉伸系数和包首部长度等路由参数。对紧凑路由的研究成果进行了综述,对提出的紧凑路由策略进行了分析和比较,并指出了紧凑路由面临的一些问题和未来的研究方向。 一种飞行器有效载荷接口扩展装置方案设计 飞行器执行不同的作战任务需要携带不同类型的有效载荷,多数飞行器由于接口资源有限,仅能携带单一的有效载荷,这给飞行器作战手段带来了极大的限制;若能利用飞行器有限的接口资源携带更多类型的有效载荷,就可以使飞行器作战手段更加丰富多样,进而大大提升飞行器的作战能力;有效载荷接口扩展装置在飞行器有限的接口资源基础上,利用不同类型的有效载荷分时工作的特点,从供配电接口、数据传输接口、软硬指令接口等方面对飞行器原有接口进行扩展,目前能够支持机械臂、小卫星、激光武器、侦查相机4种有效载荷在轨正常执行任务,不同的有效载荷分时复用飞行器接口资源,在不额外增加飞行器功耗负担的前提下,大大提高了总线利用率和指令利用率;有效载荷接口扩展装置的应用对飞行器在轨作战提供了更多可能性,使单一飞行器能够尽可能执行多任务,同时也间接降低了发射成本。 边缘加权的结构相似性测度 针对结构相似性测度(SSIM)不能较好地客观评价图像模糊与强高斯噪声失真的问题,提出一种边缘加权的结构相似性测度(EWSSIM),以符合人眼视觉系统(HVS)特性。EWSSIM将原始图像和失真图像的整体轮廓信息与局部纹理细节信息加权,更充分地描述图像的结构相似度。通过LIVE图库的仿真结果表明,与SSIME相比,WSSIM能够更好地评价图像模糊与强高斯噪声失真,且在各类失真图像的评价一致性上优于SSIM。 舰艇编队防御能力优化仿真研究 为解决舰艇编队防空队形部署及协同防空的抗饱和攻击能力问题,以舰艇编队在各个角度上防御纵深为基础,将防御纵深最短值最大作为优化目标,通过遗传算法求出具有最大防御能力的舰艇编队。考虑现实中侦测到目标与发射舰空导弹所需准备时间、拦截点距各舰船必须有10km安全距离等条件,分别建立了驱逐舰和护卫舰的单舰防御模型。通过几何转化,构造了舰艇编队的联合反导作战模型并计算了其抗饱和攻击能力。最后研究了在预警机辅助下舰艇编队的抗饱和攻击能力。仿真验证了模型的可行性和算法的有效性。 针对Lasso问题的多维权重求解算法 最小绝对收缩和选择算子(Lasso)在数据维度约减、异常检测方面有着较强的计算优势。针对Lasso用于异常检测中检测精度不高的问题,提出了一种基于多维度权重的最小角回归(LARS)算法解决Lasso问题。首先考虑每个回归变量在回归模型中所占权重不同,即此属性变量在整体评价中的相对重要程度不同,故在LARS算法计算角分线时,将各回归变量与剩余变量的联合相关度纳入考虑,用来区分不同属性变量对检测结果的影响;然后在LARS算法中加入主成分分析(PCA)、独立权数法、基于Intercriteria相关性的指标的重要度评价(CRITIC)法这三种权重估计方法,并进一步对LARS求解的前进方向和前进变量选择进行优化。最后使用Pima Indians Diabetes数据集验证算法的优良性。实验结果表明,在更小阈值的约束条件下,加入多维权重后的LARS算法对Lasso问题的解具有更高的准确度,能更好地用于异常检测。 一种基于特征提取的访问控制方法 当前,细粒度的授权控制是访问控制中的研究热点,它能够在单一固定的环境下合理地调整访问策略以满足工作流安全。然而,一旦其迁移到新场景,遭遇访问策略未设定的授权,它就可能难以给出正确判断,只能依靠人工审查来确认是否授权,但人工审查授权耗时耗力,在大数据环境下成本过高。因此,引入一种基于过去经验学习的自动化判别机制势在必行。文中尝试给出一种针对基于角色的多级访问控制模型的自动化审查方法,通过采样已有的正确和错误授权的时间、空间等特征来刻画出该访问控制的一般化特征表达,从而使得已有的访问控制模型在迁移环境下面对新情况依然能够给出正确判断,降低人工审查的工作量。实验表明,该分析机制对用户的访问请求有较高的正确评判率。 静态图像中基于多分类器的人体检测技术研究 首先介绍Haar特征,然后介绍用于分类器训练的Adaboost算法,该方法训练的级联分类器用于人体检测时虽然具有很高的检测率,但虚警率较高.为了保持检测率,降低虚警率,在原有分类器的基础上再训练两个分类器,一个是利用头肩样本训练的分类器,另一个是利用腿部样本训练的分类器.实验证明:该方法设计的分类器在保持较高的检测率的同时其虚警率比原方法设计的分类器降低一个数量级. 基于Heraclitus Ⅱ的数字资源长期保存系统 为了改进当前数字资源长期保存技术存在的缺点,有效构建数字资源长期保存系统,在分析当前基于本体的数字资源长期保存系统存在的如本体集成度差、不能提供本体进化时的时间语义信息、忽视一致性维护和更改传播等缺点的基础上,介绍了Heraclitus II双时态本体模型及其应用特点,主要基于Heraclitus II本体技术构建了数字资源长期保存系统。具体包括本体构建和集成、协同本体管理、本体演化需求、本体演化过程等组件,详细分析了各个组件的功能。研究结果表明,应用Heraclitus II双时态本体技术能有效构建数字资源长期保存系统,实现数字资源长期保存的要求。研究结果对新媒体环境下数字资源长期保存系统的构建有一定参考作用。 可公开验证的高效聚合签密方案 聚合签名能够把多个不同用户对不同消息所产生的不同签名聚合成一个签名,大幅度地提高验证的效率.聚合签密不仅能实现聚合,同时还提供机密性与认证性.本文利用双线性对构造了一个新的基于身份的聚合签密方案,并基于BDH和CDH问题,证明了方案的机密性和不可伪造性.此外,方案还满足可公开验证性,与同类方案相比具有更高的安全性,且运算量更小,效率更高. 基于主成分分析的烟草质量一致性评价 研究了以烟叶化学成分为基础,利用主成分分析和聚类分析方法对烟草质量一致性进行评价的方法。该方法采用主成分分析方法,剔除了原始指标之间的重复信息,将原有的17种常规化学成分指标变量综合为3个主元成分变量代替。依照烟草样本主成分特征数据,以类内离散度为衡量指标评价同一批次烟草质量的一致性。用此方法对5个批次、不同类型的烟草进行了一致性评价,评价结果表明,成品烟丝的一致性最好,原料烟叶的一致性相对较差,该结果与传统评价结果一致。本文提出的评价方法基础数据易得,实现简单,评价结果贴合实际。 一种抗侧信道攻击的随机功耗方法 针对RSA密码算法的实现电路有多种攻击方法。功耗平衡技术常用来抵抗侧信道攻击。为了解决抗侧信道攻击算法中开销大的问题。通过混淆比特位为0运算单元之间的功耗,提出一种随机功耗方法来抵抗侧信道攻击。通过对该方法的分析,证明该随机功耗算法能够实现抗计时攻击和能量攻击的目的,功耗能够减少30%左右,且不降低方案的安全性。 工业CT图像的管道圆柱度误差测量 针对工件内部管道圆柱度误差测量的问题,研究了一种基于工业CT图像的圆柱度误差测量方法。在工业CT图像中指定待检测管道横截面上的一点,运用Facet模型提取该点所在目标的边缘点。在已知所有边缘点信息的基础上采用最小二乘圆柱法求得管道的圆柱度误差。对实际工业CT图像的实验表明,该方法可以较为准确地获得工件内部管道的圆柱度误差,且具有非接触,应用范围广等优点。 一种基于UKF改进算法的组合导航系统故障的检测方法 为了缩短组合导航故障检测的时间,提高检测准确性,在残差χ2检验法理论基础上,对无迹卡尔曼滤波(UKF)进行研究。采用一种基于混合滤波思想的UKF,该算法在时间更新步骤分为两个阶段,利用线性方程得到状态的一步预测值并由此构造Sigma点,通过求取Sigma点加权和来实现对量测值的一步预测,降低了计算量。采用改进算法和标准UKF算法对含有故障的GPS/INS组合导航模型进行故障检测。仿真实验表明,改进的算法能够更迅速地检测出故障,有利于提高导航系统的稳定性,在工程应用中有重要意义。 基于遗传算法的输电线路无人机巡检路径规划 针对无人机有效、安全巡检输电线路的路径问题,提出了一种基于遗传算法的输电线路无人机巡检路径规划方法,采用极坐标编码方式对无人机巡检路径构造染色体;结合实际情况中的无人机巡检各种约束问题,设计了适合于无人机巡检路径规划的遗传算子;实验结果证明算法能综合考虑各种因素,提高了全局寻优能力,是解决实际输电线路无人机巡检路径规划问题的较好办法; 基于仿真的装备保障指挥效能评估方法研究 "针对信息化条件下以信息系统为支撑的装备保障指挥效能评估问题,突出信息系统对装备保障指挥体系效能的生成与倍增作用,以信息化条件下装备保障指挥整体效能评估为目标,以""信息优势-决策优势-行动优势""链为支撑,构建了融合信息效能、决策效能和行动效能的信息化条件下装备保障指挥效能评估框架,提出了基于作战对抗仿真的装备保障指挥效能评估方法。建立了包含信息效能、决策效能和行动效能的装备保障指挥效能指标体系,提出了各类效能指标的计算原理与模型,给出了基于图谱分析方法和层次分析法的评估结果分析方法,最后以某数字化步兵师和机械化步兵师装备保障指挥效能评估为例,验证了上述评估方法、评估指标体系和评估模型的可行性与有效性。" 循环流化床锅炉床温建模研究 为了适应我国发展清洁煤燃烧技术的要求,循环流化床锅炉燃烧系统的优化控制方法已成为全行业研究的热点问题,其燃烧系统的建模和控制问题也一直是学术研究的重点。循环流化床燃烧系统建模包括数值建模和机理建模,它们涉及到多燃烧过程中气固两种流态下的理解和描述,而已存在的机理模型过于复杂不适用于现场控制系统的设计和优化。本文基于实际机组的运行数据,围绕循环流化床锅炉燃烧系统的特性,通过神经网络辨识的方法来建立床温模型,从而达到预测的目的。 某在役航空发动机涡轮叶片性能研究 真实工况下,涡轮叶片的型面测量、变化分析及其对性能的影响,是需要研究解决的问题。针对目前研究普遍采用仿真叶片模型,且涡轮叶片研究薄弱问题,开展了涡轮叶片型面测量、建模、模型比对以及性能分析完整系统分析。结合自动开发的三维扫描系统,完成了真实工况下的叶片型面测量及差异量化评估,在叶片建模基础之上,采用有限元分析技术,对叶片在离心负荷作用下的形变、等效弹性应变以及等效应力进行分析,总结其变化规律,得出叶片型面的微小变化对性能的影响趋势。验证数据研究可进一步推动构建涡轮叶片性能模型,并指导我国发动机设计与维修。 全局游戏策略中基于经验的学习方法 全局游戏策略GGP(General Game Playing)旨在开发一种没有游戏经验支撑下能够精通各类游戏的人工智能。在原有强化学习算法研究的基础上,提出一种基于经验的简化学习方法,通过对游戏状态的筛选和游戏经验的归纳,从而降低决策对经验数量的需求,提高决策效率,并能达到指定胜利、平局或失败的游戏目标。通过在三种不同的游戏规则下与玩家进行游戏比赛实验表明,该学习方法能有效地达到预期结果。 基于曝光融合的单幅图像去雾算法 针对雾霾等恶劣天气导致户外图像降质的问题,设计了一种简单、高效的图像去雾算法。首先通过空域高通滤波对降质图像进行处理,达到压制低频分量、增强图像边缘细节的目的;然后,对滤波后的图像进行空间线性对比度拉伸,增强图像的对比度;最后通过拉普拉斯金字塔的多曝光图像融合方法,将滤波结果与对比度拉伸结果进行融合,得到最终的去雾图像。实验结果表明,所提算法实时性较高,对雾霾、沙尘、水下等降质图像均有较好的增强效果。 基于PDE的线条痕迹图像去噪算法研究 由于金属表面的锈蚀,使得线条痕迹图像易受噪声影响,造成图像特征提取、比对和分析困难等问题。常用的去噪方法如高斯滤波易破坏边缘特征,形成边缘偏移,均值滤波不能够有效区分边缘与背景。为此,提出一种新的图像去噪算法。在以PM方程为扩散模型的偏微分方程滤波算法中,根据条纹的纹理特性,在不同扩散方向系数中引入不同权值,同时在迭代中依据图像的灰度直方图选取扩散门限。实验结果表明,线条痕迹图像降噪效果优于PM模型和林石算子,在处理线条痕迹图像中有较好的应用价值。 基于概率模型的混合多目标算法 对传统多目标算法NSGA-Ⅱ及模型多目标算法RM-MEDA进行了分析,并指出了二者的不足。在此基础上,提出基于概率模型的混合多目标算法,并设计了相应的建模准则用于实现两种算法的结合,使得提出的算法能够充分发挥两种算法的优势。将提出的算法与NSGA-Ⅱ算法和RM-MEDA算法在10个测试函数进行了实验对比,结果证实了算法在全局收敛性及多样性等方面有着较好的效果。 网络维护过程异常干扰信号优化检测仿真 在网络维护过程中的异常干扰信号的检测,能够有效提高网络使用寿命,加快网络维护过程。对异常干扰信号的优化检测,需要将滤波粒子当作萤火虫,计算萤火虫的吸引度并对权值进行更新。传统方法构建网络状态异常信号的检测模型,利用遗传法优化回声状态网络中的参数,但忽略了对参数权值的求取,导致检测精度偏低。提出基于萤火虫的异常干扰信号优化检测方法。以干扰信号的时域为基础,构建基于熵的异常干扰信号检测器;获得干扰信号的检测门限值,得到异常干扰信号的预处理结果;利用萤火虫法对粒子群进行初始化,并对粒子的当前权值进行计算;将滤波粒子当作萤火虫,对萤火虫的吸引度进行计算,更新萤火虫当前位置,并对权值进行更新,完成网络维护过程异常干扰信号的检测。实验表明,上述方法可有效提高干扰信号检测效果,也减少了干扰检测过程能耗,可为网络维护发展提供依据。 一种基于双PCA的动态空间手背静脉图像合成方法 目前对手背静脉识别问题的研究大多是在较小规模的数据上进行,几乎没有在大样本情况下对手背静脉识别进行实验.因此,为了扩充手背静脉样本库提出了一种新的手背静脉图像合成方法,其基本思想是源于PCA(principal component analysis)原理,将用于合成的样本分为2组,对一组进行主成份分析构造特征空间,再由另一组向特征空间投影得到的投影系数构造投影空间,最后利用投影空间的投影系数在特征空间上进行PCA重建,从而融合双空间的信息达到图像合成的目的.通过对分组选取的动态更新,可以大量地合成手背静脉图像样本.由此,在实际实验中在拥有94个人的原始图像数据库的基础上建立一个拥有8 007个人的合成图像数据库.合成图像数据库的识别率达到97.84%.良好的识别率说明了合成图像数据库今后可以用于手背静脉相关的模拟测试中. 结合信息能度量的核形态差异学习 利用核映射及非局部均值降噪特性构造相似性度量,即信息能度量。通过对细胞表型图进行特征映射并结合信息能度量得到图像特征的形态差异目标函数,依据梯度上升优化获取最优度量矩阵,建立基于核方法的形态差异学习模型。该模型特点在于:不仅考虑了各类样本的相似性,同时在降低噪声影响形态差异学习的过程中充分利用了图像的高阶统计量和非线性特征。实验结果表明,该核化算法灵敏度更高,且具有较好的鲁棒性,能有效应用于临床诊断。 基于PSO-RBF神经网络在温室温度预测中的应用 为提高温室温度模型预测的准确率,提出一种基于PSO-RBF神经网络的温室温度预测模型。针对梯度下降法收敛速度慢的问题,利用PSO算法优化RBF神经网络参数;为验证该模型有效性,以农场实测数据建立样本,对温室温度进行预测,验证了其比梯度下降法优化的RBF神经网络模型具有更好的预测效果;为给温室内调控设备的提前控制提供依据,根据1月-5月温度数据,利用时间序列法预测相关温室参数,作为该模型输入,利用其预测6月份温度,预测结果表明该月温度呈上升趋势。 基于支持向量机和稀疏表示的文本分类研究 文本分类对于各个领域挖掘文本信息非常重要,论文在基于频率核函数的文本分类基础上,充分比较各种分类器的优缺点,提出一种利用稀疏表示分类器(SRC)和支持向量机(SVM)的组合方法进行文本分类,以提高文本分类的性能。最后通过实验表明,使用二者结合的方法效果明显好了很多。 原料工况自适应的烧结混合制粒水分控制系统 针对烧结混合制粒过程存在原料流量波动和时滞的问题,提出一种原料工况自适应的水分前馈串级控制方法。首先,考虑到烧结的配重、各原料流量和水分等因素,采用专家规则的方法和物料平衡的原理,建立基于原料工况自适应的加水量前馈计算模型,获得加水流量的设定值;然后,采用自适应模糊PID控制算法,建立水分串级控制系统,实现混合料水分的稳定跟踪控制。通过在国内某钢铁企业烧结过程中的运行效果分析,二次混合的混合料水分误差基本控制在±0.2%左右,原料流量波动造成水分正的扰动比原来减小了29%,负的扰动减小了70%,有效抑制混匀矿、生石灰等原料物料流量波动和水分检测滞后造成的影响,提高了水分控制精度,有利于烧结过程的稳顺进行。 节点相似度感知的DTN交叠社区结构检测机制 提出了一种基于节点相似度的交叠社区检测算法,利用相同社区内节点较高的相似度特性和不同节点间相似度来初步确定节点间的模糊关系,在此基础上计算节点的熵值以确定节点的社区归属.数值结果表明所提出的检测机制与经典的社区检测机制相比较,能显著提高检测的准确性. 一类捕食-食饵模型的二重分歧解及其稳性 研究一类具有非单调功能函数的捕食-食饵模型,以物种的生长率作为分歧参数,利用Lyapunov-Schmidt约化过程,研究二重特征值处的分歧,并判定分歧解的渐近稳定性。说明捕食与被捕食的两种生物在平凡解(00)附近可以产生稳定的共存状态。 钢铁冶炼的风炉拱顶温度建模仿真研究 针对钢铁冶炼对高炉生产节能降耗要求的不断提高,需提高对热风炉的燃烧效率。由于热风炉拱顶温度是热风炉燃烧控制系统是一个大惯性、纯滞后、多元参数分布非线性的系统,要实现高效的热风炉燃烧控制,就需要提前预测拱顶温度值,常规的数学建模较难实现。通过采集某钢厂热风炉的现场燃烧数据,在传统的数据预处理基础上,引入小波滤波对数据进行滤波分析,建立热风炉拱顶温度的BP神经网络模型。仿真结果表明模型准确性好。可以看出与传统的数据预处理所建立的神经网络模型相比,小波分析进行滤波使得滤波后残留噪声较小,同时实现降噪滤波与异常值剔除,所建立的神经网络模型预测更加准确。 院内获得性肺炎的影像学评价 探讨院内获得性肺炎的影像学特点。对2009年我院确诊为院内获得性肺炎的56例病人的临床及影像资料进行回顾性分析。56例院内获得性肺炎病人中,原发病分别为血液病14(25%)例、外科系统疾病14(25%)例、恶性肿瘤8(14.3%)例、慢性肝胆疾病8(14.3%)例、多脏器衰竭5(8.9%)例、慢性呼吸系统疾病3(5.4%)例及其他4(7.1%)例。病原菌有多重细菌混合感染33(58.9%)例、铜绿假单胞菌3(5.4%)例、粪肠球菌2(3.6%)例、金黄色葡萄球菌1(1.8%)例、甲型溶血性链球菌2(3.6%)例、流感病毒1(1.8%)例、真菌8(14.3%)例及未明病原菌6(10.7%)例。影像学表现为支气管肺炎样表现36(64.3%)例、大叶实变4(7.1%)例、结节9(16.1%)例、间质病变合并实变3(5.4%)例、肺水肿4(7.1%)例、双肺弥漫实变7(12.5%)例、空洞2(3.6%)例及胸腔积液39(69.6%)例。支气管肺炎样表现合并胸腔积液为院内获得性肺炎的主要影像学表现,还可有结节、间质病变、肺水肿及双肺弥漫实变等征象。胸片是其最基本的影像学手段,CT对于胸片诊断不明确和微小病变的发现有意义。 动态权值混合C-均值模糊核聚类算法 PCM算法存在聚类重叠的缺陷,PFCM算法同时利用隶属度与典型值把数据样本划分到不同的类中,提高了算法的抗噪能力,但PFCM算法对样本分布不均衡的聚类效果并不十分理想。针对此不足,可以通过Mercer核把原来的数据空间映射到特征空间,并为特征空间的每个向量分配一个动态权值,从而得到特征空间内的目标函数。理论分析和实验结果表明,相对于其他经典模糊聚类算法,新算法具有更好的健壮性和聚类效果。 基于Gabor变换域的积分直方图鞋印图像检索 案件现场提取的鞋印图像是现代刑侦中一种十分重要的线索和证据,如何快速而准确地从鞋印图像库中检索出相同或相似的鞋印图像,关系着公安部门的破案效率。针对有残缺的鞋印图像,提出一种基于Gabor变换域积分直方图的鞋印图像比对与检索方法。对图像进行Gabor滤波后,在每个像素位置用响应最大的滤波器号替代图像的像素值,然后统计图像积分直方图。对于残缺图像与完整图像的相似度计算,先通过积分直方图计算出残缺图像在完整图像中的最相似区域位置,再提取该区域的特征,最后进行相似度度量。通过求积分直方图快速准确地求出残缺图像在完整图像中的位置,从而提取局部特征。实验表明,与直接比较图像的全局特征相比,该算法的查准率提高了4.82%。 低轨编队卫星构形保持的模糊PID控制 近地轨道上的编队卫星,大气摄动和J2项摄动是影响编队构形的主要因素,编队会造成卫星编队构形产生沿航迹方向漂移,导致队形发散,面质比差直接决定了漂移的方向和速度。因此可以通过调整面质比差消除漂移。可以相对队形绕飞中心的漂移距离为输入量,以卫星面质比差为控制量,用模糊控制和PID控制相结合设计控制器消除编队构形的航迹引起的漂移,实现PID参数的自寻优模糊控制。仿真利用MATLAB链接STK实现模糊PID控制的仿真,结果表明,对于两颗卫星构成的构形长半轴为10km的共面绕飞椭圆,相对构形沿航迹漂移距离能够控制在40m之内,实现了设计要求。 基于龙芯2F的便携机主板设计技术研究与实现 基于龙芯2F处理器设计紧凑型便携机主板,基于处理器内部集成的PCI总线接口扩展显示、USB2.0、IDE、千兆网络等多种外围接口,突破系统电源设计、中断路由实现等关键技术,运行VxWorks操作系统,已经应用于项目,运行稳定可靠。 电动汽车动力电池主动均衡控制设计 动力电池组是纯电动汽车和混合动力汽车中关键的组成部分,由于单体的差异使得电池组在频繁的充放电过程中出现不一致性的问题,影响电池组的使用寿命。通过均衡电路可以降低单体电池的不一致性。目前,常用的均衡电路会出现拓扑结构复杂,均衡控制比较困难,均衡时间长的问题。针对均衡电路拓扑结构复杂,均衡时间长的问题设计了一种主动均衡电路。上述电路以反激式变压器为核心,以电池电压和SOC作为均衡控制量,实现能量在单体电池之间快速的双向转移。在Matlab/Simulink环境下搭建了仿真电路模型,设计了均衡控制算法,并与传统电容均衡电路、电感均衡电路、Cuk均衡电路进行了仿真对比,仿真结果表明所设计的均衡电路和均衡控制算法,无论在均衡时间,压差和能量分散度方面都优于其它电路,具有重要的应用价值,为汽车电池主动均衡控制设计提供了科学依据。 基于单目视觉的机械手三维定位 提出了一种利用单目视觉识别目标并且进行精确的三维定位,用于机械手对目标的精确定位.首先通过模板匹配的方法在图像中识别出目标并计算其中心坐标,然后分别在相同高度和水平的四个相隔一定距离的位置上采集目标图像,根据目标在图像中的位置变化,结合平行双目视觉原理与小孔成像的缩放比例关系,计算摄像头距离目标的深度距离.该系统易搭建、成本低,定位精度较高. 移动机器人自适应行为选择的混沌特性分析 针对移动机器人运行环境的复杂非线性特性,提出一种将重构相空间理论应用到移动机器人自适应行为选择中的混沌非线性分析方法。在所采集的一维自适应行为动作选择随机序列的基础上,重构时间序列的相空间,并计算相空间的嵌入维数、混沌吸引子和最大Lyapunov指数。计算结果表明,当运行环境中障碍物的分布密度较大时,移动机器人的自适应行为选择规律呈现混沌特性。这为结合混沌理论,给移动机器人的自适应行为选择提供更精确的控制,提供了理论基础。 软件测试数据自动生成算法的仿真研究 研究软件质量优化问题,传统遗传算法存在局部最优、收敛速度慢,使软件测试数据自动生成效率低。为提高软件测试数据生成效率,对传统遗传算法进行改进,提出一种遗传-蚁群算法的软件测试数据生成算法。针对测试数据自动生成的特点,充分发挥遗传算法的全局搜索和蚁群算法的局部搜索优势,提高了测试数据的生成能力。实验结果表明,遗传-蚁群算法提高了软件测试数据生成效率,是一种较为理想的软件测试数据生成算法。 基于ABC-LS的传感器节点定位算法 为了减少无线传感器网络节点的定位误差,提出一种人工蜂群算法(ABC)修正最小二乘(LS)定位误差的传感器节点定位算法(ABC-LS)。首先估计未知传感器节点与信标节点间距离,然后采用LS算法初步确定未知传感器节点位置,最后采用ABC算法对LS算法的节点定位误差进行修正,并采用仿真实验测试ABC-LS与其他节点定位算法的优劣。结果表明,ABC-LS提高了无线传感器节点的定位精度。 仿分子动理学数据聚类法在基因表达数据上的应用 为了识别出可能具有诊断力的特征基因,常常使用聚类的方法对基因表达数据进行分析,而仿分子动理学聚类法通过仿效分子间的作用力机制能达到对数据聚类的目的。仿分子动理学聚类技术不需要预设簇个数,且可用于估计数据中的簇个数。该方法被应用于基因表达数据,结合相关指标用以估计数据中存在的簇个数和发现可能具有诊断力的特征基因。实验与分析结果显示了仿分子动理学聚类技术具有良好的知识挖掘能力。 基于双支持向量回归机的增量学习算法 提出了一种基于双支持向量回归机的增量学习算法。将获取到的新样本加入训练数据集后,该算法无需在整个新的数据集上重新训练双支持向量回归机,而是充分利用增量前的计算信息,从而大大减少了模型更新中逆矩阵的计算量,提高了算法的执行效率。在人工数据集、时间序列预测和UCI数据集上的数值实验表明,该算法快速有效。 基于EEMD的高速列车转向架故障诊断 高速列车的转向架机械故障会引起转向架和车体振动信号的变化,严重影响高速列车运行的安全性和舒适度。为此,提出一种基于聚合经验模态分解的高速列车转向架故障诊断方法。针对转向架空气弹簧失气、抗蛇形减振器失效、横向减振器失效和原车4种工况进行仿真实验,得到列车不同位置的振动信号。信号经聚合经验模态分解得到一系列固有模态函数,分别提取能量矩特征,反映不同尺度上能量随时间的分布规律。将第2阶~第6阶经验模态能量矩构成的5维特征矢量作为支持向量机分类器的输入,在列车行驶200 km/h的速度下进行转向架故障识别,结果表明,该方法的识别正确率可达到95%以上。 基于层级循环神经网络的术中X线图像腰椎自动识别 针对图像引导微创脊柱手术中移动C型臂X线成像特点,通过学习人体腰椎的曲率特征实现腰椎识别,提出一种基于层级循环神经网络的X线图像腰椎自动识别方法.首先为解决X线图像中腰椎纹理混叠的问题,提取腰椎三维模型与二维X线图像中共有的曲率特征作为模型的输入;其次为模拟术中移动C型臂多角度成像的特点,采用双向循环神经网络学习腰椎曲率特征,刻画腰椎曲率特征在不同成像角度下的关联性;最后为解决病理情况下腰椎部分信息缺失的问题,提出一种层级循环神经网络模型,通过逐层融合的网络架构对人体腰椎间天然的上下文关系进行建模,提高模型在病理情况下的腰椎识别率.在开源数据集和术中移动C型臂X线图像上的实验结果表明,文中方法在正常情况和病理情况下的腰椎识别率均优于其他4种方法,且由于使用了数据量较少的二维曲率特征,该方法在训练和测试阶段的计算效率更高,更适合于术中图像引导的应用. 基于FPGA的分离时序电路的可靠性设计 从可靠性角度出发,对以FPGA为控制核心的分离时序电路中具有不同功能的硬件电路模块进行详细分析,并对关键控制逻辑进行介绍;该电路能够实时监测反馈信号,输出控制信号,接收、存储相关的关键触发信号并产生相应的分离时序,按时序产生火工品的点火信号;通过连接相关设备,进行实际操作过程模拟,对关键信号的时间参数进行存储并分析原始数据及波形图,证明本电路精度高、运行稳定、可靠性高;目前该电路已投入使用,具有较高可靠性和很强的应用价值。 虚拟过程工程监控系统的设计与实现 为实现对工业装置内流体流动与传递过程的三维实时高精度模拟,中国科学院过程工程研究所提出了虚拟过程工程(VPE)的构想。在VPE中,为采集实验数据、控制实验状态,需自行设计其监控系统。本文根据VPE的整体需要对监控系统进行了需求分析,在此基础上对底层通信协议、实时数据采集与控制、数据存储与管理、数据处理与显示等方面进行了设计与实现。经运行验证,所实现的监控系统功能可很好地满足VPE的需要,为VPE提供了良好的功能支撑。 基于Virtools平台的三维虚拟计算机硬件组装 《大学计算机基础》课程是该校非专业本科生必修公共课,其中硬件知识抽象难懂,加上资金、场地和设备等原因,大部分学生又无法亲自动手实验,使得硬件教学难以取得好的效果,这个问题长期以来困扰着老师和同学们。有鉴于此,从软件角度着眼,利用3ds Max制作计算机硬件三维模型,导入Virtools虚拟现实软件中,建立世界坐标系下的虚拟互动实验场景,开发单机运行或基于B/S的网络虚拟平台,使所有学生使用鼠标、键盘即可在虚拟场景中模拟安装计算机硬件的过程。系统初步实现后,为了测试可行性,将其安装在大学计算机教学部实验机房,通过一段时间测试,学生反映在虚拟环境下操作简便、实用,能够反复操作。对以前那些抽象的硬件,一目了然,非常便于学习。由此可见,三维虚拟现实系统的开发在学生课程实验中大有作为。 基于约束条件的模糊等价关系聚类方法 传统的模糊等价关系聚类方法不能根据具体的约束条件进行聚类,使得聚类结果准确性低,不满足要求。为解决该问题,在传统方法的基础上,根据距离约束条件预处理数据集并且扩维,提出一种新的模糊聚类方法。通过数据间的Euclid距离以及约束条件为每个数据建立数据间关系,用来描述数据间的约束条件满足情况,同时将此作为数据的新增维度,更新原数据集并重新构建相似程度方程,获得对应的相似矩阵并基于模糊等价关系进行聚类。在真实数据集上的实验结果表明,与传统无指导的模糊等价关系聚类方法相比,提出的聚类方法克服了不能根据具体约束条件进行准确聚类的缺陷,具有更高的准确性。 基于BK树的扩展置信规则库结构优化框架 针对扩展置信规则库(extended belief rule base,EBRB)系统在规则数较多时推理效率不理想的问题,引入BK树数据结构,提出了一种基于BK树的结构优化框架。首先根据置信规则在度量空间中彼此的距离建立EBRB的树形索引结构,然后通过设置阈值减少EBRB系统推理时搜索规则的数量,并激活关键规则,最终达到提高EBRB系统推理效率的目的。以非线性函数拟合、输油管道泄露仿真实验及分类数据集的对比实验,验证结构优化框架在EBRB系统中的有效性,实验结果表明,所提框架能够优化EBRB系统推理效率并提高决策准确性。 一种雷达非线性调频信号检测及仿真方法 由于雷达非线性调频(NLFM)信号的时频非线性分布特性,常规检测算法难以实现信号能量的有效聚集,传统采用NLFM信号检测时准确率较低,在低信噪比下甚至会失效。针对上述问题,应用了一种基于广义时宽-带宽积的最优窗函数,提出了一种改进的短时分数阶傅立叶变换瞬时频率估计算法。应用改进算法可有效提高非线性调频信号的检测性能,提高检测的准确率。仿真结果表明,在相同信噪比下,提出的方法对NLFM信号的检测概率高于传统方法,性能较好。 基于改进衰减记忆滤波的组合导航算法研究 关于优化组合导航系统定位精度问题,由于惯导系统为非线性系统,存在滞后和噪声特性,影响系统定位精度,传统卡尔曼滤波器滤波一段时间后,系统预测误差方差阵逐渐趋于零,状态估计过分依赖旧量测值,从而导致滤波发散,系统定位精度差。目前采用在预测误差方差阵中引入标量衰减因子来抑制发散,但该标量因子是不变量,难以修正所有状态估计异常的情况。为有效提高新量测值对预测值的修正作用,研究了一种改进的衰减记忆滤波算法,通过引入可变加权系数来抑制发散。经数值仿真结果表明,新算法的滤波效果相比卡尔曼滤波和带标量因子的衰减记忆滤波有较明显的改善,提高了系统的定位精度,对工程应用有一定参考价值。 基于张量的2D-PCA人脸识别算法 人脸图像的色彩信息也是人脸的重要特征,但现有的2D-PCA彩色人脸识别忽略了人脸色彩信息的空间关系。由此引入三阶张量表示,提出基于张量的2D-PCA(Tensor PCA)的人脸识别算法。Tensor PCA通过分解n模总体散布矩阵获得三个由最大特征值对应的特征向量组成的将张量样本投影到低维子空间的投影矩阵,并构造交替最小二乘法的迭代过程对矩阵进行优化得到最优投影矩阵,使得投影后的样本间的距离尽可能得大,以达到最佳分类识别的效果。Georgia Tech彩色人脸库的测试结果表明,与2D-PCA方法相比,识别正确率提升了5.53%,同时训练时间降低了78.1%。 基于序关系的快速计算正区域核的算法 目前设计基于正区域的求核算法的主要方法是差别矩阵方法。该方法通过搜索差别矩阵的所有差别元素来得到核,故比较耗时。为此,在简化决策表和简化差别矩阵的基础上,若将其对象按条件属性值看成一个数,则对象是有序的。利用这个序,可将具有核属性的差别元素集映射到一个较小的搜索空间上,故只需判断简化差别矩阵的少量差别元素就可以找到核属性集。在此基础上,利用基数排序的思想,设计了一个高效求核算法,其时间复杂度为O(|C|2|U/C|)+O(|C||U|),空间复杂度为O(|U|)。由于新算法只需判断简化差别矩阵的少量差别元素就可以找到核算属性集,故算法的效率得到了改善。 模糊PID控制算法在窗帘控制中的应用 针对目前电动窗帘控制系统中控制精度不足、系统误差不稳定等普遍存在的问题,提出了一种基于模糊自整定PID控制[1]的算法并将其应用于系统控制中.系统以芯片CC2530为通信及控制核心,内嵌模糊PID控制算法,使窗帘能够准确快速地响应用户需求,完成对窗帘的命令控制及手动智能控制,并达到用户期望的开合目标.经系统仿真试验,系统可达无超调输出,且调节时间为0.18s,稳态误差约为0.15%,均小于常规PID控制.具有一定的实用和理论研究参考价值. 基于GPS/GPRS的环境监测终端的研究与设计 介绍了一种基于GPS全球定位技术以及GPRS无线数据传输技术,能够完成实时定位和远程无线数据传输的环境监测终端的总体设计方案;系统的硬件平台采用ARM嵌入式处理器AT91SAM9261作为主控芯片,在其上移植了嵌入式Linux作为操作系统;具体说明了终端的总体设计以及主要模块的软硬件设计,并选用主流GUI开发框架Qt完成应用程序的设计;实际应用表明,该系统具有性能稳定,可靠性高,成本低,系统扩展性强等特点,能满足大多数应用场合的需要。 IVDQP—物联网内基于兴趣向量的分布式查询处理方法 物联网内资源按需检索是构建高效率物联网应用的必备功能。实现一种基于兴趣的数据单播查询处理方法IVDQP,采用一种单播的方式对任意物联网节点提出的数据检索请求进行节点间传递,并以一种累积的方式将中间节点上的检索结果按照查询单播传递路径返回给查询节点。与Flooding算法的对比实验表明,提出的这种物联网内单播查询处理方法不仅能够迅速定位尽可能多的符合查询要求的数据,而且能够保证引入尽可能少的节点间的消息交换,从而为高效物联网应用的实现提供技术支持。 FPGA中网络通信协议栈的裁剪及其全硬件实现 针对基于FPGA的嵌入式系统中软件网络协议栈需要内置CPU的不足,阐述了采用全硬件方式实现网络协议栈的必要性,并提出了适合的网络通信协议栈裁剪方案。该协议栈包含精简的UDP、IP、以及MAC层驱动,可完成常规的网络通信。协议栈完全采用硬件描述语言编写,并在FPGA中实现。实验结果表明,该协议栈只占用2K逻辑资源,能以100Mbps的速率在FPGA与PC之间进行数据传输,为基于FPGA的系统调试及运行提供了一种简易的高速通信手段。 GIS中一种改进的Dijsktra算法及其实现 针对传统Dijsktra算法运算需耗费大量的内存空间和运算时间,难以满足GIS这种大数据量的路径选择要求,提出一种改进的Dijsktra算法。该算法采用利于实现的结点-关联弧段优化存储结构,从传统算法的临时结点中,将大量与永久结点不直接连通的点划分为未标记结点,很大程度上减少了临时结点的数量,提高了算法的搜索效率,同时,运算时通过拓扑索引和临时文件缓冲,大大节省了内存空间,使得算法的空间复杂度为O(n)。试验和实际应用结果证明了算法的有效性。 嵌入式多核操作系统负载均衡研究 通过研究VxWorks操作系统中运行队列负载均衡算法和Linux操作系统中基于调度域的负载均衡算法,发现Linux操作系统中高优先级任务无法抢占其他CPU正在运行的低优先级任务。因此,对Linux负载均衡算法进行改进,在以运行队列长度作为负载因子的基础上,将任务的优先级也纳入到负载因子中。实验结果表明,该方法可在保证负载均衡的同时,提高系统的实时性,使系统能够尽快运行高优先级的任务。 使用案例推理的协商策略研究 为解决自动信任协商过程中协商策略的效率问题,提出了一种采用基于案例推理技术的自动信任协商策略。可管理性概念的基础上,设计出可管理的协商策略,优化了协商处理能力。该策略具有较小的网络开销,较高的安全性等特点。实验结果表明该协商策略具有较高的效率。 代谢网络可视化系统的力导向布局算法设计 针对生物化学代谢反应网络可视化系统的优化设计,提出了一个基于力导向模型的解决方案。新方案根据具体的可视化目标,综合拓扑信息进行参数调整。实验表明,这些调整给可视化的布局质量带来很大的改善。另外,代谢网络具有鲜明的无尺度网络特性。据此,进一步提出了针对枢纽结点的优化方案,在视觉效果上突出了代谢反应网络的无尺度特性。为了融合力导向方案和基于无尺度网络特性的局部调整方案,最后总结了一个三阶段的布局算法框架。 基于主用户信息法的态势图构建研究 针对认知无线网络CRN(Cognitive Radio Network)存在频谱空洞、信道冲突等问题,假设主用户发射功率未知的情况下,提出一种基于主用户信息的频谱感知态势图构建方法。该方法基于接收信号强度和最小二乘法建立定位模型,采用拉格朗日乘数法,获取主用户位置和发射功率等信息来构建态势图,帮助网络用户掌握网络状态。仿真结果表明,相比插值法构建频谱态势图,该方法构建的态势图精确更高,空频带被利用的可能性高,信道冲突概率小。 隔壁塔反应精馏合成异丙醇模拟及节能效益研究 采用反应精馏隔壁塔技术,以甲醇钠为催化剂,通过乙酸异丙酯与甲醇酯交换反应合成异丙醇。利用Aspen Plus模拟软件对常规反应精馏工艺和反应精馏隔壁塔工艺分别进行模拟分析。结果表明:与常规反应精馏工艺相比,反应精馏隔壁塔不但可以得到纯度99.8%以上的异丙醇产品,而且异丙醇收率98.54%,节能20.4%。 低能耗超声波传感器驱动电路设计 以克里姆霍尔兹等效模型为基础,对超声换能器的双极性与单极性电压驱动电路进行了理论分析,并针对能量转化率更高的双极性电压驱动提出了一种电路设计方案.实验结果显示,理论分析数据与实验数据相吻合,双极性电压驱动较单极性电压驱动能量转化率提高1倍,将其应用于无线传感器网系统中,约可降低15%的能量消耗. 空战防空兵雷达侦察预警阵地优化配置仿真 对空战防空兵雷达侦查预警阵地的优化配置,能够有效探测雷达覆盖范围、抑制雷达间干扰、提高资源利用率。对雷达侦查预警阵地的优化配置,需要按照配置原则量化结果构建科学的数学模型,给出约束条件,完成侦查预警阵地的优化配置。传统方法先通过粒子群优化法获取全局与局部最优解,再搜索获取配置方案,但忽略了获取阵地配置优化的约束条件,导致配置优化精度偏低。提出新的防空兵雷达侦查预警阵地优化配置方法。介绍了配置原理,对配置原则进行数学量化处理。按照配置原则量化结果构建科学的数学模型,给出约束条件。通过物元分析对建立模型进行求解,获取防空兵雷达侦查预警阵地优化配置结果,保证配置方案的科学合理性。实验结果表明,采用所提方法对防空兵雷达侦察预警阵地进行优化配置,配置结果科学合理,较其它方法明显更优。 基于VHDL故障注入的处理器敏感性分析 针对航天应用的处理器敏感性评估需求,采用仿真命令技术开发了一种基于VHDL的故障注入工具.以LEON3处理器为目标模型进行了故障注入实验,得到了流水线寄存器的敏感性,并对敏感性较高的寄存器加固之后再次进行可靠性评估,从而验证了该故障注入工具的有效性.本故障注入工具适用于基于VHDL的RTL级处理器的软错误敏感性分析. 散乱点云精简的一种改进算法 非接触式扫描获取的散乱点云数据存在大量冗余。为方便模型重构,点云数据精简是不可或缺的点云预处理步骤。提出一种散乱点云数据精简的改进算法,首先将包围点云数据的最小包围盒划分成若干个子空间,根据每个含有点的子空间获取K邻域点集的拟合平面,计算K邻域中各点到拟合平面距离的累加和。对各个K邻域的距离累加和升序排列,根据预定精简百分比,将包围盒划分为待保留和待删除两个区域,实现了对同一数据在不同区域采用不同算法完成不同比例的精简。实例验证表明,该算法在保留几何特征的同时,更能有效地避免空白区域,且提高了计算效率。 基于P样条和局部互信息的非刚性医学图像配准 针对互信息仅考虑两幅图像相应像素的灰度信息以及B样条变换模型存在形变场奇异点的问题,提出一种基于P样条和局部互信息的非刚性医学图像配准方法。该方法以局部互信息为相似性测度,采用P样条变换模型模拟待配准图像的几何形变,使用三次插值算法对图像像素进行赋值,结合对大规模参数优化效率高的LBFGS算法优化配准参数。较传统的B样条变换模型和互信息,提出的方法除计算时间外,其他三项指标更优,均方误差下降了89.25%,归一化互信息提高了11.04%,相关系数提高了5.64%。实验结果表明,该方法有效地提高了配准的精度。 基于EMD-ICA的激电数据降噪处理方法 针对传统降噪方法处理激发极化法(激电法)测量数据的效果不理想的问题,对经验模态分解方法和独立分量分析技术进行了研究,提出了一种新的激电数据降噪方法。首先,采用经验模态分解方法将原始测量数据自适应分解为有限个固有模态函数,再根据其与激发信号的相关性选择固有模态函数构造虚拟噪声通道,最后利用独立分量分析技术提取多维混合数据中的激电信号。利用仿真信号和实际数据对该方法进行实验,对比普通滤波方法和小波阈值算法,结果表明该方法能有效提高激电数据的信噪比。 基于灰色EM-SHSMM的缺失数据下设备健康预测研究 目前,设备健康预测问题的研究大都在全样本数据下进行,而在缺失样本数据下的研究却很少。因此,针对缺失样本数据下设备健康预测问题,提出了集成分段隐半马尔可夫模型(SHSMM)与GM(1, 1, ?)的联合优化模型。首先,基于SHSMM的模型架构,利用EM算法推导出SHSMM中的参数估计公式。其次,基于GM(1, 1, ?),提出灰色启发式算法填补样本中的缺失数据,利用预测过程进行设备健康预测。最后,通过案例分析对模型进行评价和验证。结果表明,提出的设备健康预测方法能有效解决缺失数据的问题。 COBRA/T——美军通用自动测试系统的新进展 介绍了美国海军陆战队自动测试系统COBRA/T(the Common Off-the-Shelf Benchtop Rapidly deployed Advanced/Tester)的产生背景、功能、结构及优点;COBRA/T是美国海军陆战队通用自动测试系统的最新研究成果,用于基地级及前沿级的测试维修,研制COBRA/T系统的目的是验证在保留甚至扩展VIPER/T及TETS功能的前提下如何最大限度地减小测试系统的体积,提高系统的便携性,为海军陆战队提供下一代自动测试系统,COBRA/T系统采用基于cPCI、PXI、PXI Express、LXI的多总线结构,具有体积小、功耗低、架构灵活及费用少等优点。 一种基于双哈希二叉树的中文分词词典机制 汉语自动分词是汉语信息处理的前提,词典是汉语自动分词的基础,分词词典机制的优劣直接影响到中文分词的速度和效率。详细介绍汉语自动分词的三种方法及五种词典机制,提出一种简洁而有效的中文分词词典机制,并通过理论分析和实验对比说明几种词典机制的效率差异来证明所提出分词词典机制的有效性。 基于Web的Python3编程环境 为了简化编程环境,增强编程体验,提出了一种基于web的python3编程环境.该应用的web环境采用基于python的Django框架,通过将python3代码转换成浏览器可执行的Java Script脚本,达到在浏览器中运行python3代码的目的.与传统的python3编程环境相比,该编程环境不用在本地安装部署任何开发环境,即可实现远程编程和代码共享.实验结果表明,该编程环境可行性强,具有良好的稳定性和并发性. 粒子群神经网络的水轮发电机故障诊断研究 研究水轮发电机故障准确诊断问题,水轮发电机一种复杂、非线性系统,故障产生原因具有多样性和不确性,传统神经网络存在收敛速度慢、易陷入局极小等缺陷,导致故障诊断精度低。为提高水轮发电机故障诊断精度率,提出一种粒子群(PSO)算法和BPNN(BPNN)相结合的水轮发电机故障诊断模型(PSO-BPNN)。首先将水轮发电机故障信息输入BPNN进行学习,并利用PSO对BPNN参数进行选择,最后对建立故障诊断模型进行验证性测试,结果表明,PSO-BPNN克服了传统方法不足,提高了水电机故障诊断准确率,具有很好的应用价值。 一种优化的贝叶斯分类算法 贝叶斯分类方法因具有严密的数学理论基础,于是成为一种简单而有效的数据挖掘方法;然而,贝叶斯分类器要求——条件独立性假设和每个属性权值为1,这极大降低了贝叶斯分类器的性能;针对贝叶斯分类器的局限性,文章提出了一种优化的贝叶斯分类算法;文中,首先利用粗糙集理论对待分类数据集进行属性约简,删除冗余属性;然后给出了属性权值的计算方法和公式,目的在于更准确地描述数据集的重要性和相关性;同时,通过weka3.6.2工具,以UCI机器学习数据库中的数据集为测试数据,进行了对比测试;实验结果表明:OBCA具有较高的分类准确率。 一种改进的基于加权网络的蛋白质复合物识别算法 不断增长的蛋白质相互作用数据使我们能够采用计算方法预测蛋白质复合物。然而,由于实验条件和技术的限制,现有的PPI网络中包含噪声。为了降低噪声对复合物识别所产生的负面影响,提出了一种改进的名为WPC的算法,用于从加权网络中识别蛋白质复合物。给定一个选定节点,所有邻居节点组成候选集,候选集中节点的邻居节点组成邻居集。对于候选集中的节点,若该节点在候选集与邻居集间的加权比低于设定阈值,则将该点剔除。处理后的候选集被标记为复合物。对于没有包含在任何复合物中的节点,如果节点在某一复合物内的平均加权度超过一个自适应的阈值,则将其补充到该复合物中。对WPC算法和现有的几种经典蛋白质复合物识别算法的性能进行了综合比较。实验结果表明,WPC算法的性能优于几种对比的复合物识别算法。 基于局部匹配窗口的动作识别方法 在词袋模型基础上,综合考虑姿态之间的时序约束关系,提出一种基于局部匹配窗口的动作识别方法.首先采用人体姿态差别作为动作序列特征描述.其次,在模型学习阶段,使用局部训练法而非传统的整体训练法来提高特征词汇的表征性;在特征量化阶段,使用自适应局部线性重构策略来给特征基更灵活的权值;在对象描述阶段,分别使用时间金字塔、滑动窗口2种方法将整个动作序列划分成多个局部动作片段,进而通过连接各个局部动作片段的特征来描述整个动作序列.最后使用直方图相交操作来完成特征匹配工作.在MSR Action3D数据库上测试了所提算法的性能并与已有的动作识别方法进行对比,结果表明,该方法的识别效果较优. CRF与规则相结合的地理空间命名实体识别 提出条件随机场(CRF)与规则相结合的地理空间命名实体识别方法。该方法以丰富的知识作为触发条件,用CRF对满足条件的片段作地名及机构名识别,识别出来的命名实体又被解构,CRF及知识用来进一步判断该命名实体是否表示事件发生地的地理空间信息。实验结果表明,统计与规则方法的结合以及解构算法有效提升了地理空间命名实体识别的性能,准确率、召回率和F1值分别达到92.86%、90.91%、91.87%。 一种对粘连英文字符串的快速切分算法研究 就现有英文字符识别技术成熟的条件下,字符串的切分成为非常关键的环节。其中粘连英文字符串的模式尤为复杂,难以用传统的图像处理方法进行准确地分割。针对该问题,文中提出了一种简单快速的切分算法。该算法通过计算图像的背景域,从而提取出上下凹区域,再采用相邻匹配原则和最小面积选择原则最终确定切分域,从而成功提取出切分线进行准确切分。实验结果表明,该算法对英文字符串切分效果良好,尤其对粘连英文字符串也可以得到较好的分割结果,令人满意。 随机型协同配送的成本效益定量评估模型 为了定量评估随机型协同配送的效益,构建了随机型协同配送模型及配送微生命周期,确定了配送的作业构成特征。基于协同配送效益来源本质和该微生命周期,考虑协同配送企业运作效率和费用的差异,引入多个变动因子,采用作业成本法思想以自顶向下的顺序建立了包含费用、耗时、里程的随机协同配送成本效益的计算模型,实现了以动态联盟方式开展的随机协同配送费用和时间成本效益的一并对比计算。引入算例进行模拟评估,验证了所提模型和评价方法的可行性,以及对随机协同配送效益评价的适应性,证实了随机协同配送在多数情况下存在总成本效益,耗时效益具有不确定性,二者不完全背反。 自适应滤波语音增强算法改进及其DSP实现 为提高强噪声环境下语音信号的信噪比,增强语音通信的质量,以DSP为平台,构建一个基于自适应滤波技术的单通道语音增强系统。该系统以TMS320F2812为核心,结合其多通道缓冲串口(McBSP)与扩展音频接口芯片TLV320AIC23实现了语音信号的高速采集及输出;同时,利用箕舌线函数更新自适应滤波步长因子并引入解相关运算进行语音降噪处理,有效改善了传统算法适应性差,收敛速度慢,稳态误差大等问题。实验结果表明该算法降噪性能好,能明显提高语音清晰度,且系统稳定性强。 可视化数据完整性安全认证技术研究与应用 针对电子文档的溯源防伪需求,提出一套完整的电子签章系统方案。借用PKI/CA技术体系实现对签章人身份的认证,采用目前安全性最高的椭圆曲线电子签名算法(ECDSA),综合利用单向散列函数和数字水印技术,实现印章管理、签章及验章等基本功能,通过ActiveX控件与Word文档集成实现整个电子签章系统的研发。实验结果和对比分析结果表明,该电子签章方案安全性高、处理速度快,具有较强的实际应用价值。 大面积火灾现场的人员视觉识别定位方法仿真 在大面积火场区域进行视觉优化定位中,由于无法预先知道区域大小,传统的定位方法只能随机选取单独区域进行定位识别,区域目标选取存在较大盲目性,一旦选取区域不当,定位过程会耗时较长,缺陷明显,提出基于改进Gabor小波算法的大面积火灾现场的人员视觉识别定位方法。上述方法先融合于色度方差原理对大面积火灾现场的人员目标区域进行定位,利用Gabor小波对火灾的烟雾纹理和面积边缘特征进行表述,组建火灾烟雾变化能量模型和方向角分布模型,提取火灾烟雾变化中人员的动态特征,通过对大面积火灾现场的人员进行动态特征识别,完成了对大面积火灾现场的人员视觉识别定位。仿真证明,改进Gabor小波算法的大面积火灾现场的人员视觉识别定位方法识别的准确率高,定位精确度高。 基于定向广播的AODV控制报文抑制研究 AODV路由协议是通过全向广播请求报文和定时广播Hello报文来建立Ad Hoc网络的路由,但在路由发现阶段需要广播发送大量的请求控制报文,导致了协议性能的下降。针对此问题,提出了一种新的基于定向广播的路由协议,该协议通过定向广播发送请求报文,并根据节点的移动性动态调整Hello报文的发送时间间隔来减少报文的发送。理论分析和仿真结果表明,该方法能有效地减少控制报文的数量,减少路由负载,也显著提高了端到端时延、平均投递率等性能参数。 基于模型修正技术的模拟集成电路几何规划优化方法 为了兼顾模拟集成电路设计优化的求解精度和计算效率,提出一种基于正项式模型修正技术的几何规划优化方法.首先将模拟集成电路的设计目标与约束简化为正项式模型,然后在采用几何规划方法迭代优化的过程中利用晶体管级SPICE仿真不断修正这一正项式模型.实例表明,与传统基于公式的优化方法和基于仿真的优化方法相比,该方法能够在尽量保证计算效率和全局最优解的前提下使优化精度满足晶体管级SPICE仿真的要求. 基于状态仲裁的锁位防碰撞算法 结合动态后退式算法,提出一种基于状态仲裁的锁位防碰撞算法。融合锁位和多状态的思想,对搜索到的卡号进行锁位判断,在搜索过程中不断对卡号完成状态分割,在2个准备态直接仲裁出2张卡,并对其进行数据操作,从识别次数和传输位数方面分析算法的性能。仿真结果表明,该算法能减少识别次数和传输位数,节省搜索时间。 周期性时间序列数据聚类算法的改进研究 聚类分析是时间数据序列分析的一种常用手段,现有的聚类算法通常从相似性度量方面进行改进.实际的时间序列数据往往具有一定的周期性和连续性,现有的算法往往忽略时间序列数据周期性和连续性特点对聚类算法的影响.对此问题进行了研究,尝试采用延拓的方法来解决该问题,从而改善聚类的效果.初步的实验结果表明了该方法的可行性和有效性. 基于Hadoop的分布式管网仿真平台的设计 石油化工工业,现场有复杂的管道网络并且结构、管径都是不一样的,这些管道网络把一定数量的单元设备都连接在了一起,这样就组成了一个特别复杂的大系统,这个系统是具有相关关联的。管道网络实时计算需要面对通用性、实时性、复杂性方面的要求。为了实现全工况过程系统动态仿真及在线控制决策在石油化工领域中的实时计算。同时为了方便给更多的用户提供相应的在线诊断、仿真的服务,将管网平台做成了B_S模式的,这样当平台需要给不同的客户提供相应的仿真服务时只需要增加相应的服务器利用Nginx就可以快速的实现负载均衡,并且实现了分布式和拖拽式组装仿真模块。利用了图论的方法来对管道网络进行实时计算保证了准确性和实用性。在平台的设计时灵活的运用了UML建模去构建平台,并且适当的把设计模式运用在实现的代码中,特别有利于后期平台的维护,在计算矩阵特别大的时候,基于Hadoop的分布式文件存储系统HDFS基础上的面向列的数据库HBase来进行中间迭代量的储存,以便后期能够快速查找和分析问题,并且能够存储海量信息。而Hadoop本身可以脖简单的配置实现分布式,Map Reduce可以用来进行分布式计算,处理图论方法中产生的大矩阵或者是其它的仿真设备,保证了计算的实时性和准备性,这样的平台设计有利于后期的扩展。 Spark Streaming动态资源分配策略 针对Spark Streaming作为混合大数据计算平台流处理组件时资源调整周期长和不能满足多应用多用户个性化需求的问题,提出了一种多应用下动态资源分配策略(DRAM)。该策略增加了应用全局变量来控制动态资源分配过程。首先,获取历史执行数据反馈和应用全局变量;然后,进行资源增减计算;最后,进行资源增减执行。实验结果表明,所提策略能够有效调整应用资源配额,且在稳定数据流和不稳定数据流两种情况下,其处理延时相比原Spark平台的Streaming策略和Core策略都有所降低;同时该策略也能够提高集群资源利用率。 蚁群算法优化神经网络的零点误差非线性校正 为解决电流互感器的零点误差非线性校正问题,提出一种蚁群算法优化径向基函数(RBF)的零点误差非线性校正方法(ACO-RBF)。利用蚁群算法对RBF神经网络参数进行优化,并采用优化后的RBF神经网络对电流互感器零点误差进行自适应校正。仿真结果表明,相对于其他校正方法,ACO-RBF可提高电流互感器自动测试系统的测量精度,减少测量误差,较好地反映零点误差变化的特点。 基于深度学习的恶意URL识别 网络攻击日益成为一个严重的问题.在这些攻击中,恶意URLs经常扮演着重要角色,并被广泛应用到各种类型的攻击,比如钓鱼、垃圾邮件以及恶意软件中.检测恶意链接对于阻止这些攻击具有重要意义.多种技术被应用于恶意URLs的检测,而近年来基于机器学习的方法得到越来越多的重视.但传统的机器学习算法需要大量的特征预处理工作,非常耗时耗力.在本文中,我们提出了一个完全基于词法特征的检测方法.首先,我们训练一个2层的神经网络,得到URLs中的字符的分布表示,然后训练对URL的分布表示生成的特征图像进行分类.在我们的试验中,使用真实数据,取得了精度为0.973和F1为0.918的结果. 基于LS算法的OFDM信道估计的研究与改进 OFDM信道估计中,LS算法因其运算简单,得到了广泛应用。但是在实际的系统中,由于有非理想因素的存在,使得该算法受到载波间干扰(ICI)噪声的影响;并且突发传输时,信道响应会受到外界噪声的干扰,使估计的信道响应幅值在一定范围内上下波动,并带有尖峰和毛刺。为了解决此问题,提出了一种基于LS算法的最优化FIR滤波器(切比雪夫滤波器)信道估计算法。切比雪夫滤波器在过渡带衰减很快,和理想滤波器的频率响应曲线之间的误差最小,因此该算法较之传统的加窗算法,能保证局部频率点的性能也是最优的,进而有效地减小均方误差(MSE)。在高斯白信道环境下引入突发噪声,对所提方案进行了仿真,其结果验证了该方法能有效消除通带内因突发传输引起的毛峰和尖刺。 320排脑血管CT双期扫描时相选择和临床应用 目的:探讨分析320排螺旋CT脑动静脉双期扫描时相的合理选择以及临床应用价值。方法:回顾性分析2014年10月至2015年1月于我院行全脑动态增强扫描的48例患者的影像资料,进行最大密度投影和容积显示后,经双盲法分别确定每一例脑动脉和静脉显示的最佳时间点,取48例患者最佳的动静脉显示时间点的算数平均值为最佳动静脉期延迟时相。将此时相应用于80例患者的脑血管检查中,采取双盲法对所得脑血管双期影像评分(0~5分),并以合格条件为评分分数≥2分来计算脑血管双期CT检查成功率。结果:最佳动静脉期时相为(20.55±2.38)s和(34.84±2.50)s。动脉期和静脉期检查成像成功率分别为97.5%和96.3%,一次检查合格率为93.8%。结论:320排CT脑血管双期扫描的动静脉期时相为(20.55±2.38)s和(34.84±2.50)s时,一次检查成功率高。可获得满意的纯动脉和静脉图像,为临床提供可靠全面的图像信息。 基于工作量和错误日志的Web应用可靠性度量方法 Web应用环境复杂,传统基于故障测量的方法不能很好地满足系统可靠性度量的需求。充分考虑用户对系统的使用情况,从Web服务器日志中获取用户点击数、传输字节数、用户数和用户会话数,提出基于这四个指标的工作量测量法,并与传统的故障测量法结合,采用Nelson模型进行系统可靠性评估。通过实验比较工作量测量的四种方法与故障测量方法的优劣。结果验证了基于工作量测量的方法能更稳定地评估Web应用的可靠性。 面向服务计算的信任预测模型 大多数信任预测模型的动态自适应能力较弱,且服务计算环境下代理之间交互的安全性较差。为此,提出一种面向服务计算的信任预测模型(SOC-TPM)。该模型结合人类认知行为,引入直接信任度、信誉推荐值、时间戳、历史交互记录等概念,通过创建动态信誉树对信誉关系进行建模,使信任预测模型更好地适应分布式计算环境。模拟实验结果表明,与J sang及Beth模型相比,该模型的信任预测准确度和平均相对误差分别提高了27%和47%。 基于时间序列分析的应用层DDoS攻击检测 根据正常用户和攻击者在访问行为上的差异,提出一种基于IP请求熵(SRE)时间序列分析的应用层分布式拒绝服务(DDoS)攻击检测方法。该方法通过拟合SRE时间序列的自适应自回归(AAR)模型,获得描述当前用户访问行为特征的多维参数向量,并使用支持向量机(SVM)对参数向量进行分类来识别攻击。仿真实验表明,该方法能够准确区分正常流量和DDoS攻击流量,适用于大流量背景下攻击流量没有引起整个网络流量显著变化的DDoS攻击的检测。 关于空战中航空弹药消耗量优化预测仿真 对空战中航空弹药消耗量的优化预测,能够有效控制弹药攻击范围,保证较高作战效率。对航空弹药消耗量的预测,需要通过拟合弹药样本集获取预测目标,建立消耗量预测映射,完成航空弹药消耗量的优化预测。传统方法对航空弹药的发射条件和基准弹道进行确定,推导确定摄动模型参数,但忽略了对弹药消耗量的预测映射,预测精度偏低。提出基于回归型支持向量机的空战中航空弹药消耗量优化预测方法,建立标准目标/公顷数和航空机炮目标的弹药消耗量模型,从而对空战中航空弹药消耗量数据进行分析,利用线性回归函数训练航空弹药消耗量的样本集,从而建立航空弹药消耗量预测的目标,通过建立航空弹药消耗量预测的映射,实现航空弹药消耗量进行优化预测的方法,实验结果表明,所提方法对空战中航空弹药消耗量预测的精确度较高,且预测的耗时较少。 核判别随机近邻嵌入分析方法 为了有效地解决非线性特征提取中存在的鉴别效率和样本外问题,最大限度地保持观测信息,并进一步提高相关方法的降维性能,将核学习的方法应用到判别随机近邻嵌入分析方法中,提出一种核判别随机近邻嵌入分析方法.通过引入核函数,将原空间中的样本映射到高维核空间中,构建了用于反映同类和异类数据间相似度的联合概率表达式;在此基础上,引入线性投影矩阵生成对应子空间数据;最后在类内Kullback-Leiber(KL)散度最小和类间KL散度最大的准则下建立目标泛函.该方法突出了异类样本间的特征差异,使样本变得线性可分,从而提高了分类性能.在COIL-20图像库和ORL,Yale经典人脸库上进行实验,验证了文中方法的分类鉴别能力. 基于平均期望间隔的多标签分类主动学习方法 针对多标签主动学习速度较慢的问题,提出一种基于平均期望间隔的多标签分类的主动学习方法。计算支持向量机分类器中的期望间隔,并将其作为样本选择标准。实验结果表明,该方法在分类精度、Hamming Loss、Coverage等评价标准上优于基于决策值和后验概率等主动学习策略,能更好地评价未标记样本,有效提高分类精度和速度。 双重遗传算法在文本聚类中的应用 为提高文本聚类效果,将影响聚类效果的两大因素聚类数目和初始中心点作为自变量,聚类结果的适应度作为因变量,利用双重遗传算法控制两大自变量,提出TCDGK算法模型。引入经典的k-means聚类算法获得不同初始中心点下的聚类结果,针对内外层遗传算法控制的变量的差异,采用分层编码策略,利用聚类紧密度评价聚类结果的优劣;算法终止后,获得相对最优的聚类数和某聚类数目下的最优初始中心点。仿真结果表明,该算法具有很好的有效性。 基于快速非抽样小波变换的岩屑多聚焦图像融合 针对传统非抽样小波变换算法较复杂的缺点,结合空、频域处理上的特点,提出了一种基于快速非抽样小波变换的多聚焦图像融合算法。与之前基于非抽样小波变换的融合算法不同,该算法取消了反变换,它根据高频小波系数绝对值和取大原则,融合图像像素值直接在对应源图像的相应位置取值,从而大大提高了图像处理的实时性,改善了融合效果。通过与六种非抽样小波变换融合算法的比较,以及快速非抽样小波变换与非抽样小波变换的融合时间对比,直观地给出了该算法的效果和时间优势。 参考文献著录规则 [正文]一.总要求为了帮助向本刊投稿的作者按规范著录参考文献,现将常见类型文献的著录格式作如下要求。本刊要求双语参考文献,所有的中文参考文献均需附英文译文,示例如下:示例1:[1]焦李成,杜海峰,等.免疫优化计算、学习与识别[M].北京:科学出版社,2006.JIAO Licheng,DU Haifeng,et al.Immune optimization calculation、Learning and Recognition[M].Beijing:Sci-ence Press,2006. 基于模糊遗传算法的楼宇火灾报警系统 针对目前火灾报警系统中存在的敏感度低,可靠性差的现状,结合城市楼宇环境,提出并设计了一种基于模糊遗传算法的智能火灾报警系统,采用模糊算法提高报警系统灵敏度的同时,利用遗传算法的自适应能力对模糊隶属度进行优选,提高了整个系统的智能化程度和适应性,减少了火灾报警系统漏报率和误报率。 基于HLS的实时图像去雾实现 户外图像或视频受到大气中烟雾的影响,存在模糊不清及颜色偏移等问题,在很大程度上影响户外视频系统正常稳定工作。现有的去雾算法计算复杂度较高,仅依靠软件对视频级进行去雾有一定难度。针对这一现状,分析暗原色先验去雾算法的计算瓶颈,利用高级层次综合(HLS)工具实现去雾算法的硬件化,使用流水线技术将去雾算法运行在现场可编程门阵列上。实验结果表明,在保证去雾质量的前提下,对于1080P的实时场景,可以达到每秒45帧以上的处理速度,基本满足高清视频去雾的需求。 多协议超高频RFID读写器设计 为解决同一台射频识别读写器不能同时读取满足ISO18000-6C标准以及自主协议标准的电子标签的问题,采用FPGA(Xilinx Spartan6XC6SLX16)+ARM(STM32F407)的方案实现读写器的基带部分。用FPGA实现两种协议的物理层,ARM实现两种协议的协议层,使同一台读写器可用来读取两种协议的标签。在不增加硬件成本的前提下,该方案拓宽了读写器的使用范围,节约了资源。 一种分布式网络环境下单点登录模型 提出一种基于USB Token和数字证书的分布式网络下的身份认证协议,它能够实现分布式网络环境下的单点登录功能,并且对重放攻击是安全的,保证了用户身份的真实性和用户票据的保密性。 集中式系统的分布式通用查询框架设计 针对集中式系统的查询功能存在设计复杂、查询速度慢、时效性差等问题,提出一种建立在分布式数据源基础上的通用解决方案,将大量数据进行分发,并采用反向的数据访问方式,以提高查询效率,增强扩展性,降低程序复杂度。实现一种可继承的组件装配式查询方案,可以简化开发部署工作,对不断变化的各种形式的业务需求做出快速响应。 供应链契约中制造成本信息结构分析 为分析非对称信息下供应链契约中的制造成本信息对决策者的决策和供应链利润的影响,主要考虑销售商为主、制造商为从的两级供应链,在生产成本为制造商私人信息的假设下,研究生产成本的分布与最优生产量、供应链利润和制销双方利润分配的关系。结果表明,在其他条件不变的情况下,销售商对制造商的单位生产成本分布斜率的估计越大,最优生产量和供应链利润的扭曲越小,制造商的利润随之增加;销售商关于制造商的单位生产成本的估计区间越小,生产量和供应链的利润扭曲越小,双方利润水平趋于对称信息情况下的水平。通过算例和图形对结论进行了说明。 伺服电机的预测控制与比例-积分-微分控制 为完成伺服电机平稳而快速的控制,根据预测控制方法中动态矩阵控制原理,提出了基于动态矩阵的预测控制和比例-积分-微分(PID)控制的伺服电机的控制方案。分析了交/直流伺服电机三环控制的统一模型,用预测控制器设计了伺服电机的电流环,提出了利用上升时间和稳态值确定电流环等效惯性环节的方法,最后用PID控制器设计了速度环和位置环。计算和仿真结果表明,电流环的等效惯性环节时间常数与-ln(0.368)成反比,该预测控制和PID混合控制可以很好地实现伺服电机平稳快速的运行。 一种高效目标管理数据结构的设计与分析 论文介绍了一种高效目标管理的数据结构,阐述了这种高效数据结构的实现原理。文中将这种高效管理下的目标操作与常规目标管理下的目标操作进行性能比对,分析得出,这种高效的目标管理在综合性能方面优于常规目标管理。 小型开关磁阻风电系统优化仿真研究 研究风力发电系统优化问题,传统小型风力发电存在发电率低,稳定性差的缺陷。为解决上述问题,提出开关磁阻风力发电系统,开关磁阻发电机发出的直流电不经蓄电池,可直接由增加的两级式逆变器逆变成交流电,以减轻蓄电池的负担,优化发电系统。为简化逆变器的控制,开关磁阻发电机采用电压反馈控制以稳定输出电压,采用开关磁阻发电机非线性数学模型,给出其总体控制框图,分析两级式逆变器主电路拓扑结构及选取重要元件参数,建立由开关磁阻发电机和两级式逆变器组成的子系统模型,仿真结果表明,子系统静动态性能好,得到的交流电质量较好,并验证了方法的可行性,为优化风力发电提供了科学依据。 非BS器件的模型化分类测试 针对现有电路板边界扫描器件与非边界扫描器件同时存在,致使测试覆盖率较低的问题,提出对非BS器件模型化分类的测试方法.以器件的可测属性为依据,对其进行分类并抽象建模.将板上器件及线网抽象为有向图,基于迪杰斯特拉算法及广度优先搜索算法,构建了电路板的可达测试模型.对可达测试模型和簇测试模型的生成方法进行了形式化定义,并给出模型的测试方案.实验结果表明,该方法比现有簇测试方法测试覆盖率更高,进一步扩展了板上非BS器件的可测范围,并能很好的应用于自动测试. GPU加速分子动力学模拟的热力学量提取 近年来,统一计算设备架构(CUDA)的提出和图形处理器(GPU)快速提升的并行处理能力和数据传输能力,使得基于CUDA的GPU通用计算迅速成为一个研究热点。针对含有大规模分子动力学模拟的热力学量提取效率低下的问题,提出了分子动力学模拟的热力学量提取的新方法,利用CUDA设计了并行算法,实现了利用GPU加速分子动力学模拟的热力学量提取。实验结果表明,与基于CPU的算法相比,GPU可以提高速度500倍左右。 信息条件下基于预期后悔更新生成备选路径仿真研究 为了研究影响私家车驾驶者备选路径生成的因素,以预期后悔理论为基础,借助贝叶斯网络推理方法,计算了私家车驾驶者受先验知识和出行信息双重影响下的备选路径生成。通过改进的贝叶斯网络结构和参数学习程序建立了实验路网的贝叶斯网络结构,对生成的网络结构进行了参数学习,模拟了不同出行信息和先验条件下生成的备选路径,得出了驾驶者备选路径生成与驾驶者先验知识和出行信息的变化关系。 求解多目标PFSP的改进遗传算法 针对多目标置换流水车间调度问题(PFSP)提出了一种改进的遗传算法,用于优化最大完工时间和总完工时间。该算法采用启发式算法和随机算法相结合产生初始种群,以保持种群多样性;通过选择、交叉、变异操作以及群体更新策略完成进化过程;当种群进化停滞时,引入群体重新初始化机制恢复多样性。此外,设计了一种变邻域搜索算法,加速种群收敛并跳出局部最优。通过基准测试问题实验以及与其他几个优化算法比较,结果表明,提出的算法无论在求解质量还是稳定性方面都优于其他算法。 一种求解聚类问题的分布估计算法 提出一种求解聚类问题的分布估计算法。基于PBIL算法定义聚类矩阵,建立对应的概率矩阵模型,引入遗传算法的基因变异算子,设计适用于分布估计算法的变异操作,改进概率模型的更新方式。实验结果表明,与Kmeans、Kmedioid、Clarans和遗传算法相比,该算法的聚类质量较好。 基于球面全景图的虚拟场景实时漫游系统 设计并实现一种基于球面全景图的虚拟场景实时漫游系统。虚拟场景的实时漫游可以通过对球面全景图进行重投影完成。通过重投影球面全景图的可视部分到视平面上,可以生成虚拟场景在不同视线方向上的透视视图。使用球面全景图的重投影算法可以模拟相机的旋转运动,通过改变相机的视域,可以模拟相机的变焦运动。针对直接使用重投影不能满足球面全景图实时绘制的问题,在对球面全景图的重投影算法进行仔细分析的基础上,提出使用查找表和增量计算进行加速的策略。实验结果表明,优化后的系统能够对基于球面全景图的虚拟场景进行实时漫游。 基于无干扰理论的云服务行为可信模型 为解决云服务环境下存在的资源共享及特权安全威胁,将传统的无干扰理论引入云服务环境中,提出一种基于无干扰理论的云服务可信模型(NICTM)。该模型将云服务中域、动作、状态、输出等进行抽象,形式化地定义了云服务环境中域的可信;然后证明了用户域行为可信定理,符合定理的用户域可以被证明是可信的;最后在Xen虚拟化平台上实现了基于模型的原型系统,并通过实验验证了模型的可行性。 跨组织信息系统理论述评 为了对跨组织信息系统(inter-organizational information system,IOIS)理论研究的发展脉络有一个比较系统全面的总结,对其经典理论从不同的视角进行了分类综述,包括技术、组织、经济和长期跨组织信息系统理论,描述了相关理论的概念和发展、在IOIS里的应用,并提出了每种理论存在的问题。 基于资源的物联网体系架构原型系统 物联网通常具有应用定制的特点,传统设计方法根据不同的应用需求设计专用的软件和硬件平台。这种ad hoc的方法虽然有效,但却使不同厂商的物联网之间无法互联互通,严重阻碍了物联网在不同领域中更广泛的应用。基于重大专项,实现了一种基于资源的物联网体系架构的原型系统,在此架构中将物联网所具备的各种能力抽象为资源,由统一的平台控制管理,而任意合法的应用都可从平台获取其所需要的资源信息,这样更有利于物联网中数据资源的共享。 基于云计算的USB Key身份认证方案 提出一种基于云计算的USB Key身份认证方案,主要用于解决传统身份认证技术中存在的安全问题。使用USB Key身份认证技术登录云终端获取云服务,可以很好地提高云服务器对用户身份认证的安全性。该方案主要从两方面进行论述:a)云服务器如何获取云终端USB Key中的数字证书进行身份认证,从而确保云计算环境的安全性;b)终端用户在获取应用服务时如何进行身份认证,从而提高应用服务的安全性。通过安全性分析可得出,该方案能有效抵御云计算的内部攻击风险、账户和服务攻击风险及虚拟化技术带来的风险等。 基于两特征博弈的图像配准方法 图像分析应用对图像配准的精度和速度要求越来越高,传统配准方法很难满足要求。为此,提出一种基于二人博弈的配准方法,该方法将两种基于不同图像特征(如特征点和轮廓)的配准方法视为两个局中人,通过寻求二人博弈的均衡点配准图像;配准过程中,两个局中人通过信息共享改善配准精度和速度。新方法很好地结合了不同图像特征的优点,克服了采用单一特征进行配准的不足。针对医学、遥感和航拍图像的实验表明,在选择合适图像特征的前提下,新方法的配准速度和精度都优于基于SIFT的配准方法。 PCB自动光学检测中Gerber文件的解析研究 为了在PCB自动光学检测中使用Gerber文件建立标准图像,提出了一种解析Gerber文件的方法。该方法在对Gerber文件的数据结构进行语法分析的基础上,采用正则表达式匹配,进行自上而下分析从而提取出所需信息,用Map内嵌链表的数据结构存储数据;然后计算出Gerber文件所描述的图像并通过图形设备接口绘制;最后将各层图像进行腐蚀膨胀等处理并合成适用于PCB自动光学检测建标的图像。实验结果表明,该方法能快速准确地解析Gerber文件。 基于Bayesian Network列控系统超速故障的分析 列控系统非常复杂,在对其进行故障分析时,自身的特质决定了用传统的故障树在对其进行故障分析时会产生局限性;为此文中引入了贝叶斯网络技术,充分利用其推理算法成熟、理论基础非常完备、学习能力非常强的优势,将事件树中各环节的故障树用贝叶斯网络进行描述;利用贝叶斯网络工具箱(BNT)对列车超速故障进行因果和诊断推理分析,通过数值计算结果得出所在不同场景下故障发生的主要原因,并提出减少故障发生概率相应的措施。 群体性突发事件的舆情演化模型与仿真 针对群体性突发事件舆情演化的内在机理及影响因素问题,利用舆情演化理论与基于多智能体的建模仿真方法,建立了舆情演化数学模型以及综合考虑社会环境和异质个体特征的多智能体仿真模型。分析与实验表明,存在有效传播率阈值,大于该阈值时,群体性突发事件的规模会稳定于某一水平;小于该阈值时,群体性突发事件会随着时间的演化而结束。群体性突发事件一旦爆发,初始参与规模越大则越有利于舆情传播,从众心理会促使群体支持促进强度和阻止强度占优的一方。研究结果为群体性突发事件的预防与控制提供了有意义的参考。 基于特征点检测的三维模型最佳视点选择 针对三维模型最佳视点选择问题,提出了一种基表面特征点检测的视点选择算法。首先引入一种基于局部平均形心距离差的顶点显著性度量方法,认为三维网格模型表面某顶点的显著性是由该顶点与形心之间距离和该顶点邻域内的顶点与形心之间距离的平均差值来刻画,而不是该点所在位置的弯曲程度所决定;然后根据顶点的显著性大小进行三维模型表面特征点检测;最后针对视点球体上的每个候选视点分析该视点下可见特征点的几何分布和显著度大小计算视点质量,提取出最佳视点。实验结果验证了基于三维模型特征点的视点选择方法的有效性,在能够选择出质量较优的视点的同时保证算法的效率。 基于DCI的数字作品版权保护研究和设计 互联网的发展使数字作品版权保护面临着历史性的挑战,解决互联网版权问题对于版权产业的发展起着决定性作用。为了有效地保护数字网络环境下的作品版权,对版权保护方案进行设计。通过数字作品版权登记平台为每个数字作品赋予DCI,对数字作品的版权进行标识,通过特定的水印检测算法提取出数字作品中的DCI码,获取作品的权利信息,从而确认数字作品的版权真伪,为实现数字作品版权的检测、跟踪、取证及证据保全等管理工作奠定基础。 一种新的油层敏感性预测方法研究 研究准确预测油层,起到保护油层的作用。针对预测油气层保护方案的复杂性和不稳定性,为了解决目前油层敏感性预测中因经验判断和室内实验带来的盲目性和耗时长问题,提出了一种模糊专家系统的油层敏感性预测方法,实现了对油层敏感性的高效、精确预测。采用信用统计法提取影响敏感性的特性指标,根据模糊集合理论将油层敏感性数据分类并构建知识库,通过构建隶属函数及模糊规则匹配实现对油层敏感性的预测。仿真结果表明,所建立的油层敏感性预测在大港油田多口井上定量预测准确率平均为83.43%,预测效率高、结果准确可靠,有效地提高了油层保护措施优化决策的科学性和准确性,为编制油气层保护方案提供了依据。 软件专业学生工程能力培养研究 软件系统规模越来越大、越来越复杂,以工程的方法对软件进行开发、运行、维护和修复将会成为最有效的方法。软件人才工程能力的培养就显得越来越重要。本文作者在多年从事软件教学和软件专业教学计划制定的基础上,以优化课程体系、加强实践教学提高学生的工程能力为主线,从培养方案与教学计划制定、主要课程设置、工程实践条件、师资配备、师资培养等方面论述了如何提高软件专业学生工程能力的培养方案。 CP-nets的可满足性序列求解算法研究 CP-nets是一种简单、直观的图形化偏好表示工具,成为近几年人工智能的一个研究热点。然而对于CP-nets的基础性质——可满足性序列的研究却较少。通过构造CP-nets导出图,利用改进的图的深度优先遍历算法实现二值网的强占优测试,对强占优测试得到的可达矩阵进行分析,得出任意结构CP-nets的可满足性序列个数关系;给出了生成全部可满足性序列的算法;强化和扩充了CP-nets的基本概念,深化了CP-nets的基础理论研究。 基于红外图像形心优化提取的汽车夜视安全系统 为了提高汽车夜间行驶安全性能,设计并实现了一套基于红外图像处理的夜视安全系统;使用被动式红外相机对车辆和行人进行红外成像以得到车辆前方行人和车辆的准确位置;测距必须确定对象的形心,对红外图像的灰度值进行判定区分行人和车辆,对行人使用中值空域滤波方法进行降噪,对车辆使用db4小波进行预处理,然后使用基于图像边缘的图像分割方法进行图像分割,使用最大类间方差评估确定分割结果,再进行区域提取得到形心坐标;使用小孔成像模型计算形心与本车的距离,提取本车速度、加速度参数计算理论碰撞时间,当理论碰撞时间小于时间阈值时进行声光告警,从而实现实时预警;系统使用DIR-384-P红外相机,系统算法使用PFGA实现,测试结果表明,经过标校和加固后,系统与目标存在5 m距离时,车辆测距的误差率达到2.74%,行人测距的误差率低于3.9%,具有一定的实际应用价值。 基于Lobe表示的树木快速3D建模 提出了一种基于Lobe表示的树木三维建模方法,该方法的核心思想在于树冠形状取决于外形轮廓,而其内部的枝叶结构具有相似性,可以从有限的模板库通过纹理合成的方法近似生成.该方法可以描述成两大部分:1)编码.将给定树木表示成骨架和Lobe的形式;2)解码.根据Lobe形状,基于已有的枝叶模板生成Lobe内部三维结构.这种基于Lobe的轻量级树木表示方法在很大程度上提高了大规模树木三维模型存储和传输的效率,非常适用于网络环境下大规模城市场景的交互式应用. 细粒度多线程处理器中前瞻性数据加载的设计与实现 细粒度多线程是一种典型的线程级并行性开发技术,通过每周期的线程切换来实现高吞吐率执行.设计并实现了一种细粒度多线程处理器中的前瞻性数据加载机制,该机制预测LOAD操作在数据cache命中,不立即进行线程切换,而是继续执行后续指令,并通过数据旁路解决相关性问题.实验结果表明前瞻性数据加载能明显提高访存性能,在一种配置下,它可将数据cache的访问延迟降低40%,而将存储器访问带宽提高81%. 卫星制导炸弹参数空间法控制器设计 针对卫星制导炸弹在飞行过程中存在的参数摄动问题,特别是大空域参数时变,经典控制理论所设计的控制器难以满足要求。为消除干扰,保证系统的稳定性,采用参数空间法设计卫星制导炸弹的飞行控制系统,以适应其参数的大范围的变化,提高了控制精度。对某型号卫星制导炸弹,设计了俯仰通道的参数空间法控制律,给出了卫星制导炸弹控制系统计算机辅助设计的算法。数字仿真结果表明,方法能有效地解决卫星制导炸弹参数摄动带来的控制器设计问题,增强了控制系统的稳定性和鲁棒性。 网络可靠性分析中自顶向下的二叉决策图构造研究 采用边界分区标识网络的思想,实现基于边界分区的自顶向下K端可靠度二叉决策图(BDD)构建算法。针对BDD构建过程中存在的节点冗余问题,提出无效边冗余消除和K点非连通冗余消除2种处理技术。在规则网络和实际工程中的实验结果表明,利用无效边冗余消除和K点非连通消除技术后的BDD改进算法,在不影响算法时间性能的情况下,可大幅缩减BDD尺度,提升K端网络可靠度分析算法性能,适用于大规模的网络可靠度分析。 面向航天器测试的时序数据模式表示方法研究 通过计算某一点与其左右两相邻点斜率的比值确定出变化点,连接这些变化点,就得到一种基于斜率变化阈值的时间序列分段线性STC表示算法。来自航天器不同分系统的真实数据集实验表明,使用STC算法作为航天器测试时间序列的模式表示方法,与其他分段线性表示算法相比,该方法具有算法简单、拟合程度高和适应能力强的特点。 全速率满分集准正交空时分组码设计研究 自Alamouti提出空时分组码以来,空时分组码的构造得到广泛的研究。研究表明,复正交空时分组码当发射天线数大于3时,它的传输码率小于1。准正交空时分组码虽然能达到全速率,却牺牲了一定的分集增益。根据空时编码秩准则,通过对传统准正交空时分组码进行矩阵旋转处理,得到一种全速率满分集的空时分组码。数值仿真显示,这种全速率满分集空时分组码的性能较传统准正交空时分组码至少有4dB的提高。 众核处理器中硬件支持的I/O虚拟化优化技术研究 众核处理器中I/O资源被多个处理器核所共享。I/O虚拟化实现了I/O资源的高效共享和安全隔离,被越来越多的处理器设计所采用。硬件支持的I/O虚拟化从体系结构设计时就考虑对I/O虚拟化的支持,提供了一个全面、高效的I/O虚拟化的解决方案。深入研究了硬件支持I/O虚拟化的两大关键技术——DMA重映射技术和中断重定向技术,提出了基于Hint的IOTSB Cache管理方法和基于失效队列的失效方法来对DMA重映射进行优化,提出了多层可操控的中断模型和灵活可控的中断重定向实现方法来对I/O中断重定向进行优化。测试结果表明,提出的硬件支持的I/O虚拟化优化方法以很低的I/O性能开销实现了I/O资源的高效共享,提供了几乎接近无虚拟化环境下的I/O性能。 面向复杂产品设计的分布式仿真优化技术研究 为了减少复杂产品分布式仿真优化时的资源浪费,分析了此类架构仿真优化算法的特点,针对计算资源利用率低的问题提出了分布式智能仿真优化算法,并进行了算法描述,介绍了算法特点和主要步骤。将该类架构以及分布式智能仿真优化算法应用到某高速动车组悬挂系统优化问题中,优化结果验证了分布式智能仿真优化算法的快速性。 多尺度方法结合卷积神经网络的行为识别 为了同时计算行为序列样本在时间和空间的特征,提出了一种基于包含多尺度卷积算子的卷积神经网络识别模型。首先通过叠加的方式将序列样本中的骨骼向量信息整合为一个行为矩阵,然后将矩阵输入识别模型。为了挖掘具有不同邻接关系的骨骼点在描述人体行为时的作用,将卷积神经网络各层中的卷积算子拓展为多尺度卷积算子,并使用该网络得到的特征进行分类。实验在MSR-Action3D数据集和HDM05数据集获得较好的识别率。 一种基于细菌趋药行为的量子算法 菌群觅食优化算法具有算法简单、鲁棒性强和具备全局搜索能力的特点。但该算法收敛速度慢,对于多峰函数容易陷入局部最优。为提高菌群优化算法的搜索能力,避免其陷入早熟收敛,提出一种量子菌群算法,将二进制编码的量子进化算法融合到菌群算法中,用量子染色体表示细菌,用量子旋转门实现细菌状态更新。通过标准测试函数对其优化性能进行研究,实验结果表明,该算法无论是对于普通函数还是多峰函数,在收敛速度、收敛稳定性和寻找全局最优方面均优于菌群算法和量子遗传算法。 一种基于LWAP的IEEE 802.11平滑切换机制 IEEE 802.11网络中的BSS切换不可避免地产生丢包问题,这会造成网络性能严重下降,进而限制了移动环境下WLAN的广泛应用。为了降低切换过程中的丢包率,本文提出了一种在数据链路层、由无线链路事件触发的用户空间平滑切换机制,并且在基于嵌入式Linux平台的无线AP上进行了实现。大量的实验结果表明,在无需对当前IEEE 802.11协议进行改动的前提下,新切换机制可以保证在允许的时延范围内,较大地降低丢包率,提高网络性能,并且可以支持平滑漫游。改进的平滑切换机制独立于驱动,具有良好的可移植性,可以在现有AP上增量添加,而无需更改STA,降低了升级成本。 基于语义分割的文本观点倾向分析方法研究 提出了一种基于语义的观点倾向分析方法。按照文本结构特点,依据语义相近的原则,将文本分割为若干语义段,对语义段采用条件随机场模型进行主观内容提取和观点倾向识别,计算各个语义段的权值,确定文本的观点倾向。实验表明,与传统机器学习方法相比,该方法能有效提高文本观点倾向分析的准确率。 云计算中的集群资源模糊聚类划分模型 随着云计算应用的开展,计算机集群的作用越来越重要,但集群中计算机的性能良莠不齐,虽然能互联且能共享资源,但是很有可能因为某些集群内的计算机的性能不均衡或集群性能与并行任务资源需求不匹配而造成任务低效执行的后果。如何把物理集群(普通局域网互联的计算机构成)分为若干个性能均衡的逻辑集群是集群调度的关键。通过对计算机资源的模糊聚类来划分集群中的计算机,引进任务资源需求向量和最低误差容忍向量机制,把物理集群划分为若干个性能均衡或与并行任务资源匹配的逻辑计算机集群,使集群更易管理调度。对物联云运用此算法划分了网关集群、数据库集群和服务集群,验证了本算法,这种划分方法适合云计算应用。 基于锚文本相似度的PageRank改进算法 分析搜索引擎Google的PageRank算法,给出其存在的3个问题及针对这3个问题提出的改进。结合锚文本相似度提出一种改进的PageRank算法,利用Nutch对传统PageRank算法和改进后的PageRank算法进行实验分析与比较。实验结果表明,改进的PageRank算法提高了搜索结果的查准率,有利于减少主题漂移现象。 基于约束和树模型的两两组合测试方法 提出了一种新的基于约束和树模型的两两组合测试方法;该方法在树模型的基础上,利用约束关系对树进行裁剪,在此基础上使用回溯法搜索解空间树,选择出符合两两覆盖标准的最小规模的测试数据集,最后利用贪心算法补足测试数据集;实验数据表明在某些存在参数约束的软件系统中,使用该方法可以生成尽可能小的两两组合覆盖测试用例集,较大幅度地提高了搜索效率。 导航路径寻优的地图数据库分层索引机理 研究了导航路径寻优的地图数据库分层索引机理。以路网分层模型为基础,研究并设计了G-SDBCScan(Geospatial Database Convergence Scan)索引算法,并将此算法应用于面向地图数据库的分层索引机制。最后通过嵌入式数据库SQlite在分层索引机制中的应用来验证分层索引机制的有效性。 群智感知环境下支持激励机制实施的匿名身份认证协议研究 群智感知系统在实际部署中还存在着感知数据泄露、用户参与积极性低等问题.为提升用户积极性,适当的激励是必须的.然而,在实施激励过程中,往往需要用户身份信息来完成奖励发放,而用户一般不愿意泄露身份隐私.为了解决这一矛盾,提出一种基于随机假名和安全哈希函数的匿名认证协议.该认证协议利用随机假名的随机性切断用户真实身份与假名之间的关联.利用安全哈希函数的单向性,防止攻击者从截获的数据包中提取与用户身份以及奖励相关的信息.最后的安全分析和性能分析表明,本文提出的匿名认证协议在安全和计算性能方面符合群智感知环境的要求. 基于灰狼算法的改进研究 针对灰狼算法具有易陷于局部最优并且收敛速度不理想的缺点,提出基于改进收敛因子策略和引入动态权重策略以及两种策略混合改进的灰狼优化算法,并且用于求解函数优化问题。提出一种非线性收敛因子公式,能够动态地调整算法的全局搜索能力,引入的动态权重使算法在收敛过程中能够加快算法的收敛速度。通过15个基准测试函数验证改进后算法的全局搜索能力、局部搜索能力与收敛速度,实验结果表明,改进后的算法无论在搜索能力还是收敛速度上都强于标准灰狼算法。 基于FPGA的机载PWM信号转换器仿真与实现 无人机系统常采用脉冲宽度调制信号(PWM)控制舵机执行机构,在进行飞行控制系统闭环半物理仿真中,需要实时采集舵机控制信号作为无人机模型的控制输入,进而构成闭环仿真。提出并实现了一种以FPGA为核心的PWM信号转换器的设计,给出了PWM信号转换器各部分模块的详细设计,并用Verilog在FPGA中实现了其全部功能。在对FPGA设计的PWM信号转换器模块功能仿真和时序仿真正确后,下载到Altera开发板中进行验证。测试结果表明,利用FPGA设计的PWM信号转换器模块具有测量精度高、简单高效的特点,满足了实时仿真的要求。 结合人眼特征和几何方法的虹膜定位方法 虹膜定位是虹膜识别系统中重要的预处理过程,其定位精度和速度决定了虹膜识别系统的性能。就此,根据人眼的特征,结合几何方法,提出了一种快速准确的虹膜定位算法。该算法根据瞳孔内部灰度特点,在瞳孔内找到一点。利用边缘检测模版,依据该点,分别在虹膜内边界和外边界上找到各自不共线的三个边界点,构成两个直角三角形。根据几何原理,这两个直角三角形的斜边分别为内外边界圆的直径,从而定位虹膜边界参数。大量实验证明,该算法在速度和精度上相比经典定位算法均有提高。 基于小波变换和Teager能量算子指纹图像增强 指纹增强是指纹自动识别系统中比较重要的一个环节,它直接影响到指纹识别系统的识别精确度。二维Teager滤波器是一种非线性边缘提取滤波器,其特性近似于Mean-Weighted高通滤波器,即兼有局域平均和高通滤波的特性,因而可均衡去除噪声和增强图像边缘。将一种改进的Teager能量算子用于小波分解后低频域的纹理滤波部分,并对高频域进行阈值量化去噪,最后重构子图像。实验表明,该算法能够使图像的质量明显得到改善。 基于动态工作流的临床路径信息系统架构研究 针对临床路径中的变异性,提出了基于动态工作流的临床路径信息系统,并给出系统的整体架构。在工作流建模时,采用动态工作流技术来约束柔性活动的具体化过程,及时处理临床路径中出现的变异。 基于边缘算子的有效子序列分割方法 在深入分析时间序列中边缘算子的特点后,以划分不等长子、具有相对完整变化趋势的时间序列为目的,提出基于边缘算子的有效子序列分割方法。该方法能有效分割出具有相对完整变化趋势的、不同长度的子序列,同时具有高效、实现方法简便、效果直观的优点,对于不同领域的数据适应性良好。 基于气相色谱—主成分分析的红木分类识别方法研究 木材化学分类法的研究较少。通过木材的化学成分和化学计量学方法,从分子的角度鉴别珍贵木材,具有重要意义。本文通过GC-FID实验,采集阔叶黄檀等5种18批次的红木样本的色谱数据,所建立实验方法重现性好。将所得色谱数据,进行色谱峰对齐和自标度化预处理,然后PCA投影。12个建模样本被分成4类,与各样本已知的植物学分类一致。以所建立的分类方法(即PCA投影空间),识别其余6个待鉴别样本,结果准确达到分离聚类。本方法利用现代分析仪器和模式识别法实现了对红木的分类和识别,为珍贵木材的化学分类鉴别法提供理论依据。 基于可穿戴的运动强度监测系统 目前学生体质与健康状况连续呈下降趋势.运动强度过高或者过低都会对学生的体质与健康造成不利的影响.为满足监测学生运动强度并促使他们进行适度运动的需求,设计并实现了一套基于可穿戴的人体运动强度监测系统.利用三轴加速度传感器采集人体运动加速度并作预处理,通过无线蓝牙方式传输到GPRS基站,进而自动上传到服务器,设计一种运动强度分类算法来监测运动强度并促使学生进行中等强度运动.讨论了该系统的软硬件设计和实现,包括可穿戴设备、基站、Flash存储方法和服务器软件.测试结果表明,系统运行稳定,安全可靠,能满足学生运动强度监测和促进的需要. 西藏数字壁画泥斑病害自动标定修复方法仿真 研究一种有效的泥斑病害自动标定修复方法对永久保存西藏数字壁画具有深远意义。针对当前方法标定的西藏数字壁画泥斑病害区域中含有大量噪点,如果将该区域全部像素点作为泥斑病害进行标记,会导致最终修复结果过于光滑,使得壁画丧失本身表面的颗粒感。提出一种基于样本块优先级的泥斑病害自动标定修复方法,将包含泥斑病害的西藏数字壁画图像导入,采用多尺度结构元素分别对亮背景和暗背景的泥斑病害图像进行形态学运算,得到亮度跳变突出的区域。将阈值分割算法与连通域计算进行有机结合对病害所属类型进行分类,再通过二次形态学膨胀操作得到泥斑病害的最终标记结果。确定泥斑病害修复区域目标块中各个像素点的优先级,将待修复区域中具有最大优先级的点对应的目标块确定下来后进行最佳样本块搜索,在源区域中找到相似度最高的图像块与之匹配,用此图像块对进行填充,并及时对像素点置信度进行更新,直到完全修复。仿真实例分析结果表明,采用所提方法建立的泥斑标定修复系统,能够实现西藏数字壁画中的泥斑病害准确标定,得到良好的修复效果。 《计算机科学》关于新增栏目的说明 [正文]近来,在国内有关学者的倡议下,《计算机科学》编辑部反复思考一个问题:学术刊物作为传播理论知识的载体,主要被用于同行交流研究成果,但目前学术刊物刊登文章存在评审者与作者、读者与作者间交流太少,导致论文影响有限,特别是对产业界的影响,距离人们的期望有增加的趋势。 基于状态机的递归算法非递归化框架 "由递归程序转换到非递归程序可以避免栈内存溢出问题并可以提高算法效率。借助状态机编程的思想,提出一种递归到非递归转换的框架。将函数的调用和返回过程看作是状态的转换,并将递归过程模拟为""进入函数""、""进入递归点""、""从递归点返回""等状态。实验中,将几种具有代表性的递归算法转换为非递归算法,从转换后代码可以看出,提出的框架与""while-while""和""while-if""等常见框架相比,具有结构清晰、代码简洁和转换过程程序化强的优点。" 利用轮廓特征进行人头识别的方法 根据人头的特征,提出了基于区域轮廓特征的人头识别方法。详细描述了对预处理后的二值化图像进行去轮廓毛刺的方法,以防止轮廓提取时产生死循环。提出了一种提取区域轮廓的方法,并给出了其详细步骤。利用轮廓所包围区域的面积对人头进行初步筛查,然后利用圆形度进行人头识别。该方法实时性好,而且所需内存空间小。最后,用实验证明了这种方法的良好效果。 基于粒子群算法的群体路径生成方法研究与实现 对群体行为进行模拟一直是计算机图形学方面的研究热点,如何表现出个体运动的独立性和群体运动的整体性是群体行为模拟的困难所在。首先对群体行为进行分析,然后将粒子群算法的群体智能性用于群体路径生成中,既使用了粒子群算法初始生成思想,又针对不同的群体行为进行了修改,从而产生一种新的能够快速完成群体路径生成的方法和手段。 基于并发性发掘的低开销回卷恢复实现方法 现有的回卷恢复容错技术存在同步约束和阻塞问题,其时间开销随系统节点规模的增大而剧增。为此,提出一种基于并发性发掘的低开销回卷恢复实现方法。利用消息传递附带跟踪消息依赖的策略解除消息日志中的同步约束,解析进程负载以发掘进程负载的并发性,构建进程负载并发执行的实现架构,采用数据缓存策略和多线程技术实现进程内部各负载的并发执行,以降低故障恢复开销。3个NAS NPB2.3标准性能检测程序的实验结果表明,该方法可使检查点开销从0.63 s、3.19 s、1.21 s分别降低到0.18 s、0.67 s、0.19 s,日志开销率从13.4%、3.5%、18.3%分别降低到0.7%、0.1%、1.0%。 基于CN-FDTD的新颖DGS带阻滤波器特性研究 缺陷接地结构(DGS)作为频率选择表面(FSS)的一类,在电磁领域应用广泛。提出了一种新颖结构单元的DGS频率选择表面,可以用来实现带阻滤波器(BEF)。Crank-Nicolson FDTD(CN-FDTD)是一种无条件稳定的FDTD,在时间步长取值远大于Courant-Friedrich-Levy(CFL)稳定性条件时,CN-FDTD仍然稳定而且可靠。利用CN-FDTD对该结构进行了精确的数值计算,研究了参数l、参数g和周期单元数n对这种带阻滤波器滤波特性的影响。随着参数l的增大、参数g的减小和周期单元数n的增大,带阻滤波器的滤波特性越好。这些有益的结论能为这种新颖DGS带阻滤波器的分析和设计提供有力的指导。讨论了CN-FDTD的误差项,随着时间步长的增大,其误差也会显著增大。 因特网舆情传播的协同元胞自动机模型 "现有的因特网舆情传播研究或者从话题文本增长—消亡过程的数学统计或智能学习出发,或者采用元胞自动机或隐马尔可夫模型(HMM)分析主题倾向度的演化过程。但这些研究均缺乏有关舆情主体属性对因特网舆情传播影响的分析。基于因特网舆情空间的系统协同性,首先计算元胞状态协同转移概率,同时将整体协同转移概率与中心元胞之九邻居局域状态概率比较,确定中心元胞状态是否转换。经过若干次时间序列的迭代计算,获得舆情整体传播趋向""+""或""-""的程度(磁化率)。通过观察磁化率—时间变化曲线,能清楚地了解舆情传播的演化。在此基础上,提出一个扩展的协同元胞自动机模型及算法。仿真结果表明环境适应度参变量表达了网络舆情主体从众心理,其变化影响磁化率向多数人意见靠拢;而偏好参变量使网络舆情整体快速向""偏好""方向传播。该模型比较接近现实社会网络的舆情传播方式。" 基于区域生长的彩色图像分割算法 针对传统种子区域生长算法在分割具有复杂纹理的彩色图像中存在的问题,提出一种改进的种子区域生长算法,该算法在YCbCr颜色空间中进行,采用离散余弦变换提取图像纹理特征值,进行自动种子及种子区域的生长,并用区域合并改善过度分割。实验结果表明,该算法能有效提高图像分割的精确性。 综合文字和非文字区域特征的文档图像检索 提出一种改进的自适应文字区域提取算法,将文档图像分割成文字区域和非文字区域。对文字区域提取连通字符间空白、连通字符高度和宽度等局部特征,以及书写样式、段落特征等全局特征;对非文字区域,提取关键块特征。然后利用检索算法将文字区域特征和非文字区域特征结合起来,提高检索的准确性。同时,在检索算法中引入多维数据检索结构,有效地提高检索速度。通过对大规模文档数据库(包含12024个文档)的检索,表明该算法具有较高的效率,优于现有的一般文档图像检索算法。 基于约束的模糊概念格构造算法 一般的模糊概念格在构造过程中没有考虑用户的需求,用户对模糊概念格节点中一些属性集形成的内涵并不感兴趣。为了增强模糊概念格的针对性,降低模糊概念格构造的时空复杂性,构造满足用户需求的模糊概念格,首先将用户感兴趣的背景知识定义为约束条件,根据用户关心的属性间关系,将约束条件分为3类:单约束、与约束及或约束,并采用谓词公式表示,进而提出了基于约束的模糊概念格(Constrained Fuzzy Concept Lattice,CFCL)构造算法。该算法自底向上构造模糊概念格,利用模糊概念格父子节点内涵的单调关系,采用剪枝技术来减少构造过程中判断模糊概念是否满足约束的次数,提高了模糊概念格的构造效率。实验结果表明,该算法能够有效地减少模糊概念格的存储空间和构格时间。 网格曲面近似“最直路径”的快速计算 "为实现网格模型两点间最短路径的近似逼近,提出一种网格曲面上近似""最直路径""的计算方法.利用起点与终点邻域特征获得初始切割面,对网格曲面上的三角片逐步切割求交,扩展""最直路径"";在求交过程中逐步调整切割平面的方向,直至终点.实验结果表明,文中方法快速、有效." 基于CABAC和去耦合码率估计技术的H.264/AVC帧内模式选择方法研究 本文提出了一种简单有效的帧内模式选择方法,通过分析CABAC熵编码中色度分量与量度分量的交织信息,去除耦合,同时在率失真优化过程中部分引入部分码率估计方法,降低模式选择的计算复杂度,提高模式选择速度。大量的实验结果表明,本文提出的方法对全I帧序列能够带来66.4%的时间节省,同时几乎不损失编码图像质量;对IBBP序列能够达到平均10%的时间节省,同时部分序列甚至比JM有更好的R-D曲线。 自适应搜索空间的混沌蜂群算法 针对人工蜂群(ABC)算法的不足,以种群收敛程度为依据,结合混沌优化的思想,提出一种改进的人工蜂群算法—自适应搜索空间的混沌蜂群算法(SA-CABC)。其基本思想是在原搜索区域的基础上,根据每次寻优的结果自适应地调整搜索空间,逐步缩小搜索区域,并利用混沌变量的内在随机性和遍历性跳出局部最优点,最终获得最优解。基于六个标准测试函数的仿真结果表明,本算法能有效地加快收敛速度,提高最优解的精度,其性能明显优于基本ABC算法,尤其适合高维的复杂函数的寻优。 一种新的肤色相似度图自适应阈值选取方法 针对复杂背景图像,基于肤色相似度直方图最低波谷自适应阈值选取法分割提取肤色区域效果不理想,提出第一波谷自适应阈值选取法。实验结果表明,该方法对复杂背景图像的肤色区域分割提取效果较为理想。 一种挖掘不确定数据最大模式的深度优先算法 不确定性数据挖掘是数据挖掘领域的研究热点,但其应用于最大频繁项集的算法较少。根据不确定数据挖掘的特点,把挖掘确定性数据最大频繁模式的Gen Max算法扩展到不确定数据中,提出一种U-Gen Max算法。对Tid集进行扩展,在id域的基础上增加概率域,实现垂直数据格式转换。在频繁项集判断方面加入前置判断来剪枝非频繁项集,相比直接计算置信度的方式,降低了计算量。基于栈式结构给出多步回退剪枝新策略,从而避免Gen M ax算法只能单步回退的缺陷。实验结果证明,该算法计算性能良好,可适用于各种情况下的稀疏数据集与支持度较高情况下的稠密数据集。 电磁拦截器拦截概率的建模与计算 将电磁发射与主动防护系统相结合,应用电磁发射的方式发射拦截弹,对穿甲弹、反坦克导弹等进行末端拦截,可极大提高装甲车辆的生存能力。但已有的拦截概率计算方式并没有对此种类型的防御方式做出系统的计算。利用末端拦截命中毁伤算法,给出了电磁发射主动防护系统的拦截概率模型,并使用MATLAB对拦截概率进行了计算分析,分析拦截概率与主动防护系统拦截弹发射速度、拦截弹射速、点射长度之间的关系。从理论上对电磁发射主动防护系统的性能指标提出了要求。 一种针对高斯模糊图像的无参质量评价方法 针对模糊图像高频分量的特性,提出了一种针对高斯模糊图像的无参质量评价方法。该方法利用小波变换对模糊图像提取高频分量,在高频分量功率谱上构造评价指标。实验结果表明提出的评价指标优于PSNR和SSIM,其客观评价分数与主观评价分数具有更好的一致性。 基于CARLA-PSO组合模型的智能控制器参数学习优化 对连续动作强化学习自动机(CARLA)进行了改进,应用改进后的CARLA结合粒子群优化算法(PSO)优化PID参数。以CARLA为基础,建立了CARLA和PSO的组合优化学习模型CARLA-PSO,该模型包含CAR-LA学习环路和PSO学习环路两个部分,通过优化策略选择器进行学习环路的选择,通过与环境进行相互作用,获得最优控制。对连铸结晶器液位控制进行了仿真实验,实验结果表明,CARLA-PSO在进行PID参数优化时寻优效率高,全局搜索能力强,能够达到理想的控制效果,具有较好的应用前景。 有效载荷分离释放弹簧的设计与计算 在弹簧作为航天任务中有效载荷的推进装置的优化设计中,为保证载荷的释放速度以及弹簧质量最轻,结合几何约束、可靠性与稳定性的要求,建立非线性约束优化模型,将Matlab求得的理论解带入商业软件Adams进行仿真运算,得到理论速度与仿真速度的拟合关系。利用拟合关系和用Matlab优化工具箱即可求得满足仿真分离速度的弹簧设计参数。利用上述方法对分离推力弹簧进行设计与计算,并利用虚拟样机技术进行验证,减少了弹簧设计重复试算的过程,弹簧质量缩减率在30%以上,满足释放速度要求,得到满意的优化设计结果。 高电源电压抑制、低功耗片上低压差线性稳压器的研究与设计 为了能更适合于片上集成,在提供稳定电压的同时降低输入电压的噪声,设计了一种新型片上CMOS低压差线性稳压器(LDO),其显著特点是静态电流很小,在3.3V供电电压下,只有10μA的静态电流,功耗很小,适合于片上低功耗集成使用.同时,对LDO的电源电压抑制(PSR)进行了改进,提出了一种有效地使PSR提高的方法,使PSR低频下达到了大约-45dB,最差的情况也能达到-20dB左右,对输入电源的纹波噪声有比较好的抑制作用,更加适合于对噪声敏感的电路集成. 基于MapReduce的蚁群算法 云计算环境下应用蚁群算法分布式并行对问题进行求解的研究较少,且蚁群算法存在搜索时间长和易收敛于非最优解的缺陷,当问题的规模较大时求解困难。为此应用云计算技术将蚁群算法并行化,提出基于MapReduce的蚁群算法。该算法将分治思想和模拟退火算法融入蚁群算法,改进其缺陷,并应用于求解较大规模的旅行商问题。仿真实验取得了较好的效果,且获得了测试实例gr666的新解。 关于挖掘机轨迹规划控制系统设计研究 研究设计了双层结构的挖掘机控制系统,可实现挖掘机智能化自主挖掘,由于挖掘机在实际工作过程中的运动状态比较复杂多变,且工作参数具有非线性特点,故采用了有限状态机思想和模糊逻辑的思想,通过Matlab中的stateflow(状态流)和Fuzzy Logic(模糊逻辑)工具箱对挖掘机轨迹规划控制系统进行了设计,且进行了半实物仿真。在仿真中,实现了挖掘机模型的自主化挖掘,在挖掘过程中控制系统可识别挖掘机的过载行为,并且根据不同的工况选择合适的挖掘力进行挖掘。该系统计算量小,响应快,鲁棒性好,可以可靠的适用在挖掘机上。 自适应小世界粒子群优化算法 提出一种基于小世界网络的自适应拓扑结构。每个粒子都与它的近邻粒子进行交互,其有一定概率通过小世界重置与远方的粒子进行沟通;为粒子群体的每个维度分配一个特定的小世界网络,不同维能够学习不同邻居的历史信息;粒子的邻域大小与小世界重置的概率将在种群收敛状态的基础上进行自适应调整。利用标准函数集对该算法进行测试,测试结果表明,通过该机制,粒子群体具有更好的搜索多样性,能够平衡全局探索与局部开发。 乱针绣仿真中针绣图块路径排布规划研究 计算机仿真技术是中华传统手工艺乱针绣保护、传承的重要途径。在乱针绣仿真过程中,所绣制图案的构成、尺寸变化无严格规律,纹理和边界形状也是千变万化,而用于绣制的针法种类、形状和尺寸受到限制,导致针法的选择与排布难以达到满意的效果。针对乱针绣的应用背景和约束条件,提出在几何约束下的针绣图块路径排布规划方法。将绣制区域进行均匀剖分以选定图形排布的大致位置,通过设计最小化能量函数的计算来选择和精确排布。然后,采用优化策略使填充图块形变,以达到重叠和缝隙可控的排布效果。仿真结果表明,上述方法能够提高图块排布精度和适用性,满足乱针绣模拟在几何约束下的针法排布要求。 对比双侧视图信息的致密型乳腺X线图像肿块检测 针对现有双视图肿块检测方法存在的问题,提出一种适用于致密型乳腺X线图像的直接对比双侧视图信息的计算机辅助肿块检测方法.为提高双侧图像对称区域的匹配精度,分割图像中的胸肌区域及腋窝区域,建立仅包含乳房区域的生理坐标系;综合乳腺生理特征及肿块病理性质提取感兴趣区域,以梯度图像的局部三元模式特征距离作为尺度测量对称像素的相似度,有效地降低了肿块检测假阳性率.采用北京大学人民医院乳腺中心提供的临床图像进行算法性能实验,结果表明,生理坐标系在定位与匹配对称区域方面具有良好的性能;与现有双视图肿块检测方法相比,在相同的肿块检测正确率下,文中方法获得更低的检测假阳性率. 点云模型的噪声分类去噪算法 针对三维点云模型数据在去噪平滑过程中存在的不同尺度噪声和算法计算耗时问题,提出了点云模型的噪声分类去噪算法。该算法根据噪声点分布特性,将其分为大尺度和小尺度噪声,先利用统计滤波结合半径滤波去除大尺度噪声;然后使用快速双边滤波对小尺度噪声进行平滑,实现点云模型的去噪和平滑。与传统的双边滤波相比,利用快速双边滤波对点云模型数据进行平滑,有效地提高了计算效率。实验结果表明,该算法对点云噪声进行快速平滑去除的同时又能有效地保持被扫描物体的几何特征。 基于Web Service的多语种语言资源库管理系统设计 基于Web Service技术的核心思想,根据新疆多语种语言资源的特点和需求,研究与设计了多语种语言资源库。该设计在传统的资源库管理系统技术的基础之上,运用Web Service技术,显著提高了系统的普适性、可互操作性和可扩展性。多语种语言资源库管理系统的建设填补了多语种语言民族地区相关软件业领域建设的空白,可对促进面向中亚西亚出口软件开发提供重要技术支持。 基于Compax3的伺服升降平台控制系统设计 针对现有同步、大载荷升降平台行程控制精度低、人机交互性差的缺点,设计了一种基于Compax3伺服控制器的升降平台控制系统;利用一台伺服电机驱动多个滚珠丝杆同步螺旋升降运动,分别通过CANopen和串口通信的方式实现本地、远程同时对升降平台的运动控制和状态监控,并且独立控制电机刹车方便安装和调试;试验结果表明,该控制系统的行程控制误差小于0.1 mm,系统控制精度高、人机界面友好、功能丰富、性能稳定,具有较高的工程应用价值。 基于模式匹配的无线网络安全配置核查工具 针对无线网络严重的安全现状,设计并实现一款基于模式匹配的无线网络安全配置核查工具。首先,依据等级保护的相关规定和对无线网络进行风险评估,制定无线网络安全配置核查规范;然后,针对现有检测方法的不足,提出一种基于模式匹配的自动化配置核查方法;最后,给出工具的系统架构、模块功能和具体实现。 Scrum敏捷开发方法在跨境电商平台的实践 软件开发从原来的计划型驱动模式(收集需求、分析需求、制定计划、执行计划),被敏捷开发这种对稳定需求没有依赖的适应性开发模式所取代。正是由于Scrum敏捷开发方法在飞速的发展以及跨境电商平台的复杂性,Scrum使设计开发适应变化,在软件开发的任何阶段,对需求修改的代价相对较低,在跨境电商平台的开发过程中起到了关键性作用。在遵循Scrum敏捷开发的核心思想和步骤的前提下,对跨境电商平台项目实行了演进式设计,坚持多层测试、持续集成和测试驱动开发,重视重构和简洁设计。将敏捷开发融入到跨境电商平台的架构与开发中,这样的应用使得整个平台的项目同时高效地进行开发,代码质量得到了进一步的提升。实践证明,演进式设计对Scrum敏捷开发方法的实施起到了很好的效果。 非受限条件下多级残差网络人脸图像年龄估计 年龄是人的固有属性,在人的社会交往中起到了基础性作用,因此人脸图像的年龄估计是人工智能领域的重要问题之一.为了解决非受限条件下人脸图像年龄估计困难的问题,提出一种非受限条件下的多级残差网络人脸年龄估计方法.首先针对高分辨率图像数据集构建多级残差神经网络模型;然后采用Image Net数据集对多级残差网络进行预训练,以获得图像的基本特征表达;最后在非受限人脸年龄数据集上结合随机深度算法对网络模型进行微调.在非受限的Adience人脸年龄分类数据集上进行年龄分类对比实验的结果表明,该方法能够明显地提高非受限条件下人脸年龄估计的准确率,并在提高网络学习能力的同时有效地抑制小规模数据集带来的过拟合问题. 电液伺服系统新型控制算法 研究了一种优化偏差—偏差变化率算法在电液伺服控制系统中的控制效果.电液位置伺服控制系统影响因素复杂,加之系统本身存在多种非线性环节,难于用精确数学模型描述其所有特性,为系统控制方法设计造添加困难.针对上述问题,在分析电液伺服控制系统的结构和特点的基础上,提出一种优化偏差—偏差变化率算法,并进行多方面的仿真实验,获得了良好的控制效果. 基于核范数凸优化的微阵列缺失点重建 为解决大多数基因微阵列矩阵中含有缺失点的问题,提出了基于矩阵核范数凸优化(Nuclear Norm Optimization)的微阵列缺失点的重建方法。该方法利用了微阵列矩阵中的冗余信息,使用矩阵填充(Matrix Completion,MC)来重建缺失点,实现了矩阵的核范数凸优化。实验结果表明,矩阵填充在某些时间序列的微阵列数据集上与K最近邻(KNN)、贝叶斯主成分分析(BPCA)和局部最小二乘法(LLSimpute)相比表现出了较强的竞争力。由矩阵填充得到的矩阵,还可以替代广泛应用的局部最小二乘法中的行均值填充矩阵。矩阵填充和局部最小二乘法结合的方法在所有测试数据集的几乎所有缺失率下都取得了最低的重建错误率。 Thiele-Thiele型二元向量有理插值实现彩色图像的缩放 提出了一种基于Thiele-Thiele型有理向量插值的彩色图像插值方法。将数字图像的每一个像素点看成是一个平面域的关于RGB三原色的一个向量,在矩形网格上利用Samelson逆与倒差商技巧,根据图像的像素特征构造Thiele-Thiele型二元向量连分式有理插值函数,然后对插值曲面进行采样以实现缩放。采用该算法可以得到更加清晰的放大图像。实验结果表明,该方法是一种有效的图像缩放方法。 基于过程现场总线技术的振动检测系统 针对当前振动检测系统延迟大、传感网络可控性差、检测精度低等问题,提出了一种基于过程现场总线(Profibus)技术的振动检测系统。首先,在每个检测设备节点处实现卡尔曼滤波、快速傅里叶变换(FFT)等复杂计算,使网络负载与传输大量原始振动数据的传统方案相比降低了约95%,缩短了网络传输时间和工作站的计算时间,提高了系统的实时性和计算能力。然后,采用Profibus协议实现对振动检测设备网络的管理和数据传输,保证了传感网络的稳定性和可控性;并且,在振动检测节点设备上使用高精度振动传感器,在检测节点上对振动数据进行滤波等处理,检测精度高达0.003 9 mg。此外,自主设计开发了Profibus协议从站,方便检测设备的功能定制与二次开发;在检测节点设备上采用RT-Thread嵌入式系统内核实现资源分配与任务调度,提高了实时性和可靠性。实验结果表明,所提系统可以快速地对振动现场的原始振动数据进行数据处理并把处理后的数据传输到工作站电脑,实时性高。同时,振动检测设备组成的Profibus网络可以实时显示节点的状态信息,若有网络故障能够及时提醒,网络的可控性好。 基于ASP.NET和Ajax技术的继续教育平台设计与实现 基于第四代ASP.NET和Ajax技术的继续教育网络学习平台,有别于传统的Web应用,操作界面友好,响应速度快,非常符合继续教育学员的特点和学习的需要。本文阐述基于ASP.NET和Ajax技术的平台体系结构与功能模块结构,介绍了数据库主要表生成的关系图,并对平台开发的主要技术作详细描述,如数据库操作及异常情况捕获、使用Ajax技术实现用户验证和即时交流、采用Flash技术实现无刷新文件上传等。 正四面体麦克风阵列声源定位模型研究 研究声源定位优化建模问题,针对声源位于远场环境下无法获取精确的方位角和俯仰角,由于采用声达时间差(TDOA)和空间几何算法的正四面体麦克风阵列声源定位方法只适应于近场声源定位,为了提高定位准确性,提出了应用径向基(RBF)神经网络建立声源定位模型的算法,声源定位模型在声源位于近场或者远场的情况下,均可求解出精确的方位角和俯仰角。在MATLAB上进行仿真,结果表明,定位声源的方位角误差小于3°,俯仰角误差小于4°,满足实际定位精度的要求。结果表明为声源准确定位提供了科学依据。 高效的基于证书代理重加密方案 已有基于证书代理重加密方案的构造严重依赖双线性对运算,计算效率不高。针对这一问题,提出了一个高效的基于证书代理重加密方案。所提出方案的加密算法无须作双线性对运算,而其他算法至多作一次双线性对运算,因此显著提高了方案的计算性能。与现有基于证书代理重加密方案相比,该方案具有计算效率高和通信带宽低的优点。基于q-mBDHI问题和1-BDHI问题的困难性假设,该方案在随机预言模型下被严格证明满足适应性选择密文攻击下的密文不可区分安全性,即满足选择密文安全性。 几种滤波算法在医学图像上的实现 文中研究的目的是通过滤噪来改善图像质量,便于医生分析CT图像和实现计算机辅助诊断对医学图像的预处理。采用均值滤波、中值滤波、自适应滤波算法对医学图像进行噪声处理。不仅提供了算法的程序代码,还通过Matlab平台进行了模拟实验。实验结果表明,均值滤波算法的滤噪能力和保护图像细节能力不及中值滤波算法,自适应中值滤波算法处理效果优于其他两种滤波算法。结论是文中所研究的几种滤波算法在医学图像上滤噪是可行且有效的。 事件驱动Markov型网络系统的输出反馈H_∞控制 针对事件驱动马尔可夫型网络系统的输出反馈H_∞控制问题,通过构造包含事件驱动和量化输出信息的李雅普诺夫函数,采用Wirtinger’s不等式估计李雅普诺夫函数的弱无穷小算子的上界,从而获得事情驱动马尔可夫型网络闭环系统稳定性判据,并给出满足H_∞扰动抑制水平的输出反馈控制器设计方法,有效地克服了扰动给系统带来的影响。数值例子验证所提出的方法的有效性。 热风炉燃烧过程智能优化控制方法的研究 为了实现在不同工况条件下通过调整空燃比使热风炉燃烧系统保持在能耗最低、效率最高下运行,根据高炉生产的特点和蓄热式热风炉燃烧方式,设计了以模糊BP神经网络为智能优化算法的自寻优控制策略,实现了PID控制器参数实时、动态、精确的调整,实现了动态PID参数的准确调整,保证了煤气流量和空气流量的合理比值;经仿真结果表明,该系统不但有效地解决了传统热风炉的燃烧控制系统非线性、建模难和强耦合性的问题,而且使热风炉处于安全、节能的燃烧状态。 一种基于网络安全梯度的攻击图生成并行化方法 攻击图正成为一种网络安全分析的关键技术。介绍网络安全梯度的概念来反映网络攻击的方向性和网络防御的层次性。据此,改进了单调性假设以减小攻击图的规模。利用网络安全梯度的概念,将攻击图生成进行子任务划分,从而设计了并行化的攻击图生成算法。与以前的算法进行比较,实验结果表明并行算法有效地提高了攻击图生成效率:在内存为32GB的8核的服务器上,并行算法能在20秒内生成网络规模为400的攻击图。此外,该工作可能对于攻击图的分析和网络弥补技术在大型网络应用有所帮助。 基于邻域粗糙集的多标记分类特征选择算法 多标记学习是一类复杂的决策任务,同一个对象可能同时属于多个类别.此类任务在文本分类、图像识别、基因功能分析等领域广泛存在.多标记分类任务往往由高维特征描述,存在大量无关和冗余的信息.目前已经提出了大量的单标记特征选择算法以应对维数灾难问题,但对于多标记的属性约简和特征选择却鲜有研究.将粗糙集应用于多标记数据的特征选择中,针对多标记分类任务,重新定义了邻域粗糙集的下近似和依赖度计算方法,探讨了这一模型的性质,进而构造了基于邻域粗糙集的多标记分类任务的特征选择算法,并给出了在公开数据上的实验结果.实验分析证明算法的有效性. 两轴转台位置伺服系统自适应鲁棒控制 针对某两轴随动转台运行时负载与参数变化大、轴间耦合干扰作用强的特点,将自适应鲁棒控制策略应用到其位置伺服系统控制器设计中,并证明了稳定性;由于控制律中含有采集信号的高阶微分项,为避免采集噪声对控制精度的影响,采用微分观测器,以减小其影响;仿真结果表明,相对于PID及传统自适应鲁棒控制器,使用该控制方法后,系统跟踪精度明显提高,且控制输入更加平滑,具有较好的瞬态与稳态性能。 一种面向高维数据的迭代式Lasso特征选择方法 Lasso方法与其他特征选择一样,对高维海量或高维小样本数据集的特征选择容易出现计算开销过大或过学习问题(过拟合)。为解决此问题,提出一种改进的Lasso方法:迭代式Lasso方法。迭代式Lasso方法首先将特征集分成K份,对第一份特征子集进行特征提取,将所得特征加入第二份,再对第二份特征进行特征提取;然后将所得特征加入第三份,依次迭代下去,直到第K份,得到最终特征子集。实验表明,迭代式Lasso方法能够很好地对高维海量或高维小样本数据集进行特征选择,是一种有效的特征选择方法。目前,此方法已经很好地应用在高维海量和高维小样本数据的分类或预测模型中。 噪声-相位编码复合调制一体化信号设计方法 提出了一种新型的雷达/干扰机一体化共享信号——噪声-相位编码调制一体化信号。结合高斯白噪声良好的干扰特性以及相位编码信号良好的自相关特性,在高斯白噪声的基础上,调制相位编码信号,采用二相编码信号,二者有机结合生成一种混合噪声-二相编码复合相位调制一体化信号。仿真实验结果表明,该信号既具有雷达的目标探测定位性能,同时具备干扰机的压制干扰性能,与其他一体化信号体制相比,噪声-二相编码复合相位调制波形对二相编码信号的压制干扰作用尤为明显,效果更好。 一个基于ECC的隐匿身份环签名方案 环签名方案类型众多,但大多数方案都基于双线性对运算,在安全性以及运算速度方面存在不少问题。与椭圆曲线密码学(ECC)相比,双线性对的优势并不明显,无法使用同等长度或更短的密钥提供相同的甚至更好的安全保护。为了提高方案的安全性,保护签名人员身份的隐匿性,因此以椭圆曲线密码学为基础,对参与签名的签名者身份信息进行处理再加入签名方案,提出一个新的基于ECC隐匿身份的环签名方案。最后通过安全性分析,证明了新方案的正确性、安全性与隐匿性。 基于FlightGear的舰炮武器系统训练研究 传统的舰炮武器系统训练,较少考虑到各类高速机动目标的控制系统建模。为实现飞行环境高逼真的需求和现代舰炮武器系统训练的发展要求,提出了采用Flightgear的舰炮武器系统训练的改进方案,结合六自由度动态模型,利用飞行模拟器外部数据输入/输出接口,将飞行仿真数据通过网络实时传递,驱动Flightgear可视化引擎。在模拟目标飞行仿真中,通过飞行姿态、天气条件和地理环境的三维实时可视化,可驱动舰炮武器系统进行高逼真度的模拟训练。仿真结果表明,方案建设简单、开发周期短、可扩展性强,为今后训练设备的研制提供了一种思路。 P2P中应用平衡机制抑制搭便车行为的研究 "针对近年来P2P网络中存在大量""搭便车""节点的问题,在判断一个节点是否是搭便车节点时提出一种基于平衡机制的算法,其不仅考虑节点自身的理性行为方面,还考虑节点所处的物理环境特征,并采用降低搭便车节点下载资源速度的方法来抑制其搭便车行为。仿真实验表明,该平衡机制算法可以有效降低网络中搭便车节点的数量,并提高网络的下载成功率,同时增强网络的公平性、稳定性,达到抑制搭便车行为的目的。" 基于忆容器混沌电路设计及图像加密算法 研究设计了一类基于忆容器的混沌电路,功率谱、Lyapunov指数的计算结果和系统运动轨迹的仿真结果验证了该系统的混沌性。鉴于传统图像加密技术和低维混沌加密技术存在安全性不高、密钥空间相对较小的局限性,本文提出一种基于该混沌系统的图像加密方法,并对其进行了数值仿真与性能分析。安全性分析和测试结果表明,该算法对密钥非常敏感,相邻像素满足零相关性,具有较强的安全性和良好的加密效果。 基于情感计算的商品评论分析系统 针对电子商务中的商品评论信息过载问题,运用情感计算理论,通过挖掘商品评论信息中的商品特征及相应的情感褒贬态度,为消费者提供一个商品特征粒度上的情感分析结果,从而帮助消费者从庞杂的商品评论中快速获取有效信息。系统首先采集指定商品的评论集并挖掘商品特征,然后结合情感语料库和词汇相似度计算,利用依存关系找到特征-极性词对以及程度副词和否定词。基于以上结果,考虑程度副词的强度,以及程度副词和否定词共现语序不同造成的语义差异,提出了商品评论情感倾向程度的计算方式。最后,进行系统实现并验证算法的有效性。实验结果表明,系统具有良好的应用效果。 大数因子分解算法综述 大数因子分解不仅是非对称加密算法RSA最直接的攻击手段,也是RSA安全性分析最关键的切入点,对其研究具有极其重要的应用和理论价值。主要概括了大数因子分解的研究现状,回顾了当前主流的大数因子分解算法,介绍了它们的基本原理和实现步骤;此外,对比分析了现有大数因子分解技术在实现和应用上的优缺点;最后分析并展望了大整数分解未来的研究趋势。 水质预报系统中垂向湍扩散并行算法实现研究 为了能更好地提高水质预报模式中物质输运方程的计算速率,以胶州湾数值预报系统中垂向扩散的串行算法为基础,提出了一种主要针对物质输运方程中的垂向扩散的MPI(message-passinginterface)并行算法。该算法将计算分解为多个子任务,并在基于MPI消息传递模式的集群系统中进行运算。与原串行算法进行比较,并行的加速比提高了33%以上,且并行效率最大可达90%,该结果表明了MPI技术在海洋数值模拟领域应用的潜力。 改进的并行快速排序 排序是计算机内一类很耗时的问题,快速排序是众多排序方式中相对较省时的算法,但当数据量大时,其耗时还是惊人的。为了解决大数据快速排序耗时的问题,论文在常用的快速排序并行方法的基础上,给出了一种改进方法,使其在时间复杂度上有明显的改进。 面向海洋应用的Web服务管理监控平台构建 在城市风暴潮灾害辅助决策系统中,存在大量的Web Services作为数据或功能接口供用户调用。针对Web Services的管理和使用性能的实时监控问题,采用Web Services和Flex技术构建一个基于B/S架构的服务管理监控平台,Web Services结合J2EE来处理系统的业务逻辑,提供数据接口,而Flex则为系统的页面展示提供了必要的技术支撑。系统运行结果表明,该系统可以有效地对Web服务进行分类展示和增、删、改、查处理,以及对Windows、Solaris和Linux操作系统进行CPU、内存使用率等信息的实时获取和监控展示。 关于满足I(x,y)=I(x,I(x,y))D-蕴涵的解 研究了I(x,y)=I(x,I(x,y))方程,I为由连续三角模T、连续三角余模S和强否定n生成的D-蕴涵,即I(x,y)=S(T(n(x),n(y)),y),给出了满足方程I(x,y)=I(x,I(x,y))的解。 一种新颖的云计算容错任务调度算法 云计算作为一种新兴的计算范式,越来越受到工业界和学术界的关注.云计算是一种商业性服务,当用户提交的实时应用因机器故障而没办法得到成功执行的时候,云提供商必须提供经济赔偿.提出一种新颖的云计算容错任务调度算法,算法通过采用主/副版本技术提供容错机制.同时,通过离散粒子群优化算法对截止期错失率、执行时间、执行成本、负载均衡度进行多目标优化.此外,为了提高系统资源的利用率,采用被动副版本重叠技术来减少冗余.实验结果表明该算法可以有效地减少任务失效个数,缩短任务总执行时间,减少任务总执行成本. 基于权重微博链的改进LDA微博主题模型 社交网络尤其是微博中含有大量的短文本。短文本不同于传统的文本,其携带的语义特征信息密度低,很难对其进行准确的主题挖掘。针对这一问题,提出根据微博发布时间与原创、转发、评论微博等社交行为信息分配权重,使用背景知识丰富语义特征的微博链结构与基于此改进的LDA主题模型。实验结果表明,相比于标准的LDA模型,本模型的perplexity值更低,即具有较低的预测不确定度。 分数阶与整数阶混沌系统的同步与反同步 为了建立起整数阶与分数阶系统的桥梁,推进分数阶系统的应用,本文采用了滑模控制理论研究了一类整数阶与分数阶混沌系统的同步与反同步。文中,设计了一个新的滑模控制器,该控制器适用于一类系统,具有较好的鲁棒性,并且给出了严格的数学证明。本文实现了整数阶Sprott系统和分数阶Chen系统的同步和整数阶吕系统和分数阶Liu系统的反同步。这两个例子有效的证明了所提理论的可行性和正确性。同时,也将同步与反同步的概念统一在一起。 支持局部更新的双向内容适配策略 内容适配旨在解决融合环境下多种终端兼容问题,现有的内容适配策略在用户通过受限终端进行更新时会造成信息丢失。提出一种支持局部更新的双向内容适配策略,该策略基于DOM树建立统一的存储模型对元数据和适配实例进行存储,当受限终端发起更新时,通过比较DOM树中的节点对元数据进行局部更新。实验结果表明,相比现有策略,支持局部更新的内容适配策略在大部分情况下可以减少60%以上的信息丢失。 求解Boltzmann模型方程高性能并行算法在航天跨流域空气动力学应用研究 对Boltzmann方程碰撞积分物理分析与可计算建模,得到适于描述航天再入从外层空间到近地面各流域统一Boltzmann模型方程,提出求解Boltzmann模型方程统一算法高性能并行计算数学模型.发展离散速度空间区域分解大规模并行计算技术,分析统一算法变量依赖关系,建立可扩展并行计算方案;研究数据并行分布与并行执行特征,开展大规模并行化程序设计,并在小、中、大规模256~512、4096~20 625CPU及异构计算机500~45 000、3125~112 500进程并行算法测试,建立稳定运行于国产千万亿次超级计算机高性能可扩展大规模并行算法与航天器再入跨流域复杂气动力/热绕流问题并行化软件应用平台.通过对稀薄流到连续流再入飞行不同高度可回收返回式卫星飞行器、近空间大尺度机动飞行器跨流域绕流环境不同粒度高性能计算与验证,揭示大尺度复杂结构飞行器跨流区飞行稀薄过渡流区热流系数比连续、近连续流区热流系数随物面变化剧烈得多、大得多,发现该类飞行器后端面热流最大值发生在水平舵外侧拐角处,达驻点热流六分之一量级,提供了一个可靠求解航天器再入各流域高超声速绕流问题统一算法高性能并行计算应用研究方向. 一种与模型无关的全向视觉系统标定方法 传统的全向视觉系统标定方法假设研究对象满足单视点成像模型且全向反射镜面各向同性,而在实际应用中上述假设往往并不成立,这会对标定精度带来很大的影响。针对全向视觉系统成像特点设计了一种新的与模型无关的标定方法,不需要研究对象满足上述约束,适用于对各种折反射式全向视觉系统的标定,具有较高的精度。将其应用于NuBot足球机器人全向视觉系统的标定后,较大地提高了机器人基于全向视觉的自定位精度,验证了标定方法的有效性和实用性。 云GIS及其关键技术 GIS与云计算的结合为GIS的数据存储、管理、处理及其应用提供了一个新的发展前景.分别给出了云计算和云GIS的定义,提出了云GIS的四种应用模式和一个多层次架构模型,重点研究了云GIS的关键技术:虚拟化技术、分布式数据存储技术(GFS)、虚拟化数据管理技术(Bigtable)、并行空间分析技术、数据和功能互操作技术和部署模式,最后给出了一个云GIS实际应用框架. 航空增压离心泵内流场性能数值研究 研究航空发动机燃油泵优化设计问题,为了提高高转速、大增压条件下航空燃油增压离心泵的性能,根据内流场性能给出了一种航空增压离心泵的设计方法。首先对离心泵的主要结构参数进行设计,然后建立内流场仿真前所需的控制方程,进而采用UG建立离心泵的三维模型,并采用ICEM软件对离心泵的过流部件进行网格划分;最后通过fluent对所设计的离心泵进行了内流场数值仿真分析。仿真计算数据表明,所设计的增压离心泵性能满足设计指标,可以达到预期设计目标。 基于测量矩阵优化的分布式压缩估计算法 为更好地解决无线传感网分布式测量中有效数据估计问题,提出一种新的分布式压缩估计算法。通过在一个压缩维度上完成未知参数变量的分布式估计,并采用自适应随机梯度递归方法更新测量矩阵,将分布式压缩估计与测量矩阵优化相结合,实现收敛速度及估计误差精度的最优化。仿真结果表明,与d NLMS、DCE算法相比,该算法具有更快的收敛速度及更高的估计误差精度。 认知无线传感网络中吞吐量能耗均衡研究 认知无线传感网可利用空闲的授权频段来解决传统无线传感器网络的频谱资源短缺的问题,在授权频段内,其利用频谱空穴进行通信,从而改善了无线传感器网的性能。由于认知无线传感网主要基于无线传感器网,因此存在着节点能力弱、需考虑网络节能及其与节点协作等问题,不能直接套用传统认知无线电网络的技术。由于次用户能耗限制和上传信道信息可能存在错误,提高能耗效率在次用户频谱感知和协作发送过程中显得非常重要。为此,提出了一种用于集中式协作频谱感知的硬判决融合算法。该算法在能耗阶段,由总的检测概率和虚警概率的限制求最小的次用户数目;在能耗效率优化阶段,在固定感知时隙等参数限制下,设计优化目标函数,迭代算法求得最优用户数,从而实现能耗的最大效率。基于信道信息误码率对能耗影响的分析,进行了硬判决融合算法与传统算法的对比仿真实验。仿真结果表明,该算法需要的感知节点最少,且能耗效率可达到最优。 基于虚拟化技术的石化企业生产专网的设计与应用 随着石化企业信息集成度的提高,过程控制系统由封闭走向开放成为主流趋势,但是过程控制系统的安全问题仍然很突出。本文详细分析了当前主要采用的管理网/控制网络的2层结构现状和存在的安全问题,并结合企业信息集成的基础,提出了管理网/生产专网/控制网络的3层网络结构,并给出了生产专网的设计方案以及控制网络的信息安全管理策略。进而采用虚拟化技术来优化生产专网的应用结构。结合企业现有情况,开展了生产专网的建设和案例应用,取得了较好的效果,提高了过程控制系统的安全性。 酸性和碱性酶模式识别的研究 了解酸性和碱性酶稳定性机理及在此基础上建立基于序列的模式识别方法对探讨其构效关系及酶的改造具有重要意义。本文采用主成分分析、偏最小二乘回归和BP神经网络3种方法对酸性和碱性酶进行模式识别。结果表明,基于主成分分析和偏最小二乘回归建立的线性方程能有效解释酸性和碱性酶稳定性机制,3种方法对训练集拟合的平均正确率分别为73.2%、87.0%和98.0%,建立了1种基于数学模型解释酶适应不同pH的分子机制及识别酸性和碱性酶的新方法。 基于信息增益的自适应主题爬行策略 结合信息增益,提出了一种新的自适应主题爬行策略。利用维基百科的分类树和主题描述文档构建主题向量T,并在爬行过程中不断地进行自动学习,反馈更新主题向量空间中每个概念的权重,完善主题描述。实验结果表明,该方法具有增量爬行的能力,并在信息量总和上明显优于基于the interest ratio的自适应策略;且前者所爬取的网页更接近于与主题相关。 面向移动终端的隐式身份认证机制综述 面向移动终端的隐式身份认证机制通过监测移动终端环境以及用户行为等信息对用户进行透明且持续地认证,能够增强现有身份认证机制的可用性与安全性。该文对隐式身份认证技术的研究现状进行介绍。介绍了基于本地与基于网络的隐式身份认证框架;归纳总结出五类数据采集方式;对基于机器学习等多种用户分类算法进行了介绍,分析比较了各算法的正确率;归纳出两类访问控制机制,并对隐式身份认证所面临的模拟行为攻击以及用户隐私泄漏安全问题进行了讨论。 工作流赋权性能有色Petri网建模方法 针对经典Petri网存在工作流无严格限制和定义,不同方式下变迁的托肯(Token)(包括了种类、数量、流向)绑定、后续库所到达以及对多性能描述与分析无法有效处理的问题,通过明确定义Petri网工作流结构、颜色集和加入多性能的衡量分析,提出工作流赋权性能有色Petri网。介绍了该方法的基本概念、权值向量和基本结构,并以危化品物流流程为实例,从时间和安全两个维度给出了危化品物流流程建模及性能测算方法;然后对危化品物流流程进行了建模、性能测算和分析,经测算其总体性能为3.809 4;最后利用筛选模型中各路径的时间性能和危险度的差路,找出制约危化品物流流程的瓶颈,从而证明该方法是工作流多性能分析的有效方法。 Ad hoc网络中基于链路稳定度的QoS路由协议 在AODV的基础上提出了一种基于链路稳定度的QoS路由协议SQAODV。该协议通过带宽约束和链路稳定度的估计,在路径发现过程中,从到达目的节点的多条路径中选择一条最稳定且满足QoS需求的路径返回源节点提供QoS保证。仿真的实验结果表明,改进后的SQAODV协议,在分组到达率和数据平均传输延时方面的性能都有改善。 对称稳定分布的对数累积量参数估计 基于第二类统计量,提出了估计对称稳定分布参数的有效方法。对累积分布函数求导数,获得对称稳定分布的绝对值的概率密度函数;对对称稳定分布的绝对值进行Mellin变换,推导出对称稳定分布的绝对值的第二类第一特征函数。由第二类第一特征函数推导出对称稳定分布的绝对值的前两阶对数累积量,可以估计对称稳定分布的参数。对数累积量估计拥有简洁的估计式,便于实现。参数估计实验结果表明,和传统的估计方法相比,该方法具有较高的估计性能,是对称稳定分布的高效参数估计方法。 基于物理的分布并行光线追踪算法 基于物理的光线追踪算法用于从三维场景模型生成逼真的二维图像,光线追踪渲染较为耗时,所以如何提高算法的效率成为研究热点。针对斯坦福大学经典的多线程光线追踪引擎——PBRT,考虑任务划分粒度和负载均衡等因素,基于两级任务划分体系,提出了动态自适应分布式并行光线追踪算法。实验中在保证高质量图像生成的前提下,使用80个CPU核时,改进算法比PBRT原算法获得了近乎线性的加速比。实验结果表明改进算法具有良好的效率和扩展性,能够有效地用于光线追踪成像,提高光线追踪成像效率。 面向数据中心的虚拟机部署及优化算法 虚拟机动态配置是解决数据中心能耗低效的有效方法。针对动态配置过程中的虚拟机部署及优化问题展开研究,提出一种新的面向系统能耗的虚拟机部署算法以及基于主动迁移的优化策略。为了降低系统能耗,新算法采用基于服务器利用率的最佳适配降序算法求解虚拟机部署方案;同时为了适应应用负载的动态变化,新算法启动主动迁移策略对部署方案进行优化,即通过启发式算法在当前部署的基础上搜索使系统能耗更低的优化方案,并根据新部署对虚拟机执行主动迁移。考虑到迁移会导致应用服务质量降级和额外能耗,新算法通过在优化策略中设置基于服务器利用率的启动门限,对虚拟机主动迁移频率进行控制。仿真实验表明,所提算法在系统能耗、虚拟机迁移频率、服务器状态切换频率以及服务质量等多项性能指标上均有显著提高。 基于频域LMS算法的稀疏信道估计 传统自适应滤波方法无法直接、有效地对稀疏信道进行估计。为此,提出一种基于频域的稀疏信道估计方法。为削弱或消除信道的稀疏性质在其估计过程中带来的影响,引入频域最小均方(LMS)算法。频域LMS算法通过FFT变换实现稀疏信道的非稀疏化,从而使其可以对稀疏信道直接估计。仿真实验结果表明,频域LMS算法具有较好的收敛性能,与频域RLS算法相比,其收敛速度相当,但其收敛后的均方误差提高近10 dB,可较好地完成对稀疏信道的估计,同时在算法的实现过程中通过使用重叠保留法能较大程度地减少估计的运算量。 面向分类应用的高光谱谱段选择方法 高光谱数据在物质分类识别领域得到了广泛应用,但存在数据量大、波段间相关性高等问题,严重影响分类精度及应用。针对以上问题分析了已有的波段选择方法,提出了基于波段聚类及监督分类的遗传算法,对高光谱数据进行波段选择:采用K均值聚类算法对波段数据进行聚类分析,构造波段子集合;利用分类器族分类精度构造适应度函数,采用遗传算法对波段子集合进行优化选择。最后用阔叶林高光谱数据对提出的算法进行对比实验,实验结果表明针对分类应用,提出的算法能够非常有效地选择高光谱谱段。 结合匹配度和语义相似度的Deep Web查询接口模式匹配 查询接口模式匹配是Deep Web信息集成中的关键部分,双重相关性挖掘方法(DCM)能有效利用关联挖掘方法解决复杂接口模式匹配问题。针对DCM方法在匹配效率、匹配准确性方面的不足,提出了一种基于匹配度和语义相似度的新模式匹配方法。该方法首先使用矩阵存储属性间的关联关系,然后采用匹配度计算属性间的相关度,最后利用语义相似度计算候选匹配的相似性。通过在美国伊利诺斯大学的BAMM数据集上进行实验,所提方法与DCM及其改进方法比较有更高的匹配效率和准确性,表明该方法能更好地处理接口之间模式匹配问题。 一种适用于高速接口电路的新型均衡电路 本文提出了一种新型高速均衡电路.在传统源极负反馈均衡滤波结构的基础上改进电路结构,使用有源电感及对称负载结构改善了电路性能,避免了使用片上电感,优化了电路结构,节省了芯片面积,同时缓解了传统均衡电路的速度瓶颈.经仿真验证,该均衡器电路高频补偿增益达到17.2dB,高低频增益比达到5.24,信号速率达到5Gb/s时能完整接收信号,实现均衡效果.该电路结构简单,适用于各种高速信号接口电路.该电路采用0.13μmCMOS工艺实现. 基于兴趣度度量的正负关联规则挖掘方法研究 传统的Apriori算法通过支持度阈值和置信度阈值的筛选来挖掘强关联规则,所挖掘出来的强关联规则并不都是有趣的,也忽略了负关联规则的挖掘,失去了负关联规则在决策分析中的重要作用。为了过滤强关联规则中的无趣规则,挖掘有趣的正负关联规则,引入了兴趣度的概念,对现有的几种兴趣度度量进行了研究,利用兴趣度度量的相关性质,提出了一种新的兴趣度度量。根据支持度、置信度、兴趣度的相关性质提出了相关定理并进行证明,用以挖掘有趣的正负关联规则。在新的兴趣度度量的基础上进行了算法设计,并采用真实数据集进行算法验证。结果显示,以提出的兴趣度度量为基础进行正负关联规则的挖掘是可行的,其结果比经典的Apriori算法挖掘方法更实用更有效。 基于触发词语义选择的Twitter事件共指消解研究 随着社交媒体的发展与普及,如何识别短文本中事件描述的共指关系已成为一个亟待解决的问题。在传统的事件共指消解研究中,需要从NLP工具和知识库中获得丰富的语义特征,这种方式不仅限制了领域的扩展性,而且还导致了误差传播。为了打破上述局限,提出了一种新颖的基于事件触发词来选择性表达句子语义的方法,以判断短文本中事件的共指关系。首先,利用双向长短记忆模型(Bi-LSTM)提取短文本的句子级语义特征和事件描述级语义特征;其次,通过在句子级特征上应用一个基于事件触发词的选择门来选择性表达句子级语义,以产生潜在语义特征;然后,设计了触发词重叠词数和时间间隔两个辅助特征;最后,通过融合以上特征形成一个分类器来预测共指关系。为评估上述方法,基于Twitter数据标注了一个新的数据集EventCoreOnTweets(ECT)。实验结果表明,与两个基准模型相比,提出的选择性表达模型显著提升了短文本共指消解的性能。 云存储中基于对称谓语加密的可控隐私保护搜索方案 针对传统加密方法在搜索云服务器数据时存在的效率不高和密钥泄露问题,提出了一种具有可控隐私保护搜索函数的对称谓语加密算法。首先,密钥所有者公布一些公共参数,并生成主密钥;然后,服务器端检查密文的属性是否满足令牌的谓语,并利用谓语令牌搜索匹配的密文;最后,密钥所有者或受委托人利用解密程序获取相应的明文。安全性分析表明,该方案在语义安全、属性隐藏和密钥保密方面都具有较好的安全性;性能比较表明,相比其他几种较为先进的谓语加密方案,该方案在效率方面得到了明显提升。 上下文感知的移动社交网络服务选择机制研究 "服务选择机制作为为用户提供智能性、主动性应用服务的一项关键支撑技术,是移动社交网络中重要的研究内容之一.文中提出了基于上下文、信任网络和协作过滤算法的移动社交网络服务选择机制,将上下文相似度引入到服务选择的过程中,并且和信任度相结合,构成""用户-服务-上下文""三维协作过滤服务选择模型.该方法提高了服务选择的准确性和可靠性,避免了服务选择的盲目性和随意性.仿真实验表明,与传统的协作过滤推荐算法相比,该服务选择算法具有更高的准确率." 基于A*算法的无人机跟踪目标的航迹规划 针对无人机跟踪目标的航迹规划问题,文章提出了一种双评估函数的改进A*算法;首先,根据无人机在跟踪目标时的飞行特点提出了航迹规划策略,并结合无人机的油耗、航迹长短和机动性能等约束条件来设计中间目标点的评估函数和航迹片段的评估函数;之后,采用加权法对A*算法进行改进,以使航迹的优化与时间耗费之间找到平衡点;同时,改进在Open表中插入与删除节点的方式,提高计算效率;最后,通过对跟踪航迹的仿真,表明该算法可以快速、有效地为无人机在跟踪目标时规划出优化的航迹。 基于改进模拟退火遗传算法的INSAR相位解缠算法 为了解决经典的Goldstein枝切线法容易生成过长的枝切线和较多封闭区域的问题,提出一种基于改进模拟退火遗传算法的INSAR(Interferometric Synthetic Aperture Radar)相位解缠算法。该算法首先对部分残差点进行预处理,生成极性平衡的小段枝切线,然后使用改进模拟退火遗传算法求解剩余残差点的优化组合。经这两步处理后,所得到的枝切线的总长度和封闭区域的数量都明显减少。对真实INSAR数据的实验结果表明,该算法在运行时间和解缠精度上均有一定的优越性。 通信装备故障排除电子手册 针对当前部队通信装备排故的现状,设计了一种基于.NET的通信装备故障排除电子手册.系统使用.NET环境、Access数据库以及ADO.NET核心组件,实现了用户管理、故障信息管理以及数据维护等功能.首先分析了系统的总体架构和系统功能,其次对系统关键技术进行了详细阐述,最后结合日常应用说明了系统的可行性. 一个改进的多消息多接收者混合签密方案 指出王彩芬等人的基于离散对数的多消息多接收者混合签密方案存在着密钥泄露问题。为了克服该私钥泄漏问题,结合双线性对和基于身份密钥机制提出了一个改进的多消息多接收者混合签密方案。利用双线性对的对称性和基于身份密钥机制的密钥生成方式避免了原方案中存在的密钥泄露问题。最后,基于DBDH难题和CDH难题,在随机预言机模型下证明了改进方案的机密性和不可伪造性。 基于交互相似度的细粒度社群发掘方法 发现在线社交网络中的社群结构有助于深入研究和分析信息传播规律,同时在社会推荐、群体特征发现等应用领域具有重要的意义。但是现有的社群结构发掘方法多忽略了用户之间的社会属性,导致获取的社群结构难以反映细粒度的结构特征。文中将用户的社会属性引入到社群结构发掘算法中。为了衡量用户的社会交互属性,提出了用户交互相似度模型。基于用户交互相似度模型,提出了一种面向在线社交网络的细粒度社群发掘方法。该算法可以有效衡量用户之间的社会属性,通过层次聚类的手段获得不同粒度的社群,并过滤无关数据。为了验证算法的有效性,以社交网站人人网的用户交互记录为数据集,比较了与其他社区挖掘算法的性能差异。实验结果表明,该方法发掘出的细粒度社群具有较高的准确性,在发现社群之间的不同话题上有着较好的应用。 H.264压缩域中利用Biased-SVM检测镜头边界 为了直接从H.264码流中检测镜头边界,提出了利用H.264压缩域多特征和Biased-SVM(不平衡支持向量机)分类算法的检测方法。分析帧类型、宏块类型、运动矢量、帧内预测模式等信息,以获得发生镜头突变和渐变的特征。针对镜头边界帧的数量远少于视频帧总数的特点,用Biased-SVM分类方法将视频帧分为突变帧、渐变帧和非镜头边界帧。在TRECVID视频集上的实验结果表明,与其他H.264压缩域的算法相比,该算法有更好的性能。 基于SilkTest和XML的通用高效的用户界面测试方法 在软件测试中,用户界面(UI)测试是保证软件质量、提高软件可靠性的不可或缺的一部分。针对句柄识别UI的测试方法缺乏稳定性和通用性,通过引入可扩展标记语言(XML),提出了一种基于XML对UI控件识别并测试的改进方法。利用XML处理数据便捷的特点,结合自动化测试工具SilkTest,对传统UI测试进行了改进。并且根据所提方法,针对AutoCAD产品多语言多版本的特点,设计了对其系列产品对话框的自动化测试方案。实验结果表明,改进后的方法能够缩短控件的识别时间,减少了测试脚本的冗余量,提高了测试的效率,也提高了UI识别的稳定性。 Matlab与Fortran混合编程之DLL实现方法 简要介绍Matlab和Fortran各自的优缺点,详细阐述Matlab调用Fortran生成的动态链接库实现混合编程的两种方法。以高斯约当列主元素法求逆为例,从计算时间、精度等方面详细比较了同一算法在多种实现方式下的执行情况。计算结果表明:基于动态链接库的混合编程方法能充分利用现有软件包,结合Fortran计算效率高、Matlab矩阵计算及图形处理功能强的优点,符合当前科学工程计算程序设计的发展方向。 基于EPMM的软件过程结构合理性研究 为了确保软件演化过程的正确性,有必要对软件演化所涉及的软件过程进行结构合理性研究,以提高软件演化的质量和效率、缩短软件演化的周期。针对EPMM建模产生的软件演化过程模型,从过程层的角度,提出结构合理性定义,并设计相应的判断算法。结果表明,结构合理性的检验有助于提高软件演化过程的质量。 蛋白质二级结构预测的一种新的编码方式 编码方式是影响蛋白质二级结构预测准确率的重要因素之一。针对单序列蛋白质二级结构预测问题,提出了一种新的综合编码方法。该编码是根据氨基酸出现在每种二级结构中的倾向因子以及氨基酸的疏水性值进行分类,并以二进制形式来表示每类氨基酸的编码方法。在相同的实验条件下,首先用不同的编码方式对数据集CB513进行编码,然后采用支持向量机的方法进行训练建模预测。实验结果显示提出编码的预测准确率比20位正交编码和5位编码分别高出1.48%和10.68%。可见,该编码比较适合非同源或低同源蛋白质结构预测。 限制树宽图上的有界聚类 有界聚类问题源于IBM研究院开发的一个分布式流处理系统,即S系统。问题的输入是一个点赋权和边赋权的无向图,并指定若干个称为终端的顶点。称顶点集合的一个子集为一个子类。子类中所有顶点的权和加上该子类边界上所有边的权和称为该子类的费用。有界聚类问题是要得到所有顶点的一个聚类,要求每个子类的费用不超过给定预算B,每个子类至多包含一个终端,并使得所有子类的总费用最小。对于限制树宽图上的有界聚类问题,给出了拟多项式时间精确算法。利用取整的技巧对该算法进行修正,可在多项式时间之内得到(1+ε)-近似解,其中每个子类的费用不超过(1+ε)B,ε是任意小的正数。如果进一步要求每个子类恰好包含一个终端,则所给算法可在多项式时间之内得到(1+ε)-近似解,其中每个子类的费用不超过(2+ε)B。 自适应分层阈值的简化PCNN红外人体图像分割 针对红外人体图像中人体目标亮度分布不均匀且易与背景混叠等引起分割不完整的问题,提出一种自适应分层阈值的简化PCNN(SPCNN)红外人体图像分割方法.该方法摒弃了传统SPCNN模型中的动态阈值指数衰减下降机制,采用神经元点火区域与未点火区域的统计特性构建自适应分层阈值;同时结合神经元同步点火机制并引入最近邻均值聚类规则控制神经元点火,以达到较高的分割精度.在真实红外人体图像集上与几种图像分割方法进行对比的实验结果表明,文中方法能取得较优的分割效果以及较小的分类错误率,且与传统的SPCNN模型相比,文中的SPCNN模型参数的设置更加简化. 演化博弈视角下的再制造闭环供应链回收策略研究 为刻画闭环供应链系统运作的动态性和复杂性特点,运用动态演化博弈理论探讨不同制造商之间的演化博弈关系,并针对不同回收策略下的演化路径和均衡点性质进行研究。在演化博弈的基础上进一步分析闭环供应链总成本最小的动态最优控制策略,利用庞特亚里金最小值原理探讨最优控制条件下不同回收补贴对回收方式选择策略的影响;最后结合数值模拟验证了固定回收补贴下最优控制策略的有效性。 谱熵和谱减法在CMMB信道估计中的应用 基于谱熵和谱减法的信号检测方法在语音处理领域得到了广泛应用,但至今没有发现将这种方法应用于基于中国移动多媒体即CMMB(China Mobile Multimedia Broadcasting)标准的信道估计中。将这种方法用于基于CMMB标准的系统信道估计中;仿真结果表明,该方法在信噪比很低时,较传统的CMMB系统信道估计方法有较好的效果。 航天器质量信息大数据技术的研究与实现 为实现航天器质量信息的有效管理和深度应用,分析了航天器质量信息的重要意义和数据特征,充分借鉴当前流程的大数据技术成果,基于ORACLE、MongoDB和HBase技术实现数据源层,基于Hadoop、Storm、MySQL、Berkeley DB和HANA技术实现数据处理层,基于SOA和Web Service技术实现数据服务层,基于多种技术方式实现具有多种应用功能的数据应用层,基于Windows Server 2012、CentOS 6.5、Unix、PHP和HTML5等技术实现系统软件开发部署,建立了覆盖全生命周期全方面的航天器质量信息系统,分析了系统所涉及的多源异构数据的采集、传输与存储,质量信息数据实时处理与分析,实时质量监控、预警和评估等关键技术实现途径,并对应用前景进行了展望,实现航天器研制全周期全过程全方位海量数据采集、处理、分析、预测和评估,使航天器质量管理更加科学、精准、高效。 基于改进的提升小波变换的图像融合算法 研究图像融合精度问题,由于光学传感器的光谱分辨率不同,在图像融合中易丢失信息,影响图像质量。传统的图像融合算法计算量大、实时性差的缺点,同时没有细致考虑低频分量融合规则以及高频分量邻域特征对融合的影响,因而得到的融合效果不理想。为了解决上述问题,提出了一种改进的提升小波变换图像融合新算法,算法引进了图像对称概念策略,仿真结果表明,改进的图像融合算法计算量明显减少,实时性也明显提高,与传统的标准小波变换图像融合算法以及拉普拉斯金字塔融合算法相比,较有效地提高了图像融合的精确度。 二维自适应前沿推进网格生成 针对二维平面问题,通过曲率计算和基于中轴理论的邻近特征计算控制区域边界曲线的离散;修改经典的前沿推进算法,利用边界驱动的单元尺寸控制方式在区域内部布置疏密过渡合理的三角网格;结合几何和拓扑策略提升网格质量。实验表明,上述算法可生成单元质量高、尺寸过渡合理的计算网格。 视频监控与3DGIS的集成与应用 结合Skyline软件中的Video On Terrain技术提出了一种3DGIS与视频监控系统相集成的三维地面影像视频监控新方案,设计了3DGIS视频监控系统的软硬件框架和数据库,讨论了视频数据传输和编码、视频监控报警流程以及网络分析等关键技术,详细介绍了三维场景的创建过程,最后实现了视频监控与3DGIS集成的原型系统,并分析了系统集成的优势。 基于流形算法与RBF网络的超短期风速预测 传统的风速预测方法往往通过经验来确定模型结构,未考虑输入变量选取、系统的动态特性等问题,导致系统在不同时间尺度下的动态特性没有得以充分反映,降低模型的推广泛化能力。针对上述问题,提出一种基于流形算法和RBF网络相结合的方法,通过模型结构设计和本质特征提取等方法,增加模型预测结果的稳定性和鲁棒性,以提高模型的推广能力。以华东某风电场数据进行实验分析,结果表明,与传统风速预测方法相比,该模型结构选择方法可提高模型计算效率,降低样本复杂度,能够得到更好的预测效果。 舰船目标光学特性模型构建 为了给舰船光学特性研究和可探测性研究提供理论基础,建立舰船目标光学特性模型,采用BRDF理论分析和计算中的微面元分析法,利用3D MAX软件将舰船表面划分为许多可计算三角形微面;在计算每个三角形微面的散射特性时,选用双向分布函数中适合计算的Cook-Torrance模型,并通过坐标转换将太阳-目标-探测器统一到面元坐标系下以及利用面元几何可见性进行消隐简化了计算量;在计算入瞳处的辐亮度时,分析了大气中CO2分子、H2O分子和气溶胶粒子对可见光散射的影响;最后得到了大气通过率与波长的计算公式;通过分析每个三角形微面在入瞳处的辐亮度,得到了入瞳辐亮度与波长的关系;最后建立了舰船目标光学特性模型,并通过仿真,计算了不同波长下的大气透过率以及入瞳处辐亮度。 余弦距离下保护型迁移学习聚类算法 以往研究者都从公式的合理性出发研究迁移学习和传统机器学习,但他们忽视了对问题的整体性考虑,致使在具体应用到文本分类问题时,无法实现彻底的分类。通过研究文本分类的整个过程,在k-均值算法中使用余弦距离,显著提高了实验结果;提出保护型迭代思想,同时弃用传统的词特征空间,采用隐空间作为特征向量空间,实施归一化约束。以CCI算法为例,结合提出的改进思想,产生改进算法PCCI,在降低计算复杂度的同时显著提高迁移学习的分类正确率。通过在数据集20-News Groups和Reuters-21578上测试并与现有其他迁移学习算法进行比较,证明了该改进算法的优越性。 基于M估计的有效成比例仿射投影算法 在回声消除中,针对回声路径具有稀疏性的优势,有学者提出基于μ准则记忆的改进型成比例仿射投影算法(μ-MIPAPA),但该算法抗脉冲干扰性能较差。为此将稳健估计中的M估计应用于该算法,提出一种基于M估计μ准则记忆的改进型成比例仿射投影算法(μ-MMIPAPA)。为使算法具有更好的稳态性能,采用改良的Huber M估计函数,改进阈值参数的选取方式。有色输入下的稀疏回声信道辨识结果表明了μ-MMIPAPA的良好稳态性能和抗脉冲干扰性能。 联图W4+Cn的交叉数 联图G+H表示将G中每个点与H中的每个点连边得到的图。在Klesc M.给出联图W3+Cn的交叉数的基础上,应用反证法和排除法得到了联图W4+Cn的交叉数为Z(5,n) + n +|n/2|+ 4(n≥3)),并在Zarankiewicz猜想成立的前提下,根据证明,提出对Wm+Cn的交叉数的一个猜想:cr(Wm+Cn)=Z(m+1,n)+|m/2||m-1/2||n/2|+|m/2|+|n/2|+2,n≥3。其中Z(m,n)=|m/2||m-1/2||n/2||n-1/2|,m,n为非负整数。 MIMO干扰信道中一种新的分布式迭代预编码算法 MIMO技术可以有效地提高通信系统的容量,但会带来很严重的用户间干扰问题。预编码技术以其能简化接收机和抑制干扰的作用将会在未来通信中扮演着重要角色。将多数据流的预编码矩阵设计转换成单数据流预编码矢量设计,提出了基于信干噪比最大的分布式迭代算法,以及将虚拟信干噪和最小均方误差方法相结合的迭代算法。数值仿真结果表明提出的算法可以有效提高系统容量。 基于IDA处理器模块扩充的描述语言建立 目前的IDA不能支持所有处理器模型的反汇编。为此,给出一种适用于IDA处理器模块自动生成的反汇编描述语言,为IDA处理器模块插件的扩充提供支持。该描述语言采用基于上下文无关文法的属性文法表现形式,包括对处理器存储系统的声明以及对处理器指令集的语法和语义描述。应用结果表明,该方法适用于IDA处理器模块插件的扩充。 一种增强型WAI证书鉴别过程 在现有WAI证书鉴别过程的基础上提出了一种增强型WAI证书鉴别过程,它除了实现现有WAI证书鉴别过程的功能外,还可以建立站(STA)与鉴别服务单元(ASU)之间的安全通道,以及接入点(AP)与ASU之间的安全通道,从而能很好地支撑可信连接架构(TCA)的平台认证。此外,利用串空间模型(SSM)证明了该增强型WAI证书鉴别过程是安全的,并指出了它与现有WAI证书鉴别过程是向后兼容的。 一种多色彩空间信息融合的图像增强算法 在彩色图像获取过程中,由于光照不均等原因导致采集的图像动态范围大,细节信息模糊.为此,提出了基于多色彩空间信息融合和同态滤波的彩色图像增强算法.首先,在RGB色彩空间上,分别对R、G、B分量进行同态滤波和自适应截取拉伸,消除光照影响,有效增强局部细节信息.然后,在HSV色彩空间上,利用由构造的非线性变换函数处理后的V分量,进行光照补偿.并且利用原图像H和S分量,恢复图像色彩信息.实验分析表明,本算法能够很好的压缩图像动态范围,增强亮暗区域的细节信息,并保持颜色的一致性. 信息系统安全等级测评配置检查工具研究与实现 通过对FDCC在美国成功的案例与现有信息系统等级保护和风险评估的测评指标分析,建立广东电网公司的安全配置检查规范模型。并基于该模型,借鉴SCAP协议的设计思路设计了各种类型安全配置检查枚举库。给出安全配置测评自动化工具的系统架构、模块组成和界面设计,工具的成功研制对提升信息系统等级保护测评结果的科学性、准确性都起到了非常大的促进作用,同时加快了测评的进度,提升了工作效率。 基于最近社交圈的社交时延容忍网络路由策略 无稳定拓扑使时延容忍网络(delay tolerant networks,DTN)路由协议主要通过增加冗余数据包副本提高路由性能.社交网络是DTN的一种典型应用场景,但由于其网络规模相对较大,当网络负载高时,通常的DTN路由不能有效控制数据包副本的数量,从而产生大量丢包导致性能下降.借鉴MANET网络中利用分簇结构控制网络冗余路由数据包的思想,通过分析社交网络中节点的移动模型,定义了在社交关系的约束下,聚合移动规律相近的节点构成最近社交圈的节点簇组成策略.提出了一种基于该分簇结构的分为簇外喷射、簇间转发和簇内传染3个阶段的社交时延网络路由协议.实验证明,这种基于最近社交圈分簇结构的路由能有效地控制冗余数据包副本的产生,并在高网络负载的情况下仍然能够达到较好的性能. 舰船增压锅炉系统特性优化控制研究新方法 舰船增压锅炉系统运行过程中存在多个稳态平衡点和大量变工况操作,传统的特性优化控制方法以小范围稳态模型为研究对象进行控制系统设计,难以应付舰船增压锅炉系统的全工况稳定运行和变工况迅速机动的需求。为解决上述问题,提出在控制系统设计中采用全工况动态数学模型替代小范围稳态模型作为控制系统研究对象的方0案。采用面向对象的模块化建模方法建立了舰用增压锅炉蒸汽动力系统的全工况动态数学模型,引用实装一致的自动控制算法和控制策略进行仿真,分析了燃油量、风量、蒸汽量和给水量的扰动对舰用增压锅炉汽包压力、汽包水位、主过热蒸汽压力、过热蒸汽温度、尾轴转速等主要参数的影响。仿真结果与实装运行情况比较,稳态精度较高,动态趋势准确,适用于舰船增压锅炉系统特性优化控制。 基于交易报文的数据实时同步方法研究 概括并分析了计算机信息系统中常见的异地数据同步方法。针对事务处理系统的大业务量场景,纯系统级的数据实时同步方法受限于线路带宽的制约。提出的基于交易报文的数据实时同步方法,将事务处理系统的交易报文归纳为应用级的报文重做日志,采用报文分解与封装、报文同步与缓冲池管理等方法与策略,以很低的线路带宽实现业务数据的实时同步目标。通过真实业务数据系统性的实验与分析,验证了方法的可行性与有效性。基于提出的方法,大业务量下应用级容灾备份的实现成本可显著降低。 基于Aspen Plus的蒸汽透平网络模拟和优化 针对乙烯装置蒸汽管网用能过程中存在的不合理现象,采用Aspen Plus化工流程软件对其中的蒸汽透平网络进行了模拟和优化。通过对单个透平的模拟,分析了透平抽汽量、进汽温度和排汽压力等操作条件的变化对透平效率的影响。在此基础上模拟了蒸汽透平网络,并以透平抽汽量为自变量、透平网络总进汽量为目标变量,通过MATLAB-Aspen Plus接口工具箱使用粒子群优化算法(PSO)对其进行操作参数优化。采用智能算法,避开了因在.Aspen Plus中设置过多约束作为设计规定而导致模型不易收敛的不足;对于约束条件的处理,通过对不满足约束的粒子加以惩罚来实现。优化结果表明,在满足透平输出功率以及低等级管网蒸汽用量需求的前提下,通过合理分配各透平的抽汽量能够有效地降低透平网络超高压蒸汽(SS)消耗量,优化后的透平网络可减少超高压蒸汽用量0.6吨/小时。 新型脉冲电源等效电路动态特性研究 研究电感储能的新型脉冲电源提高效能问题,采用脉冲电容储能型电源,制造复杂。采用开关控制,限制了发展,同时由于给出了脉冲电源的等效电路并导出电路对应的变系数微分方程,方程的解析求解较为复杂。为解决上述问题,建立了电感储能型等效电路的模型,进行仿真,结果明确了电路各元件参数对输出脉冲特性的影响,验证了计算结果的可靠性。研究电感储能型脉冲电源电路参数的取值对输出脉冲电压的特性有着较明显的控制效果,通过适当选择各元件参数的取值,可以获得较小脉冲电压脉宽的同时又有较高的电压幅度。仿真结果为新型脉冲电源系统设计过程中参数的选择提供了可靠的依据。 关联规则中FP-tree的最大频繁模式非检验挖掘算法 基于FP-tree的最大频繁模式挖掘算法是目前较为高效的频繁模式挖掘算法,针对这些算法需要递归生成条件FP-tree、做超集检验等问题,在分析DMFIA-1算法的基础上,提出了最大频繁模式的非检验挖掘算法NCMFP。该算法改进了FP-tree的结构,使挖掘过程中不需要生成条件频繁模式树也不需要超集检验。算法采用的预测剪枝策略减少了挖掘的次数,采用的求取公共交集的方式保证了挖掘结果的完整性。实验结果表明在支持度相对较小情况下,NCMFP的效率是同类算法的2~5倍。 IPv6校园网环境下IPSec VPN的安全性研究 IPv6在安全性上比IPv4有了明显的提高,但不能很好地保证应用层和协议本身的安全性。IPSec是网络层的安全协议标准,它提供了IP层上多种安全服务,其隧道模式为VPN的实现提供了必要的安全保障,VPN也为网关之间的通信提供了安全隧道。通过介绍IPv6校园网研究现状,分析了IPv6带来的安全问题,描述了IPSec网络层安全协议标准以及VPN技术的基本原理,详细阐述了IPSec VPN的优越性以及如何在IPv6校园网中进行安全部署,并指出了可能存在的不足以及进一步改进的方向。 大图数据上顶点驱动的并行最小生成树算法 最小生成树(minimum spanning tree,MST)是图论中最为经典算法之一.基于MST结构的聚类、分类和最短路径查询等复杂图算法,在效率和结果质量方面均有显著提高.然而,随着互联网的迅猛发展,图数据规模也变得越来越大,包含千万甚至上亿个顶点的大图数据越发常见.因此,如何在大图数据上实现查询处理和数据挖掘算法已成为亟待解决的问题之一.除此之外,由于大图数据的动态性特征,如何动态地维护算法结果也势必成为最受关注的问题之一.针对目前集中式的最小生成树算法无法解决海量和动态图数据的问题,首先提出了分区Prim(partition Prim,PP)算法,基于此提出了顶点驱动的并行MST算法——PB(PP Boru。vka)算法,并论证了PB算法的正确性.另外,基于MapReduce和BSP框架实现了PB算法.针对只删除动态图特征,提出了MST维护算法,以实现高效的增量计算.对提出的计算和维护算法进行了代价分析和比较.最后,使用真实和模拟数据集,验证了PB算法和维护算法的有效性、高效性和可扩展性. 基于OpenSSL的航行情报信息安全研究 结合CAAC骨干异步传输ATM网的体系结构,并根据航行情报信息传输的过程,在分析报文组成和格式的基础上,提出一种基于OpenSSL的航行情报信息安全的保护方法,研究航行情报信息安全保障的关键技术,实现空中交通管理ATM业务数据加密和数字签名技术,从而保障了航行情报信息的机密性、完整性、有效性和实时性。 基于深度卷积神经网络的图像去噪研究 为更有效地去除图像中的噪声,提出一种深度学习的图像去噪方法。以完整图像作为网络的输入及输出图像,通过隐含层构成由含噪声图像到去噪图像的非线性映射,由卷积子网和反卷积子网构成一种对称式的网络结构,卷积子网学习图像特征,反卷积子网根据特征图恢复原始图像,并结合修正线性单元获取更多的纹理细节。以VOC2012数据集作为训练集,使用Tensorflow在GPU环境下训练网络模型。实验结果表明,与GSM,KSVD,CN2,MLP方法相比,该方法能更有效地去除图像中的噪声,获得更高的峰值信噪比,耗时更短,视觉效果更佳。 基于Trie树的相似字符串查找算法 基于Trie树的相似字符串查找算法是利用编辑距离的阈值来计算每个节点的活跃节点集,已有算法由于存在大量的冗余计算,导致时间复杂度和空间复杂度都比较高。针对这个问题,采用了基于活跃节点的对称性和动态规划算法的思想对已有算法进行改进,并对活跃节点集进行了修剪,提出了New-Trie-Stack算法。该算法避免了活跃节点的重复计算,以及已有算法在保存所有已遍历节点的活跃节点集时的空间开销。实验结果表明New-Trie-Stack算法在时间复杂度和空间复杂度上都有明显的下降。 基于视景仿真的3D购物系统 目前大多数的购物网站均呈现千篇一律的方式,无法像现实超市那样给消费者提供一种购物环境,实现文化、心理上的满足和享受.为了弥补以上缺陷,我们考虑将目前最先进的视景仿真技术和信息管理技术融合在一起,基于OSG技术构建3D商业环境及视景漫游、完成基于3D图形界面的购物操作流程. 基于跨境电商可控关联性大数据的出口产品销量动态预测模型 "目前流行的外贸产品销量预测方法单纯地分别从第三方平台或大数据角度研究预测问题,对互联网平台、跨境电商、大数据融合应用于产品销量动态演化预测的考虑不足。为提高出口产品销量预测效果,实现预测系统的伸缩性和动态演化性,基于研究""互联网+外贸""环境下跨境电商出口产品销量可控关联性大数据挖掘、个性化预测机制、智慧预测算法,改进分布式定量、集中式定性计算等相应算法,提出一个""互联网+外贸""驱动下基于跨境电商可控关联性大数据的出口产品销量动态预测模型,并进行了应用实验,对各种模型的实验结果进行对比分析。实验结果表明,该模型充分融合了""互联网+""的开放性、可延伸性和大数据动态预测优势,实现了""互联网+外贸""环境下基于跨境电商可控关联性大数据的出口产品销量动态、智慧、定量定性预测。该模型综合预测效果明显优于传统模型,具有较强的动态演化性和较高的实用价值。" 改进的B-Snake模型肝脏CT图像分割算法 肝脏模型的个性化是肝脏虚拟手术系统中的一个关键技术,而肝脏模型的个性化又是以肝脏CT图像的三维分割为前提的。针对B-Snake模型的特点,提出一种结合区域填充的改进B-Snake模型图像分割算法。将相邻的上一张切片的分割结果映射到当前切片上,根据一定的规则进行区域填充,并将填充后的结果与前一张切片的分割结果按一定的算法进行比较,进一步优化。得到的初始轮廓很接近肝脏的真实边界,而且大部分曲线已在边界上,将其作为改进的B-Snake模型算法的初始轮廓,只需对其进行部分控制点的优化调整,就可得到准确的分割结果。以此类推,直到处理完所有切片图。实验表明,该算法能有效提高分割的准确度,获得较满意的分割结果。 空间作战体系建模和体系贡献度评估研究综述 随着空间技术在军事领域的广泛应用,空间作战作为一种全新作战样式已悄然出现,未来战争以天基为中心,空间作战体系作为空间作战的主体,对整个战争体系能力的形成尤为重要。在阐述空间作战体系和体系贡献度的基本概念基础上,分析了当前空间作战体系建模现状和主要几类体系贡献度评估方法,提出了作战体系贡献度评估框架、评估指标、空间作战建模方法、体系贡献度评估方法等方面存在的问题和发展趋势。以期为后续开展相关研究提供有益的借鉴。 栅格数据空间分析中最短距离并行算法的研究 在栅格数据空间分析中,计算每个点到最近特征点的距离是十分频繁的操作。尽管针对这一问题已经有了经典的串行算法,但很难满足现今大规模的高速响应的需求。提出一种新的并行算法用于解决这一问题,利用充足的硬件资源来降低时间消耗。实验证明这一算法可以取得接近线性的加速比。 飞机交流励磁变速恒频发电系统的建模与仿真 恒速恒频交流电源系统存在恒装结构复杂、能量转换效率较低等缺点,为此提出的飞机交流励磁变速恒频发电系统不仅去掉了结构复杂恒速传动装置,而且变速运行可以使发电系统运行在最优工况,提高了整个发电系统的效率。为了实现变速下能够输出恒频恒压的交流电,在介绍飞机交流励磁变速恒频发电机组成与工作原理的基础之上,建立了飞机发电系统数学模型。仿真结果表明:所建立的发电系统模型能正确的反映变速恒频发电系统的静、动态特性能;同时,建模方法对其它电机系统的建模具有参考价值。 涉密应用系统安全审计解决方案 安全审计已经成为了涉密应用系统的重要环节,近年来国家对涉密应用系统的审计提出了明确要求;为了提高涉密应用系统的可靠性和安全性,安全审计满足国家相关要求,通过建立涉密应用系统安全审计模型、整体框架、安全审计策略和审计实现的可配置审计技术和审计保护技术,提出了涉密应用系统的安全审计解决方案;并基于轻量级的J2EE框架(spring+hibernate+dorado)实现了可配置的审计组件,涉密信息系统集成审计组件,可以提高涉密系统的可靠性、安全性,提升审计工作的效率,降低审计成本。 灰度共生矩阵特征加权融合的维文签名鉴别 提出灰度共生矩阵特征加权融合和BP神经网络的维吾尔文手写签名鉴别方法。提取灰度共生矩的能量、熵、惯性矩和局部平稳性等4种特征并对其进行加权融合的方法进行签名鉴别。实验结果表明,15名签名者的(共600个签名样本)平均签名鉴别率为91.78%。与同样规模的GPDS英文签名进行对比实验可知,本文提出的方法对维吾尔文手写签名具有较高的稳定性和鉴别能力。 无人机地面目标定位精度蒙特卡罗仿真分析 研究无人机定位准确性,针对定位系统模型误差问题,为了给无人侦察机地面目标定位系统的设计提供指导和依据,利用光电任务设备进行地面目标定位的数学模型和误差模型,对影响地面目标定位精度的误差因素进行了介绍,并利用蒙特卡罗分析方法对目标定位精度进行数学仿真,计算确定了影响系统定位精度的主要因素,指导系统精度指标进行更加合理的分配。验证结果,设计的系统满足大系统精度指标要求,并且避免了不必要的部件精度浪费,同时也证明了精度解决方法的有效和实用,可为定位系统设计提供了可靠依据。 三维扫描仪在仿真饰品镍释放量检测中的应用 在仿真饰品的镍释放量的检测中,样品表面积的测量一直是其难点。自仿真饰品2011年纳入法定检验目录后,就更加迫切地需要解决此问题。将三维扫描仪应用于仿真饰品镍释放量的检测,通过对样品的三维再构,借助计算机快速准确地测量样品的表面积,为此问题的解决提出了圆满的方案。 航母编队对空防御中预警直升机空域配置方法 航母编队在对空防御中,利用预警直升机机载雷达探测距离远的优势实施对空预警,以提高编队防空作战能力。基于预警直升机的任务,提出了预警直升机空域配置的基本要求,运用解析法确定了预警直升机前出距离、巡逻空域的设置及行动方法;运用同步动态前移思想,解决了航渡中预警直升机的巡逻线设置与编队航行的协同问题。在此基础上,通过合理的想定,进行仿真验证,结果表明,配置方法实用、可行,为对空防御中预警直升机空域的配置提供了参考依据。 基于轮转算法的缓冲交叉开关调度算法的设计与实现 研究了一种低复杂度、高性能的交换机调度算法———轮转(Round Robin)调度算法及其硬件实现,它是基于缓冲交叉开关交换结构的。缓冲交叉开关交换结构相比于无缓冲的交叉开关交换结构,仅需要简单的调度算法对输入VOQ队列和交叉点缓存输出分别进行调度,这大大简化了调度算法硬件实现的复杂程度,减小了系统延迟。因此,结合轮转算法和缓冲交叉开关交换结构各自的优点,可以设计出高性能的交换机。 一种基于CPN的BPEL异常处理逻辑的开发方法 针对WS-BPEL在面向服务软件异常处理方面不够完善的问题,提出了一种基于着色Petri网描述的BPEL异常处理逻辑开发方法。该方法利用着色Petri网(CPN)形式化地描述BPEL的异常处理机制,建立BPEL的异常处理CPN模型,指导对BPEL的异常处理逻辑开发,并依据此建模思想,提出了一个BPEL的异常处理CPN模型的转换工具,用以实现将异常处理的BPEL着色Petri网模型转换成对应的带异常处理的BPEL代码。该工具可在输入的原始的没有异常处理功能的BPEL代码基础上,通过动态地添加BPEL的异常处理语言成份,形成带有异常处理功能的BPEL流程。最后通过引入供应商流程案例,阐述了该方法的具体使用过程。 卫星导航信号码跟踪精度理论的适用性研究 针对码跟踪精度理论在导航系统信号体制评估中的广泛应用,利用自主研发的卫星导航信号仿真平台对导航跟踪优化的适用条件进行了研究,对不同载噪比环境、前端接收带宽以及超前滞后间距条件下的信号伪距误差进行了测量,将仿真结果与理论结果对比,分析了实际应用中滤波器、鉴别器的非理想特性对信号码跟踪精度所造成的影响。仿真结果表明,在非相干超前滞后(NELP)码跟踪环的条件下,当载噪比较高时,前端接收带宽接近发射带宽,并在超前滞后间距足够小时,计算结果能够较真实的反映信号的性能,并可以为导航信号体制的理论评估以及新型导航信号的设计提供参考。 复杂事件处理中时间窗口的改进 针对各种复杂事件处理引擎的优缺点,考虑特别查询和时间窗口2项关键技术,提出一种改进的实现方法。对已有引擎的优缺点进行对比,采用增加预处理和调度模块的方法,实现STREAM系统的改进版本SPSA。实验结果证明,该改进能在特定的条件下提高系统效率、降低系统压力。 基于RSA的无证书广播多重代理签名方案 由于无证书密码体制可以避免证书管理和密钥托管问题,近年来成为密码学界研究的热点之一,很多无证书代理签名方案(CLPS)被提出。针对目前很多无证书代理签名方案都是基于双线性对设计的,具有效率低和应用中实现困难的问题,本文提出一种基于RSA的无证书广播多重代理签名方案。基于RSA问题,分析了方案的安全性,与已有方案的效率相比,新方案的效率更高。 一种改进的小波阈值函数去噪方法 对比研究了D.L.Donoho和I.M.Johnstone提出的小波阈值去噪的硬阈值去噪法和软阈值去噪法的优点和缺点,并在此基础上构造了一个新的阈值函数,它克服了硬阈值处理方法在λ点处不连续及软阈值函数导数不连续的缺点,而且是高阶可导的,在实际应用时能够保留较大的小波系数,从而保留了尽可能多的信号,进而能够获得更好的去噪效果。仿真实验结果显示,新阈值函数可有效地抑制噪声,其去噪后的信噪比和均方根误差均优于软硬阈值函数以及已有的几种改进阈值函数,具有较高的实用价值。 基于Android的建筑设备物联网系统终端 在建筑设备物联网系统环境下设计开发了基于Android平台的终端软件.建筑用电设备物联网系统应用层的软件开发难点在于其子系统(包括电梯、空调、消防、照明等系统)数量众多且接口标准、数据来源各不相同,不同子系统之间存在大量的异构数据.本系统在Android平台上利用Event Bus类设计了基于事件驱动的软件架构方法,有效地降低各个功能模块之间的耦合性;在Android平台上通过调用Web Service技术间接访问远程服务器,解决了大量异构数据整合的问题.该客户端软件人机界面简洁、美观,可实现远程实时监控设备状态、修改配置信息等功能,具有复杂度高、可扩展性强等优势.该软件已应用于建筑设备物联网系统中,运行结果证明了该设计是有效、可行的. 基于协同再入飞行器的舰船目标定位方法研究 研究再入飞行器的舰船目标优化定位问题,由于目标是由多基站雷达协同定位,在没有景象和地形匹配条件下,飞行器存在位置误差和姿态误差,造成目标定位精度差。为最大程度发挥再入飞行器的作战效能和战斗力,提出通过对多再入飞行器的相对位置误差和姿态角度误差进行校正,来提高融合定位精度。采用扩展卡尔曼滤波方法,依托惯性导航、卫星导航及飞行器间通信测距条件下的目标融合定位方法进行仿真。仿真结果表明,在卫星导航或再入飞行大机动的通信测距条件下,可以有效提高目标定位精度,为再入飞行器优化定位提供了科学依据。 多车场多目标开放式物流配送车辆调度问题的研究 为了优化现代物流中的车辆调度问题,文章针对多车场开放式物流配送车辆调度问题,建立了一种灵活的多目标组合优化模型,此模型可以方便地增减优化目标值;设计了适合多车场开放式车辆路径问题的通用染色体编码方案,并对遗传算法中的交叉变异操作做了详细说明,最终得到了多车场多目标开放式物流配送中车辆调度的优化策略;通过真实的测试用例验证了项目设计的优化模型和遗传算法在解决多车场多目标开放式物流配送车辆调度问题中的可行性。 基于边缘检测的载带压痕检测 为了检测编带后的烫封质量,提出了一种基于边缘检测的载带压痕检测方法;该算法将边缘检测与链码技术相结合,先通过拉普拉斯高斯边缘检测算法得到初始边缘,然后对提取到的边缘进行链码追踪,根据提出的合并准则对获得的链码进行合并,再利用判据和先验知识对合并后的边缘进行判定,确定压痕位置,通过直线拟合和参数化获得压痕直线方程,通过直线方程计算出压痕尺寸;通过大量的实验,表明该算法能够有效地实现载带压痕的检测问题,并具有较好的鲁棒性。 基于多级磁自旋存储器的Cache调度策略的设计 多级磁自旋存储器(Multi-Level Cell Spin-Transfer Torque RAM,MLC STT-RAM)可在一个存储单元中存储多个比特位,有望取代SRAM用于构建大容量低功耗的最后一级Cache(Last Level Cache,LLC)。MLC STT-RAM的静态功耗在理论上为0,且拥有高密度和优秀的读操作特性,但它的缺陷在于低效的写操作。针对这一问题,在MLC STT-RAM Cache hard/soft逻辑分区结构的基础上,实现了MLC STT-RAM LLC写操作密集度预测技术以及相应Cache结构的设计。通过动态预测写操作密集度较高的Cache块,帮助MLC STT-RAM LLC减少执行写操作的代价。预测的基本思想是利用访存指令地址与相应Cache块行为特征的联系,根据预测结果决定数据在LLC中的放置位置。实验结果显示,在MLC STT-RAM LLC中应用写操作密集度预测技术,使得写操作动态功耗降低6.3%的同时,系统性能有所提升。 基于粒子群算法的诊断策略优化技术 针对复杂武器装备系统多层次、多功能的特点以及测试性设计这一重大需求,为解决当前普遍采用的诊断策略存在的灵活性差、多故障诊断与不确定性诊断能力弱等问题,提出了一种基于自适应离散粒子群算法的诊断策略优化生成算法;该算法对自适应离散粒子群算法进行改进,引入多样性指标、个体历史最差解、扩散聚合过程和自适应惯性权重等,通过迭代计算得到最优的诊断策略;最后给出了主要步骤,并通过实例验证了算法的可行性。 移动互联网中特征数据准确提取仿真研究 对移动互联网中特征数据准确提取,可减少移动互联网的运行负荷。进行特征数据提取时,应分析不同数据属性的区分能力,对移动互联网数据进行属性约简,减少特征数据提取的工作量,但是传统方法是通过获取移动互联网数据集合的模糊粗糙近似,构造移动互联网特征数据属性集提取的目标函数,但是不能有效对移动互联网数据进行属性约简,导致特征数据提取耗时长,效率低下的问题。提出一种基于粒计算与区分能力的移动互联网中特征数据准确提取方法。首先利用统计学中的分层抽样技术将移动互联网初始数据集拆分为多个样本子集(粒),并计算出每个粒上数据属性的区分能力,融合于小生境免疫优化理论,引入属性集合的分类近似标准作为数据属性约简免疫优化的亲和度,然后生成小生境免疫共享机制,对移动互联网数据属性约简,最终建立移动互联网中特征数据准确提取模型。仿真结果表明,所提方法移动互联网中特征数据提取精确度高,为更好地提升移动互联网服务质量奠定了坚实的基础。 结合用户组群和隐性信任的概率矩阵分解推荐 研究表明在社会网络推荐中添加明确的社会信任明显提高了评分的预测精度,但现实生活中很难得到用户之间明确的信任评分。之前已有学者研究并提出了信任度量方法来计算和预测用户之间的相互作用及信任评分。提出了一种基于Hellinger距离的社会信任关系提取方法,通过描述二分网络中一侧节点的f散度来进行用户相似度计算。然后结合用户分组信息,将提取的隐式社会关系加入改进的概率矩阵分解中,提出一种新的基于用户组群和隐性社会关系的概率矩阵分解算法(CH-PMF)。实验结果表明,提出的模型与应用实际用户明确表示的信任分数推荐结果表现几乎相同,且在无法提取到明确信任数据时,CH-PMF有着比其他传统算法更好的推荐效果。 高速磁盘存储协议的设计与实现 为克服TCP/IP协议多次拷贝和上下文切换导致网络存储系统性能低下的问题,提出一种轻量级数据传输协议——高速磁盘存储协议HDSP。HDSP协议借鉴零拷贝技术设计思路,通过精简层次、缩短数据复制次数和高效的流控机制来提高网络存储系统的吞吐率、降低系统负担。测试结果表明,HDSP在局域网存储系统环境中比TCP/IP协议具有更好的性能表现。 雷达模拟器目标威胁度评估方法研究 研究雷达模拟器目标威胁度的准确评估问题,是辅助操作手对空中目标进行打击的重要依据。威胁度普通雷达是难以探测的。由于目标的速度、距离等随机性强,具体数据难以确定。针对威胁度评估问题,多限于权重分析、聚类分析等方法,对难以确定的参数有较大依赖。为解决上述问题,提出应用效能指数的方法来确定未知目标对雷达阵地的威胁度,根据雷达能够获取的数据,得出判断矩阵,实现对雷达所测数据的分析,并评估目标的威胁度。上述方法可用于雷达模拟器,能够灵活地根据情况调整威胁度评估方法。实验结果表明,方法能够较好地在某些属性未知的情况下,可对目标威胁度进行准确评估,证明改进方法为威胁度评估提供了有效依据。 一种基于HTTP/2协议的隐蔽序列信道方法 隐蔽通信技术能够为使用者提供有效保证隐私安全的数据传输服务.现有存储类隐蔽信道一直存在隐蔽安全性疑问,而时间类信道较多选择网络及以下层协议作为载体,需额外提供复杂编码方法以降低误码率,且难以提供足够的传输速率.以新一代应用层协议HTTP/2为基础,提出了一种新的隐蔽信道方法——H2CSC.该方法通过控制HTTP/2协议服务器响应的数据传输过程,通过修改待发送数据帧的发送顺序,使用组合数学编码方法在数据帧序列中隐蔽消息,充分利用了HTTP/2协议提供信道可靠性及安全性.H2CSC方法在广泛使用的Apache Web服务器中以功能模块形式予以实现,并通过真实系统对该方法的有效性和可靠性进行测试,使用基于修正条件熵的逻辑回归分类检测方法进行安全性测试.实验证明:H2CSC方法能够达到574bps的隐蔽通信速度,具有较高的健壮性和隐蔽性. 基于ARM9的手写体数字识别技术设计与实现 论文围绕ARM9核心的硬件平台,研究在Linux上实现手写体数字的自动识别的方法。通过分析手写体识别技术的发展状况,ARM核心系列芯片的应用情况,说明了在ARM平台上实现手写体数字识别功能的可行性。对液晶及触摸屏进行了配置及调试,最后将Linux系统移植到ARM平台上。并结合当今图像识别技术的发展,阐述了模式识别问题中图像预处理的主要方法,分析了图像预处理过程中各个模块的数学原理。 化工装置多控制系统间时钟同步设计与应用 针对化工装置有DCS,SIS,CCS等多套控制系统的情况,采用GPS时间服务器,设计了一个通过SNTP协议完成多控制系统时钟同步的技术方案;介绍了GPS时间服务器的设置,DCS时间组的设置,CCS系统时钟同步软件的设置以及SIS系统时钟同步的两种设置方案;实现了不同装置多控制系统之间的全局时钟同步;保障了工艺参数趋势记录,SOE记录,工艺人员操作记录时间基准的一致性和准确性;有利于生产事故原因的查找与分析。 系列阳离子硼化物的研究进展 "硼的阳离子是一类极其不稳定的、高度亲电子的物质,它易与各类给电子体形成各类阳离子硼化物。这类化合物在硼化学中发挥着非常重要的作用,尤其可以用作Lewis酸,用于催化一些有机化学的反应,如烯烃和氮杂环卡宾-硼烷的硼氢化反应、丙烯氧化物的聚合反应以及""失配的Lewis对""(FLPs)反应等。本文综述了R2B+(Borinium cation)、R2B+L(Borenium cation)和R2B+L2(Boronium cation)三种类型的系列阳离子硼化物从被发现到被合成的发展历程,结构稳定性研究以及它们催化某些化学反应的应用进展。" 基于模板有限自动机的正则表达式匹配算法 采用规则分组的办法解决DFA状态爆炸问题,随着规则数目的增加,空间压缩效率大大降低。针对此问题提出了模板有限自动机分组算法。该算法基于规则模板对规则集进行分组,各分组分别构建匹配引擎;同时,根据实际规则数目和系统结构改变规则子集的数目,达到更好的匹配效率。理论分析和实验表明,与传统分组算法相比,在存储空间压缩相当情况下,分组数目大大减少;与其他典型的DFA改进算法相比,预处理时间和存储空间有数量级别的缩减,且匹配速率没有明显降低。 大规模智能组卷系统中的冲突消除方法研究 当前的大规模智能组卷系统中采用超高频辨识技术完成任务调度,常用的反向散射耦合调度方式因缺少时间上的沟通性而容易产生冲突。为此提出了一种基于功率控制的改进帧时隙防冲突算法。在控制中,引入功率作为调控的依据,读写器按照系统信干比的情况,自主调控自身的发射功率来保证时间间隔,读写器先向作用区域中的标签发送查询命令,记录区域中的标签总数,对信号进行分组描述,在确保读写器读写距离的同时降低信号覆盖区域的繁杂度。实验表明,该方法能够确保信号覆盖区域信号繁杂的最小化,增强智能组卷系统防冲突性能,是一种有效的智能组卷系统冲突消除方法。 基于DSPf28335的数字式励磁控制系统设计 论文介绍了一种基于DSPf28335的数字式励磁控制系统。它通过对同步发电机系统关键模拟量的采集与计算,给出适用于当前工况下触发脉冲角度α,将先进的交流采样算法和DSP自身的优异性能相结合,实现了励磁控制器的深度数字化。该产品已应用于我国某发电厂,试验运行结果表明该数字励磁控制系统工作稳定,性能良好。 基于改进模糊综合评判的指挥信息系统对抗训练效果评估 为解决指挥信息系统在复杂电磁环境下对抗训练效果的评估问题,分析了复杂电磁环境影响信息系统效能的相关因素,建立了指挥信息系统对抗训练效果评估指标体系.并针对传统模糊综合评估模型在确定指标权重时主观性较大、利用信息不充分的问题,提出一种改进的模糊综合评判算法,采用主成分分析法代替专家法确定指标权重,采用加权平均算子代替取大取小算子,克服了以上问题.实例分析表明该模型能够实现训练效果的量化分析,为提高训练质量提供参考依据. 基于FPGA的高性能3DES算法实现 传统3DES算法需要48轮迭代周期,存在吞吐率低的问题,提出二合一的循环迭代结构,该结构完成一次加解密运算需要25个时钟周期,兼容了ECB和CBC两种工作模式.在Altera公司的Quartus II 13.0软件上进行FPGA实现,选用器件EP4SGX530NF45C3,延时为3.61ns,吞吐率达到了709.1 Mb/s,面积为650ALUTs,性能优于同类设计. 基于word embedding的短文本特征扩展与分类 近几年短文本的大量涌现,给传统的自动文本分类技术带来了挑战.针对短文本特征稀疏、特征覆盖率低等特点,提出了一种基于word embedding扩展短文本特征的分类方法.word embedding是一种词的分布式表示,表示形式为低维连续的向量形式,并且好的word embedding训练模型可以编码很多语言规则和语言模式.本文利用word embedding空间分布特点和其蕴含的线性规则提出了一种新的文本特征扩展方法.结合扩展特征我们分别在谷歌搜索片段、中国日报新闻摘要两类数据集上进行了短文本分类实验,对比于仅使用词袋表示文本特征的分类方法,准确率分别提高:8.59%,7.42%. n值Gdel逻辑系统中的随机化研究 利用赋值集的随机化方法,在n值Gdel逻辑系统中提出了公式的随机真度,证明了所有公式的随机真度集在[0,1]中没有孤立点;给出了两公式间的DGn-相似度与伪距离的概念,并建立了DGn-逻辑度量空间,证明了此空间没有孤立点. 面向微博系统的实时个性化推荐 社交网络服务需要响应用户实时、连续、个性化的服务需求.然而,目前多数社交网络服务并未充分考虑用户的个性化服务需求.由于社交网络中海量的数据更新使得提供实时个性化服务成为一项相对艰巨的任务.文中基于LDA主题模型推断微博的主题分布和用户的兴趣取向,提出了微博系统上用户感兴趣微博的实时推荐方法,以响应用户实时、连续和个性化的服务请求,在真实数据集上的实验结果验证了文中提出的方法的有效性和高效性. 支持异步调用的WebKit浏览器的JavaScript事件扩展方法 在嵌入式浏览器中,很多应用场景都需要进行JavaScript扩展,JavaScript代码和本地组件之间的异步调用是一个难点。针对这种情况,基于业界普遍采用的Web Kit内核浏览器,提出一种扩展JavaScript对象的方法。首先研究WebKit中WebCore和JavaScript引擎的绑定机制,然后提出扩展JavaScript对象和自定义JavaScript事件的方法,并给出相应实验结果。实验结果表明该扩展方法的有效性,特别适于解决JavaScript和本地组件的异步调用问题。 一种结合HARQ的MIMO-OFDM自适应传输方案 设计了一种结合混合自动请求重传(HARQ)的多输入多输出—正交频分复用(MIMO-OFDM)自适应传输方案,通过对子信道的空域和频域二维置换,结合功率调整,利用重传信息和信道状态信息来提高系统性能;考虑到实现的复杂性,给出了方案的简化模型。仿真结果表明,自适应方案可以有效降低重传时的错误率,减少重传次数,同时利用简化模型可以根据系统要求设计合理的折中方案。 一种融合音位属性的语音文档索引方法 为提高索引覆盖率并获得更多的候选路径,提出一种在词格上融合音位属性的语音文档索引方法。通过基于音位属性检测的语音识别系统建立词格,利用其信息互补性,与传统的词格进行起止节点合并。针对合并后Lattice规模增大的问题,采用基于位置的分段对齐方法对其结构进行压缩。实验结果表明,该方法在提高索引覆盖率和降低最小错误率方面均优于传统的语音文档索引方法,能够有效提高语音检索性能。 非刚体三维运动图像重建优化模型仿真 现有的基于幂因式分解法非刚体三维运动重建算法,对不连续运动帧进行变换矩阵求解较为复杂,并且该方法的重建误差较大.为了解决这一问题,提出一种基于改进幂因式分解法的非刚体三维图像运动重建算法,在传统算法的基础上针对非刚体运动中产生的不连续性,加入了结构连续性约束,再通过把运动矩阵分解为加权系数矩阵和旋转矩阵两部分,利用最小二乘法分别迭代求解加权系数、旋转矩阵和形状基,完成重建.最后利用真实动态图像序列对算法进行试验验证,试验结果表明了改进算法的有效性和精确性. 基于协同进化基因表达式编程的函数发现研究 基因表达式编程(GEP)算法是一种具有强大函数发现能力的新型进化算法。GEP在函数发现时如何确定合适的数值常量对算法的性能具有很大影响。提出了一种基于协同进化基因表达式编程的函数发现算法(GEP-DE),该算法的最大改进在于一种新的常量优化方法:在每一代中将函数发现的过程分为两个阶段:第一阶段,由标准GEP算法结合固定常量集确定函数结构;第二阶段,使用差分进化算法(DE)对第一阶段得出的函数结构的常量进行优化。实验结果表明,GEP-DE算法比重要文献中的常量处理方法其效果有较大提升,并且算法的综合性能也优于最新重要文献提出的GEP算法。 模糊视频图像的小波阈值去噪算法研究 由于传统的模糊视频图像的小波阈值去噪算法,无法大幅度提高分辨率图像.提出模糊视频图像小波阈值去噪算法优化.对模糊视频图像进行融合处理,该融合过程首先利用重心化的空间相似变换模型组建多光谱影像粗配准模型,检测高分辨率影像的边缘点和非边缘点.组建模糊视频图像去噪模型,提取影像重要边缘信息和纹理信息,得到影像的独立分量特征,完成模糊视频图像的小波阈值去噪算法的优化.实验结果表明,所提算法对模糊视频图像去噪效果较好,可以完成任意角度视频影像与点云的高精度去噪. 轴流风扇轴向间隙及尾迹恢复效应 利用非线性的谐波方法,采用数值模拟的手段,以Wennerstrom所设计的一台高载荷跨音轴流风扇级为例,对叶轮机械中著名的尾迹恢复理论进行了研究。当加大原设计的转、静子轴向间隙时,发现了与传统理论看似不一致的结果,即随轴向间隙加大了约30%静子弦长,风扇级的效率和压比都得到了提高。基于对转静子间非定长效应的详细仿真,并得出结论,尾迹在下游叶排中的湍流耗散效应在某些情况下会强于其尾迹恢复效应,同时轴向间隙的改变还会带来下游叶排进口速度三角形,叶排稠度等的改变,这些因素都会对压气机,风扇级的性能带来影响。以上研究补充和扩展了尾迹恢复理论。 改进的内存预拷贝动态迁移算法 由于预拷贝迁移算法的迭代拷贝过程存在重复地拷贝同一个内存页的问题,从而导致拷贝内存页数量的增加和总迁移时间的延长。对脏页拷贝策略进行改进,将修改频繁的脏页在停机拷贝阶段传输,从而有效地缩短总迁移时间。然而该策略的改进又导致停机拷贝阶段的页面增多而引起停机时间延长,于是采用LZO压缩算法将停机阶段待拷贝的页面先压缩再传输,通过降低待传输的数据量来缩短停机时间。在进行虚拟机迁移实验时,与预拷贝迁移算法相比,结果表明该算法在未延长停机时间的同时,缩短了总迁移时间,尤其是在高脏页率环境下,该算法明显表现出更优的迁移性能。 微波检测粮仓储粮水分技术的研究 分析了微波水分检测技术的原理、特点及所采用的方法。针对微波透射过粮食后,其反射波的能量衰减,信号参数变化与介电常数及粮食水分含量之间的关系,构建了储粮水分检测模型。测量结果与传统的烘干法所得到的水分含量比较,比较结果表明,利用微波法能够快速、准确地检测出储粮水分含量,满足粮仓储粮含水量检测的需求。 集群装备战时维修保障业务优化排序模型研究 文章分析了各种影响维修保障顺序的因素,建立了维修保障业务优化排序模型,通过对某防空兵群装备维修保障业务进行示例分析,对模型的准确性和可行性进行了验证。 Co-Work:基于HDFS的安全云存储数据一致性保持算法 针对云存储平台中用户隐私和敏感数据的安全保护问题,在前期提出的基于自主可控机制的安全云存储模型ASOM(All Self-Organization Model)基础上,对MDSS端元数据的管理操作、MDSS和DMS之间的通信过程做进一步优化,针对ASOM模型实际场景引入锁思想实现两个节点一致性的Co-Work算法,完成MDSS和DMS节点之间的协同工作和数据的一致性保持。同时,考虑网络带宽对ASOM中读写效率的影响,引入随机表机制,改变DSS上报的时间结点,以提高ASOM整体读写效率。测试结果表明:执行Co-Work算法后的ASOM模型实现了数据的物理与逻辑隔离,保证用户对元数据的自主控制和管理,而且随着数据尺寸增大读写效率明显提高,在数据达到1 GB时读写效率提高了12%。 具有可控冗余度的虚拟机容错分配算法 在现代基于虚拟化的数据中心中,虚拟机分配是实现云中资源有效调度的首要考虑。已经证明对数据结点分配虚拟机并考虑虚拟机之间的通信延迟,使得最大通信延迟最小的问题是NP-hard问题。目前鲜有在数据中心网络虚拟机分配问题上考虑其安全性和可靠性的研究。针对虚拟机分配中的容错技术,提出了一种具有可控虚拟机冗余度的启发式分配算法。算法以最大通信延迟最小化为优化目标,在可利用的虚拟机集合中通过构造可控冗余度的团来分配处理数据结点。实验结果表明,在Tree、VL2、Fat-tree和BCube四种常用的网络结构中,提出的启发式算法能提供0-200%之间任意冗余度。同时,在冗余度介于0~40%时,虚拟机与数据结点的匹配时间平均降低了67.1%,并且算法运行时间平均降低了12.8%。 单幅夜间弱照度雾霾图像的复原算法 针对单幅夜间弱照度雾霾图像的复原问题,提出一种算法.首先将原图像分为纹理层和结构层,对结构层的照射光初步估计之后再优化;然后根据Retinex理论将结构层与优化后的照射光的比值作为反射层,对其高亮区域的过增强及暗区域的噪声进行抑制,再去雾处理;接着将已优化的照射光取反作为透射率的估计值、对夜间环境光用求取局部均一的方式进行估计,再根据大气散射模型求出复原的结构层;最后,将复原的结构层与优化后的纹理层叠加为最终的复原图像.与现有主流算法的主客观比较和分析结果表明,该算法的复原结果具有噪声低、纹理细节丰富和色彩恢复度高的优点. 基于知识流的设计更改执行阶段过程改进 针对设计更改执行阶段效率的问题,从知识传递角度分析了设计更改执行过程中的知识流动机制,结合设计更改执行过程活动的离散并行性、逻辑时序性以及知识显/隐性转化特点,提出了基于改进时序Petri网的设计更改执行过程知识流建模方法和面向知识流分析的知识活动解构方法,建立了设计更改执行过程改进模型。提出了基于KFO-TPN模型的设计更改执行过程关键路径识别算法,以及基于语义信息增益和结构信息增益的设计更改过程知识流动合理性分析方法。以某研制型企业中设计更改单在车间内执行阶段的过程改进为例验证了所提方法的有效性。 某无人机侧向运动分析与控制律设计 研究了一种横侧向控制律的设计方法;建立了无人机侧向运动小扰动方程,分析了某无人机的侧向零极点分布特点;分析了该无人机的稳定性、开环传递函数和操纵特性,通过分析比较该无人机在X轴转动惯量增大10倍前后的副翼和方向舵控制效率的变化,提出了利用方向舵控制滚转,副翼滚转增稳的控制方法,解决了由于该无人机X轴转动惯量小,副翼操纵效率高,易导致侧向控制发散的问题;仿真结果显示设计合理可行,可应用于同类无人机的控制律设计。 基于双目立体视觉的取走物检测技术研究 双目立体视觉技术应用在视频监控领域能使其更加智能化。对此,提出了一种借助双目立体视觉技术进行取走物检测的方法。一方面,在深度图像中获取深度变化信息,对深度变化情况进行标记,分为三种区域:深度明显增加的区域、深度明显减少的区域和深度基本不变的区域。另一方面,在彩色图像中利用改进的surendra算法提取运动前景,即对深度增加或基本不变的区域进行背景更新,再利用背景减除法得到运动前景,在前景区域中,符合深度增加或深度基本不变的前景区域即为取走物区域。最后在光线合适的室内环境中,用提出的取走物检测方法进行了实验,结果表明,该方法能有效地检测出取走物且能显露出被取走物品的大体形状,场景适应性良好。 基于主动生长的断裂裂缝块的连接方法 针对沥青路面裂缝图像分割成二值图像后,存在虚假信息和边缘断点的问题,提出一种基于主动生长的断裂裂缝块的连接方法。该方法依据噪声呈孤立圈状,裂缝呈线性的特征去除虚假噪声信息;并采用区域填充法将裂缝轮廓内部空隙填充,使裂缝呈现块状;然后采用主动生长法进行断裂裂缝块的连接。实验表明,该算法在去除噪声的情况下,较好实现了断裂裂缝块的连接,有利于后续的图像测量、评估等处理。 全最小一乘准则下的LGA新算法 为克服传统提取数据集中线性结构的LGA对噪声数据比较敏感的缺陷,提出了两种基于稳健的全最小一乘准则下的LGA新算法。首先证明了全最小一乘准则下数据集最优划分的存在性,并据此给出一种有限步终止算法。其次为提高计算速度,根据k-means算法、全最小一乘准则和重抽样方法给出另一种快速收敛算法。通过与传统的LGA和基于Trimmedk-means思想的稳健LGA的比较,仿真结果表明提出的算法具有较好的稳健性,可以在离群数据较多的情形下,同时找出数据集合中的所有强线性结构。 基于对称非负矩阵分解的人脸识别算法 提出一种利用镜像偶特征改造NMF(Non-negative Matrix Factorization)基图像并进行局部特征提取的人脸识别算法。首先获取镜像偶特征并进行二次Haar小波分解,得到重构人脸样本图像。然后利用NMF分解得到一组在垂直方向对称的基图像,由它们组成基矩阵并对它们正交规范化。改造后的基图像符合人脸对称的生理特性,使得NMF基矩阵更加适用于人脸特征提取。在含有姿态变化和不均匀光照样本的Yale人脸数据库上取得了较好的识别效果。 基于复杂网络的软件体系结构复杂性度量方法 介绍了复杂网络理论及软件体系结构复杂性度量思想,提出借助复杂网络理论来进行软件体系结构复杂性度量,给出了具体度量过程和算法,最后对提出的度量算法通过实例进行验证. FMM算法中问题规模与空间划分的关系分析 从编译优化和并行优化的角度出发,根据N-Body问题求解的FMM算法的原理,将算法分解为不同的子模块。详细分析了各子模块的计算特性,包括计算量分析、并行性分析、通信量分析和存储量分析。深入剖析问题规模与空间划分层数之间的关系,提出基于问题规模的空间划分策略。以实验验证了空间划分策略的可行性。 基于MetaEdit+的元建模 统一建模语言UML的广泛使用大大提升了模型在软件开发中的作用,以模型为核心的软件开发方法逐渐被人们所接受。但由于UML是作为一种通用建模语言而设计的,它既庞大而又复杂,所以不容易为领域专家所学习和掌握,常常使软件设计人员陷入繁重的建模工作而不能脱身。使用面向特定领域的建模语言DSL(Domain Specific Language)可以很好地解决这一问题,但手工设计DSL成本很高,因此利用工具生成DSL成为唯一的选择。元建模(meta-modeling)就是一种通过工具建立领域元模型进而生成DSL的技术,以LED千足虫设计为例详细阐述了基于元建模工具MetaEdit+的元建模方法。 一种列控系统安全关键软件测试用例的实例化方法 针对基于模型的抽象测试用例在列控安全关键软件测试中的可执行问题,从软件DFSM模型抽象测试用例实例化为可执行测试用例的过程入手,提出了输入空间划分和逻辑覆盖相结合的抽象测试用例自动实例化方法.首先,根据模型测试路径中各迁移条件的逻辑表达式,生成满足逻辑覆盖准则的子句逻辑值组合;其次,对表达式中的输入变量进行输入空间划分,得到各变量的取值集合;然后,以子句的逻辑值组合为约束条件,计算取值集合中满足条件的取值子集将其作为测试输入,并将各变量的测试输入子集进行组合,以此生成可执行的测试用例.最后,以CTCS-0/CTCS-2车载设备手动等级转换功能为研究对象,验证该方法的可行性. 移动医疗的建设与发展 通过物联网,移动与传统互联网,在生物医学领域产生了大数据.移动医疗云就是通过移动云计算的形式打造医学大数据信息处理平台,分析了移动查房,移动护理,远程监护及医疗设备、物资与医护人员跟踪与管理等多个移动医疗领域的应用,最后针对移动医疗发展中存在的问题及目前国际发展状况,提出了移动医疗最终的发展方向-无边界健康医疗服务模式的新概念. 路面交通线破损图像智能检测优化研究 路面交通线破损图像智能检测的研究极大的提高了对路面维护和保养的效率。针对现有系统获取图像较难且像素差、处理图像算法效率低等问题,提出了城市智能监控系统路面交通线破损图像智能检测算法。即通过城市智能监控系统获取图片样本,采用高斯低通滤波和脉冲耦合神经网络相结合算法对样本进行滤波分割处理来突出路面交通线等有效信息,智能检测和计算分析图片纹理特征并得出结果。根据标准样本和检测样本的检测结果进行对比分析做出结果判断,在检测出路面交通线存在明显破损时对市政部门提供及时的预警。实验结果表明,改进系统获取图片方便且像素高,同时检测速度快、可靠性高,可运用于实际路面交通线破损等路面状况的检测系统。 高校数字化校园数据中心平台的研究与设计 针对在高校信息化建设中,各职能应用系统之间数据标准不一,数据不能交流、共享的问题,以云计算技术为基本方法,结合高校数字化校园建设的现状,即没有统一的数据标准和规范,无法形成高校的全局数据信息,提出高校数据中心的建设内容及规划设计,规划了数据中心平台的建设,数据中心建设内容等。再根据各业务系统之间的数据流,结合各业务部门之间的数据联系,数据的权威性,给出数据中心中数据共享的总体规划,数据在各业务系统之间的流转、交流,学校自编代码的制定和流转策略。最后以实践中的高校西安文理学院数据中心为例,结果表明该规划设计是有效性的。 新多节点修复模型下的再生码 关于单节点修复模型,Dimakis已通过信息流图分析出节点存储与修复带宽的理论界。对于多节点的修复,Shum和Hu提出了新节点之间相互合作的模型,并给出此模型下存储与带宽的理论界;Zhang等人介绍的新节点之间不再传输数据的模型,比合作修复减少了设计和运算的复杂性,更符合系统的需要。针对这种新模型,利用割型找出其最小容量割,并用线性规划的方法给出存储—带宽的理论界,过程更为简单。最后给出一些特殊参数下的编码构造。 一种非结构化的数据统一存储平台 "研究并实现电力业务非结构化数据统一存储平台。详细描述非结构化数据存储平台的主要实现技术和方案。搭建满足测试需要的评测环境,测试结果表明存储平台架构方案的有效性和可行性。该平台满足了上层各业务系统在非结构化数据领域的使用需求,可全面服务电力公司提出的""三集五大""战略,为后续非结构化平台支持分布式存储及架构适应性优化全面改造提供依据。" 基于混合威布尔分布的加工中心可靠性评估 针对复杂机械系统可靠性评估未考虑可靠性实验故障数据具有多故障模式的不足,建立两重混合威布尔分布模型。为解决可靠性实验小子样故障数据问题,利用模糊聚类的方法实现对故障数据的分类,求得混合威布尔分布的形状参数,以此为基础将混合威布尔分布转换为具有共轭先验分布的混合指数分布。用贝叶斯估计求解混合指数分布各参数值,然后将求得的混合指数分布各参数值转换为混合威布尔分布的各参数值。最后以某型号卧式加工中心为例,求得加工中心的可靠性评估结果。 一种基于簇相合性的文本增量聚类算法 传统文本聚类方法只适合处理静态样本,且时间复杂度较高。针对该问题,提出一种基于簇相合性的文本增量聚类算法。采用基于词项语义相似度的文本表示模型,利用词项之间的语义信息,通过计算新增文本与已有簇之间的相合性实现对文本的增量聚类。增量处理完部分文本后,对其中错分可能性较大的文本重新指派类别,以进一步提高聚类性能。该算法可在对象数据不断增长或更新的情况下,避免大量重复计算,提高聚类性能。在20 Newsgroups数据集上进行实验,结果表明,与k-means算法和SHC算法相比,该算法可减少聚类时间,提高聚类性能。 一种可信计算平台的安全实现机制的FPGA实现 文章介绍了以可信计算技术为背景以可信计算芯片为核心的一种计算机系统安全实现方法。根据最新的TCG规范,对可信链的建立、用户身份的识别验证、完整性度量以及应用程序的授权等提出了具体可行的实施手段。最后给出了一种用FPGA实现TPM的手段。 直升机鲁棒保性能控制律设计 考虑直升机在飞行包线内模型参数的变化及不确定性影响,设计了鲁棒保性能控制律;根据直升机一个标称状态点构造出了参数不确定性矩阵,利用Lyapunov稳定性定理和线性矩阵不等式方法给出了控制器存在的充分条件及参数化设计方法,进行直升机三轴姿态稳定保性能控制律的设计;仿真结果表明,所设计的控制器满足三轴姿态稳定要求且具有很好的鲁棒性。 车载CAN网络中直接NM逻辑环的实现 为实时监控车载网络中的节点,在使用控制器区域网络(CAN)总线的车载网络中,设计并实现一种基于OSEK/VDX规范直接网络管理(NM)的车载NM系统。由车载网关通过CAN总线收集各网络节点的故障信息,通过IPv6无线网络上传至远程故障诊断服务器,并将得到的故障处理信息下发至有关节点,实现车载CAN网络与远程故障诊断服务器的信息交互。测试结果表明,各网络节点上线后能快速建立稳定的逻辑环通信,并为远程故障诊断提供操作平台。 三网融合环境下数字版权管理的身份控管方案 为实现三网融合环境下数字产品在发行、流通、购买过程中对不同用户的身份控管,根据数字版权管理(DRM)系统的安全性和灵活性要求,通过利用智能卡技术并改进用户身份验证管理方案,提出一个新的DRM身份控管方案。理论分析和实验结果证明,该方案安全可靠,可以保证数字产品创作者、流通者和使用者的合法权益。 一种水下未知声源定位方法的研究 "随""无线传感器网络""技术快速发展,""基于传感器网络的水下定位系统""被广泛提出;然而,降低节点成本、降低节点功耗成为该技术走向实用化发展的关键所在;通过将""传感器网络技术""和""声波传播衰减原理""相融合,进一步建立新的""基于传感器网络的水下未知声源定位方法""数学模型,并通过实测水下声源与计算机仿真相结合,分析了该模型受反射波、环境噪声和噪声源距离变化影响程度,验证其可行性,提出系统误差修正方法。" 基于高维混沌系统的LSB加密方法 为了更加有效地保障信息安全,针对低维混沌密码体系和传统LSB的缺点,构建了基于高维Lorenz混沌系统的加密体系,增大了密钥空间。通过理论和实验分析,选择了合适的采样间隔,并利用LSB隐蔽性强的特点,提出了一种基于高维混沌加密的LSB加密体系。理论分析和实验结果表明,该算法可以有效抵御局部线性攻击、相空间重构攻击和熵攻击等,安全性较高,且具有较强的鲁棒性。 基于流形学习的维数约简算法 介绍线性维数约简的主成分分析和多维尺度算法,描述几种经典的能发现嵌入在高维数据空间的低维光滑流形非线性维数约简算法,包括等距映射、局部线性嵌入、拉普拉斯特征映射、局部切空间排列、最大方差展开。与线性维数约简算法相比,非线性维数约简算法通过维数约简能够发现不同类型非线性高维数据的本质特征。 机床主轴回转加工精度优化控制仿真 对机床主轴回转加工精度的优化控制,能够有效提高机床工作效率。对机床主轴回转加工精度的控制,需要计算出主轴零件之间误差空间概率密度分布函数,提取机床主轴回转几何特征,完成回转加工精度的控制。传统方法利用双测头误差分离法计算出主轴圆度误差,计算出实际主轴轴心轨迹,但忽略了提取主轴回转几何特征,导致控制精度偏低。提出基于非接触式的机床主轴回转加工精度控制模型。上述模型先利用小位移旋量方法获取零部件之间的约束定位关系,组建主轴的有向图模型,筛选出误差累积路径及关键公差,将误差累积路径上的关键公差相联系,提取机床主轴几何特征,计算出变换坐标系中主轴零件之间误差空间概率密度分布函数,提取机床主轴回转几何特征,以此为依据组建机床主轴回转加工精度控制模型。实验结果表明,所提模型可以有效计算精密主轴的几何回转误差。 基于多域集成Petri网的飞机装配系统模型 针对飞机装配系统的建模描述、分析诊断等管理问题,提出一种将多域集成概念与Petri网相结合的建模方法。阐述了多域集成Petri网模型的概念以及各个领域网模型的要素表达。给出多域集成Petri网的具体建模步骤,构建了装配资源系统各领域的形式化表达模型,从多角度集成描述了装配系统的组成元素、静态结构与基本属性,基于表达模型分析了系统相应领域的行为性能。以某型号客机装配系统为例论述了多域集成Petri网模型的有效性与可行性。 求解混合流水车间调度的多目标优化算法 为求解多目标混合流水车间调度问题,以最小化最大完工时间、提前惩罚量和滞后惩罚量为目标,提出一种改进的MOEA/D算法。采用基于排列的编码策略,设计两种局部搜索策略,提高算法求解性能。设计一种全局搜索交叉算子,给出一种种群更新策略,进一步提升解的分布均匀性。基于炼钢连铸实际生产数据,随机产生20个算例,与最新的两种多目标优化算法进行对比分析,验证了所提算法的优越性。 基于Savant中间件的异常数据处理算法 针对异常数据的实时过滤问题,提出一种基于Savant中间件的异常数据处理算法。根据Savant中间件的功能和特点,在异常过滤器模块中增加存储单元,采用队列方式辅助数据树过滤异常数据。通过仓储管理的出入库模拟实验验证了该算法的有效性,并表明该算法具有较好的过滤效果,适合于大规模数据集中的异常数据处理。 利用地面公网实现战术数据链远程通信研究 利用地面公共网络使地理位置远离的多个数据链系统无缝互连,可以支持和提高相对独立的多个战术数据信息链实现超视距的互操作测试和训练。文章分析了两种地面网络通信模式:虚拟专用局域网业务模式和应用层网关模式。比较两种模式应用特点,发现虚拟专用局域网业务模式可以将多个数据链网络连接成一个虚拟局域网,传输数据方便,但是应用层网关更加适合数据链接口的要求并且可以对传输数据进行处理和报文格式修改。 基于时间相关性的无线传感器网络数据压缩与优化算法 针对无线传感器网络(WSN)数据采集存在数据冗余度大、累积误差大和数据精度低等问题,根据采集数据之间的时间相关性,提出一种无线传感器网络数据压缩与优化算法。该算法通过分析时间序列中采集数据的线性关系,建立分段一元线性回归模型;根据采集数据与回归模型预测值之间的误差,自适应地调整下一个采集时间,并动态地优化回归模型。仿真结果表明该算法在不同的数据变化情况下,均能降低数据冗余度和网络通信量,提高采集数据的重构精度。最后在真实的无线传感器网络应用环境中验证了算法的可行性。 支持向量机的智能信息安全风险评估模型 为了提高信息安全风险评估准确性,提出一种支持向量机的信息安全风险评估模型。首先通过模糊系统对风险评价指标进行预处理,然后将数据输入到支持向量机进行学习,并通过蚁群算法对支持向量机参数进行优化,建立智能化的信息安全风险评估模型,最后通过仿真实验对模型性能进行验证。仿真结果表明,支持向量机提高了信息安全风险评估的准确性,克服传统风险评估模型的缺陷,是一种性能优异的信息安全风险评估模型。 MR氢质子波谱成像在乳腺癌中的应用 目的:探讨乳腺癌磁共振1H波谱成像特点及其与病理分子生物学之间的相关性。方法:对36例原发性乳腺癌患者术前行乳腺波谱成像(MRS),分析乳腺癌磁共振功能成像特点,术后标本行免疫组织化学染色测定癌细胞CerbB-2、PCNA、P53的表达情况并分析与磁共振波谱成像之间的关系。结果:36例乳腺癌中10例病例完成MRS成像,可见明显升高的Cho峰,Cho平均值为1156±116单位,Cho峰与PCNA表达呈轻度正相关(r=0.761,P<0.05)。结论:乳腺癌磁共振波谱成像表现与其病理、分子生物学之间存在一定相关性。 基于Gibbs抽样的轴承故障诊断方法 针对现有轴承故障诊断方法的不足,即诊断片面性问题,提出了一种基于Gibbs抽样的轴承故障诊断方法。首先对轴承振动信号进行局部特征尺度分解(LCD)得到内禀尺度分量(ISC);然后对轴承振动信号和ISC分别提取时域特征,按照特征敏感度高低对时域特征排名,选择排名靠前的特征组成特征集;其次使用特征集训练产生基于Gibbs抽样的多维高斯分布模型;最后通过后验分析得到概率,实现轴承故障诊断。实验结果表明诊断正确率达到100%,与基于SVM的轴承诊断方法相比,在特征数为43个时诊断正确率提升了11.1个百分点。所提方法能够有效地对滚动轴承故障状态进行诊断,对高维复杂的轴承故障数据也有很好的诊断效果。 基于RBF神经网络的航空叶片铣削残余应力预测 为了获得航空叶片精密铣削中的残余压应力,引入径向基函数神经网络对GH4169叶片铣削残余应力进行预测。以刀轴侧倾角、铣削速度和每齿进给量为设计因子开展球头刀铣削实验,采用X射线法测试残余应力;采用实验样本对径向基函数神经网络进行训练,获得残余应力的径向基函数模型,并与传统的多元线性回归模型与BP神经网络进行对比,结果表明径向基函数模型的预测精度最高。分析工艺参数对铣削残余应力的交互影响规律,结果表明铣削残余应力与3个工艺因子之间存在高度的非线性映射关联。所提出的径向基函数模型有望为航空叶片铣削工艺优化奠定理论基础。 较强光照下肤色结合发色检测人脸的方法研究 研究了基于不同颜色空间的人脸检测算法,并在此基础上针对较强光照条件下或肤色与背景色比较接近时检测算法可能会将人脸检测为背景的情况,提出了一种新的基于肤色和发色的人脸检测自适应算法。实验结果表明,即使在较强光照条件下或肤色与背景比较接近时,该算法一样能准确地检测到正面或略有倾斜的人脸。 基于多智能体的连锁零售多级库存集成与优化 针对连锁零售企业库存量高、库存成本高、配送断货和配送滞后等问题,建立基于多智能体系统的多级库存智能管理系统,应用NETLOGO平台实现多智能体库存优化系统的仿真研究。实验结果表明,该系统可以解决多级库存优化算法不符合实际情况或无法得到结果等问题,可应用于连锁零售多级库存优化和多智能体的建模仿真研究中。 飞行模拟场景中三维云的实时建模和绘制 为了实现飞行模拟场景中三维云的实时建模和绘制,采用了超亚椭圆体表达式以及软粒子方法进行实现。使用超亚椭圆体表达式计算得到云层中各粒子的初始位置,可以构建不同的云层模型,再利用软粒子方法实现云层的绘制以及与用户的交互。对超亚椭圆体表达式进行了一定的改进,使得云层形态更加的真实以及多样化,并且利用软粒子的特性实现了云层的运动以及穿云效果,并通过实例验证了所提算法的有效性及真实性,为飞行模拟场景中三维云的实时建模和绘制提供了可行的解决途径。 泛华携自主产品亮相中国(西安)电子展 [正文]本刊讯:8月23日,北京泛华恒兴科技有限公司携自主产品参加了2011年中国(西安)电子展(CEF)。会上,泛华研发的PXI机箱加固装置和硬件板卡高调亮相。作为泛华最新开发的机械类展品,PXI机箱加固装置是泛华针对8槽PXI机箱进行设计开发的专用外部加固产品,可有效解决PXI机箱在运输及外场使用过程中因振动冲击造成的损坏、外壳变形,以及控制器/板卡外部接口无保护等问题。该产 一种车辆Flexray-CAN总线网关设计与实现 随着综合电子系统总线网络的发展以及特种车辆自身设计的需要,越来越多的车辆需要两种或多种总线系统实现内部不同分系统之间的数据交互;为实现CAN和FLexray两种总线数据交互目的,结合CAN总线与Flexray总线的不同协议特性进行由浅入深的分析研究,并采用具有CAN和Flexray协议控制器的Freescale芯片进行了硬件设计、软件流程设计和具体的函数开发,最终实现了针对某种车辆应用的总线网关设计;从中总结了进行CAN/Flexray网关开发所要求具备的先决条件和主要注意事项,对相关类似研究开发具有重要借鉴意义。 基于区分对象对的不完备决策表求核 在差别矩阵的基础上,针对不完备决策表提出了基于差别矩阵的区分对象对集定义,并证明求不完备决策表的核可以转化到求基于差别矩阵的区分对象对集上。在此基础上,提出了一种基于区分对象对的不完备决策表求核算法,该算法的时间复杂度为:max{O(|C||U||U pos|)O(K|C||U|)},优于同类算法的时间复杂度;用实例说明了新算法的有效性。 基于ZigBee技术的多信号源控制系统 针对传统有线多信号源的控制数量有限和手动操作不便问题,提出了一种基于Zig Bee技术的多信号源无线控制系统,其主要由Zig Bee星状网络、PC上位机软件和直接数字合成(DDS)信号源组成。采用Zig Bee通信协议栈,以CC2530组建星状网,通过Matlab GUI上位机软件发送控制命令,协调器接收指令并以一对多广播方式无线转发,终端设备接收指令后控制信号源输出信号。设计系统网络容量为256节点,上位机最大波特率为115200 Bd,信号源频率范围为0~40 MHz。实验表明,系统正确实现无线控制信号频率输出和扫描功能,输出频率精度为0.029 1 Hz,255个节点的信号源控制数量远高于传统信号源,图形用户界面(GUI)可无线灵活操控所有信号源。 基于2DGabor小波与BDPCA的掌纹识别 提出一种解决双向主成分分析(BDPCA)中小样本问题的掌纹识别方法。把掌纹感兴趣区域图像经过2DGabor小波变换后得到的每个图像都作为独立的样本,以增加每一类掌纹的样本数。设计一种基于样本散度矩阵的改进BDPCA算法进行特征提取。采用训练样本的k值矩阵代替训练样本的平均值矩阵,从而获得最优投影矩阵。将2DGabor与改进的BDPCA算法相结合进行掌纹识别。在PolyU掌纹库中的实验结果表明,该方法不仅减少了不同训练样本对识别率的影响,而且能够提高识别率,甚至当每类训练样本数仅为1时,也能得到较高的识别率,有效解决了掌纹识别的小样本问题。 电容电阻双模态成像系统硬件设计 为了降低电容电阻双模态成像系统硬件电路设计的复杂度,实现硬件电路模块设计的可重用性;在详细分析系统硬件功能的基础上,将电容电阻双模态系统分解成信号激励单元、信号检测放大处理单元、数据采集传输单元、模拟解调等模块;利用模块化设计思想构建了以DSP和FPGA为核心的电容电阻双模态成像硬件系统原型,该系统结构紧凑,容易操作;试验结果表明该系统有稳定性好,抗干扰性强等优点,模块化设计方法在电容电阻双模态成像系统硬件电路设计中具有优势。 同类机环境下不同尺寸工件的分批调度问题 为了有效地利用批处理机,提高生产效率,提出了同类机加工环境下具有不同尺寸工件的批处理机调度问题并进行了求解。由于该问题是NP难解的,给出了一个下界以衡量近似算法的性能,并证明了该下界的有效性。提出了批的隐性加工时间的概念,并以此为基础给出了一种新的局部优化算法,对最大最小蚁群算法进行了改进。使用启发式算法最终对同类机环境下分批调度问题进行求解。通过仿真实验将该蚁群算法与遗传算法、微粒群优化算法及BFLPT等进行比较和性能分析。 拓扑信息区域匹配的室内设计色彩转移算法 针对室内场景区域之间色彩区别度大、色彩相对丰富的特点,提出一种基于拓扑综合信息指导区域匹配的室内设计色彩转移算法.首先对室内设计图像进行区域分割,计算每个区域的拓扑综合信息,根据拓扑结构信息确定区域匹配关系,提高色彩转移的准确性;其次在已匹配的区域之间进行色彩转移,并对没有对应输入色彩的区域进行色彩调整,提高色彩转移的完整性;最后采用色彩和谐算法来降低色彩转移中产生的杂色对色彩转移结果的影响,提升转移后的色彩和谐度.实验结果表明,该算法在全局上能较好地保留图像色彩的丰富性,在局部上能获得较好的匹配关系和色彩调整结果,从而获得较好的色彩转移结果. 气动控制阀粘滞模型的改进与比较 Choudhury模型和双层二叉树模型在执行阀粘滞特性研究中应用广泛,但各自存在一定的不足。在详细分析各模型不足的基础上,给出改进方案,使得模型输入和输出符合物理特性。原模型以及改进后的模型在Matlab Simulink平台下完成了针对不同参数和输入曲线的粘滞现象的模拟与比较。根据ISA标准,改进后的模型与控制阀实际粘滞特性相符。 湖水环境下自主监测船的GPS导航设计 建立导航系统所需的全局静坐标系、局部静坐标系、船体动坐标系和自主船运动学模型。模拟人的驾驶技术,建立巡航和定区域节能2种导航模式,设计推理规则。分析实测的电子罗盘和GPS数据误差原因。为提高定位精度,设计海明窗FIR数字滤波器以及巡航面积计算方法。基于VC++开发导航软件,在自主研发的监测船上开展实验。结果表明,FIR对电子罗盘信号的滤波效果优于GPS信号,巡航模式可实现大范围监测,定区域模式对重点区域进行监测时能耗较低。 面向时间感知的微博传播模型研究 随着在线社交网络的快速发展,提取信息传播特征和构建传播模型已成为研究热点。针对传统微博网络传播模型未考虑用户的不完整阅读、潜伏期和直接免疫等行为难以准确识别免疫节点的缺陷,通过分析用户的行为特征,提出增加传播的个体分类和完善传播途径的微博网络传播算法(MSILR)。该算法可以使用户根据微博信息的传播特性及时获得、传播和屏蔽信息,根据模型反映的社会关系和在线社会行为完善社交网络的功能。以新浪微博为例,分析微博网络的传播机理和网络参数对信息传播过程的影响,完善动力学演化方程组,刻画信息传播过程随时间的演化规律。在真实微博网络数据集上进行测试,并与传统算法进行比较,实验结果表明了MSILR算法的有效性和可行性。 基于自适应GOP的三维提升小波视频编码技术 在三维提升视频编码框架中,视频运动场景切换时相邻视频帧之间的时间相关性将显著减弱,使得解码视频图像在场景切换处质量急剧下降。针对这一问题,提出了一种新的基于视频亮度分量的场景切换检测方法,并根据场景切换自适应分配图像组(group of picture GOP)大小。实验结果表明,该自适应分配GOP策略有效提高了三维提升小波视频图像的编解码质量,降低了场景切换对视频编码的影响。 康复机器人患肢参数的在线辨识 根据患肢训练时力和位置等信息反馈,提出用解超定方程组的方法在线辨识患肢的动力学参数,实现患肢动力学模型的在线辨识,为远程康复训练机器人系统的实时控制提供较为准确的依据。仿真实验验证,该方法能较好地改善系统的动态性能,使系统具有较好的稳定性和鲁棒性。 基于ARM-Linux的GUI系统体系分析及其应用设计 实现一个表现良好的嵌入式GUI系统要求开发者对操作系统及底层硬件的各个组件及其之间的交互都十分清楚。阐述GUI的核心设计理念,并对基于ARM-Linux的GUI的实现原理和体系结构进行了详尽的分析探讨,最后给出基于MiniGUI的具体应用设计,对研究人员开发嵌入式GUI系统具有指导意义。 基于Renyi熵的LS-SVM财务困境预测模型 为了提高企业财务困境预测的正确率,减少训练模型的样本数和训练时间,在传统支持向量机预测模型的基础上,将Renyi熵和最小二乘支持向量机算法应用于财务困境预测,提出了一种基于Renyi熵的最小二乘支持向量机预测模型。独立推导出了适合财务困境预测这一离散序列的熵以及支持向量机核函数的表达式,同时,给出了这一改进算法的实现步骤。实验结果表明,该算法无论是训练样本的数量还是训练时间,都显著优于传统的最小二乘支持向量机以及标准支持向量机预测模型。 阶跃基权系数时变的预测函数控制 研究预测函数的精度优化问题,传统预测函数控制基函数为全局函数,过程预测轨迹与参考轨迹在预测时域内的拟合只有有限个拟合点,无法实现在整个预测时域内的整体优化目标。针对实际中无法实现全局拟合的情况,提出一种基函数进行加权来提高过程预测轨迹与参考轨迹逼近程度的新方法。根据参考轨迹的特点,采用阶跃基函数,其加权系数随着预测时步的增加而减小,在预测时域内使过程预测轨迹逼近参考轨迹,提高整体优化目标,减小了第一步预测控制量与理想控制量的差。每次控制量计算先进行基函数的权系数修正,保证过程预测轨迹与参考轨迹的尽可能全局拟合。上述预测函数控制策略用于某水厂混凝投药过程控制,在Matlab上仿真表明,具有更好的跟踪性和抗模型失配能力,比传统预测函数控制算法具有更好的控制效果。 征兆测试新方法 征兆测试和奇偶测试是已经使用多年的基于穷尽输入的固定型故障测试方法。在征兆测试与奇偶测试相结合的基础上,提出了一种新的征兆测试方法,即三阶征兆测试法。本方法的特点在于提高测试效率的同时也提高了征兆测试的故障覆盖率,使得原来征兆不可测的电路也可以进行征兆测试。其主要思想是在传统征兆测试的基础上首先引进奇偶测试,对被测电路进行预处理,提高测试效率;然后,对征兆测试作进一步升华处理,成为二阶、三阶征兆测试,提高测试的故障覆盖率。通过对部分基准电路和常用电路的测试实验验证了所提新方法的实用性和有效性。 基于熵权的群组动态优化竞争的P2P信任模型 "针对P2P网络中节点行为的动态性改变和共谋攻击等关键问题,提出了一种基于熵权的群组动态优化竞争的P2P信任模型。该模型以节点全局信任度为依据,在逻辑上将节点分至不同速率群组;基于""熵权法""计算群组熵值及权重值,并更新时间周期内群组节点局部信任度,计算群组局部评价信誉标准差和节点局部评价标准差;采用动态优化竞争策略,调整节点或群组。该模型不仅在节点分组的基础上引入了群组权重值,以提升信任计算的准确度,而且在优化竞争过程中考虑节点行为的相似性,以强化激励策略。仿真实验表明,该模型在识别、抑制节点的动态性振荡行为和抑制共谋攻击行为方面均有一定的改进,在交易成功率方面有一定的提高。" 异类产品专利激发设计灵感的方法 为辅助产品创新设计,分析了可能用于获取异类产品专利的现有方法存在的问题,提出了一种特别有利于高效获取关联异类产品专利的新方法——关联拓展法。进而提出了从获取的异类产品专利识别和提取灵感激发因素的方法,建立了借助于异类产品专利激发设计灵感的过程模型。最后,以冲击钻的创新设计为例,验证了所提方法的有效性。 受限于MER型沸石中水的分子动力学模拟 针对受限于MER型沸石中水的结构性质和扩散性质进行分子动力学模拟,为MER型沸石的制备及离子交换提供了理论依据。结果表明:H2O在不同阳离子的MER型沸石中具有不同的结构性质。K+与H2O形成的水合阳离子在MER型沸石合成中起到了模板剂的作用。随着温度的升高,MER型沸石中H2O的有序度下降,沸石骨架外阳离子的水合能力下降;H2O在MER型沸石中的非键势能逐渐增大,H2O的扩散系数均相应增大。H2O在不同阳离子的MER型沸石中的扩散活化能大小顺序为:K-MER型沸石>Na-MER型沸石>NH4-MER型沸石。MER型沸石骨架及骨架外的阳离子对H2O的扩散性质有较大的影响。 自卫干扰对无人机敏感性的影响研究 研究了单架无人机在突防地空导弹系统的过程中,自卫干扰对无人机敏感性的影响,分析了自卫干扰在敏感性各个环节的作用,仿真分析了平均干扰功率对无人机最小暴露半径、被探测到的概率、脱靶距离及被击中概率的影响。仿真结果表明,使用自卫干扰技术能有效地降低无人机的敏感性,从而提高其作战生存力。研究结果可为无人机敏感性评估、生存力增强措施的研究及雷达探测系统的效能评估提供一定的依据。 基于CP-ABE和SD的高效云计算访问控制方案 存储在云端服务器中的敏感数据的保密和安全访问是云计算安全研究的重要内容。提出了一种安全、高效、细粒度的云计算访问控制方案。密文的加密采用了借助线性秘密共享矩阵的CP-ABE加密算法,并将大部分密文重加密工作转移给云服务提供商执行,在保证安全性的前提下,降低了数据属主的计算代价。该方案在用户属性撤销时,引入SD广播加密技术,有效降低了撤销时的计算开销和通信开销。理论分析表明该方案具有数据机密性、抗合谋攻击性、前向安全和后向安全,最后的实验结果验证了方案具有较高的撤销效率。 基于遗传算法优化小波神经网络的短时交通流量预测 为了提高小波神经网络对具有时变性、非线性和复杂性等特点的短时交通流量预测的准确性,提出一种基于遗传算法优化小波神经网络的短时交通流量预测模型。利用遗传算法隐含并行性、自适应随机搜索及全局寻优的特性,优化小波神经网络的权值和阈值,克服了小波神经网络易陷入局部最优、得不到最优参数的缺陷。仿真结果表明,该方法对短时交通流量具有较好的非线性拟合能力和更高的预测精度,并具有良好的应用价值。 一种新的遥感图像海岸线检测方法 灰度遥感图像像素数一般比较大,特别是高分辨率的遥感图像,海岸线检测速度比较慢,限制了在工程中的实际应用。为了提高大型遥感图像的速度和精确性,提出了一种基于模糊聚类的快速海岸线检测方法。方法能够快速准确的提取和检测海岸线,对检测时间复杂度为常数,不随着遥感图像的增大而增大,并且检测过程中,无需人为干预,无需依靠阈值分割,而能够自动快速地从分割遥感图像中提取出海岸线。采用合成孔径雷达(SAR)遥感图像做了仿真实验,证明方法能够快速而有效的提取出海岸线。 基于属性的自证实模型及其安全协议 针对可信环境下被验证方需要向验证方发送其软硬件基本配置信息来证明其完整性而产生的攻击问题,给出一种基于虚拟机技术的属性自证实(PSA)模型及其安全协议,并对协议进行安全性分析。首先通过在被验证平台上建立一个可信虚拟机,然后由该虚拟机实现对被验证平台上其他组件的度量,并可靠地报告代表当前平台运行环境的安全属性给验证方。自证实方式可以提高通信的安全性,并且减少维护独立可信第三方时所需的开销;使用基于属性的远程证明方式,能够提高被验证方的安全性;安全协议引入了TPM的不可迁移密钥特性来防止假冒攻击的发生。 基于FP-tree的快速挖掘全局最大频繁项集算法 挖掘最大频繁项集的算法多基于局部数据库,为此提出了一种基于频繁模式树的快速挖掘全局最大频繁项集算法。该算法首先挖掘出所有全局频繁项目组成集合,然后各个节点根据该集合构建局部频繁模式树,最后将该集合作为全局最大频繁候选项集,采用自顶向下策略挖掘出所有的全局最大频繁项集。与类Apriori算法相比,该算法采用的频繁模式树结构能大幅度降低数据库扫描次数和运行时间;自顶向下的策略能大幅度减少候选项集数和通信量。实验结果表明,该算法是快速和高效的。 面向产业链协同SaaS平台的企业群应用配置技术研究 针对产业链协同SaaS平台以龙头企业为核心的应用配置模式,分析了产业链协同SaaS平台的配置需求因素,定义了产业链协同SaaS平台的各个关键要素和要素之间的规约,提出了基于产业链协同SaaS平台的企业群应用配置模型。对企业群用户的可配置技术以及数据存储策略进行了研究,提出了表单视图定制算法,并在汽车产业链协同SaaS平台销售订单管理中进行了应用验证。 基于模块度优化的标签传播社区发现算法 标签传播算法(LPA)是一种快速高效的社区发现算法,算法无需社区数量等先验信息,但存在大量随机性,稳定性较差.为了提高标签传播算法的稳定性,提出了一种改进的标签传播算法(LPAMP).该算法分为两个阶段,第一阶段以模块度贪婪为依据,进行节点粗聚类;第二阶段在粗聚类的基础上,进行节点标签传播.实验结果表明,所提算法降低了标签传播算法的随机性,增强了稳定性,并且提高了准确率. 基于多分辨率的厄米高斯矩的LBP纹理分类 针对LBP(局部二值模式)纹理描述子局限于在单一分辨率下捕获纹理图像的纹理信息的问题,提出一种基于多分辨率的厄米高斯矩的LBP纹理分类方法。首先结合图像纹理的多分辨率特性,采用厄米高斯矩对图像进行多分辨率重构,然后利用LBP纹理描述子对重构图像进行特征提取,最后采用K近邻特征空间距离的分类方法进行纹理分类。选取KTH-TIPS纹理数据库的纹理图像进行测试实验,实验结果表明,与传统LBP纹理分类方法相比,使用多分辨率的厄米高斯矩的LBP纹理分类方法进行纹理分类,可以更加全面地描述图像的纹理信息,使纹理分类准确率更高。 神经网络极速学习方法研究 单隐藏层前馈神经网络(Single-hidden Layer Feedforward Neural Network,SLFN)已经在模式识别、自动控制及数据挖掘等领域取得了广泛的应用,但传统学习方法的速度远远不能满足实际的需要,成为制约其发展的主要瓶颈.产生这种情况的两个主要原因是:(1)传统的误差反向传播方法(Back Propagation,BP)主要基于梯度下降的思想,需要多次迭代;(2)网络的所有参数都需要在训练过程中迭代确定.因此算法的计算量和搜索空间很大.针对以上问题,借鉴ELM的一次学习思想并基于结构风险最小化理论提出一种快速学习方法(RELM),避免了多次迭代和局部最小值,具有良好的泛化性、鲁棒性与可控性.实验表明RELM综合性能优于ELM、BP和SVM. 高等院校目标考核信息化框架研究 开放、稳定和可扩展的目标考核信息化框架能够为高等院校目标考核工作提供详细、可共享的支撑数据,有助于提高目标考核工作效率。针对目前高等院校目标考核工作中存在的数据分散、数据共享不及时、工作效率低下等问题,在详细分析目标考核工作业务流程的基础上,基于MVC设计模式,整合Struts2、Spring、Hibernate和Easy UI框架,按照Java EE分层开发模型设计了高等院校目标考核信息化框架,包括基础数据、教学信息管理、指标管理、报表管理、系统维护和信息查询等重要模块。详细阐述了框架的结构和实现的关键技术。该信息化框架实现了目标考核网络化管理,提高了考核工作中数据管理的精度,达到了职能部门与二级学院之间数据及时更新与共享的目的,提高了高校的业务管理水平。 轿车副车架焊接变形优化研究 焊接变形是影响副车架装配精度的主要因素之一,后期矫正焊接变形将会增加副车架的开发成本。采用传统的方法优化焊接工艺需要多次实验,开发时间长而且成本高。为了缩短产品的开发周期,降低成本,使用焊接变形分析的有限元软件进行焊接变形仿真分析。首先根据分析结果调整夹具位置,然后优化焊接顺序,在长焊缝处采用点固焊的方法进行工艺优化。仿真结果表明,优化后焊接变形量减少到可接受的范围内,为实际的焊接工艺优化提供了理论依据。 点击欺诈群体检测与发现 针对目前存在大批网络用户,以群体形式来欺诈点击的问题,提出了一种检测点击欺诈群组的方法。首先使用频繁项集挖掘算法来发现共同点击过大量广告的个体用户,作为疑似欺诈组。然后,在对组内用户点击行为属性分析的基础上,运用孤立点检测方法找到与组内其他用户有显著差异的疑似欺诈用户。最后,运用贝叶斯分类方法对检测到的所有疑似欺诈成员分类,得到真正的欺诈群组和欺诈用户。在真实的数据集上进行的实验,验证了该方法的可行性和有效性。结果表明,该方法为点击欺诈检测问题提供了一条新的思路。 利用切比雪夫不等式的背景建模算法 针对运动目标检测问题中的背景建模问题,提出一种结合切比雪夫不等式和核密度估计的背景建模方法。首先利用样本均值与样本方差及切比雪夫不等式,快速计算各像素点属于前景和背景的概率,判别出前景点、背景点及可疑点。对可疑点再利用核密度估计方法,估计其属于前景与背景的概率密度来进行背景前景判别,最后通过设定阈值完成实时背景建模。实验结果证明,利用切比雪夫不等式能快速区分有明显特征的前景点与背景点,采用背景更新算法能得到理想的背景图像,降低了背景图像提取的误差,显著地提高了背景建模的速度。 轮廓结构张量B-样条多尺度表示的角点检测 在B-样条多尺度空间下定义了平面轮廓在其支撑区域(ROS)内的结构张量的多尺度表示。该轮廓结构张量不但能够很好地描述轮廓的多尺度形状信息,而且其在不同尺度下的行列式乘积还能够在增强角点的响应的同时抑制噪声的影响,由此文中把B-样多尺度轮廓结构张量的乘积定义为角点的响应函数。通过实验证明了所提出的算法对于简单几何模型能够实现百分之百的检测,而针对实物图像,算法显示了良好的旋转不变性和对微小的尺度变化并不敏感的特性,最后与其他的角点检测器进行了对比实验。 基于Spark平台的离群数据并行挖掘算法 大数据技术的快速发展,现有的离群挖掘算法效率可能显著下降甚至不适用。Spark内存计算可以有效地降低I/O成本,并能提高数据分析和处理的效率。使用Spark内存计算平台,提出了一种离群数据并行挖掘算法,目的是在Spark这样的大数据平台上对传统离群挖掘算法进行并行化,从而提高性能。最后以UCI数据集作为实验数据集对算法进行了验证,实验结果表明,基于Spark平台的离群数据并行挖掘算法具有良好的可伸缩性和可扩展性。 云环境中应用系统部署策略研究 在云环境中集约部署应用系统是当前信息化发展的主流,但由于云环境与物理机环境存在差异性,在该环境中部署应用系统的方式、流程等均与物理机环境有所不同。本文深入分析在云计算模式下部署应用系统的主要方式及特点,结合云环境的特性总结在该环境中部署应用系统时应考虑的因素以及主要的部署策略,并提出在该环境中部署应用系统的具体实施策略。 网络化非线性系统的非脆弱保性能控制 对具有对数量化和马尔可夫链数据包丢失的网络化Lipschitz非线性系统的非脆弱保性能控制问题进行研究。将网络化控制系统描述为马尔可夫跳变系统,根据Lyapunov稳定性理论,以线性矩阵不等式形式给出网络化Lipschitz非线性系统具有加性和乘性摄动的非脆弱保性能控制器存在的充分条件,控制器增益矩阵可通过解一组线性矩阵不等式求出。数值算例验证了该方法的有效性。 互联网络安全防御对高密度信息优化存储仿真 互联网络安全防御对高密度信息的优化存储,能够有效提高信息安全性以及用户查询信息的便利性。对高密度信息的优化存储,需要先获取信息的存储分布空间,通过位相将提取的重要信息重构为三维信息,完成对高密度信息的优化存储。传统方法分析数据存储的关键技术,得到各数据节点的均衡负载之间的差异,但忽略了对重要信息的重构,导致信息存储精度偏低。提出基于三维加密的高密度信息存储方法。根据对信息特征压缩代价系数的计算,获得高密度冗余信息的特征子集;通过信息存储和信息特征子集的自适应校验,获得高密度信息的存储分布空间;通过位相将提取的重要信息重构为三维信息,利用随机位相的加密方式将信息加密,利用逆向变换对信息进行解密,来提高信息存储安全性。实验表明,所提方法使得存储空间的占用优于当前方法,同时提高了信息的检索效率。 基于GPU的稀疏矩阵向量乘优化 针对稀疏矩阵运算难以发挥图形处理器的强大运算能力的现状,基于图形处理器的统一计算架构,在线程映射、数据复用等方面研究了一系列并行计算优化方法,从而完成了一种行压缩存储表示下的稀疏矩阵向量乘并行算法。这些优化方法包括:(1)利用Warp内线程天然同步特性,Half-warp完成结果向量一个元素的计算;(2)取整读取数据,实现合并访问;(3)输入向量放入纹理存储器,数据复用;(4)申请分页锁定内存,加速数据传输;(5)使用共享存储器,加速数据存取。实验分析表明,提出的各种手段起到了优化的作用。与已有的CUDPP和SpMV library中的CSR-vector算法相比,本算法获得了更高的存储器带宽和浮点运算吞吐量;整体性能比CPU串行执行版本快了3倍以上。 电力线通信系统的电磁兼容性研究 电磁兼容性(Electromagnetic Compatibility,EMC)是电力线通信(Power Line Communication,PLC)系统的关键技术之一。首先介绍了PLC技术的发展概况和相应EMC研究的基本情况;并介绍了有代表性的国家或地区和重要的标准化组织在PLC技术的EMC法律法规和标准方面的最新进展;然后介绍了我国在该领域的试验结果和研究成果;最后指出该领域存在的问题、同时对发展方向提出建议。上述研究总结了PLC技术的EMC法规和标准化的最新进展,可作为我国发展PLC时制定合理的EMC法规和管理制度的有益参考。 一种无线传感器网络簇头选择算法——基于簇头发送能耗 分簇算法中,簇头的选择对无线传感器网络的能耗有重要的影响,为了提高网络生存周期,提出了一种基于簇头发送能耗的簇头选择算法(SECCS)。为了平衡节点间的不同能耗,使已做过簇头的节点在其后若干轮内不能再次成为簇头,其预计不能做簇头的轮次根据簇头发送能耗来决定,并动态调整不能做簇头的轮次,保证候选节点数量在合适的范围内。在选择簇头时,限制簇头间的距离不能过小,并优先选择周围节点数量适中而平均距离较近的节点成为簇头,使簇头尽可能均匀分布以减少全网能耗。该算法不需要节点的剩余能量和位置信息,计算简单。通过仿真和数据分析,证明其网络生存周期较长。 带移动节点的无线传感器网络时间同步方法 为提高无线传感器网络中节点间时间同步的精度并且降低节点能量消耗,提出了一种基于移动节点与静态节点混合网络的时间同步方法。先建立一个带移动的节点的分簇网络结构,再结合发送者-接受者与改进的发送者-发送者两种同步模型从多个移动节点同时发起同步。通过数学分析和仿真得出该同步方法在同步时间精度和节点节能方面具有良好的性能。 履带车辆高速行驶承重能力优化设计仿真 针对履带车辆高速行驶时,履带动态张紧力的剧烈波动导致的履带脱落和磨损严重问题,对传统履带行驶机构进行结构改进,通过轮履变换实现履带环圆形运动,减弱履带动态张紧力波动。履带车辆高速行驶工况下,需要对预紧力和履带动态张紧力的关系进行精确数值计算。通过对行驶机构部件受力分析,研究高速行驶时履带动态张紧力的变化规律,建立履带动态张紧力的数学模型。基于多体动力学软件RecurDyn建立轮履变换车辆仿真模型,对不同预紧力条件下履带动态张紧力进行运动仿真。仿真结果显示,适当预紧力条件下轮履变换使履带车辆动态张紧力变化波动变小。结果表明履带动态张紧力的数学模型有效,轮履变换结构能够满足履带车辆重载高速行驶性能要求。 Hybrid-Fixing:上下文一致性错误的正确修复 在普适计算中,上下文持续快速变化,上下文感知应用根据上下文变化自动调整自身的行为以作出适应.然而,由于不可预测和控制的环境噪声以及环境动态变化等诸多因素的影响,环境上下文会发生一致性错误,从而导致应用表现异常甚至失效.为了解决这些问题,上下文一致性错误需要被自动并正确地修复,现基于已有工作提出了一项新的修复技术hybrid-fixing,它结合了对一致性约束的静态分析和修复动作的动态产生,即使一致性约束内部存在复杂依赖关系,也能确保所生成的修复用例必然正确.实验结果表明,这项修复技术大幅提高了一致性约束内部存在复杂依赖关系下一致性错误修复的成功率,并只花费了很小的时间开销. 基于神经网络与模糊理论的备件库选址预测 简要介绍了人工神经网络和模糊理论,分析了神经网络模糊控制的结构,设计出基于神经模糊算法的备件库选址模型,通过Matlab仿真工具实现了备件库选址的科学预测。实验表明,神经模糊方法是备件库选址预测的一种创新应用,对军事领域的科学选址具有较强的泛化能力。 学习过程中共享经验的Q学习算法的研究 主要以提高多智能体系统中Q学习算法的学习效率为研究目标,以追捕问题为研究平台,提出了一种基于共享经验的Q学习算法。该算法模拟人类的团队学习行为,各个智能体拥有共同的最终目标,即围捕猎物,同时每个智能体通过协商获得自己的阶段目标。在学习过程中把学习分为阶段性学习,每学习一个阶段,就进行一次阶段性总结,分享彼此好的学习经验,以便于下一阶段的学习。这样以学习快的、好的带动慢的、差的,进而提升总体的学习性能。仿真实验证明,在学习过程中共享经验的Q学习算法能够提高学习系统的性能,高效地收敛于最优策略。 一种复杂网络上信息传播的动态竞争机制 非共识性的意见普遍存在于人类的交互活动中。本文研究由带有反对意见的信息诱导出的信息竞争动态过程。建立一个新的信息竞争模型,以体现复杂网络中消息交互的真实情况。结果显示,对网络中节点进行k-shell分类后发现,节点的k-shell值在信息竞争过程中起到了重要作用。通过变换模型中的参数,可以成功解释不同的信息竞争现象。新的信息竞争模型非常适用于对网络信息传播的研究。 基于温度对H型水合物稳定性影响的分子动力学模拟 采用分子动力学模拟平台(ME),计算了H型水合物的性质及结构参数,并通过分析水合物晶体的最终构像、径向分布函数、均方位移、扩散系数、模拟体系势能等微观特征参数,考察了温度对H型水合物晶体结构稳定性的影响。模拟结果表明,随温度的升高,H型水合物的稳定性降低,笼型结构有分解之趋势。 视频监控场景下的面部遮挡检测 提出了一种监控场景下的面部遮挡检测方法。基于Ada Boost算法进行人脸验证,通过面部划分,分块分析是否存在遮挡情况。首先判断是否有人进入,在有人进入的情况下进行面部遮挡检测,对眼部区域采用Ada Boost方法及墨镜特征提取方法判断是否遮挡,而对嘴部区域采用高斯肤色模型进行判断。实验结果表明,该方法能实时检测面部遮挡的情况,并达到了较好的效果,适用于银行ATM等监控场景,具有较高的应用价值。 基于剖析信息和关键路径长度的软件扇出树生成算法 开发利用ILP(Inst ruction-level Parallelism)是现代高性能处理器取得高性能的关键要素之一。宽发射的超标量处理器、超长指令字处理器和数据流处理器只有在并行执行多条相邻的指令时才能获得较高的性能。数据流处理器的一个关键问题是如何把指令的计算结果高效地播送给目标指令而不用读写集中式寄存器文件。对于每条目标数大于指令所能编码的目标数的指令,编译程序都要插入一棵由MOV指令构成的软件扇出树来把计算结果播送给多条目标指令。为了暴露更多的ILP给硬件执行基底,提出了一种改进的软件扇出树生成算法,本算法根据目标指令的执行概率大小以及目标指令到该指令所在块的出口的关键路径长度来计算目标指令的权值,然后对各个叶子的优先权值进行排序,再根据优先权值的顺序来构造一棵软件扇出树,以便把指令的计算结果播送给多条目标指令。实验结果发现,本算法相对于传统的软件扇出树生成算法其性能有较大的提高。 局部球面规范化嵌入:PCANet的一种改进方案 当人脸图像中存在较大比例的光照变化或遮挡时,PCANet所采用的局部零均值预处理以及PCA滤波器对噪声的过滤作用将导致所生成的特征图的整体分布主要集中在0附近,在一定程度上丧失了冗余性。为了提升PCANet对抗噪声的能力,提出了局部球面规范化方法,并将其嵌入PCANet的卷积层,从而拓展了PCANet特征的丰富性。在UMBDB和AR库上的实验表明,改进后的PCANet具有更好的冗余性、鲁棒性和识别性能。一个重要的发现在于:特征的冗余性需要在噪声滤除的过程中逐步提升,直接对输入图像施加局部球面规范化可能会导致不稳定的识别性能。 硬件加速的渐进式多边形模型布尔运算 多边形模型的布尔运算中包含复杂的求交计算以及多边形重建过程,精度控制和处理效率是其中的关键.为了降低布尔运算复杂度,提出一种适合硬件加速的基于渐进式布尔运算的多层次细节网格模型生成方法.该方法采用分层深度图像来近似表示多边形实体的封闭边界,将多边形的求交计算简化为坐标轴平行的采样点的实体内外部判断;为了免去各层次细节模型的重复采样过程,渐进式地将边界采样点归并到低分辨率下的立方体中;运用特征保持的多边形重建算法将相同立方体内的边界采样点转换成多边形顶点,根据邻接关系生成网格模型.上述算法使用支持图形硬件加速的CUDA编程并行实现.实验结果表明了算法的可行性. 自动并行化中不规则循环的通信代码生成 不规则计算在大规模并行应用中广泛存在。在面向分布存储结构的自动并行化过程中,较难在编译时为不规则循环生成并行代码。并行代码中的通信代码对程序运行结果的正确性以及加速效果有着严重的影响。通过分析程序的数组重分布图,使用部分冗余的通信方式来维持不规则数组访问的生产者-消费者关系,可以在编译时为一类常见的不规则循环自动生成有效的通信代码。该方法使用计算分解和数组引用的访问表达式求解不规则数组在各处理器的本地定义集作为通信的数据集,分析针对此类不规则循环划分的通信策略,继而生成相应的通信代码。实验测试的结果取得了预期的加速效果,验证了方法的有效性。 X测试模型的改进与应用 针对目前常用的X测试模型结构松散和不够严谨的问题,提出了改进的X测试模型,能有效地规划测试工作和改善软件质量。改进的X测试模型在原模型基础上增加了回归测试和验收测试过程,对测试人员与测试方法间的关联进行了调整,强调客户的参与和测试需终止,从而解决了产品不符合客户要求、客户需求改变、测试效率低等问题。将改进的X模型应用于数字版权运营平台的开发测试中,应用结果表明,该模型提高了开发效率,保证了软件质量。 多租户环境下基于可信第三方的云安全模型研究 针对云计算中多租户环境特点,将可信第三方引入云计算的安全解决方案中,提出了一种新型的基于可信第三方的云安全模型。在该模型基础上,讨论了认证协议,并设计了基于TTP的多租户资源分配算法。采用CloudSim模拟工具进行仿真实验和性能比较分析,将短任务先行策略、先来先服务策略与本策略在资源成功执行率方面进行比较。实验结果表明,该模型能将可信度最高的云节点资源提供给云用户,有效构建了实体之间的信任网,可验证数据的正确性和数据交换的正确性,提供了多层次、分布式环境下端对端的安全服务。 大动态范围多曝光图像融合方法 提出一种基于动态范围扩展的多曝光图像多尺度融合方法。讨论融合目标与动态范围分布、细节及颜色的处理策略。在融合规则中提出全局动态范围系数来反映全局照度范围,并指导亮度融合来突出融合结果的动态范围;使用局部对比度和色彩饱和度来反映原始图像的曝光程度,并用于指导色彩融合;使用小波分析作为多尺度融合工具。对算法进行测试并与已有算法的结果进行信息熵和动态范围比较,结果表明该算法对于信息熵和动态范围均有提高。 LTEMAC层低计算量的下行调度及资源分配 针对现有调度算法不能同时满足多用户的实时和非实时业务的性能需求及算法的计算量大等问题,提出了一种新的基于服务质量(QoS)的长期演进项目(LTE)下行调度算法。该算法在修正的最大加权时延优先(M-LWDF)算法的基础上引入了平衡因子,并利用用户上报的信道质量指示(CQI)值直接替代瞬时速率。仿真结果表明,该算法在保证公平性的前提下,降低了计算复杂度,当小区用户数达到45时,业务的丢包率下降了6.71%,系统整体的吞吐量提高了12.91%。 特大城市可持续养老服务系统模型构建 针对特大城市特点及其养老趋势,提出一种灵活多样、良性开放的可持续养老模式:集体自助养老(CSE),对其定义、目标、类型、影响因素进行分析。结合六西格玛服务设计、产品服务系统设计、可持续养老理论,围绕养老目标,建立可持续养老CSE服务系统设计理论体系。可持续养老服务系统设计存在若干可行解,围绕提升养老满意度,提出CSE服务系统设计框架,充分利用信息化社会优势,对CSE产品与服务进行集成设计,建立CSE服务系统配置模型,分析了CSE服务系统选择、构建影响因素,根据不同的养老需求和有限的养老资源、成本设计不同的集体自助养老方案,满足特大城市多元化、个性化的养老需求,为可持续养老服务系统设计提供有益思路,为特大城市养老资源配置、养老基础设施建设提供参考。 导弹吊挂结构强度及疲劳寿命仿真平台开发 导弹吊挂结构尺寸参数繁多,而结构形式基本固定。为了提高导弹吊挂效率并便于对结构在不同参数下的强度和寿命进行分析,开发了导弹吊挂结构强度及疲劳寿命仿真分析平台。平台界面采用Visual Basic语言编写,借助PCL(PatranCommand Language)对有限元软件MSC.Patran进行二次开发,以命令流驱动的方式实现了吊挂结构静强度及疲劳寿命分析的参数化和自动化。仿真结果表明,开发的仿真平台计算高效,可为导弹吊挂结构设计提供技术支持。 用于连续域寻优的分组蚁群算法 "用蚁群算法进行多模函数优化时,容易陷入局部最优,从而影响了寻优精度和收敛速度。因此提出了一种用于求解连续空间优化问题的分组蚁群算法。该算法将连续空间优化问题的定义域划分成若干个子区域,并给每个子区域分配一组蚂蚁。每组蚂蚁在各自的区域里进行搜索,且在搜索过程采用""精英策略""并利用精英蚂蚁更新普通蚂蚁的位置信息,以加快算法的收敛速度。同时,当普通蚂蚁离精英蚂蚁之间的距离较长时,使用大步长搜索,以加快搜索速度,反之,采用小步长搜索,可提高搜索过程的精细程度。该方法使每组蚂蚁的搜索空间成倍地缩小并能有效地改善陷入局部最优的情况,从而能使收敛速度和精度大幅提高。计算机的仿真实验结果证实了这一结论。" 面向社会安全事件的分布式神经网络攻击行为分类方法 大数据时代下,社会安全事件呈现出数据多样化、数据量快速递增等特点,社会安全事件的事态与特性分析决策面临巨大的挑战。高效、准确识别社会安全事件中的攻击行为的类型,并为社会安全事件处置决策提供帮助,已经成为国家与网络空间安全领域的关键性问题。针对社会安全事件攻击行为分类,提出一种基于Spark平台的分布式神经网络分类算法(DNNC)。DNNC算法通过提取攻击行为类型的相关属性作为神经网络的输入数据,建立了各属性与攻击类型之间的函数关系并生成分布式神经网络分类模型。实验结果表明,所提出DNNC算法在全球恐怖主义数据库所提供的数据集上,虽然在部分攻击类型上准确率有所下降,但平均准确率比决策树算法提升15.90个百分点,比集成决策树算法提升8.60个百分点。 引入信息预处理的多状态二进制改进算法 针对多状态二进制防碰撞算法通讯数据存在冗余的情况,引入信息预处理与阅读器部分接收机制。在识别过程只处理冲突位,阅读器只接收并记录标签部分数据,尽量避免数据重复发送与接收,状态标志根据不同指令做变化,减少交互次数,从而降低通讯数据量。采用Java进行算法模拟仿真,结果表明,在碰撞位不连续,碰撞位冲突率低于25%时,算法在减少通讯量方面,具有明显优势。若不计入预处理过程,该算法在任何碰撞位冲突率下通讯数据量都有较大优势。 单相并网逆变电流分数阶PI控制策略研究 并网发电系统中,逆变器输出的并网电流易受到电网电压周期性扰动等非线性因素干扰,导致并网电流波形畸变;建立单相光伏并网逆变控制系统模型,设计一种逆变电流分数阶PI(PIλ或FO-PI)控制器,根据控制系统的频域特性,确立控制器的比例、积分系数及积分阶次与系统性能指标的关系;在整数阶PI控制器和分数阶PI控制器的分别作用下,对系统的动态和稳态性能、抗干扰性及并网逆变特性进行仿真对比,并应用快速傅里叶变换(FFT)对系统各自的逆变并网电流质量进行了分析;仿真结果表明,分数阶控制系统在满足各项稳态性能指标的同时,降低了并网电流谐波总畸变率(THD),并提升了系统的动态性能和抗干扰能力。 基于RBAC模型实现电子政务系统业务的柔性处理 "电子政务的迅速发展,加大了业务处理的复杂度,先前的系统业务处理功能虽然丰富,但随着需求的不断变更和业务处理的不断重组,使得系统的适应能力已逐渐不能满足这种变化带来的柔性需求。文中根据电子政务系统存在业务处理流程中的""固化""的现象,引进基于角色的访问控制(RBAC)模型,从顶层设计的高度,利用基于数据字典的系统实现方法,实现了系统对业务的柔性处理,增强了业务处理的灵活性,从而提高系统的适应能力,进一步使得基于电子政务的政府机构办事效率提高。" 湍流模型影响狭长空间内火灾烟气运动的数值研究 为准确地预测狭长空间内火灾引起的烟气运动,本文研究了不同湍流模型的影响。针对某一巷道内的火灾烟气运动,分别采用考虑浮力修正的双方程湍流模型和大涡模拟方法展开计算。计算结果表明大涡模拟方法的预测值与测量值吻合较好,普通的双方程湍流模型虽然进行了浮升力的修正,但计算结果与实验值仍然存在着一定的差距。 浓缩商覆盖立方体技术研究 提出一种新的浓缩商覆盖立方体的数据立方体压缩技术,在商覆盖立方体中省略了部分只依据基本表即可快速应答查询的基本单元组,从而缩小其体积。给出浓缩商覆盖立方体的生成算法和查询算法。实验结果表明,浓缩商覆盖立方体的元组数量仅为原商覆盖立方体的62%,验证了浓缩商覆盖立方体技术的有效性。 基于多线性独立成分分析的掌纹识别 为快速有效地在掌纹识别中学习多种因素的高阶统计独立成分,利用多线性独立成分分析方法对掌纹张量进行降维,得到低维的模式矩阵,将掌纹图像向模式矩阵上投影以提取核心张量,通过计算核心张量间的余弦距离实现掌纹匹配。基于PolyU掌纹图像库的实验结果表明,与主成分分析(PCA)、二维PCA、独立成分分析和多线性PCA相比,该方法的识别率最高,且满足系统实时性要求。 电力计量业务中AGV作业调度问题的优化模型研究 通过对电力计量业务中AGV作业调度问题进行分析,建立了该问题的优化模型,并与TSP问题、多机调度问题及JSSP问题进行了比较分析,得出了该问题的复杂度度量和最优解的理想下界。由于该问题是一个NP难问题,求解空间巨大,不存在可在多项式时间内确定求解的算法。因此提出了一个贪心算法来求解该问题,并与传统的先来先服务策略进行了比较。模拟实验验证了该算法的有效性。 网评信息的关键词计算方法 人们在网上消费前日益重视相关的评论,快速获取评论的有价值信息备受重视。文章基于网络评论中的普遍性特征,利用句法信息设计了主题算法,给出了主题分布的详细推理,在计算主题关键词时,设计了词相关性计算方法,采用惩罚因子调节横跨多个主题的关键词,使得关键词更精确反映本主题的内容。和标准主题模型对比,实验结果显示所设计的句法模型算法能清晰表示主题脉络,有助于人们获取海量评论中的关键信息。 基于可调Q-因子小波变换的语音增强算法 针对语音增强算法中传统的小波阈值法的局限性,提出一种基于可调Q-因子小波变换和清浊音分离的语音增强算法。首先用过零率和短时能量法判别清音和浊音;然后在可调Q-因子小波变换下,对清、浊音采用不同的阈值处理,在不同尺度上,分别结合系数能量和噪声方差得到的阈值作为清音和浊音的阈值确定准则;再利用改进的阈值函数分别处理清音和浊音的小波系数,估计出不含噪声的系数;最后进行小波逆变换,得到抑制了噪声的语音信号。对含有高斯白噪声和有色噪声的语音进行仿真实验,结果表明:与目前许多经典的去噪方法相比,该方法在去噪效果和提高语音可懂度方面均有一定的改善。 多源混合变形传递研究 变形传递是将已有模型上的变形传递到目标模型上,使目标模型能呈现出与源模型相似的变形效果.该文在Sumner等人提出的基于三角网格上的变形传递的基础上,实现了将源网格多种不同的变形传递到同一目标网格上的混合变形传递.在这个过程中提出了两种方法,一是直接将源网格多个部分不同的动作混合传递到目标网格,另一种是先将源网格进行动作融合,再将混合动作传递给目标网格.本文采用在分块时使各部分在边界处具有重叠的三角形和在能量方程中增加边界处的光滑项两种方法,最后得到了光滑的混合变形传递结果. 基于时空分析的突发事件检测方法 现有突发事件检测方法多数未考虑事件的重要性,且以孤立的方式看待事件的突发时间域和空间域。为此,提出一种基于时空要素综合分析的突发事件检测方法。引入数据立方体结构存储事件词,通过基于语义相似性的实时事件聚类算法抽取出重要事件。根据TFIDF计算事件在时空维度上的出现权重,给出有限状态机-高斯分布模型识别时空突发事件。实验结果表明,该方法能够有效地识别出事件的突发时间段和突发区域,与现有突发事件检测方法相比,检测突发事件的准确率更高。 基于平面模板的机器人双目标定与目标定位 视觉是机器人获取外界信息最主要的途径,通过视觉系统准确地定位目标物体是机器人控制中的关键技术。为了使机器人准确地获取目标物体的位置,文中采用平面模板法对双目摄像头进行标定,构建机器人坐标系,完成对目标物体的定位。经过标定,双目摄像头可以获取目标物体在空间坐标系中的位置,经过坐标系转换,可以获得目标物体在机器人世界坐标系中的坐标值,该值是机器人实现对目标物体伺服跟踪和抓取的重要数据。最后,本方法在家庭机器人上得到了验证,机器人能够准确地定位目标物体。 不规则Mesh NoC上基于路由表的路由 片上网络(NoC)系统通常集成很多不同尺寸大小的IP模块,具有不规则的拓扑结构,传统的路由算法可能不再适用。针对NoC不规则拓扑结构的路由问题,提出INC路由算法。该算法采用2个很小的路由表,即FDT路由表和IFDT路由表。实验结果证明,INC路由算法在多数情况下能找到2个节点之间的最短路径,平均延时小,而且FDT和IFDT路由表只有固定的12项,大小不会随着片上网络规模的增大而增大。 基于自适应滤波和MBVC的矢量误差扩散法 针对彩色图像最小亮度分布处理方法中存在的由于使用固定误差扩散系数带来的方向性纹理缺陷问题,提出了一种基于自适应滤波的最小亮度分布处理改进算法。该算法通过自适应的方法,在处理过程中随图像特性动态调节扩散系数,使得处理结果更加逼近原始图像。使用最小亮度分布的办法,减小因各颜色之间亮度不同所带来的彩色噪声。实验结果表明,该算法处理输出图像更加柔和,在图像渐变区域没有明显纹理,效果更好。 基于敏感Native API的恶意软件检测方法 分析恶意软件传播与破坏的行为特征,包括进程、特权、内存操作、注册表、文件和网络等行为。这些行为通过调用相应的API函数来实现,为此,提出一种基于敏感NativeAPI调用频率的恶意软件检测方法,采用Xen进行二次开发,设计对恶意软件透明的分析监测环境。实验结果表明,使用敏感NativeAPI调用频率能够有效地检测多种未知恶意软件。 嵌入式Linux平台下地理信息系统 在军事行动、抢险救灾等特殊活动中,需要使用定制的便携地理信息系统。讨论了嵌入式Linux下,以QT、MAPCAP、MapInfo等软件为开发工具,构建地理信息系统的步骤和方法。经测试,系统运行良好,整个设计思路对快速开发嵌入式地理信息系统有一定参考价值。 基于相机姿态信息的图像投影 在很多实际应用中,需要将相机拍摄的图像由一个已知的相机姿态下投影到其它已知姿态下,文章根据源图像和目标图像对应的相机姿态计算出源图像到目标图像的投影映射矩阵,然后利用OpenCV里的cvWarpPerspective函数进行投影变换。然而直接利用cvWarpPerspective函数进行投影变换时,会出现一些问题,一些在源图像对应相机的光轴反方向的场景会出现在目标图像中。文章分析了出现这种错误的原因,并提出了解决方案,通过实验,验证了这种方案的正确性,得到了正确的投影结果。 一种有效的专题信息集中和检索策略 Internet上专题资源网页汇聚和检索是垂直搜索引擎中的核心问题,HITS算法是早期解决这个问题的经典算法,很多文献对它进行了改进,但无论索引的主题相关率还是引擎的查准率都有提高的余地。提出一种基于锚文本和标题信息过滤并结合网页内容相关度判断的HITS专题检索策略,利用专题训练集判断主题相关度,很好地解决了只依靠查询字符串判断的弊端。实验表明,此策略能很好地提高专题信息汇聚精确度和检索的准确率,并且减少了非相关URL的下载量。 认知无线电系统中调制滤波器组的设计 作为一种新的智能频谱共享技术,认知无线电(CR)技术允许认知用户在不干扰授权用户的前提下使用其空闲的频谱而有效提高频谱利用率。为克服传统认知无线电系统物理层传输技术即正交频分复用(OFDM)多载波调制技术因高的旁瓣衰减带来的相邻带间干扰和认知用户对授权用户的干扰,研究了一种可以替代的多载波调制技术,即滤波器组多载波调制技术。将滤波器组的设计归结为一个无约束的线性优化设计原型滤波器问题,并提出一种间接设计的方法。该方法首先采用Parks-McClellan算法设计两个低阶的线性低通滤波器,然后通过插值和级联操作优化设计需要的原型滤波器。仿真结果表明,相对传统的直接设计方法,所提方法能够明显降低滤波器系数长度和改善滤波器组的混叠误差。 基于DVC的联合信源信道码率控制算法 为了在分布式视频编码(DVC)中得到更好的码率控制性能,根据视频序列的时空相关性,提出一种信源和信道相结合的码率控制算法。该算法对于信源利用时空相关性将图像块进行分类,在信道建立删余矩阵与turbo码率的数学关系,根据不同的块类型,选择不同的删余矩阵,实现码率控制。实验结果表明,该联合信源与信道的码率控制算法在保证图像质量基本不受影响的情况下,系统码率减少了3.12%~10.45%。 Pro/E二次开发在模型检查技术中的应用 近年来,人们运用CAD/CAE的先进设计方法,提高了产品的设计质量,获得了显著的经济效益,但也逐渐认识到了它在应用中出现的各种问题。在利用有限元法分析结构空间尺寸复杂的产品时,需要将建好的CAD模型转换输出到CAE系统中,但数据传递过程中往往会出现各种问题,耗费用户巨大的时间和精力。本文为了能够顺利地进行有限元分析计算,从研究CAD/CAE模型转换出发,基于VC平台对Pro/E二次开发技术做了一定的探索研究,成功实现了模型转换前CAD模型的预先检查。 一种基于Voronoi图求解车辆路径问题的混合启发式算法 "针对由多个配送中心和多个客户点组成的物流网络中的车辆路径问题,提出了一种基于""集群第一,路线第二""的路径优化策略,即首先使用Voronoi分割对配送区域进行划分,然后引入综合插入算法和变邻域搜索算法的混合启发式算法求解配送区域内车辆路径问题。通过算例和应用系统的分析与验证表明,该混合算法既能获取质量较优解,同时也具有较好的实时性,能较好地满足实际应用需求。" 面向叶子图像的植物归类的特征序列描述方法 针对叶子图像的植物数据库的归类系统,提出了一种新的基于高斯混合模型特征函数的图像特征序列描述方法。定义了图像的高斯混合模型、特征函数及其性质,用自适应的方法把图像分解为K个模型,并在每个分量模型和混合模型上定义由频谱、相位角和功率谱组成的局部特征序列和全局特征序列。在中国科学院智能计算所的叶子图像数据集leaves(ICL)上进行了K-means归类实验,结果表明该图像描述方法比LBP局部综合特征和高斯混合密度函数有更好的归类结果。 MANET基于带宽估计的TCP拥塞控制仿真 为改善MANET网络的拥塞控制,设计一种基于带宽的拥塞识别与控制机制。通过实时监测节点可用带宽,获取节点拥塞程度指标,采用包循环进入队列和分类丢包的策略进行拥塞控制。该机制不需要邻居节点的信息,降低了系统开销。通过NS2仿真结果验证了该改进机制的有效性,相比TCPNewReno,端到端延迟减少了5%到34.9%,相对于TCP NewReno和TcpVegas,在吞吐量性能方面有所提升。 基于WSN的水产养殖环境监测系统设计 针对传统水产养殖过程中对水质监测的实时性差,测量精度低等问题,设计基于无线传感器网络的水产养殖环境监测系统;系统利用ZigBee无线通信技术组建传感器网络,采用混合网拓扑结构,通过对传感器节点硬件和软件的设计,完成水产养殖池中的溶解氧含量、PH值、温度等重要养殖指标的实时测量;水质数据汇聚到中心节点后传送给主控制器,并通过GPRS上传至云端保存;另外,针对云存储的安全问题,利用同态加密对上传到云端的数据进行加密,在不破坏云计算能力的前提下保护了用户的隐私数据。 机器人视觉伺服中CMAC学习控制系统研究 根据小脑模型关联控制器(CMAC)收敛速度快,适于实时控制系统的特点,设计了一种基于CMAC学习控制方法的机器人视觉伺服系统。在该系统中,CMAC被用作前馈视觉控制器对常规反馈控制器进行补偿。所提出的CMAC控制器替代图像雅可比矩阵来获得目标图像特征和机器人关节运动之间2D/3D变换关系,通过其在线学习,可以使系统对摄像机标定误差不敏感,从而提高系统的鲁棒性。实验证明了所设计控制系统的有效性。 邻域保持判别非负矩阵分解 非负矩阵分解(NMF)是一种新的矩阵分解技术,为了提高NMF算法的识别率,提出了一种新的方法——邻域保持判别非负矩阵分解(NPDNMF),该方法通过将邻域保持判别分析(NPDA)与NMF相结合来实现。邻域保持判别分析是一个将线性判别分析(LDA)与局部保持投影(LPP)综合考虑的判别分析方法,该算法既保持了LDA的判别能力,同时又可以保持原始数据的几何结构。通过将NPDA与NMF相结合,提取得到局部化同时又有很强判别能力的基图像。在ORL人脸数据库上进行人脸识别实验,结果表明该方法得到较好的识别效果。 短切碳纤维电磁散射特性仿真研究 研究单根碳纤维的电磁散射特性,如何改善碳纤维的吸波性能,是碳纤维复合吸波材料的难点问题。为上述问题,提出用圆柱体模型模拟碳纤维,根据三维电磁场有限元(FEM)算法,当平面波激励时,采用四面体棱边元网格划分及辐射边界条件以及矩阵方程组的自适应迭代算法,在AnsoftHFSS仿真平台上模拟了碳纤维的电场散射特性,获得了单根碳纤维的电场散射图。结果表明,在合适的长径比范围内,碳纤维与入射波产生强烈的谐振,碳纤维的电导率、轴向和入射波频率对其电磁波散射均有重要影响。仿真结果对设计具有强吸波性能的短切碳纤维复合吸波材料具有参考价值。 低空间复杂度的LSH算法及其在图像检索中的应用 局部敏感哈希LSH算法是有效的高维数据索引方法,如何生成哈希函数是算法的关键部分。LSH算法的哈希函数是基于p-稳态分布随机生成的,为了提高算法性能就需要增加哈希表的数量,但这会增加算法的空间复杂度。改进后的LSH算法(I-LSH)在生成哈希函数时不需要有标记的训练样本,而是仅仅利用数据点的分布信息构造投影方向。实验结果表明,在不显著降低检索性能的情况下,ILSH有效地降低了内存的使用量,适合处理大规模数据。 基于情绪词与情感词协作学习的情感分类方法研究 情感分类任务旨在自动识别文本所表达的情感色彩信息(例如,褒或者贬、支持或者反对)。提出一种基于情绪词与情感词协作学习的情感分类方法:在基于传统情感词资源的基础上,引入少量情绪词辅助学习,只利用大规模未标注数据实现情感分类。具体来讲,基于文档-单词二部图的标签传播算法框架,利用情绪词与情感词构建两个视图,通过协作学习的方法从大规模未标注语料中抽取高正确率的自动标注样本作为训练数据,然后训练分类器进行情感分类。实验表明,该方法在多个领域的情感分类任务中都取得了较好的分类效果。 一种基于频度统计的动态二进制翻译优化方法 "在动态二进制翻译过程中,将执行频度高的代码片段长时间驻留在翻译缓存,同时扩大翻译器一次执行的代码量,是减少上下文切换开销、提升系统效率的有效途径。为此,提出了""热代码识别→超块缓存构造→T-Cache管理策略改进""的优化线索,设计了一种基于频度统计的热代码识别算法,将频度值超过预设阈值的基本块及其后续基本块作为热代码识别条件;基于识别出的热代码,提出了构造超块缓存的思想,将热代码包含的基本块翻译后做物理连接,形成容量更大的超块缓存提供给T-Cache系统;以此为基础,改进了T-Cache系统原有的查找方法和替换策略。实验验证了该优化方法的正确性和有效性,在国产申威处理器平台上,该方法使得标准测试集SPEC 2006获得平均9.34%的性能提升。" 基于多Agent的油藏工作协同平台研究 文章根据油藏研究工作的实际要求,按照业务驱动和协同工作的设计理念,采用面向服务架构(SOA)、多Agent等技术,提出和建立了油藏研究支持平台系统框架、油藏研究数据的统一存储逻辑结构与管理模式、多工作区软件协同应用机制,并提出了相关设计的技术解决方案,可实现在一个服务器下将所有在用专业软件集成在一个平台进行协同工作和油藏研究数据的统一存储与管理,解决数模建模成果存储零散没有形成大规模数据存储等问题。 基于公交车骨干网的区域路由协议研究 针对表驱动路由协议应用于城市交通环境时所造成的网络拥塞问题,提出一种改进的区域路由协议。根据公交车运行线路固定和速度稳定的特点,构建以公交车节点作为簇头节点、普通车节点作为簇内节点的簇,在此基础上将区域路由协议引入到公交车骨干网中,通过主动路由和按需路由方式促进车辆节点的数据传输。仿真结果表明,与无线自组网按需平面距离矢量路由协议、目的序号距离矢量协议相比,该路由协议具有更低的传输时延、丢包率及路由开销。 改进的变步长行加权仿射投影算法 针对带衰减因子的变步长仿射投影算法(VS-APA-FF)中加权投影矩阵容易产生病态化的问题,文献[8]提出了正则化的VS-APA-FF(VS-APA-FF-REGU)算法,但加权投影矩阵的运算量仍然较大,为此提出改进的行加权变步长仿射投影算法(VS-APA-RW)对加权投影矩阵的计算进行简化。该算法采用间歇更新的变步长策略,有效降低了的整体运算量。最后通过有色输入下的信道盲辨识表明了算法的性能。 一种基于非局部思想的改进图像降噪算法 在基于稀疏和冗余字典的图像降噪算法的基础上,提出了一种基于非局部思想的改进图像降噪算法。与传统的基于稀疏表达的图像降噪算法K-SVD相比,提出的算法增加了一个相似块聚合的过程,使得学习的字典更小且更准确。利用自然图像包含很多的自相似,相似样本聚合学习出的字典比传统K-SVD算法能更准确更稀疏地表示样本。稀疏度的提高使得重建后的信号更加准确、适应性更好。实验证明提出的算法取得了更好的视觉效果。 化工过程系统多目标夹点分析方法的案例研究 提出水夹点、热夹点和碳夹点的内在联系。利用序贯方法,依照多目标夹点分析方法及其步骤,通过案例对此方法进行验证。主要分如下3个步骤:(1)运用水夹点技术确定出最小新鲜水用量,并运用修正的NNA算法确定出水网络;(2)由得到的水网络图,提取各物流数据,运用热夹点技术确定出最小冷热公用工程用量;(3)由热公用工程数据和二氧化碳排放目标,利用碳夹点技术确定最小清洁能源用量和能源分配方案。通过实例分析,最终得到实例的系统需求最小新鲜水125.94 kg/s、最小热公用工程为5289 kW,最小冷公用工程为3.36 kW。在二氧化碳排放限制的情况下,得出能源合理分配方案,以及最小清洁能源用量2029 kJ/s。同时得到节水效率为23.24%,热公用工程削减81.34%,冷公用工程削减99.98%,节能效率显著。 基于任务的虚拟企业组织设计研究 虚拟企业构建具有明显的任务导向特征。当某企业面临资源缺乏或能力限制而导致无法独立完成某项生产任务时,就可以以盟主企业身份通过构建虚拟企业来完成该任务。组织设计关系到虚拟企业运作的成败,因此组织设计问题成为虚拟企业研究一个关键问题。本文以注塑模开发任务为例,探讨了虚拟企业组织设计问题,提出虚拟企业组织设计框架,并对组织设计中的关键问题进行了研究。本文提出的虚拟企业组织设计框架包括任务分解及归并、伙伴集构造及初选、伙伴集优选、组织结构设计等关键环节,文中对上述各阶段的主要内容及实现技术进行了详细分析与说明。针对虚拟企业组织设计中的关键问题—伙伴集优选,建立了基于完工时间约束的,以任务总成本最小化为目标的数学模型,并采用限制法,借助0-1背包问题证明了该伙伴优选问题是NP难题。为求解上述伙伴优选模型,提出了粒子群求解算法。在上述研究基础上,引入爬山算法对粒子群优化算法进行改进,以克服采用粒子群算法求解整数规划问题,容易因为取整而漏掉较优解及易于陷入局部最优等弊端,提高优化求解效果。通过注塑模开发任务的伙伴优选实例验证了粒子群求解算法的实用性及有效性。本文研究对虚拟企业快速、有效地组建具有一定指导意义。 一种分布式非结构化数据副本管理模型 针对云存储系统中数据副本管理的延时响应等问题,提出一种面向非结构化数据的分布式副本管理模型。该模型采用机架选举算法,通过提高每个机架能源利用率的方法降低系统整体能耗,为绿色数据中心提供技术保障。运用多路线性散列算法,将数据副本动态均匀地分布到不同机架的不同节点中,以提高系统性能、平衡负载和资源利用率。仿真实验结果证明,与传统的全局映射法相比,该模型可以达到较高的存储与负载平衡,具有良好的扩展性和可用性。 机器人操作系统ROS通信层的弱终止性验证 机器人操作系统ROS是一个基于Linux的开源次级操作系统.ROS提供硬件抽象描述、底层驱动程序管理、共用功能的执行、程序间消息传递、程序发行包管理.ROS的出现为机器人软件的二次开发、利用提供了可能性.ROS的通信层既要传递请求,又要传递处理请求完成后的结果数据.所以ROS通信是机器人能否正常工作的关键因素.本文通过概率模型检验的方法对通信中订阅节点、发布节点和信息传递过程进行了抽象建模.分析通信模型中各节点各状态的弱终止性.分析系统在不同任务负载繁忙程度下,通信任务完成所花费的时间. 基于IMF能量谱的水声信号特征提取与分类 经验模态分解(EMD)是用来处理非平稳时变信号的一种信号分析方法,该方法对所分析信号的局部特征信号进行不同时间尺度的分解,从而得到这些局部特征信号的各阶本征模函数(IMF)。提出了一种基于IMF能量谱的水声信号特征提取与选择方法,通过对水声信号进行经验模态分解,提取信号的本征模式分量并转换为能量谱特征向量,从而观测不同信号子频带能量谱的特征变化。分类实验采用支持向量机(SVM)分类器进行。实验结果表明,相对于小波能量谱特征提取法而言,利用IMF能量谱作为特征向量的分类实验具有更佳的分类效果,平均正确率达88%以上。 基于Matlab的大规模电网低频振荡仿真 大电网互联产生的低频振荡现象严重危害电力系统的稳定运行。论文主要介绍如何在MATLAB中建立大电网的仿真模型,并根据低频振荡的产生机理,模拟了低频振荡现象。仿真结果表明,该模型符合电网实际运行状况,为后续研究如何抑制低频振荡提供了平台基础。 基于LMD的PWM整流电路故障特征提取新方法 脉冲宽度调制(PWM)整流电路结构日益复杂,对其可靠运行提出了更高的要求;对局域均值分解(LMD)用于PWM整流电路的故障特征提取进行研究,提出一种基于LMD和加权频带能量法的特征提取新方法;该方法通过逐步抽取调频调幅成分将故障信号在频域上展开,然后基于信号能量的频带分布特点,充分考虑各频带成分与故障的相关性,构造故障特征向量,实现特征提取;最后以PWM整流电路为例进行仿真,相电压380V,仿真时间0.5s,0.1s时注入故障;结果表明,该方法能有效地提取故障信号的特征,并降低特征向量的维数。 基于插值滤波器类型的方向变换 针对运动补偿残差应用方向变换存在着较大的复杂度,提出了基于插值滤波器选择类型的方向性变换的算法。该算法根据运动补偿过程中对分数像素插值时所选用的插值滤波器类型来判断图像的大致纹理方向。由于不同类型的插值滤波器能够反映出图像不同的纹理方向,因此根据选择的插值滤波器的种类对运动残差选用合适的方向变换。实验结果表明,改进的算法在运算复杂度增加不明显的基础上,可以有效地提高编码器的压缩效率,降低比特率,同时PSNR得到增加。 基于特殊线性群的自同构群的签名 基于特殊线性群的自同构群上的离散对数问题,提出一种非交换群上的签名方案;分析特殊线性群的自同构群上的离散对数问题的困难性。通过适当地选取参数,表明新签名方案的安全性高于有限域上的DSA算法,甚至等同于椭圆曲线上的DSA算法。结果表明,采用Leedham-Green算法计算矩阵的幂运算,使得新方案的运行效率得到提高。 基于最短路径搜索序列编码的多下一跳路由 多下一跳路由较之单下一跳路由有许多天然的优势,通过分析现有多下一跳路由实现机制下的路由算法,提出了基于最短路径搜索序列编码的多下一跳路由。针对SPT(shortest path tree)路由实现机制无法利用等距离邻居节点之间链路的问题,提出了采用Dijkstra算法对网络节点编码赋值的思想。该方法可以对节点进行严格有序的赋值,规范了链路传输方向,有效地避免了环路,提高了网络资源利用率。仿真分析结果表明了该算法的可行性和有效性。 基于耦合振荡器模型的WSN时间同步算法——以ZigBee网络为例 提出了一种基于耦合振荡器模型的ZigBee网络时间同步算法,解决了ZigBee网络数据采集过程中的延迟,使得整个ZigBee网络趋于同步,提高了系统的时间精度。该研究引入不应期的方法解决延迟节点重复激发的问题,分析模型的可行性,以及模型中各个参数对同步精度的影响。通过基于耦合振荡器模型的线性动态函数,解决经典模型中计算量过大的问题。通过测试平台上的实验,验证了算法的可行性。 复杂体制雷达辐射源信号特征的FAHP评价 提取有效的雷达辐射源信号特征是复杂体制下雷达辐射源信号识别的重要基础。为实现对复杂体制雷达辐射源信号特征的评价,提出了复杂体制雷达辐射源信号特征的模糊层次分析评价模型。该模型在构建复杂体制雷达辐射源信号特征评价指标体系的基础上,针对层次分析法在权重确定方面存在的不足,通过引入三角模糊数来刻画专家评判的模糊性,将该模型应用于雷达辐射源信号特征评价的算例分析,并将计算结果与标准层次分析法的分析计算结果进行了对比,结果表明该模型的计算结果与标准层次分析法的计算结果是一致的,从而证明了该模型的可行性和有效性。 基于ARM11的矿用智能便携式监控系统的研制 文章针对传统的井下监测信息量小、电缆铺设复杂、不能及时将井下信息反馈等问题,研究了一种基于ARM11硬件平台以及Wince6.0嵌入式操作系统的矿用便携式监控系统,采用Zigbee技术架构实现无线传感器网络,结合数据融合算法,实现井下信息的监测监控,为操作人员提供决策信息;该系统设计结构巧妙,可移动无缝接入网络,凭借着ARM11强大的硬件平台、WinCE6.0嵌入式操作系统在数字智能掌上电脑的成熟应用以及优秀紧凑的人机界面设计,将井下监测信息浓缩于一掌之中,实现了系统的高度智能化、提高了系统的可靠性,体现了该系统的优越性、灵活性和智能性,经过试验表明,检测数据达到了0.1级精度。 一种改进的适用复杂场景的运动目标检测算法 "针对传统运动目标检测算法中存在的""拖影""、光变干扰、阴影等问题,提出了一种改进的更具鲁棒性的检测算法。基于背景边缘检测差并通过两次结合帧间差分法以及颜色偏差用以消除噪声和减低运动目标边缘断裂现象,从而获取运动目标的完整轮廓,同时采用双向模板填充算法进行运动目标的分割,最后通过数学形态学滤波和连通域分析来进一步去除噪声和填补空洞,获得完整理想的运动目标区域。实验结果证明,相对于传统的帧差与背景差分检测算法,能够有效地克服阴影和光扰所产生的噪声问题,可以在复杂背景下准确地检测分割出运动目标,并满足实时性要求。" 基于Adaboost算法的虹膜合格状态检测 虹膜图像的采集是业界公认的难点,也是制约虹膜识别广泛应用的主要原因。怎样快速方便地采集到一幅清晰度足够并且有丰富纹理的高质量虹膜图像,对虹膜识别的速度和准确度都起着至关重要的作用。提出了一种基于Adaboost的虹膜图像合格状态检测和定位算法,能够快速有效地一次性检测出虹膜图像采集中的各种不合格图像,例如图像中没有完整眼睛、眼睛睁开程度不够、闭眼、斜视、运动模糊等。大量实验结果表明,该算法具有较好的检测准确率,对各种干扰情况有较强的鲁棒性,并且检测速度快,能够达到实时要求。对于检测合格的图像,还可以大致定位出虹膜在图像中的位置,为后续的虹膜定位节省时间。 电力应用系统与企业门户集成规范研究 电力行业信息化发展过程中出现了信息孤岛和数据不规范等问题,这些问题的解决已变得十分迫切。本文介绍电力公司身份管理与企业门户的集成接入规范,包括需要接入门户的应用系统在建设时所必须符合的要求和需要接入门户的应用系统在与门户集成时所必须遵守的规范。 对随机投影算法的离群数据挖掘技术研究 d维点集离群数据挖掘技术是目前数据挖掘领域的研究热点之一。当前基于距离或最近邻概念进行离群数据挖掘时,在高维数据情况下的挖掘效果不佳,鉴于此,将基于角度的离群因子应用到高维离群数据挖掘中,提出一种新的基于随机投影算法的离群数据挖掘方案,它只需要用接近线性时间的方法就能预测所有数据点的基于角度的离群因子。该方法可以用于并行环境进行并行加速。对近似质量进行了理论分析,以保证算法的可靠性。合成和真实数据集实验结果表明,对超高维数据集,该方法效率高、可伸缩性强。 一种防火墙规则冲突快速检测算法 目前,在防火墙规则冲突检测算法中,效率问题一直没有很好的解决,当防火墙规则数目较大时,检测规则冲突的速度很难满足客户的需要。为了能够快速地检测出防火墙中的规则冲突,在目前使用较多的ASBV算法上提出一种规则冲突检测算法(DBBV算法)。该算法采用的方法是使用位向量和分治技术,该设计在检测规则冲突的时候,设计的算法只是进行了一次位运算。同时该算法采用的是范围形式的规则集。经过对算法详细的分析,以及通过实验方法的验证,改进的DBBV算法的规则冲突检测效率明显高于ASBV算法。 基于SIFT排序的视觉跟踪算法 针对不稳定的关键点对以SIFT(Scale Invariant Feature Transform)为目标特征的视觉跟踪算法的影响,提出基于SIFT排序的视觉跟踪算法。为实现SIFT排序,提出空域稳定因子和时域稳定因子,并由此构成重要性权重,以表征各个特征点的重要程度。在SIFT排序的基础上,各个关键点按照重要性权重的不同参与跟踪,从而实现基于SIFT排序的视觉跟踪。该算法克服了不稳定的关键点对跟踪结果的影响,从而提高跟踪的准确性和鲁棒性。 基于PSO优化BP神经网络的话题趋势预测 为实现对话题趋势的预测,提高现有预测模型的预测精度,提出一种基于PSO优化BP神经网络的热点话题趋势预测模型。综合分析话题的热度表现形式,选取具有良好表征能力的话题热度指标,构建话题热度的时间序列值;基于PSO算法全局寻优的良好性能,构建基于PSO优化的BP神经网络话题热度预测模型,改善BP神经网络易陷入局部最优值的缺陷。综合对比分析预测曲线及误差曲线图,验证了提出模型具有较高的预测精度,能够很好地模拟话题热度的变化趋势。提出话题热度增长率的概念对热点话题趋势进行预测分析,该方法对热点话题的预测具有一定的现实指导意义。 基于数字生活网络联盟的跨局域网共享媒体方案的设计 数字生活网络联盟(DLNA)实现了智能设备在局域网内共享媒体资源,但不支持跨局域网的访问。基于DLNA提出一种客户端/服务器(C/S)架构的局域网间媒体共享方案。该方案通过设计应用级的DLNA网关/路由器,使用前者作为局域网的代理,后者作为局域网间的中转服务器来实现智能设备的跨网访问。实验结果表明该方案具有现实可行性,且能有效保护用户隐私。 利用控制关系分析优化不确定数据Top-k查询 由于概率维的存在,使得准确高效地处理不确定数据的Top-k查询成为一个急需解决的难题。提出了一种利用控制关系分析(dominate relationship analysis,DRA)的不确定数据Top-k查询算法。该算法通过分析元组之间的控制关系,将那些最有可能成为Top-k查询结果的元组选择出来,这样大大减少了参加运算的元组数量,显著提升了查询效率。并且在数据库更新时,能够判断出此更新是否影响到之前得到的查询结果,从而决定是否需要重查,减少了重查的计算量。 复杂网络中重叠社区检测 社区检测是研究复杂网络结构的基础。在分析现有重叠社区检测算法的基础上,提出了一种基于边的重叠社区发现算法SAEC。算法将社区看成是由边构成的集合,通过定义边的相似度,得到概率转移矩阵。利用谱聚类方法自动确定社区数目,最后调用K-means算法实现重叠社区划分。通过随机生成网络和真实网络的测试,验证了该算法的有效性。 基于经验模态分解的小波神经网络预测模型 针对小波神经网络(WNN)在非平稳、非线性时间序列预测上无法实现自适应多分辨率分析,且其预测精度有待提高的问题,提出基于经验模态分解的小波神经网络预测模型。首先,对非线性、非平稳时间序列进行经验模态分解(EMD),以降低时间序列的非平稳性;然后对EMD分析得到的固有模态分量(IMF)和余项分别构建WNN模型;最后,汇总预测结果,得到预测值。通过数据验证,新模型的预测精度高于BP神经网络和WNN。 金刚石与石墨局域态密度和能带结构的第一原理分析 计算与分析电子结构对类金刚石薄膜材料的研究尤为重要。本文用第一原理分子动力学模拟,以研究金刚石和石墨的局域态密度和能带结构。第一原理计算赝势平面波法和密度泛函理论(DFT)去计算,电子交换相关能采用广义梯度近似(GGA)去描述,这种方法可以正确无误的预测半导体带隙与尺寸的关系。金刚石的禁带很宽,为绝缘体,Sp3杂化轨道在费米能级附近提供部分能态密度。石墨是零带隙半金属的内部结构根源就在于离域π键,费米能级处的能态密度几乎全部来自p能级的贡献。研究结果预测结构变化和Sp杂化轨道产生的函数关系,是很多物理性质不同的最根本原因,而物理性质的研究则可以最直观的体现这种函数关系,可以更深入地研究金刚石和石墨这两种物质。 社会语义网社区发现标签传递算法研究 针对在线社会网络的特性和现有社区发现算法的不足,提出一种基于语义网技术的在线社会网络社区发现算法ISLPA(Improved Semantic Label Propagation Algorithm),即一种适用于大规模在线社会网络的社区发现和标识算法。ISLPA算法对语义标签算法SemTagP进行改进,在社区划分过程中将在线社会网络视为有向加权图,通过语义网和社会化标签技术,充分结合在线社会网络丰富的语义信息和网络拓扑特征进行社区划分。ISLPA算法不需要预先设定社区数量和大小,就能实现社区发现,并能根据标签自动识别划分的社区。算法接近线性时间复杂度,具有较高的效率。通过实验表明,ISLPA算法能有效划分和标识真实在线社会网络。 空间数据聚类结果分级处理算法研究 空间聚类形成结束时,适当对聚类簇展开分级处理,相当于是对聚类结果进行二次加工,探索发现其潜藏在自身的秘密。空间分级处理一般是按照一定规则,对非空间属性进行运算,得到各个簇的等级。还可按照空间方位权重,对方位因素进行等级划分,得到空间簇在方位上的分布等级。两种分级方式也可按照影响因子的不同同时进行等级划分,实现两者的协同使用。 随机误差对相控阵天线的副瓣影响分析 以一维均匀直线阵为模型,利用概率统计的方法分析了随机幅度误差与随机相位误差对于相控阵天线波束副瓣电平的影响,给出了详细的推导过程及波束峰值副瓣电平的闭式表达式,并进行了计算机仿真验证和比较。仿真结果表明,理论公式推导得到的峰值副瓣电平与仿真结果吻合良好。 中文简历自动解析及推荐算法 为解决企业人工筛选电子简历效率低等问题,提出一种简历自动解析及推荐方案。对中文简历中的句子进行分词、词性标注等预处理,表示为特征向量,并利用SVM分类算法将所有句子划分成预定义的六个通用类别,包括个人基本信息、求职意向和工作经历等。利用个人基本信息的词法和语法特征,手工构建规则来实现姓名、性别及联系方式等关键信息抽取;对复杂的工作经历等文本用HMM模型进一步抽取详细信息,从而形成基于规则和统计相结合的简历文本信息抽取方法。考虑企业和求职者双方偏好,提出基于内容的互惠推荐算法(Content-Based Reciprocal Recommender algorithm,CBRR)。实验结果表明,整个方案能有效处理电子简历,提高简历筛选效率,辅助企业进行人才招聘。 量子粒子群优化算法的控制参数分析 针对现有的量子粒子群优化算法(QPSO)中收缩-扩张系数α取固定值或线性变化时,不能很好地适应复杂的多维非线性优化搜索问题,提出了两种参数α控制策略:基于Logistic函数的动态非线性递减策略和自适应参数调整策略。在第一种策略中引入S型函数来描述α值在进化过程中的动态变化特性,第二种策略中引入反馈调节方式来控制α值的变化。几个典型函数的实验测试结果表明,两种改进后的参数调整策略对于复杂优化问题在收敛速度和平均最优值上都有所改善,明显优于取固定值或线性变化策略。 一种通用可组合安全的快速密钥交换协议 针对快速密钥交换协议JFKi信息冗余及缺乏形式化证明的问题,提出一种轻量级快速密钥交互协议LJFKi。通过对比分析,发现所提协议的消息长度较原有协议减少1/3,具有较高的通信效率,更适用于对通信负载比较敏感的网络。利用通用可组合安全模型证明该协议能够实现安全会话理想函数,具有通用可组合安全性。 改进SVSLMS算法在系统辨识中的应用及性能分析 通过建立步长因子μ与误差信号e(n)之间的非线性函数关系,提出了一种改进的自适应可变步长最小均方(LMS)算法。该算法具有在误差e(n)接近0处缓慢变化的优点,克服了S函数变步长LMS算法在自适应稳态阶段μ取值偏大的缺点;具有初始阶段和未知系统时变阶段步长自动增大而稳态时步长很小的特点,解决了收敛时间和稳态误差的矛盾。将算法应用到系统辨识中,对比一般的变步长算法,改进的算法在平稳过程中具有更快的辨识速度和更小的稳态误差,同时还具有更好的跟踪时变系统的能力。 三维空间非结构网格生成方法研究 "随着计算流体力学领域待解决问题复杂程度的不断提高,传统的统一贴体结构网格已不能很好地满足针对复杂外形的高精度网格生成需求,而非结构网格以其独特优势受到CFD工作者的普遍关注。带有附面层的非结构网格是非结构网格生成的难点。进行了非结构四面体网格的生成方法研究,同时结合Spider软件平台中结构网格参数化附面层推进的技术优势,进行了基于Spider软件平台中非结构网格生成模块""UGCS""的开发。通过分析大量网格生成实例中网格质量和数值计算结果,验证了算法的可靠性与鲁棒性。" 基于核PCA与在线支持向量机的电子鼻气体分类研究 通过电子鼻系统获取的数据具有维数高、非线性变化等特点,不利于后续算法的识别或分类。因此,提出了基于核主元分析(KPCA)与在线支持向量机(Online-SVM)的电子鼻系统识别新算法。首先采用KPCA算法对采集到的原始数据进行特征提取,达到降维与去噪的目的,然后使用在线支持向量机对数据进行预测,最后与基于径向基函数的神经网络算法(RBF)预测结果进行对比分析。实验结果表明,新算法在电子鼻信号处理领域相对较优,具有较好的价值。 一种应用于闪存数据库的高效B+树索引机制 为解决现有闪存数据库索引机制无法同时具备高索引更新性能和高检索性能的问题,提出一种应用于闪存数据库的高效B+树索引机制。该机制采用日志方式更新索引,利用日志缓存区保证日志快速写入闪存。针对日志方式检索效率低的缺陷,设计节点日志映射表,通过哈希映射直接索引节点更新记录,避免全局搜索节点日志。将更新日志整合为B+树逻辑节点,使索引检索转化为B+树深度搜索,在此基础上设计节点缓存区,提高节点检索效率。实验结果表明,该机制相比日志型索引机制BFTL,更新效率提高了51%、检索效率提高了2.3倍,相比基于Nand闪存转换层的B+树索引机制,在保证与其相当的高检索效率的同时,更新效率提高了2.4倍。 网络信息安全影响要素研究及定量分析 互联网技术的发展导致网络信息的安全问题日益突出,世界各国每年都会因网络信息的安全问题遭受巨大的损失,如何评估网络安全成为网络管理的重要部分。文中在传统的模糊矩阵判别法的基础上,加入随机过程的思想,提出基于随机过程的模糊矩阵判别法。对影响网络信息安全的因素进行定量分析,既保留了传统模糊矩阵评价结果简洁直观等优点,同时又弥补了其实时性的不足,评价效果得到了提高。 2014年度《计算机测量与控制》读者索阅申请表 [正文]读者朋友,为了便于与您及贵单位保持联系,在编辑部、读者、广告厂商之间搭起一座信息沟通的桥梁,烦您在百忙中将下列及反面资料填写清楚,寄回我社,我们将您的个人资料录入数据库,您可获得不定期的赠阅杂志,感谢您的支持与合作。 基于张量奇异值分解的人脸识别方法 在人脸识别领域应用张量奇异值分解(TSVD)来进行人脸特征的表示和提取,克服了过去的提取方法,如主成分分析法(PCA)等过于依赖拍摄条件的缺点。TSVD将数据转换成三维线性模型,所以能避免二维线性方法中条件改变则精确度下降的问题,使得识别算法在变化的条件下获得了相对稳定的结果。在此基础上对算法进行了优化,利用矩阵分解,在不影响算法正确率的情况下,有效减少计算量,提高算法效率。基于Matlab对该算法进行了四组实验,并将结果与用PCA方法得到的结果对比,验证了该识别算法在变化条件下显著的正确性以及稳定性;同时,对优化的TSVD算法进行了实验验证,在数据量较大的情况下,该算法速度明显提高。 基于冲突对象集的决策系统分配约简算法 目前对不一致不完备决策系统的粗糙集属性分配约简研究较少,研究不一致不完备决策系统的分配约简更具有实际应用价值。基于此,提出一种基于冲突对象集的不一致不完备决策系统分配约简方法。通过定义冲突对象集的概念,给出计算核属性集和属性重要性的方法;在此基础上,给出求解不一致不完备决策系统分配约简的算法。理论分析和实例结果表明,该方法显著降低了分配约简求解的空间复杂度,更加适合大规模数据库。 基于动态邻居和变异因子的多目标粒子群算法 为了克服粒子群算法求解多目标问题极易收敛到伪Pareto前沿(等价于单目标优化问题中的局部最优解)和收敛速度较慢的缺陷,提出一种合并帕累托占优概念到动态邻居和变异因子的粒子群算法(particle swarmoptimizer based on dynamic neighbor topology and mutation operator,DNMPSO)来处理多目标优化问题(DNMMOP-SO),该算法也合并了外部存档技术来存储每次迭代产生的非劣解。模拟结果表明,提出的算法在多目标检测问题上要优于其他算法,因此,DNMMOPSO可以作为求解多目标优化问题的有效算法。 机会发现中简单场景构造方法研究 场景构造是机会发现过程中的关键活动之一。对机会发现场景的结构进行形式化描述,提出基于布尔相关矩阵并利用聚类分析的方法构造事件簇,进而实现机会发现简单场景构造的思想并给出实现方法。对机会发现场景构造方法性能的评估进行探讨,明确以效率系数作为机会发现场景构造方法的评估标准。实验表明,该方法的效率系数较高。 多核处理平台上任务图模型的并行调度策略研究 凭借着高性能,低功耗的特性,多核处理器已经占据了目前的主要市场.提出一种多核处理平台上基于任务图模型的调度策略.建立了多核平台上任务图的空间与时间并行调度模型;针对任务图的空间并行与时间并行调度模型提出了并行节点合并、分配的优化算法与流水线并行的优化算法.最后,提出将优化的空间与时间并行调度技术相结合的并行调度策略.通过实验验证,本文提出的算法比其他多核并行调度算法降低了处理器核心间的通信与同步开销,提高了系统的计算效率与吞吐量. 基于细菌趋药性的Ostu双阈值图像分割算法 双阈值或多阈值分割对于复杂图像具有较好的分割效果,但算法计算量较大,不适合实时应用。为此,提出一种基于细菌趋药性、利用域间最大方差的双阈值图像分割优化算法。仿真结果证明,与传统穷尽式搜索算法相比,该算法能在保证分割效果的前提下,降低时间复杂度。 无线Mesh网络可变路由度量的研究 针对无线Mesh网络复杂的应用环境和多样的业务需求,通过跨层操作机制综合考虑了链路可靠度、可用带宽、传输时延和干扰度等因素,提出权重系数可变的综合路由度量标准。在基于目的序号距离矢量协议的基础上,利用人工蜂群算法对综合路由度量标准建立数学模型求最优解,用NS-2仿真软件对其进行仿真实验。仿真结果表明,该方法能大大优化路由选择的效果,为路由选择最优路径,提高网络吞吐量,降低端到端的时延。 基于GPU的可视化测量仪器软件设计 提出利用显卡图形处理单元(graphics processing units,GPU)的并行信息处理能力解决仪器软件在执行海量数据处理、建模、渲染以及交互所面临的开销过大的难题,基于DirectX 11的计算着色器(compute shader,CS)实现海量测量数据的处理和建模以及高速推送渲染,建立在GPU内实现海量数据模型上点的拾取模块,以提高仪器可视化测量中的交互执行;实验比对证实了基于GPU的可视化测量仪器软件的高执行效率;研究为挖掘可视化测量仪器硬件能力、合理配置仪器CPU与GPU开销、在整体上提高仪器运行效率提供了一条有价值的技术路径。 煤矿井下排水监控系统的设计与实现 针对国内煤矿井下排水系统自动化程度低、可靠性差和工人劳动强度大等问题,设计了一种智能型煤矿井下排水监控系统;该系统结合PLC控制技术、组态王技术、工业以太网技术,以及模糊自适应PID控制算法,通过检测水仓水位和其它参数,实现了煤矿排水的全自动化控制、远程监控、无人值守、水泵自动轮换等功能,保证了煤矿排水系统的安全,提高了煤矿生产的效率;试验结果表明模糊自适应控制器具有良好的控制效果,提高了系统的动态性能;实践证明该系统设计合理,整体运行安全可靠、故障率低、节电效果明显。 基于动态定价组合反向拍卖的云工作流系统资源分配机制 为了引入动态定价机制、动态调整资源价格以提高资源提供商的竞争力,将动态定价组合反向拍卖方法引入云工作流资源分配中,设计了适于云工作流系统的组合反向拍卖模型,提出动态定价组合反向拍卖算法。通过基因序列工作流Epigenomics进行对比实验,结果表明固定价格时组合反向拍卖的时间费用之积和拍卖次数比反向拍卖分别平均降低60%和17%,组合反向拍卖中动态定价的时间费用之积比固定价格平均降低63%,资源利用率平均提高69%。 基于圆弧基元的工件实时定位与匹配方法 为实现工业过程中对工件的实时定位,提出了一种基于圆弧基元的工件实时定位与匹配方法。离线过程中,将边缘轮廓多边形近似,进一步分割成线段基元和圆弧基元,制作模板,确定模板中工件的位姿。在线过程中,以最长圆弧基元得到潜在匹配位置并变换模板,通过计算测试图像中几何基元与变换后的模板中对应的几何基元之间的距离来实现匹配定位。试验表明,该方法对于具有圆弧基元的几何形状的工件,能快速、准确地完成实时匹配定位。 基于长短时记忆和动态贝叶斯网络的序列预测 伴随着计算机视觉技术的迅猛发展,时间序列预测问题在算法优化中扮演着越来越重要的作用。由于数据不确定性的增加,多步预测遇到了巨大的挑战。针对传统预测模型中累积误差造成的预测精度低和算法复杂度等问题,提出了一种基于长短时记忆神经网络(LSTM)和动态贝叶斯网络(DBN)的时间序列预测模型,研究并证明了一种最优估计理论,并在此基础上得到了最优的预测估计。利用递归图模型,通过概率推理提高了预测性能,建立了一种由长短时记忆预测模型和动态贝叶斯网络组合成的新的图模型,称其为基于长短时记忆神经网络和动态贝叶斯网络的时间序列预测模型(LSTM-DBN),用于预测序列数据。仿真结果表明,该模型能够在提高序列预测精度和速度的同时,降低算法的复杂度。 光电跟踪中实时视频对象分割算法 光电跟踪系统研究中难点的问题是实时跟踪目标。针对光电跟踪系统所跟踪的空中目标在视频序列中的特性,将整个跟踪过程划分为远距离段、航前(后)段、航捷段。然后对远距离航段,采取一种弱小目标的动态规划检测算法,及时发现并跟踪目标;在航前(后)段,采取一种基于自适应双波门的视频对象分割算法分割出运动目标,计算出目标形心与跟踪物镜的角偏差,以偏差作为光电跟踪伺服系统的输入信号,驱动跟踪器跟踪目标;在航捷段,将每帧视频变换为一组分辨率从高到低类似金字塔式的分层框架,对目标采取从粗到细的方式进行分割,以实现视频对象占据大部分视窗时的目标快速、准确分割。通过实例验证了所提出的算法的有效性。 CMOS图像传感器多分辨率驱动算法设计 为满足用户拍摄图像时的不同需求,设计多分辨率驱动算法,使得用户可以根据需要动态调整采集分辨率,获取不同精度的图像。分析OV5642的工作原理及S3C6410相机接口特性;在嵌入式Linux和S3C6410平台上,研究基于V4L2的驱动框架,设计OV5642的驱动算法,重点设计并实现OV5642多分辨率的驱动算法。测试结果表明,该算法能够有效采集不同分辨率的图像。 IVirt:基于虚拟机自省的运行环境完整性度量机制 完整性度量是检测程序篡改的重要方法,但是在虚拟化环境下传统的检测方法已体现出不足.例如,度量软件与被度量对象处于相同操作系统中易受攻击.该文从安全性和性能两方面出发,提出了一种基于虚拟机自省的完整性度量机制IVirt(Integrity for Virtualization).该机制从虚拟机外部通过地址转换和内容定位得到所需的虚拟机内存数据,从而对虚拟机内部的程序进行完整性度量,以检验程序是否遭到篡改.该文以典型的虚拟机监视器Xen为例实现了IVirt原型系统.相比于同类工作,IVirt一方面将度量软件与被度量对象分离,防止度量软件遭到攻击;另一方面采用地址转换来度量运行时状态,这区别于采用事件拦截机制的度量方法,以降低性能开销.实验结果表明,该方法能够检测出虚拟机运行时的软件篡改,而且在性能上不会引入过高的代价. 面向供需网协同管理的企业知识建模研究 为了在供需网环境下实现企业知识的协同管理,提出了供需网企业知识本体(SDNKO)的概念,根据SDNKO的多粒度特性,将SDNKO的概念空间划分为元概念层、领域模型层和应用实例层。在分析元概念类的层次结构和概念类的关联集后,针对电梯行业领域的企业知识本体概念空间进行了详细的说明。为表达供需网的协同知识语义,阐述了SDNKO概念框架的巴科斯范式和文档类型定义。最后,给出了供需网企业产品订单生成的知识协同管理实例。 三维面心立方网格下的直线生成算法 以菱形十二面体为体素构成的三维面心立方(Face-Centered Cubic,FCC)网格是六角网格在三维的一种推广,直线生成算法在三维图形和图像应用中是一个非常重要和基础的算法.文中首先研究了二维六角网格下基于附属菱形空间的直线生成算法,然后将其推广至三维FCC网格,得到了一种FCC网格下的直线生成算法,该算法在三维方形网格下的Bresenham算法的基础上,利用附属平行六面体空间的平行六面体与FCC网格空间的体素之间的一一对应关系生成直线.该算法应用简单的判断公式,一步最多可生成3个体素,且只涉及到整数运算,因而没有累计误差. 具有推广Hukuhara导数的模糊微分方程的数值解 在推广Hukuhara导数概念下研究了一阶模糊微分方程的模糊初值问题,利用预估-校正算法给出了模糊初值问题的数值解,文中的例子说明了方法的可行性及实用性。 城镇森林交界域视频烟雾检测算法 针对城镇森林交界域火灾烟雾视频检测准确率低问题,提出一种融合多项图像特征和深度学习的视频烟雾检测算法。通过Vi Be方法提取前景变化区域,根据烟雾模糊特征和角点信息排除部分纹理细节较明显的区域。在此基础上,以颜色特征为判据进一步缩小检测范围,使用累积帧差法排除运动刚体的干扰,利用深度学习模型识别目标是否为烟雾。采用级联分类器的方式设计整体算法,并使用并行计算技术进行实现。实验结果和工程案例表明,该算法能够实现城镇森林交界域火灾早期烟雾的精准识别。 基于分类距离分数的自适应多模态生物特征融合 匹配分数是传统的融合分数指标,但是其不能很好地区分类内和类间数据,分类置信度虽然可以较好地将类内类间数据分开,但对于匹配分数仅次于分类阈值的数据,其分类效果不是很理想.因此,首先提出了一种基于分类距离分数的融合分数指标,其不仅携带一级分类信息,也含有匹配分数与分类阈值之间的距离信息,可增大融合后类内类间分数之间的距离,为融合算法提供了一个具有有效判别信息的特征融合集,提高了融合指标的利用率;进一步,利用信息熵表示信息价值多少的这一特性,定义特征关联系数和特征权重系数,并将加权融合和传统SUM规则统一在一个自适应算法框架中,提高了融合识别率.实验结果验证了所提出方法的有效性. 马尔可夫决策过程的限界模型检测 限界模型检测避免了符号模型检测反应式系统中构建二叉图时出现的空间快速增长,已经被证明是缓解状态空间爆炸问题的有力技术.文中遵循限界模型检测的思想,对马尔可夫决策过程提出一种限界模型检测技术,从而避免构建多端二叉图时空间的快速增长.具有非确定选择刻画能力是马尔可夫决策过程最大的特性,针对该特性首先定义概率计算树逻辑的限界语义,并证明其正确性;然后基于不同界下所计算概率度量序列的演化趋势,设计了限界检测过程终止的判断准则;最后将限界模型检测过程转换为线性方程组的求解问题.实验结果说明限界模型检测技术在证据较短的情况下,所需内存空间少于无界模型检测算法. 一类非线性采样系统高阶迭代学习控制 迭代学习控制能够实现期望轨迹的完全跟踪而被广泛关注,但是采样迭代学习控制成果目前还比较少。针对一类有相对阶和输出延迟的非线性采样系统,研究了高阶迭代学习控制算法。利用Newton-Leibniz公式、贝尔曼引理和Lipschiz条件证明了当系统的采样周期足够小,迭代学习初态严格重复,且学习增益满足要求的条件,那么系统输出在采样点上收敛于期望输出。对一阶和二阶学习算法的仿真表明高阶算法在收敛速度上比一阶有明显改善。 二面体群作用下简单多边形的分类 针对简单多边形的分类问题,将对称情况看成是相同类别进行分类来简化分类数,提出一种分类方法.首先分析简单多边形顶点的凹凸性,根据简单多边形顶点处凸点和凹点的分布情况,定义了简单多边形的标记矩阵;然后利用标记矩阵将简单多边形的分类问题归结为二面体群作用在状态集(全体标记矩阵组成的集合)上的轨道划分问题;最后利用熟知的Pólya计数定理求解轨道的个数,并给出了新的分类公式.实验结果表明,当简单多边形边数为6时,采用文中方法的分类数小于原来分类数,并且随着边数的增大,这种差距逐渐变大. 一种基于端到端的Ad Hoc网络TCP拥塞控制改进算法 提出了一种基于端到端的AdHoc网络TCP拥塞控制改进算法IADTCP(Improvement AD hoc net work TCP congestion control)。对现有AdHoc网络慢启动方案进行改进,以解决拥塞窗口增长不够平滑的问题;利用两连续数据包单向传输延迟差异IDD和短期吞吐量STT两个度量参数,联合判断网络拥塞状态;用丢包率PLR和包错序率POR判断信道错误、路由改变等网络状态;通过回送的ACK数据包携带网络状态信息,以便让发送端采取适当的控制措施。仿真结果表明,该方案是可行和有效的。 基于PSNR空域量化索引调制水印参数定量估算 量化索引调制方法是常用的水印嵌入和信息隐藏方法,量化步长是该方法中决定嵌入深度的参量,目前往往是通过反复试验方法确定该参量的取值,因此影响水印嵌入速度。针对此问题,以抖动量化调制方法为例,根据量化误差的分布情况给出了基于量化步长的量化误差定量估算;以该定量估算为基础,以空域像素为量化系数推导出了量化步长、水印数据量与PSNR之间的定量关系式。实验结果显示,在相同情况下通过实验测得的PSNR值和定量关系式计算的PSNR值基本一致,验证了推导的定量关系式的正确性。 网络数据传递的优化与仿真 研究网络数据传输速度优化问题。针对传统的网络数据传输信道中,存在选择最优信道进行数据发送,一旦最优信道发生阻塞,消息不能通知给发送端,造成大量信息继续发送到阻塞信道,导致信道拥塞,传输速度变慢的问题。为了解决这个问题,提出了消息通信机制的通信算法。将数据传输结束后的信道是否顺畅的情况,作为反馈意见反馈给发送端,作为指导后续数据传输的依据,在算法中设计了信道信息反馈机制,如果信道阻塞,数据将选择其它信道,克服了传统方法的弊端。实验结果表明,在数据量较大的情况下,有效地提高数据的传输速度。 小波分解在带钢缺陷检测中的应用 光照不均会降低带钢图像的质量,在研究带钢缺陷特点的基础上,提出一种新的带钢缺陷检测方法。首先,对图像取对数处理并进行小波分解,其次分别对小波分解的子图进行同态滤波,然后对滤波后的子图进行中央周边差操作形成差分子图,在此基础上,对差分子图进行融合处理并取指数处理得到高对比度的缺陷图像,最后采用Otsu分割方法对缺陷图像分割。实验结果表明,该方法能增强缺陷图像对比度,图像细节部分清晰,同时可抑制噪声的影响,能够有效地实现缺陷图像的分割。 异构多核处理器的任务分配及能耗的研究 异构多核处理器采用不同的任务分配与调度算法,会导致不同的时间消耗与能量消耗,采用合适的任务分配与调度算法能节省较多的能耗。目前普遍认为最有发展前途的任务分配与调度技术是先用启发式方法进行分组,然后再用遗传算法进行调度。在改进任务分组后,又首次提出了用遗传算法解决能耗问题。实验结果表明在实时要求不高的情况下,能以较小的时间代价来节省较多的能耗。 一个完善的基于判定链表的DFA最小化算法 应用判定链表进行DFA最小化方法中只处理无互相依赖等价状态会造成最小化结果不正确。针对此问题,分析了DFA中状态的k次传递等价、含自回路状态的等价以及互相依赖等价等结构特点,将分析结果应用于DFA最小化算法中,提出了一个完善的基于判定链表的DFA最小化算法。该算法涵盖所有等价状态的链表处理,与传统的分割或合并算法的最小化结果一致,保证了基于判定链表的最小化结果的正确性。 半监督学习在网络入侵分类中的应用研究 为了解决网络环境中已标记入侵数据获取代价大的问题,将半监督学习引入网络入侵分类领域。根据网络攻击类型的不同,将少量的已标记入侵数据分为三部分,分别作为最初的训练集训练分类器,形成三个差异较大的初始化分类器。通过三个分类器协同学习,实现对未标记入侵数据进行标记。详细介绍了使用KDD Cup99数据集构造半监督分类实验数据集的过程。实验结果表明,半监督学习能有效地挖掘未标记入侵数据信息,具有较高的入侵分类率。 基于冲突度和协同过滤的移动用户界面模式推荐 移动用户界面模式能够有效地提高移动界面开发的效率和质量。针对现有界面模式检索方法的检索结果不能满足界面开发需求的问题,提出一种基于冲突度和协同过滤的移动用户界面模式推荐方法。首先,根据移动界面的开发需求,使用模糊C均值聚类算法缩小界面模式的查找范围;然后,利用界面模式的历史评分和冲突度,构建了两个张量模型,并利用基于Hamiltonian蒙特卡洛的张量分解方法实现张量模型的重构;最后,通过线性方法得到推荐的界面模式。实验结果表明,与现有的检索方法相比,该推荐方法能够更好地帮助开发人员查找界面模式。 室内环境舒适度的神经网络建模与仿真 空调控制系统是提供给人一个舒适的热环境,影响热环境的舒适度指标SET*值与影响它的环境因素之间具有复杂性和非线性等特点,针对能实时的确定人体舒适度,为了能够满足空调系统实时控制的要求。采用优化L-M算法的BP神经网络方法能够控制实时的确定SET*指标,分析热环境因素与SET*指标的关系,以SET*指标作为输出,影响SET*的环境变量作为输入,建立了SET*指标的神经网络模型。仿真结果表明神经网络模型实时计算的SET*值与迭代计算得到的SET*值相一致,保证了室内舒适度的效果。 改进的核密度估计目标检测方法 为了增强核密度估计目标检测算法在实时监控系统的应用性能,在提高检测效果的同时减少运算量,提出一种改进的核密度估计目标检测算法。对原核密度算法进行深入分析,指出其原始样本是造成算法运算量大的主要原因;在此基础上,提出一种基于典型采样与多样性权值的改进核密度估计目标检测算法。提出更加灵活的样本更新方法,可随着背景变化快速更新样本信息,抗背景扰动效果明显。针对算法基于样本的特点提出一种基于样本的阀值分割方法,该方法能更好的与核密度估计算法融合,从而提高检测效果。通过实验验证了该算法的实时性和有效性。 一种基于水平精对准的阻尼网络设计 研究惯性导航中惯性阻尼器优化设计,在惯导阻尼研究中,针对惯导系统传统阻尼系统存在阻尼网络设计复杂、振荡性误差收敛速度较慢及状态切换产生较大超调误差等问题,为改善阻尼网络效果,提出一种采用初始水平精对准网络的阻尼方案,通过合理设计可调参数进行仿真设计,仿真验证了研究提出的阻尼网络的可行性。仿真结果表明,改进网络能够有效抑制舒拉振荡误差的影响,收敛速度较传统阻尼方法有较大提升,并使网络设计大为简化。同时,改进方法通过引入前馈可调回路,能够快速而有效地抑制无阻尼状态向阻尼状态切换时的超调误差。 修形齿轮成形磨削误差仿真分析 为进一步提高成形磨齿的齿形修形精度,提出了渐开线齿轮修形齿形通用算法模型。在齿轮齿廓法线方向上进行修形,建立了齿廓修形齿形一般数学模型。齿形修形后齿轮齿面变为非标准渐开线螺旋面,根据齿轮啮合原理,推导出针对修形齿轮磨削的成形砂轮截形的一般数学式。针对某型数控成形磨齿机床,以加工右旋斜齿轮为实例进行仿真加工分析。结果表明:所提齿形修形算法正确可行;由机床各轴间联动实现齿轮加工运动,磨削过程中由于存在齿轮磨削主导面,齿轮右侧齿槽误差分布情况优于左侧齿槽;齿轮齿形最大误差位于修形齿廓齿根过度区域。 振动发电储能系统模型设计仿真研究 为了有效采集利用自然界中普遍存在的振动能量,为某些电子设备提供电能,提出一种利用磁控形状记忆合金逆效应进行振动发电储能的新方法。MSMA合金是新型智能材料,为了使所设计系统有效工作,提高系统输出,进行模型设计研究。在分析MSMA振动发电储能系统原理基础上,基于K-L模型,研究材料微观结构,与热力学理论相结合,考虑材料内部退磁现象,建立了可定量描述磁场和激振力共同作用下的振动发电储能系统输出感应电压的优化模型,并对其进行MATLAB仿真。分析了振动应力幅值和频率单一变化和同时变化时对振动发电储能系统输出感应电压的影响。仿真验证了所建立数学模型的正确性和基于MSMA制作振动发电储能系统的可行性,为进一步研究提供理论依据。 WAP协议栈在Elastos平台上的设计与实现研究 Elastos是基于构件的嵌入式操作系统,应用于手机等数字移动设备中。WAP协议代表了主流的基于移动通信的互联网服务无线访问技术的发展方向。为了满足数字设备的无线应用需求,分析了现有的WAP协议规范及其应用模型,讨论了WAP中WSP和WTP两个部分的结构与技术细节,以Elastos系统平台为基础设计了构件化的WAP协议栈。并结合具体的CAR构件编程模型,依托Elastos的构件技术优势实现了具备基本功能的WAP协议栈。目前,WAP构件模块已稳定运行在实际手机应用项目中。 基于BIRCH聚类加速的彩色图像增强算法 针对现存的大多图像增强算法增强的图像可见性丢失问题,提出了一种基于BIRCH聚类加速的彩色图像增强算法;首先,通过BIRCH聚类加速确定数据库中与输入图像直方图相似度最高的图像来提取图像特征;然后,选择最小欧氏距离的特征值进行图像融合以获取目标图像;最后,增强图像通过目标图像直方图规范化和后期处理获得;大量图像融合实验结果验证了算法的有效性,该算法扩展了图像增强的类别,解决了增强过程中可能出现的可见性丢失问题,使图像增强的适应性更强;另外,EM、CII和SSIM评估指标的结果表明该算法明显改善了增强效果。 基于BP神经网络的矩形压电振子振动模态区分 采用BP神经网络,把矩形压电振子的各阶振型位移输入到神经网络中进行训练,提取各阶模态的振型特征,可实现矩形压电振子的共振振幅分布和振动模态阶次的非线性映射,以此区分各个模态。仿真实验结果显示,建立的神经网络模型可以从ANSYS输出的各模态中准确识别出矩形压电振子的B(3,1)模态,对训练样本外的尺寸也有一定的识别效果,表明所建立的BP神经网络可以有效地用于该矩形压电振子的振动模态区分。 基于传统交换机实现OpenFlow功能 随着OpenFlow技术的发展,各大网络厂商相继研发OpenFlow交换机.由于OpenFlow协议版本快速更新,网络厂商不敢盲目定制硬件.立足现有商业交换机的硬件平台,不改变交换机硬件,采用软件方式统一有效的管理交换机现有的硬件存储表,实现OpenFlow超长的流表项匹配和复杂的行为,研发出一款支持多流表查找的交换机,并完成了多流表交换机模型建模,完成数据包多流表查找的时间延迟分析,得到多流表查找的延迟函数,并测量了多流表处理的性能,为后续OpenFlow多流表实现具有一定的指导意义. 基于模拟退火粒子群的实时语音信号盲分离 针对现有的盲分离算法大多存在收敛速度慢、优化精度低的问题,提出了一种新的基于模拟退火粒子群的盲分离算法。该算法以分离信号的负熵为目标函数,根据分离信号的状态、粒子的惯性权值随退火温度及适应度的变化自适应地调节,既基本保持了粒子群算法简单容易实现的特点,又改善了其摆脱局部极值点的能力,提高了算法的收敛速度、分离精度和稳定性能。仿真对比结果表明,新算法性能明显优于自然梯度卷积混合盲分离算法和小波变换快速独立分量分析算法,很好地实现了实时语音信号的分离且提高了分离性能。 网络舆情热点信息自动发现方法 根据公共安全网络舆情研究的需求,将中文分词技术应用于突发事件应急管理中,提出基于ICTCLAS分词技术的网络舆情热点信息的自动发现方法。该方法读入新闻文本并进行分词和词频统计,从词频表中去除停用词,合并多单位关键词得到突发事件热点信息关键词列表,对网络信息及时进行检索,为突发事件应急决策提供技术支持。通过1个突发事件的实例验证了该方法的实用性和可靠性。 采用位平面直方图特征向量的图像检索方法 首先将图像分解为8个位平面,选择前4个重要位平面,求出其灰度码表示,根据每个灰度码位平面的颜色直方图,计算均值、标准偏差、偏斜度、能量、熵;综合这些特征构成名为位平面直方图特征向量的组合特征,进行图像检索。实验中采用Tonimoto相似度量函数计算图像间的相似度。该方法计算速度快,避免了图像量化造成的误检。实验结果显示了该方法的检索性能。 基于自适应匹配的QR码取样方法 通常由相机获取的QR码图像都带有一些失真,所以在译码前需要对获取的QR码图像进行识别以得到标准规格的QR码。针对QR码识别中的失真和校正进行了分析研究,解决了某些QR码经过倾斜校正和几何校正后仍存在一些无法避免的失真而无法被传统方法准确取样的问题,提出了一种自适应匹配取样法,根据相邻行(列)像素的匹配度准确获取QR码的模块有效取样区域。实验证明该方法稳定性好,能够快速准确地对QR码进行取样。 基于组合核函数KPCA的人脸识别研究 为克服基于单核函数KPCA的人脸识别方法的局限性,将几个单核函数合理组合以充分利用它们的互补特性,所形成的组合核函数性能将优于组合中的各单核函数。将高斯核函数分别与线性核函数、多项式核函数组合形成新的核函数应用于基于KPCA的人脸识别方法。分别基于ORL和YALE人脸库数据选择了合理的组合核函数参数讨论了组合核函数的整体性能。实验结果表明,该组合核函数KPCA方法对人脸识别率和识别时间较PCA和单核KPCA有很大的优越性。 Linux环境下基于Qt和xCAT的集群部署系统 随着集群技术在石油勘探、航空航天工程、生物工程等领域的应用越来越广泛,集群环境中各种类型节点不同的应用需求使得集群系统的管理难度也越来越大.采用集群技术的概念,在Linux环境下,利用xCAT+shell技术和Qt平台,设计和实现了集群部署系统,对集群中各种类型的节点进行集中配置和分发. 基于平均区域划分的Laplacian稀疏编码的图像分类 针对稀疏编码方法中编码过程不稳定和金字塔匹配的划分方法无法使得融合后的特征很稀疏这两个问题,提出基于平均区域划分的Laplacian稀疏编码LSCARD(Laplacian sparse coding based on average region division)的图像分类方法。首先,对原始图像进行局部不变特征转化(SIFT)特征提取;然后,在稀疏编码方法中加入Laplacian正则化对局部特征进行编码,使相似的特征具有相似的码字;再利用平均区域划分以及最大值融合将编码后的特征向量进行融合;最后,采用多类SVM分类器对图像进行分类。在几个标准图像数据集上的实验结果表明,LSCARD算法具有更高的分类精度。 基于描述逻辑的EER模型检测 首先分析基于描述逻辑的ER模型的研究现状,提出基于描述逻辑SHOIN(D)的EER模型,给出描述逻辑SHOIN(D)的语法和语义。然后研究EER模型的SHOIN(D)描述形式,以及如何将EER模型向SHOIN(D)知识库转化。最后给出EER模型可满足性、冗余性判定定理,证明了这些推理问题的正确性,并利用pellet推理机实现了EER模型可满足性和冗余性推理。 虚拟黑盒安全的程序混淆:模型、进展与挑战 在密码复杂性安全级别上实现程序安全保护方法,主要有两种:函数加密和程序混淆.程序混淆(program obfuscation)是一个编译器,它可将一个程序(布尔表达的电路)转化为可执行的混淆化程序,混淆后的程序与原始程序在相同输入情况下达到相同输出功能,但无法从混淆程序中获得有关原始程序或电路的任何有用信息.程序混淆使软件代码逆项工程在密码学上是可证明困难的,可用于软件产权保护、安全外包计算以及敏感代理操作等场合,也可实现密码学领域中的重加密、不可否认加密以及对称加密转换为公钥加密等应用.目前程序混淆已受到研究人员的广泛重视,然而Barak等(Eurocrypt’01)已证明对一般电路的理想化黑盒安全是不可能的.程序混淆设计要么针对某些具体函数电路,如点函数、多点函数、超平面关系函数以及重加密功能函数等,以达到虚拟黑盒安全的构造,要么弱化安全要求获得实用性可证明安全的程序混淆方案.鉴于程序混淆在理论研究与实际应用上的重要意义,该文对程序混淆技术的系统模型、安全性、方案设计以及性能等作综述性研究和比较分析,从混淆函数电路的可能性和不可能性角度作了归纳,并对典型的函数电路混淆作了描述和探讨.对VBB安全混淆、VGB安全混淆、最可能混淆、可提取混淆以及不可区分混淆等安全模型进行了分析探讨.最后对密码技术实现的混淆在性能上进行定义和归纳,对当前方案作比较分析,并对今后的研究方向作了展望. 支持服务区分的多智能体Q学习MAC算法 媒体访问控制(MAC)协议负责协调所有认知用户的空闲信道接入服务,是认知Ad-hoc网络支持服务质量(QoS)的关键技术之一。在二进制指数退避算法基础上,提出一种支持服务区分的多智能体Q学习MAC算法。实时调整传输概率,使系统信道接入服务达到最优,建立传输概率调节的Markov链模型,导出分组的传输概率与协议参数的关系,给出基于服务区分的信道吞吐率模型,建立基于MAC协议参数学习的多智能体Q学习算法。实验结果表明,该算法能满足高优先级业务的QoS,且吞吐率和时延性能优于IEEE 802.11e EDCA机制。 随钻测量系统故障诊断装置 为了提高千米定向钻机随钻测量系统的稳定性,探讨了随钻测量系统的故障机理,并开发了一套基于嵌入式系统的故障诊断装置.该装置以单片机为控制核心,以UCOS-II为软件构架,通过实时采集系统中关键参数来诊断故障所在.并通过图形和文本的方式显示在上位机上.结果表明该装置可以有效诊断系统故障所在. 有向图负环检测的负权最短路径矩阵算法 "给出赋权图对应的二维元素初始赋权路径矩阵和一般赋权路径矩阵概念,定义一般赋权路径矩阵的""乘法""运算,通过其""乘法""运算得到检测含负权有向赋权图负环的方法,该方法可以求含负权有向图不含负环时任意两点之间的最短距离以及对应的最短路径,结果显示在最后的一般赋权路径矩阵上。该方法对不含负权的简单有向图或无向图也成立,能同时计算所有点对的最短距离和最短路径。实例结果表明了该算法的正确性。" 二维Logistic分数阶微分方程的离散化过程 针对二维Logistic分数阶微分方程的求解问题,引进了一种离散化方法对其进行离散求解。首先,将二维Logistic整数阶微分方程推广到分数阶微积分领域;其次,分析相应具有分段常数变元的二维Logistic分数阶微分方程并应用提出的离散化方法对模型进行数值求解;然后,根据不动点理论讨论该合成动力系统不动点的稳定性,给出了在参数空间内二维Logistic分数阶系统发生第一次分岔的边界方程;最后,借助Matlab对模型进行数值仿真,并结合Lyapunov指数、相图、时间序列图、分岔图探讨模型更多复杂的动力学现象。仿真结果显示,所提方法成功对二维Logistic分数阶微分方程进行离散。 一种基于蚁群算法的物流配送VRP解决方案 物流配送是目前物流发展的新趋势,在物流配送中,配送路径规划对于顾客的满意度以及经营总成本有相当大的影响。通过应用蚁群算法,实现了物流配送VRP的优化过程,建立的算法能在短时间内找到最佳车辆数及对应的最佳配送路径。通过数据测试,发现该算法收敛性较好,在较高服务水平的基础上,明显降低了配送成本。 一种软件测试需求建模及测试用例生成方法 测试在需求分析阶段就开始介入,不仅能帮助开发人员更有效地完善需求,也能让测试人员设计出更贴近需求的测试.同时当需求进行了更改之后,测试人员也能及时和准确地了解需求的变化、更改测试需求.利用模型驱动的思想,对测试需求的概念进行阐述.定义了一种测试需求的元模型和测试需求建模方法,利用测试需求建模方法,可以得到测试需求模型,从而得到相应的测试目标,生成所对应的测试用例.并给出了对SIP协议进行测试的实验分析,在其中体现了利用测试需求建模得出的测试需求模型的作用. 基于原子Fisher判别准则约束字典学习算法 为了提高字典的判别性能,提出基于原子Fisher判别准则约束的字典学习算法AFDDL。首先,利用特定类字典学习算法为每个原子分配一个类标,计算同类原子和不同类原子间的散度矩阵。然后,利用类内散度矩阵和类间散度矩阵的迹的差作为判别式约束项,促使不同类原子间的差异最大化,并在最小化同类原子间差异的同时减少原子间的自相关性,使得同类原子尽可能地重构某一类样本,提高字典的判别性能。在AR、FERET和LFW三个人脸数据库和USPS手写字体数据库中进行实验,实验结果表明,在四个图像数据库中,所提算法在识别率和训练时间方面均优于类标一致的K奇异值分解(LC-KSVD)算法、局部特征和类标嵌入约束的字典学习(LCLE-DL)算法、支持矢量指导的字典学习(SVGDL)算法和Fisher判别字典学习算法;且在四个数据库中,该算法也比稀疏表示分类(SRC)和协同表示分类(CRC)取得更高的识别率。 基于SOA的分布式通用网管平台的研究与实现 文章分析了现有网管系统的一些不足之处,提出了一种跨平台的、基于面向服务架构(SOA)的分布式网络管理方案的总体设计,阐述了SNMP支持、MIB处理等问题解决的方法,并实现了网管工作站使用XML与网管服务器和代理之间的通信。 模糊控制在离网风力发电最大风能捕获中的应用 研究了一种模糊PID实现的自调整变步长算法,解决了爬山算法动态响应速度与稳态精度相矛盾的问题.分析了离网风力发电系统数学模型,建立了不测转速的控制结构.在Simulink平台上对一个5kW离网风电系统进行了仿真分析.结果表明,在平稳风速以及组合风速下,该算法均能有效提高跟踪速度,捕获更多功率. 一种个性化(p,k)匿名隐私保护算法 现有匿名算法多数仅针对准标识符进行泛化实现隐私保护,未考虑敏感属性的个性化保护问题。为此,在p-sensitive k匿名模型的基础上设计敏感属性个性化隐私保护算法。根据用户自身的敏感程度定义敏感属性的敏感等级,利用敏感属性泛化树发布精度较低的敏感属性值,从而实现对敏感属性的个性化保护。实验结果表明,该算法可有效缩短执行时间,减少信息损失量,同时满足敏感属性个性化保护的要求。 基于pLSA模型的滚动轴承故障检测 针对滚动轴承故障检测过程中训练样本收集难的问题,研究了视觉词袋模型和p LSA算法,提出了一种基于概率潜在语义模型的滚动轴承故障检测新方法。为了减少计算复杂度,降低特征的维数,在利用小波包变换提取滚动轴承故障特征后,引入视觉词袋模型将故障特征表示为视觉词袋特征的直方图;为了减少训练样本收集的难度,解决小样本问题,进而运用p LSA模型对滚动轴承故障进行检测。实验结果表明,该方法缩短了训练时间,提高了检测精度,具有一定的实用价值。 一种载波通信SOC信道交织/解交织器设计 基于HomePlug AV协议设计了一款在电力线载波通信SOC芯片中得到广泛应用的信道交织/解交织器,通过设置不同的文件顶层配置参数,可以使交织/解交织器以半双工方式工作在芯片中.该设计通过算法实现、寄存器级(RTL)设计并进行ModelSim和Synopsys仿真及DC综合后仿,成功地证明了设计的正确性.在100 MHz工作频率下运用DC综合工具进行时序时序分析可以得知,slack(MET)为2.45,面积为22 702.048 020μm~2,功耗为658.043 4μW. 基于单演定向幅值模式的复杂光照人脸识别 为了提高在复杂光照下的人脸识别率,提出了一种基于单演定向幅值模式的人脸识别算法。首先,用多尺度的单演滤波器提取图像的单演幅度和方向信息;然后,用一种新的单演定向幅值模式(PMOM)算子将同一尺度下的幅度和相位信息分解为多张定向幅值模式图,再用局部二值模式(LBP)算子提取每一个PMOM模式图的LBP特征图;最后,将每张LBP特征图分块,计算每一块的直方图,并将所有块的直方图串联后作为最终的人脸表示。在CASPEAL人脸库和YALE-B人脸库上的实验结果表明,该算法可以显著提高光照变化人脸图像的识率。另外,该算法参数设置简单,而且无需任何训练过程也无需对光照条件进行估计,因而具有简单、通用性好的优点。 基于方向编码的离散余弦变换域图像隐写算法 提出一种基于方向编码的离散余弦变换(DCT)域图像隐写算法。将秘密图像进行8×8块的离散余弦变换后,采用JPEG标准量化表进行量化,将得到的DCT系数做行程编码,间接提高嵌入容量。同时对载体图像进行8×8块的离散余弦变换,采用改进的量化表进行量化,由此提高嵌入容量和含密图像的质量。通过基于方向编码的嵌入算法将秘密信息嵌入载体图像DCT系数的中低频部分。实验结果证明,该隐写算法嵌入容量大,得到的含密图像视觉质量好,可抵抗2分析攻击。 虚拟二维激光雷达成像积分算法 虚拟二维激光雷达是激光雷达扩展应用的组成部分,可以结合3D场景点云特征进行二维激光雷达扫描障碍物模拟;提出根据光线传导特征建立积分方程得到了在多障碍物场景下的激光雷达图生成的解析解,利用AutoCAD与Visual C#联合编程的方法进行了虚拟激光雷达的实现;最后,实验结果与真实二维激光雷达比对,虚拟激光雷达能从3D场景中准确提取物体的位置和距离信息。 一种支持自定义模糊数据类型表示的模糊OWL扩展 语义网需要能够以一种智能的方式来表示和处理模糊知识与数据信息,但最近的研究证明,OWL在数据类型表示方面存在严重的局限性:首先,它不能处理在语义网和本体中广泛存在的不精确以及不确定信息;其次,它不支持自定义数据类型及自定义数据类型谓词的表示。为了解决上述局限性,提出了一种新的模糊描述逻辑F-SHOIN(G),给出了F-SHOIN(G)的语法、语义。然后,以F-SHOIN(G)为逻辑基础,并结合RDF/XML的语法规范,重新编码OWL中的描述算子,用来表示模糊本体知识,形成f-OWL。f-OWL不仅能够表示本体中的模糊知识,而且能够表示本体中含有自定义模糊数据类型及自定义模糊数据类型谓词的模糊数据信息,为模糊本体的研究提供了新的表示方法。最后,还给出了由OWL文件到f-OWL文件的转换规则,从而实现了f-OWL对OWL的兼容。 基于重新检测过程的三维细化算法的改进 现有的基于简单点判断的三维细化算法不能保证提取骨架的连续性,容易产生断裂。针对该问题,提出了一组各向同性模板,该模板能够使得算法具有90°旋转不变性;在此基础上,进一步提出了一种新的重新检测的方法,通过判断被删除的目标点的26邻域的连通性,来决定该目标点是否应该被还原,从而逐点检测3D物体的连通性,达到保持整体连通性的目的。该方法可以应用于大多数基于模板的三维细化算法,能够修复断裂,保证其拓扑结构,避免产生空洞;同时,与同类算法相比,本算法由于利用了各向同性模板,在物体旋转的情况下亦能得到最佳的细化结果。 基于分位数特征提取的时间序列模式分类 高速运行的离心机设备,其振动状态检测数据通常呈现出明显的非线性、正态分布和大样本的特征,数据波动的随机性使得其趋势特征难以捕捉。为此,提出一种新的时间序列模式分类方法。采集离心机设备运行状态的振动信号时间序列进行分析,根据对称原理提取序列数据的分位数,构建特征向量,采用欧氏距离函数构建相似性度量,建立模式分类的判定依据,使用k-means分类算法实现状态模式的自动分类。仿真结果表明,该方法能有效区分离心机设备运行中空载和负载的模式状态,且比传统的小波分析模式分类方法更加准确。 基于迭代反投影的彩色图像超分辨率重建 给出了一种结合相位相关配准算法和迭代反向投影的彩色图像超分辨率重建算法。相位相关算法是一种利用频域信息估计图像之间偏移量的快速算法。在HSV颜色空间下利用低分辨率图像间的亚像素偏移量进行迭代反投影,保留图像的H通道只对S通道和V通道进行迭代反投影。实验证明重建后的彩色图像与原始图像色调保持一致,并且很好地重建出图像的细节和纹理,避免了经过迭代之后造成的图像过度的平滑和颜色失真。 用于卫星电视调谐器的整数频率综合器设计 设计了一个用于模拟卫星电视调谐器的整数频率综合器.锁相环本振输出频率范围覆盖1.25GHz到2.8GHz,参考频率可配置为62.5kHz或31.25kHz.环路滤波器采用三阶有源滤波器,环路带宽为1kHz.电荷泵输出电流可配置为50μA或250μA.压控振荡器(VCO)采用差分反馈型结构,在偏离中心频率10kHz处的相位噪声小于-76dBc/Hz.分频器采用脉冲吞咽型结构,有15位控制位.P计数器从输入到输出只经过两个触发器和一个逻辑门,能有效减少由多级异步分频器产生的相位噪声.电荷泵充放电电流的不匹配会恶化参考杂散,这里引入了对电流过冲不匹配的考虑,在鉴频鉴相器(PFD)和电荷泵中加入了减少充放电电流过冲的措施.电路采用0.18μm RFCMOS工艺实现,面积1.3mm*1.5mm. 基于动态用户画像的信息推荐研究 针对传统信息推荐方式精度偏低的问题,引入用户画像作为推荐基础,在深入研究文本分类和用户行为后,提出一种基于动态用户画像的推荐方法.该方法通过动态分析用户历史数据,预测用户的兴趣变化趋势,从而实现动态推荐.离线实验证明,该方法在预测用户偏好变化方面具有一定优势,相较于传统的基于标签的信息推荐,提高了推荐精度. AXIe标准研究 ATCA(Advanced Telecom Computing Architecture,即先进电信运算架构)集众多先进总线于一身,速度快,性能稳定,是高性能计算和高速数据交换的理想架构;基于ATCA架构的测试测量总线—AXIe(AdvancedTCA eXtensions for Instrumentation andTest)不仅继承了ATCA的先进性,而且针测试领域的需求对ATCA作了必要的扩充;文中介绍了AXIe的通用测试规范1.0及其半导体测试规范3.1,介绍了它们的机械及电气特性;对比了AXIe与ATCA、LXI及PXIe总线的关系。 基于先到先服务的二维动态优先级信令排队算法 针对信令寻径式交换机的特征,提出对交换机的信令实施一种以先到先服务排队策略为基础,基于信令固定优先级和交换机端口轮转优先级的二维动态优先级排队算法,该算法将不同时刻到达交换机的信令请求按照到达的先后次序进行排队,同一时刻到达交换机的信令请求先按照信令固定优先级进行排队,优先级相同的信令请求按照交换机端口的轮转优先级进行排队,因此这种排队算法称为基于先到先服务的二维动态优先级排队算法,简称TDDP-FCFS(Two Dimensional Dynamic Priority-based First Come First Serve)。然后,使用强占型M/M/1/∞队列对其进行建模,讨论了TDDP-FCFS算法的性能指标以及计算方法,并给出了实际的计算结果。结果表明,TDDP-FCFS排队算法兼顾了信令优先级和交换机的端口优先级,实现简单,且能够很好地满足信令寻径式交换机的调度要求,具有较高的调度效率。 本刊检索与收录 [正文]国内中文核心期刊中国学术期刊文摘(中英文版)收录中国科学引文数据库(CSCD)来源期刊中国科技论文统计源期刊中国期刊全文数据库(CJFD)收录期刊中国科技期刊精品数据库收录期刊中国学术期刊综合评价数据库(CAJCED)收录期刊中国核心期刊(遴选)数据库收录期刊中文科技期刊数据库收录期刊 模糊情况下带时序约束服务流程的构建与优化 为满足模糊情况下带时序约束服务流程的迫切业务需求,提出一种新的服务流程构建与优化方法。建立了模糊情况下带时序约束的服务流程优化模型,从而有效表达服务质量属性及时序约束的模糊化内涵。基于模糊机会约束理论及最大模糊满意度法,将多目标模糊服务流程优化模型进行等价转化,并采用基于信息素的混合遗传算法求解。该求解算法不但利用局部优化信息,而且通过信息素记录的全局优化信息指导染色体的交叉,提高了求解效率。通过多组实验对比,说明了所提算法在求解精度及求解效率上的优越性。 基于多图谱配准的海马自动分割 为对海马体积形态进行研究,提出一种基于多图谱配准的T1加权脑部磁共振图像海马分割方法。海马分割对神经系统疾病的诊断有重要意义,配准技术有效地将医学图谱的先验知识融入分割过程,实现精确自动分割。为提高配准效果,采用改进后的最小化残差复杂度算法,在考虑图像灰度信息的同时,融入空间位置信息。该算法对噪声鲁棒性强,配准精度高。利用配准得到的空间变换将图谱海马对目标图像映射后,采用STAPLE算法进行融合,得到目标图像的海马。分析结果表明,该算法稳定有效,分割结果精确度高。 一种基于闪存固态硬盘的辅助缓冲池设计 基于磁盘数据库系统的瓶颈主要在磁盘I/O,通常采用缓冲池的设计,将读到的数据页先放入到内存缓冲池后再进行操作。因此,缓存池的大小直接决定了数据库的性能。通过研究基于闪存固态硬盘的特性,提出了一种基于闪存固态硬盘的辅助缓冲池设计。最后,通过修改开源数据库MySQL InnoDB存储引擎,并通过TPC-C实验对比分析了启用辅助缓冲池后数据库的性能可有100%~320%的提高。 音乐作品风格流派的神经网络识别方法研究 音乐风格反映了音乐作品的总体基本特征,是音乐欣赏、分析、研究的基础。针对音乐风格流派分析技术的核心——旋律特征描述和特征匹配,发展了非毗邻层连接的前馈神经网络结构,给出了误差反传训练算法的分类器,并进行了实验研究。结果表明,非毗邻层连接的前馈神经网络结构有优越的识别性能和极快的收敛速度。 大脑听觉系统建模研究进展 如今,信息通信技术和生物学的融合发展到了一定阶段,欧盟人脑计划、美国脑计划以及日本脑计划等都在开展相关的脑科学研究,中国也已经开展了中国脑计划。欧盟和美国的两个计划分别投资10亿欧元和45亿美元,都是采用计算机来模拟绘制详细的人脑模型,对人类大脑进行模拟是重要内容。以国内外关于大脑听觉系统研究的论文为对象,通过对大脑听觉系统进行分析,概括出近年来大脑听觉系统的研究热点和动态,并且分析了今后该方向的研究趋势,为我国在该领域的理论研究提供依据和方法。 大数据环境下异常信息检测仿真研究 大数据环境下对异常数据的检测,可有效保障大数据环境的稳定运行。对异常信息的检测,需要计算出相邻节点特征值的时空相关性,根据聚类结果确定大数据环境下信息异常突变的概率,完成异常信息的检测。传统方法确定异常信息的置信区间,给出异常信息检测判断阈值,但忽略了确定信息异常突变的概率,导致检测精度偏低。提出基于模糊聚类的大数据环境下异常信息检测方法。利用对原数据集中的数据点按比例进行抽样形成原数据集的子集,对异常信息特征的观测值进行预处理,搜索目标函数的局部极值点,提取待检测异常信息滑动窗口的特征值,构建指定区间内邻居节点间的时空关系矩阵,计算出相邻节点特征值的时空相关性,根据聚类结果确定大数据环境下信息异常突变的概率,完成对大数据环境下异常信息检测。实验结果表明,所提方法精确度高,为提升大数据的环境质量奠定了基础。 基于GIS的森林火灾远程监测与预警系统 为了提高森林火灾的预警及快速自动定位能力,采用GIS技术设计了森林火灾远程监测与预警系统,系统主要由监控中心、数据基站节点、监测节点和传输网络组成;监测节点接入ZigBee网络负责实时监测周围环境中的烟雾信号,当出现烟雾异常事件时,通过数据基站节点中继将报警信息发送至监控中心服务器上,并在GIS地图上定位火警位置,同时还可以监测环境中的温度、湿度、风速和风向等信息;通过实验证明,系统能够准确监测森林火灾,通过测量环境参数建立林区火灾预警模型,为预测火险等级提供数据支持。 PSO-SVM算法在肝脏B超图像识别中的应用 为提高肝脏B超图像的诊断准确率,研究了将粒子群算法(Particle Swarm Optimization,PSO)和支持向量机(Support Vec-tor Machine,SVM)相结合进行肝脏B超图像识别的方法;该方法首先提取肝脏B超图像的空域和频域的纹理特征,然后运用SVM对108幅肝脏B超图像进行分类,利用PSO算法优化SVM的模型参数,最后将该方法与基于网格搜索法优化的SVM和基于BP神经网络的分类方法进行了对比;实验结果表明,在PSO-SVM算法下,所提取的两种纹理特征相结合能够有效地描述肝脏B超图像,基于粒子群优化算法的支持向量机模型具有较高的识别精度,平均分类准确率达94.44%,这就表明PSO-SVM算法适用于对肝脏B超图像的识别。 融合模糊全局和双核局部信息的活动轮廓模型 尺度可控的局部区域(RSF)活动轮廓模型可用于灰度不均匀图像的分割,但存在初始化敏感和易陷入局部极小值的缺点,从而限制了其实际应用,因此提出了一种结合模糊聚类区域信息的变分水平集活动轮廓模型.该模型采用了模糊均值聚类(FCM)算法对图像进行预处理,将预处理结果二值化后作为下一步水平集演化的初始轮廓,解决了初始化敏感问题;设计了一个灰度域上的核函数,将其与RSF模型的空域核的一个线性组合作为局部能量项,弥补了采样权值仅与空间距离有关的缺陷,提高了分割精度;将聚类分析得到的模糊隶属度作为图像的全局信息,结合改进的CV模型,作为全局拟合力,增加了模型的自适应性,并加快了模型的收敛速度.实验结果表明,该模型能够自动初始化,抗噪性能强,对灰度不均匀图像有很好的分割效果. 基于SUMT-MPSO算法的CFB机组联合脱硝系统经济优化研究 针对目前超低排放政策下循环流化床机组(Circulating Fluidized Bed,CFB)SNCR+SCR两级联合脱硝系统运行成本较高的问题,设计了一种基于罚函数法(Sequential Unconstrained Minimization Technique,SUMT)的改进型粒子群寻优算法(Modification Particle Swarm optimization,MPSO),通过引入随机衰减因子和收敛因子增加粒子群在粒子上下边界区间内的随机性,提高算法全局搜索能力和收敛性;再利用罚函数法实现MPSO寻优算法对联合脱硝系统有约束条件的一维目标规划模型求解,寻找CFB机组两级联合脱硝最佳脱除份额配比。通过对某300MW CFB机组联合脱硝现场实际运行数据进行经济性模型寻优求解,得出不同负荷下系统最优运行成本,为联合脱硝系统经济性运行调整提供理论指导。 基于分层匹配五元组Codebook的运动目标检测算法 运动目标检测是智能视频分析的第一步,Codebook算法是该领域中广泛应用的算法之一。分层匹配五元组Codebook算法是在经典Codebook算法基础上的一种改进算法。该改进算法在码字模型中引入平均亮度代替最大亮度和最小亮度,并且依据平均亮度对高亮度和低亮度区域采用不同的匹配计算方法。实验表明,改进后的Codebook算法成功利用五元组代替六元组实现处理速度的提高,利用高低亮度区域分层匹配实现检测精度的提高。 一种基于图像分割及邻域限制与放松的立体匹配方法 提出了一种以K-均值分割为基础的立体匹配方法.该方法不仅可以根据图像的内容自动调整匹配窗口的形状,还可实现对参与匹配窗口的大小、数目和权重的智能调节.作者采用K-均值分割方法精确定位物体边界,保证匹配窗口位于同一物体内部;邻域限制与放松可以进一步根据图像内容灵活地运用匹配窗口周围的环境信息;两种方法的结合有效地提高了匹配过程中窗口选取的智能性.在国际立体视觉标准平台Middlebury网站中测试的结果证实该算法提取的深度图的错误率低于其它局部优化算法,接近全局优化算法,运行效率高于现有的全局优化算法,综合性能是出众的. 基于扩展前缀编码的左孩子右兄弟结构连接算法 为了更加有效实现XML文档的结构查询,加强结构连接操作的效率,提出一种新结构连接算法。该算法采用扩展的前缀编码方案,在编码中增加了type、index等字段以利于定位树中结点在祖先结点列表或者后裔结点列表中的位置。该算法通过将XML文档树转换成左孩子右兄弟树,并定位树中一个祖先元素的起始点下标和终结点下标来找到该祖先元素的后裔结点列表。算法时间复杂度分析表明了该算法比现有算法的性能更好。 一个Object-Z规格说明的证明责任产生器 定理证明是一种形式化验证技术,也是形式化方法的重要组成部分,它能从形式规格说明中推理出应具备的性质与属性,从而可以对规格说明进行形式验证。Object-Z是形式规格说明语言Z的面向对象扩充,基于集合论与数理逻辑,具有严密的逻辑性,适合于精确地描述大型软件系统,并且可以对其形式规格说明进行推理。设计一个证明责任产生器,从Object-Z形式规格说明出发,按照相关规则自动抽取相应的证明责任,这些证明责任可以直接输入到已有的定理证明器Z/EVES中进行证明之。证明责任产生器起着Object-Z规格说明编辑器与证明器Z/EVES之间的桥梁作用,方便于Object-Z形式规格说明的验证。 SVG数字高程模型生成与3D可视化方法 可缩放矢量图形(SVG)不支持3D模型的建立,为此,介绍SVG扩展元素<replicate>的实现原理,研究在SVG的3D模型建立方法,利用三角网格差分方法解决<replicate>元素不支持点数不一致、非均匀对象分布的问题,在SVG中建立3D数字高程模型,并对其实现在线旋转控制。对内嵌JS和Java后台程序生成DEM的方案进行比较,结果表明,内嵌JS方案的时间消耗较大,有必要扩展SVG标准中的3D表示标签。 基于NTRUSign的新型公钥基础设施的设计 为了提高可信第三方证书授权中心(certificate authority,CA)对数字证书的管理效率,提出了一种基于NTRUSign签名算法的多证书公钥基础设施(multi-certificate public key infrastructure,MCPKI)。对证书中所使用NTRUSign签名算法公钥的部分系数进行哈希运算,并在此基础上补全剩余系数得到一个新的公钥,然后依次循环此操作,将这些公钥对应的证书形成证书链,并将证书链应用到MCPKI场景中。该方案的提出为CA在数字证书的管理问题上提供了一种新思路,用户只需向CA申请一次,便可拥有证书链上的全部证书。与传统的公钥基础设施(public key infrastructure,PKI)相比,MCPKI实现了CA对证书更加高效的管理。在MCPKI中,不仅可以自发地进行证书替换,而且实现了证书的自签发与自撤销。 片上网络的星型簇结构通信能耗研究 相对于传统的平面结构,三维片上网络具有更好的集成度和性能。提出一种基于三维网格的星型簇结构的片上网络(3D SCBM-NoC),3D SCBM-NoC可以减少路由节点,降低处理节点间的通信代价。通过分析3DSCBM-NoC的拓扑结构,建立了针对星型簇结构的片上网络通信能耗模型,分别使用顺序方法和蚁群算法实现了片上网络映射。实验结果表明,相对于处理节点规模相同且数目为16的3D Mesh-NoC和2D Mesh-NoC,3DSCBM-NoC的通信能耗明显降低。 基于增量队列的在全置信度下的关联挖掘 关联挖掘是一种重要的数据分析方法,提出了一种在全置信度下的增量队列关联挖掘算法模型,在传统的FP-Growth及PF-Tree算法的关联挖掘中使用了全置信度规则,算法的适应性得到提升,由此提出FP4W-Growth算法并运用到对文本数据的关联计算以及对增量式的数据进行关联性挖掘的研究中,通过实验验证了此算法及模型的可行性与优化性,为在庞大的文本数据中发现隐藏着的先前未知的并潜在有用的新信息和新模式,提供了科学的决策方法. 多点电喷气体发动机空燃比闭环控制系统设计 空燃比控制是发动机性能实现中最重要的控制之一;基于玉柴某大型六缸单点气体发动机改多点电喷的基础上进行研究,在开放式ECU基础上针对燃气发动机瞬态变化过程中的反馈时间延迟,构建了一种基于前馈PID算法的空燃比闭环控制策略,用来预判和补偿空燃比超调和反馈时间延迟;解决了发动机瞬变工况下空气与燃气的精确匹配问题;通过对台架模型和发动机试验的数据分析,结果表明基于前馈PID控制算法的空燃比闭环控制策略能够进一步提高燃气发动机的排放效率和动力性。 一种电压控制CMOS电调谐第二代电流传输器(ECCⅡ) 提出了一种电压跟随能力强、功耗低、调节范围大的CMOS电调谐第二代电流传输器(ECCⅡ),通过引入对称的CMOS电流舵电路,保证了电流传输精度,电流增益连续可调,调节因子-2≤K≤2,同时避免了电流镜的过多使用,减小了电流损耗.采用电压调控方式,增大了电流输入范围;将电流输入端和电流加减电路隔离,保证了精确的电压跟随能力.采用TSMC 0.35μm工艺参数,在±1.5V电源供电的条件下对电路进行了Hspice模拟,VY/VX,和IZ/IX的-3 dB带宽分别为83.5 MHz和136 MHz,功耗为1.7515 mW.该电路在可调谐连续时间电流模式滤波器的设计中有广泛的应用前景. 机器人步态规划优化过程研究与仿真 机器人步态规划过程是一个随机性较强的过程,对步长和步频的计算过程需要根据现场环境,在大量的先验条件支撑下得出。传统的机器人步态规划需要大量的先验条件,一旦先验条件增加,也会需要大量的计算,以保证计算的准确性,导致步态规划过程耗时明显,机器人行为滞后。为解决上述问题,提出基于遗传多样性融合算法的机器人步态规划方法。建立机器人步态规划初始种群,针对种群中的所有可能的步态元素进行编码,利用遗传算法的寻优特性,对所有的步态规划中的遗传算子进行选择、交叉、变异、平滑运算。计算机器人步态规划交叉概率,获取步态规划的适应性,得到种群更新的终止条件,快速计算步态特征。实验结果表明,利用改进算法进行机器人步态规划,能够提高规划的合理性,缩短步态规划需要耗费的时间,提高步态规划的效率,得到最理想的步态规划结果。 基于FPGA的亚稳态参数测量方法 由于FPGA在高安全领域应用中需对跨时钟域电路可靠性(MTBF)进行评估,而亚稳态参数τ值是影响跨时钟域电路MTBF的关键参数.本文提出一种步进式测量FPGA器件亚稳态参数τ值的方法;然后以FPGA内部的数字时间管理模块为基础设计测试电路.通过对典型FPGA芯片进行实验,结果分析表明采用该方法能够有效测试出FPGA亚稳态参数τ和T_w值,且操作简便快捷. 城市视频监控系统的设计与实现 为了维护城市社会治安,提高相关安全部门对城市犯罪的打击能力,越来越多的视频监控系统被应用到了城市中。针对现代城市的特点和具体的系统要求,提出了城市视频监控系统的设计实现方案。系统融合了双流分离、高清视频、海量存储、控制与交换分离、IP标准信令控制、秒级高效检索等多纬度技术,并将整个系统分为前端采集设备、监控平台和传输线路三大部分,能充分满足城市视频监控的需求。在此基础上开发的城市视频监控系统已成功应用。 基于内P-集合理论的门限秘密共享方案 为确保密钥安全,防止密钥丢失,基于离散对数难题和内P-集合理论,提出一种新的(n,t)门限秘密共享方案。该方案将共享密钥先分成小块,然后混入构造的集合中。在密钥重构过程中,选取某个参与者作为密钥恢复者,有至少t个参与者为密钥恢复者提供秘密份额,通过构造单项映射和内P-集合的计算进行密钥恢复。由参与者自己设定子秘密,秘密分发者与参与者之间不需要维护安全信道,从而减小通信负担。实例分析结果表明,该方案实现简单,具有较高的安全性。 SNS中结合声誉与主观逻辑的信任网络分析 提出一种结合声誉与主观逻辑的信任网络分析方法,结合声誉与朋友间的信任关系来获得信任值。由于推荐来自信任的朋友也基于普遍的社会声誉,信任计算的结果更能反映真实情况。仿真实验及分析表明,该方法具有较高的效率和准确度。 智能实验室WMSNs中基于数据快速转发的QoS保障方法 拥塞是引起无线多媒体传感器网络(WMSNs)的服务质量(QoS)评价降低的一个重要原因。针对智能实验室WMSNs中的拥塞问题,借鉴业务区分的思想,充分考虑不同类型应用的QoS需求,提出一种基于数据快速转发的QoS保障方法。待转发的数据不再需要完全经过协议栈处理,而是通过学习数据特征建立调度转发规则,其后借助硬件,依据已建立规则处理和转发具有相同特征的数据。实验结果表明,该方法实现了有效提高了节点的转发性能,同时提供了基于业务特征的QoS保障。 高效求解整数线性规划问题的分支算法 为了提高求解一般整数线性规划问题的效率,提出了一种基于目标函数超平面移动的分支算法。对于给定的目标函数整数值,首先利用线性规划松弛问题的最优单纯形表确定变量的上、下界,然后将变量的上、下界条件加入约束条件中对相应的目标函数超平面进行切割,最后应用分支定界算法中的分支方法来搜寻目标函数超平面上的可行解。通过对一些经典的数值例子的求解计算并与经典的分支定界算法进行比较,结果表明,该算法减少了分支数和单纯形迭代数,具有较大的实用价值。 投标型网站瞬时拥塞问题研究 针对投标型网站的瞬时拥塞问题,提出基于业务流程优化的上传流量平均法EIF-BPO(Equalization of Information Flowbased on Business Process Optimization)。该方法利用消息摘要、信息加密和数字签名技术对现有投标型网站的业务流程进行优化,实现对上传流量的平均化处理,解决了由于截止时间前大量并发上传文件的用户抢占有限的带宽或服务器资源导致的上传超时问题。经验证,该方法能够有效避免投标型网站的瞬时拥塞问题,提高用户上传成功率。 一种快速、贪心的高斯混合模型EM算法研究 针对传统EM算法存在初始模型成分数目需要预先指定以及收敛速度随样本数目的增长而急剧减慢等问题,提出了一种快速、贪心的高斯混合模型EM算法。该算法采用贪心的策略以及对隐含参数设置适当阈值的方法,使算法能够快速收敛,从而在很少的迭代次数内获取高斯混合模型的模型成分数。该算法通过与传统EM算法、无监督EM算法和鲁棒EM算法的聚类结果进行比较,实验结果证明该算法具有很强的鲁棒性,并且能够提高算法的效率以及模型成分数的准确性。 基于双字符序检测的BM模式匹配改进算法 BM算法是一类效率较高的单模式匹配算法,通常改进的BM算法往往从提高字符首次不匹配概率和匹配窗口的最大移动距离入手,但为实现此目的所带来的高访存开销使算法实际效率受到影响。DCSBM算法以适当减小关键步长为代价,在利用双字符序检测提高首次匹配失败概率的同时,对匹配窗口移动关键步长字符距离所需的查表次数和访存次数进行优化。经测试,DCSBM算法显著提高了匹配窗口的平均移动距离。在文本或模式串相对较长情况下,该算法实际测试效率优于BM、BMHS、BMN等算法。 基于轮廓矢量化的形状匹配快速算法 针对现有形状匹配算法匹配速度慢、可靠性差的问题,提出了一种基于轮廓矢量化的形状匹配算法。将轮廓曲线点集离散化,使用多组向量对轮廓线性进行逼近。匹配时,结合线段在几何中的匹配方法,通过加权求和,计算源图像与目标图像中每组对应向量的相似度,将它们的平均值作为匹配结果。以焊盘表面轮廓为实验对象,使用所提出的算法进行匹配,结果表明,通过正确选取向量组数能有效避免匹配精度所受的影响,算法对不同类型的焊盘区分性较强,且匹配速度能满足实际应用的需要。 卷积神经网络诊断阿尔兹海默症的方法 针对阿尔兹海默症(AD)通常会导致海马体区域萎缩的现象,提出一种使用卷积神经网络(CNN)对脑部磁共振成像(MRI)的海马体区域进行AD识别的方法。测试数据来自ADNI数据库提供的188位患者和229位正常人的脑部MRI图像。首先,将所有脑图像进行颅骨剥离,并配准到标准模板;其次,使用线性回归进行脑部萎缩的年龄矫正;然后,经过预处理后,从每个对象的3D脑图像的海马体区域提取出多幅2.5D的图像;最后,使用CNN对这些图像进行训练和识别,将同一个对象的图像识别结果用于对该对象的联合诊断。通过多次十折交叉验证方式进行实验,实验结果表明所提方法的平均识别准确率达到88.02%。与堆叠自动编码器(SAE)方法进行比较,比较结果表明,所提方法在仅使用MRI进行诊断的情况下效果比SAE方法有较大提高。 用于PET成像系统的流水线ADC设计 针对高性能PET前端电子微系统结构中多通道前端读出电路和高速高分辨率模数转换的特点,设计了12bit 10MHz的流水式ADC.整个电路主要由采样保持电路、乘法数模转换电路、子模数转换电路、延时对准电路、数字校正电路、两相不交叠时钟电路六个模块组成.电路采用TSMC 0.18μm mixed signal CMOS工艺实现.电路仿真结果表明,流水线ADC的DNL为-0.6832~0.5994LSB,INL为-0.7997~0.7576LSB,SNR为62.140 6dB,ENOB为10.03bit.本文所设计的Pipelined ADC电路性能指标满足系统设计的要求. 模型检测在完整性形式化验证中的应用研究 对于信息系统而言,数据信息的安全性是十分重要的,数据的完整性是数据安全最重要的表现形式。为了确保系统中数据信息的安全性,提高系统可靠性,需要对数据的完整性进行分析和验证。针对数据完整性的定量评估问题,提出使用概率计算树逻辑对完整性定义进行形式化描述,并建立相应的马尔可夫决策过程定量评估模型,运用概率模型检测算法对完整性进行的评估,实现对完整性的定量验证。通过把提出的评估模型应用于交互式电子手册系统,定量计算出了该系统模型的完整性,为系统开发中的完整性需求提供支持。 气象行业PKI安全平台的设计与实现 随着全国气象系统信息化建设的不断推进,信息安全成为关系气象业务稳定运行和科学发展的重要问题。PKI架构作为目前成熟的提供信息安全服务的安全基础设施,为满足气象系统信息安全需求提供了解决方案。本文设计气象行业PKI安全平台的总体框架和认证体系,并对平台关键实现技术做详细的阐述。 基于改进谐波恢复算法的语音增强方法 当信噪比较低时,语音信号的高次谐波部分会完全淹没在噪音中。针对该情况,提出一种基于改进谐波恢复算法的语音增强方法。对经过MMSE-LSA算法语音增强处理后的时域输出语音信号进行非线性处理,得到准周期冲激信号,并将其与原增强信号相乘,突出语音的谐波分量。实验结果表明,改进算法较好地解决了低信噪比时谐波失真的问题,相比传统谐波恢复算法能更好地改善语音高次谐波的质量。 基于颜色连通区域多特征融合的图像检索 颜色一致向量方法容易丢失图像内容的空间信息,针对该问题,提出一种新的图像检索方法。引入狭长度和标准差特征,设计改进的图像分块策略,给出离心距概念和距离比较公式。提取颜色连通区域的大小、狭长度和颜色特征,以及图像的像素个数、标准差和离心距特征,计算图像间内容的相似度。在Corel图像库上的实验结果表明,该方法能有效利用图像的空间分布信息,检索精度较高。 基于触诊成像的肿块识别系统研究与设计 乳腺癌的早期发现对于乳腺癌的治疗有十分重要的意义,设计了基于触诊成像的肿块识别系统。该系统由压力信号检测单元、信号控制单元和图像处理单元组成,压力信号检测单元实现压力信号的采集,信号控制单元由FPGA控制多路开关的选通来扫描压力阵列传感器的电容信号,并将数据通过EZ-USB发送给上位机,图像处理单元对压力图像进行处理来滤除噪声。其中图像处理单元是该系统的枢纽,运用最小二乘曲面拟合校正压力图像,最大类间方差法和基于滤波的图像邻域评级技术对压力图像进行分割,对压力图像进行线性插值来提高图像分辨率。实验结果表明,该系统可以在复杂噪声图像中有效地识别出肿块。 异构蜂窝网络中联合功率控制的终端直通通信资源分配 针对异构蜂窝网络中终端直通(D2D)用户和小蜂窝用户复用宏蜂窝用户资源产生的干扰问题,提出一种联合功率控制的资源分配方案。首先,在满足用户信号干扰噪声比(SINR)和发射功率约束条件的前提下,根据系统干扰模型推导出每个D2D用户和小蜂窝用户复用宏蜂窝用户信道资源时的最优发射功率;其次,将用户的信道选择规划成用户和信道之间的双边匹配问题,采用延迟接受(Gale-Shapley)算法得到一个稳定的匹配解;最后,以所得的匹配解为初始条件,通过交换搜索算法进一步优化分配方案。仿真结果表明,该方案的系统总容量和能量效率分别是最优解的93.62%和92.14%,与随机资源分配方案、无功率控制和交换搜索的分配方案,以及有功率控制无交换搜索的分配方案相比,系统容量平均增幅分别为48.29%、15.97%和4.8%,系统能量效率平均增幅分别为62.72%、44.48%和4.45%。该方案能够达到近似最优的系统总容量,有效提高频率利用率和能量效率。 实验室常用集成电路芯片功能验证软件平台的设计 采用VB编写上位机软件,利用FPGA芯片来做硬件控制器,设计出了一种基于FPGA和软件/硬件联动配置技术的集成电路芯片功能验证测试装置,实现对实验室常用集成电路芯片功能好坏测试并作出评估;该装置实现了同一插槽测试不同芯片和集成电路测试台上最多达二个芯片同时测试的功能;该装置已成功地用于包括TTL74138、IDT7206、DS26C31等多个实验室常用集成电路芯片的功能测试。 基于决策树规则的分类算法研究 在商业利益的驱动下,人们不断地深入研究决策树算法。为了提高分类的精度,提出了一种基于决策树规则的分类算法。通过C4.5决策树算法得出决策规则,计算决策规则的长度、准确率与覆盖率,对所得的决策规则依次按照规则长度与准确率的乘积大小、长度的大小、覆盖率的大小对规则集进行排序构造分类器,选择优选权最高的规则进行匹配分类。实验结果表明,与C4.5算法相比,该方法的分类精度有所提高。 基于可信计算的多级安全模型 针对当前多级安全模型在访问过程中缺乏信息安全保护机制的不足,基于可信计算技术对使用控制模型进行改进,实现保密性和完整性两者兼顾的多级安全模型。改进模型将在整个访问过程中对信息的安全性进行保护,并运用完整性验证策略保护信息的完整性,同时方便安全管理员根据管理需要,对安全策略进行调整,提高了系统的灵活性。 分析语句命中谱的自动故障定位方法研究 软件故障的自动定位能提高测试过程的效率,对改善软件的可信性也相当重要。在原有程序谱分析故障定位基础上,提出了基于语句命中谱分析的自动故障定位方法,通过引进聚类函数克服了基于模型的故障定位计算量过大的问题,并和现有的程序谱定位工具Pinpoint、Tarantula进行了比较,最终通过实验证明了这种方法的高效性和优越性。 基于局部区域主动轮廓与抠图的图像分割算法 在复杂边界下分割目标时,经典主动轮廓模型无法对边界做出精细分割,而基于全局信息的分割方法无法对异构图像做出很好分割。为此,基于Chan-Vese模型将抠图方法引入到主动轮廓图像分割算法中,采用局部区域分割的方式,将Chan-Vese模型作为抠图算法的引导项,构建能量泛函。通过变分法求解能量泛函,以迭代的方式求出近似最优解。对比实验结果表明,提出的算法能够精确地分割出目标图像,并且相对于Chan-Vese模型,具有较好的鲁棒性和对初始轮廓的不敏感性。 一种基于置信度稳定性的SCMA多用户检测算法 稀疏码分多址(即非正交多址)(Sparse Code Multiple Access,SCMA)技术,具有在有限频谱资源下过载通信的特点,能够显著提升频谱利用率。得益于稀疏码分多址码本的稀疏性,消息传递算法(Message Passing Algorithm,MPA)成为经典多用户检测算法。在传统MPA方法中,尽管与最大似然译码具有相近的误比特率(Bit Error Ratio,BER)性能,但指数运算的复杂度仍然很高。据此,设计一种基于置信度的动态边缘选择更新方法,以减少不必要的节点运算。每次迭代中,利用因子图模型中功能节点到变量节点的置信度稳定性信息,动态判定是否需要节点更新运算。仿真结果表明,动态边缘选择方案使得算法的复杂度得到显著降低,并且能够与BER取得良好的均衡。 基于AJAX工作流表单的研究与应用 针对基于Web的工作流管理系统中表单结构的可变性及交互过程中存在的处理等待等问题,分析了表单数据模型的特点,提出了使用HTML大字符串来描述表单的数据建模方法,增强了系统的数据柔性。同时给出了基于AJAX的表单设计器,实现了表单结构的自定义,利用XML进行数据交换,通过DWR(Direct Web Remoting)框架进行数据传递,解决了Java方法调用的同步特征与Ajax异步特性之间的矛盾,并给出具体实现方法,具有代码层次清晰、较好的可交互性和运行效率。 可视化REST服务组合框架的设计与实现 应用SOA是构建松散耦合服务组合系统的有效途径.近年来,REST架构由于具有轻量级并且易于服务访问等优秀特性,迅速成为一种实现SOA的主流方式.为了对可视化服务组合进行研究,提出一种可视化REST服务组合框架,该框架由服务开放平台OKApi和可视化服务组合建模工具OKApi Studio两部分组成.提出一种统一的服务模型,使得服务具有更加完整的语义.该框架的目标是提供高效可靠的服务开放平台,开发者基于该平台可以更加高效和便捷地进行服务组合.为了验证系统的有效性,以出差案例作为服务组合实例进行了测试,取得了极佳的效果. 基于监狱模型的量子隐蔽通信协议 提出了一个监狱模型下的隐蔽通信协议。协议利用量子稠密编码原理来实现,达到同时对所传输信息内容的保密以及通信过程隐蔽的目的,且具有无条件安全性和对攻击者的可检测性。本协议在军事以及高机密民用隐蔽通信领域将发挥重要作用。 一种改进的KNN文本分类 在文本分类中,文本特征空间维数巨大以及训练样本分布不均衡等问题影响分类性能。针对这个问题,提出一种改进的KNN分类方法。利用隐含语义分析方法对特征样本空间进行降维处理;利用基于样本密度的改进的KNN分类器进行分类。实验结果表明提出的方法能够收到较好的分类效果。 互联双倒立摆自适应容错模糊控制 针对互联双倒立摆系统,提出了一种基于时滞代换的自适应容错控制方案。该方案用有界的参考信号代换模糊逼近器输入中的未知时滞信号,这使得控制器的设计不再依赖于时滞假设条件。容错反推控制技术和自适应技术相结合来处理代换误差和逼近误差。所提出的方案能有效补偿所有四种类型的执行器故障,同时还可保证闭环系统的全局稳定性。仿真结果进一步验证了该方法的有效性。 基于离散小波变换和离散余弦变换域的扩频水印盲提取算法 针对扩频水印的盲提取问题,提出了一种在数字音频中扩频水印的盲提取算法。算法将扩频后的水印信息隐藏在音频文件小波分解的低频系数再做离散余弦变换(DCT)后的第5个系数中。提取时在扩频序列及其长度均未知的情况下,采用二次谱和奇异值分解(SVD)的方法对嵌入时使用的扩频参数进行估计,实现了数字音频中扩频水印的盲提取。仿真实验表明,所提算法在未知扩频参数的情况下能提取出归一化系数(NC)为1的水印图像并且水印的鲁棒性也很强,在加噪、低通滤波等攻击下估计出的扩频序列正确率能达到90%以上,恢复出的水印图像清晰可见,归一化系数都在0.98以上。 基于Hadoop的电力地理信息系统数据管理 针对传统电力地理信息系统(GIS)在存储能力、分析能力和扩展能力上的不足,将云计算技术应用到电力GIS领域,提出利用Hadoop云平台对电力GIS数据进行高效存储和管理的方案。首先对电力GIS各类数据的特点进行了分析,提出了关系型数据库与非关系型数据库相结合的数据存储策略,并在此基础上设计了基于Hadoop的电力GIS数据管理整体架构、相应的数据模型以及基于MapReduce的数据并行查询分析方法。最后,在单机和集群的环境下,对空间分析与运行数据查询的性能进行了对比与验证。实验结果表明,在数据量达到一定规模时,该方案优势明显,数据分析与查询的平均时间缩短30%以上,具有较高的效率和良好的扩展性。 基于语义的采油工程领域数据集成系统研究 针对采油工程领域海量、分布、异构的数据源,在构建领域全局语义模型的基础上,提出一套基于语义的采油工程领域数据集成系统(Oil Production Engineering Semantic-based Data Integration System,OPSDS)。该系统通过对领域数据模型进行本体抽取、本体演化、本体合并、约束推理,建立适合采油工程领域的全局语义数据模型,为用户和上层应用程序提供基于语义的数据服务。 一类Leslie-Gower捕食-食饵模型的定性分析 研究一类具有Holling-II型反应函数的Leslie-Gower捕食-食饵模型。给出了平衡态方程解的先验估计,讨论了正常数解的局部渐近稳定性和全局渐近稳定性,利用分歧理论,得到了局部分歧解的存在性,最后将局部分歧延拓为全局分歧。 多Agent并行遗传算法在地震勘探属性优化中的应用 研究了基于多Agent的并行遗传算法,并将其应用于石油勘探的属性优化。针对常规遗传算法的不足,采用Agent构建多Agent系统实现了基于粗粒度的并行遗传算法,该算法能从进化环境中获取表征当前进化状态的有用信息,智能地监控调度GA的进化操作,在避免早熟的同时加快全局寻优,提高遗传算法搜索的效率,同时具有通讯开销小的特点。将该方法用于地震勘探属性优化,取得了良好的效果。 窄带音频信号时差估计算法 研究定位中的窄带音频信号时差估计问题,给出时差估计的信号模型,在研究传统广义相关时差估计算法及基于希尔伯特变换时差估计算法基础上,提出基于接收信号分数阶希尔伯特变换的时差估计算法。通过仿真实验,得到不同变换阶数下归一化后的时差估计均方误差曲线,在最优时差估计域下,与广义相关法及希尔伯特变换时差估计算法相比,提出的算法具有较好的估计性能。 基于无人机图像的电力杆塔倾斜检测 针对航拍图像中电力杆塔倾斜的自动识别,提出了一种基于杆塔结构知识模型和航拍方案相结合的杆塔姿态倾斜检测算法。首先,对图像中的线段特征进行检测和提取。其次,借助基于杆塔结构知识模型的交叉点分析法对线段进行分类同时对杆塔进行识别,提取能够表征杆塔的主要轮廓,并根据主要轮廓进行中轴的提取。最后,根据航拍成像的特点,提出一种简单的电力杆塔巡检航拍方案。并依据所提出的方案选取地面法线的参考方向,根据地面法线参考方向与杆塔中轴的夹角对杆塔的倾斜进行判决。实验证明,改进方法能够有效识别杆塔,并对杆塔的倾斜进行检测。 改进的自适应Canny边缘检测算法 针对传统Canny算法需要设定滤波参数且对椒盐噪声滤波效果不佳,以及需要预先设定高、低阈值等问题,提出一种改进的自适应Canny边缘检测算法。利用分治法思想和相邻窗口排序信息相关性的原理,改进自适应中值滤波器,以此来替代高斯滤波器;增加45°和135°方向的梯度模板计算像素梯度;利用二分法查找原理改进Otsu算法计算梯度幅值的高、低阈值。Matlab仿真结果表明,该算法在椒盐噪声和高斯噪声环境下都有较好的边缘检测效果,具有自适应性强、抗噪性好、计算机耗时少等优点。 乙烯裂解炉燃料气消耗的实时优化 乙烯装置作为石化行业能耗大户,在乙烯装置能量优化过程中,炉群系统能耗优化起到至关重要的作用。在保证工业装置产品收率不变的情况下,本文采用调整操作变量裂解炉出口温度,达到炉群整体燃料气消耗降低的目的。本文采用K均值聚类算法结合即时学习局部建模方法,建立了精确的燃料气消耗预测模型,模型平均绝对百分比误差为0.0626%,相对误差在5%以内,满足实际工业过程对预测模型精度的要求。以某组工业数据为例,通过差分进化算法,炉群整体燃料气消耗量降低2.5%,有效的通过操作变量优化达到整体乙烯装置经济效益提高。 一种基于深度学习的混合推荐算法 推荐系统在电子商务的发展中发挥着越来越重要的作用,但用户对物品评分数据的稀疏性往往是推荐精度较低的重要原因。目前通常采用推荐技术对辅助信息进行处理,以缓解用户评价的稀疏性,并提高预测评分精度。通过相关模型,可以利用文本数据来提取物品的隐藏特征。最近,深度学习算法快速发展,因此文中选用了一种具有强大特征提取能力的新型深度网络架构——变分自编码器(Variational AutoEncoder,VAE)。通过将无监督变分自编码融合到概率矩阵分解(Probability Matrix Factorization,PMF)中,构建了一种感知上下文的新型推荐模型——变分矩阵分解(Variational AutoEncoder Matrix Factorization,VAEMF)。首先使用TD-IDF对物品的评价文档进行数据预处理,然后对处理后的数据使用VAE捕获物品的上下文信息特征,最后使用概率矩阵分解进一步提高预测评分精度。在两个真实数据集上的实验结果验证了所提方法相较于自编码算法及概率矩阵分解算法的优势。 改进的单神经元自适应控制系统在MCGS中的应用 对于现代工业控制中的一些具有时变的、大时滞、非线性的复杂系统,常规的PID算法难以整定PID参数,因而难以达到预期的控制效果.本文在MCGS组态软件的实验平台上实现常规PID算法和单神经元自适应PID算法分别在线性和非线性的系统的控制.实验结果表明,在线性系统和非线性系统中单神经元自适应PID控制算法都具有更好的稳定性和动态性能. 基于飞腾平台TOE协议栈的设计与实现 传统TCP/IP协议栈要占用大量计算和访存资源,主要表现在中断上下文切换、协议处理和数据拷贝三方面。为减轻飞腾处理器计算负载,逐步采用软硬件一体化即协议卸载引擎(TCP/IP Offload Engine)技术,用硬件部分或全部实现TCP/IP协议处理。因飞腾平台处理器频率较低,网络负载较重时容易成为网络I/O瓶颈。文中对TCP/IP卸载引擎(TOE)技术及其相关原理进行研究,设计并实现了飞腾平台TOE协议卸载引擎的驱动,利用TOE对飞腾平台的网络性能进行优化。测试表明:飞腾平台使用TCP/IP卸载引擎能提高网络吞吐量并减少CPU利用率。 星载图像压缩中的无损预测研究 针对星载遥感图像自相关系数较大时MED预测比较理想,反之均值Mean预测更有优势的问题,融合该两种方法的优点提出了改进的MED预测,使之对多种类别的图像特别是遥感图像能够取得较好的预测效果。此外,从预测相关性、运算复杂度、是否带有自动误码纠偏以及采用Rice算法获得的压缩比等角度进行了两种预测残差映射器的研究,确定了选用带自动误码纠偏的差值映射和可调整的预测方式。当信源干扰多大时选取前像素预测,反之采用MED或改进的MED预测,从而兼顾了压缩比和抗误码两方面,且硬件可实现。 并行绘制系统中基于网络处理单元的图像合成及显示 随着绘制任务复杂度和绘制数据规模不断增长,使用PC集群进行分布式并行绘制是一个常用的解决方案。sort-last分布式并行绘制方法具有好的扩展性和负载平衡,但由于图像合成瓶颈的限制,绘制速度不能满足实时需求。提出一个使用网络处理单元(NPU)来进行快速硬件图像合成的方法,开发了一个sort-last并行绘制系统NPUPR。实验表明,针对4个绘制节点,基于NPU的硬件图像合成方法与direct send的合成算法相比,绘制速度有了4倍的提高。也给出通过增加网络处理单元来扩展系统支持更多绘制节点的方案,分析表明,系统图像合成性能不会随节点个数的增加而明显降低。 基于Matlab仿真工具的隐身舰艇红外质心干扰效果评估 针对隐身舰艇红外质心干扰效果评估问题,应用Matlab仿真工具,以导弹脱靶距离为主要参考值,详细仿真分析了多种因素对干扰效果的影响,并得出了以下重要结论:红外诱饵弹发射舷角、舰艇红外辐射强度、导弹离舰艇的初始距离、导弹红外导引头视场张角、导弹速度、风向等参数对隐身舰艇红外质心干扰效果具有明显影响,而导弹比例导引系数、风速对干扰效果影响不大。 PCA变换下的GMM-SVM话者确认研究 针对支持向量机(SVM)输入参数不能充分利用高斯混合模型(GMM)均值、方差、权重所携带的说话人信息,而导致与文本无关话者确认系统性能下降的问题,本文结合GMM的均值、方差、权重,提出一种新的、基于自适应后GMM的,SVM模型输入特征提取方法。在NIST 06语音数据库上的实验表明,本方法将等误识率(EER)从高斯混合模型-通用背景模型(GMMUBM)系统的8.49%,下降到基于离散余弦变换(DCT)变换GMM-SVM系统的4.16%,以及基于主元成分分析(PCA)GMMSVM系统的3.3%. 基于光散射与透射原理的粉尘浓度测量方法研究 为了进一步改善测量大气粉尘浓度的方法,论文研究了基于光散射与透射原理为基础的大气粉尘浓度测量方法。首先对光在粉尘环境中的传播特性进行了分析与讨论,在此基础之上,深入研究了粉尘微粒对光的散射与透射作用,得出了散射角度对光散射的影响。为了便于展开实践研究,该文采用菲涅尔透镜,将光源变为平行光源,以便更高精度地实现对于测量区域粉尘的浓度。实验结果与分析表明,该文采用的方法能够实现对粉尘的高精度检测,实验结果与理论分析基本一致。 基于RGB-D传感器的3D室内环境地图实时创建 对基于RGB-D传感器的室内环境3D地图的建立方法进行研究,针对最流行的RGBD-SLAM算法在建立完整3D地图时遇到的问题,提出了两个方面改进。一是改进子地图划分标准,使得多层图抽象可以更好的反映环境的拓扑结构,并具有更高的效率;二是在地图输出模块中添加冗余点去除模块,使得最后得到的地图数据量减少,为后续操作提供更准确的数据。实验中,将改进的方法和原始方法进行比较,比较结果表明,两个改进在建立室内3D地图时是完全有效的。 基于主题相关性和时间因素的改进PageRank算法 提出一种将主题相关性和网页新旧程度与PageRank算法结合,得到改进的PageRank算法TRTPR(Topic Relevance and Theme PageRank).本算法通过链接分析来评估网页的新旧和主题相关性,据此对网页排序.研究结果表明,改进的算法在基于时间和主题相关性上比传统的PageRank算法有更好的效果. 基于RSA的防欺诈的动态多重秘密共享方案 基于RSA加密体制,提出了一个可防欺诈的动态门限多重秘密共享方案。该方案能够实现多重秘密共享,灵活地更新群组密钥,动态地加入新的参与者。在方案的实现过程中,能及时检测和识别分发者对参与者以及参与者之间的欺骗行为,从而提高了重构秘密的成功率和方案的实用性。 纹理阴影去除及提取方法研究 纹理图像中的阴影对于纹理合成与替换、目标检测以及图像分割造成严重干扰,通过研究现有阴影去除与提取方法,针对纹理图像的特征,在研究纹理图像颜色统计不变性的基础上,提出统计梯度的概念;采用自适应采样的方法,根据纹理图像内阴影的光滑程度,对图像进行不同细分程度的分割和采样;通过对采样数据插值求得整体阴影图像以及纹理反照率图像。实验结果表明,该方法快速有效,能够满足纹理图像实时处理要求。 多特征融合的场景图像分类算法 提出了一种Gabor-LBP频域纹理特征与词包模型语义特征相结合的场景图像分类算法.利用Gabor变换得到的频域信息,及对应的LBP特征,与视觉词包模型(BOW)提取的语义特征自适应相融合,实现分类.为了验证本文算法,利用两个标准图像测试库进行比较测试,实验结果表明,本文算法在改善图像纹理表达上具有明显优势,特别是对于图像的光照、旋转、尺度都具有很好的鲁棒性. 基于MCS的SVM参数优化研究 由于优化杜鹃算法是利用了鸟类特殊的利维飞行模式的群体智能算法,并且增加了粒子间的信息交流,故将该算法引入支持向量机惩罚系数和核参数的自动寻优中。给出了实现方式,并讨论了概率参数的设置对收敛性的影响。通过与传统的GA/PSO-SVM对比验证,MCS-SVM方法使得分类精确率平均提高2.28%,既能显著提高分类效率,又表现出很好的泛化性能。 认知无线电中基于博弈论的联合功率和速率控制算法 研究了认知无线电(CR)中共享频谱状态下的联合功率和速率控制问题。功率控制技术是认知无线电的关键技术之一,而下一代的网络也对速率的多样化传输提出了要求。将系统中次级用户(SU)间的关系假定为非合作的竞争性关系,同时考虑到次级用户对主用户的干扰容限,提出了基于博弈论的联合功率控制算法(NPRG),并证明了该算法的纳什均衡的存在性、唯一性。仿真结果证明了算法具有较快的收敛性,且能收敛到较低的功率和较高的速率。 基于遗传算法的WSN节点定位技术 提出一种基于遗传算法的无线传感器网络节点自定位技术,在算法的第1阶段利用采样方法对节点初始位置进行初步估计,在第2阶段采用遗传算法对节点初始位置进行求精。仿真实验结果表明,该算法在锚节点比例较低的情况下仍然能够对未知节点进行准确定位,且定位精度更高。 中小规模研究性企业知识管理体系建设探索 研究型企业深刻意识到知识管理体系对企业的重要性.建立知识管理体系过程中出现的各类问题导致知识管理体系推动研究型企业进步的效率较低.笔者所在单位在长期管理信息系统建设过程中,从知识收集、整理归纳、知识共享、知识创新等环节进行方法研究和实践探索,取得了一定效果,企业内部知识体系逐步进入有序建设,有效推动了企业知识创新. 基于Fisher准则和Adaboost的语音情感多分类研究 随着社会和科技的快速发展,如何有效识别语音情感已经成为人们关注的一个热点。在众多的分类算法中,Adaboost多分类算法得到了较好的应用效果。该算法将生气、开心、中性、伤心和害怕5种语音情感分为三层,由粗到细,逐层识别。基于柏林情感语音库,将提取的语音情感特征利用Fisher准则选择较佳特征作为实验数据,实验结果表明,将相近情感分在一起训练更有利于提升Adaboost算法的分类性能。此外,在与传统的BP和SVM分类模型比较中,Adaboost多分类算法表现出了优越性。 基于网络处理器的ICMP快速处理方法 针对网络处理器IXP 2XXX对所有数据包均根据TCP/IP协议层的标识逐层进行分析,从而导致ICMP(网际控制报文协议)处理速度慢,抵御ICMP洪水攻击效率低的问题。提出了在网络处理器的微引擎处理中嵌入ICMP处理模块,建立了ICMP模块的处理流程,并通过设置ICMP异常标识进行跳转处理,减少冗余检查的步骤,实现了对异常ICMP的及时处理。仿真实验结果表明,该方法对ICMP数据包的指令周期明显少于原IXP2XXX开发包中的方法,提高了处理ICMP包的性能。 一种基于点云数据的快速曲面重构方法 研究激光扫描中的点云数据重构技术,提出一种基于规则点云数据的快速曲面重构方法。分析相邻扫描线之间数据点的相对位置关系,在三角剖分的基础上,设计改进的扫描线剖分算法,根据激光逐行扫描的特点,对点云数据进行不规则三角网格划分,利用几何关系进行配对构网,并在所建三角模型的基础上实现三角网格的局部优化和纹理映射,得到重建模型。实验结果表明,与传统Delaunay空间三角剖分算法相比,该算法可明显提高三角构网速度和质量,消除空洞,改善重建效果。 实时采集中异常值的自动甄别与纠错方法研究 "随着科学技术进步,规模猪的生长过程研究手段也日益现代化。传统上对猪的生长研究大多采用人工收集数据,不仅麻烦费事,而且极易产生猪的应激反应,对猪的生长产生影响。随着各种传感器和现代通讯技术在养猪事业中的应用,数据的收集变得更加科学、方便。然而,由于采集数据对象的特殊性,使得在采集数据的过程中,客观存在挤、拱、撞等现象,从而造成采集的数据存在偏差,对后期分析研究猪的生长性状产生一定影响,因此,必需加以修正。鉴于此提出了将经典算法与神经网络方法相结合来自动甄别与纠正采集的数据,通过Matlab仿真及在安徽菩提果公司研发的""9SC-05猪用选种选料自动测定设备系统""的应用实践,表明该方法具有纠错准确率高、速度快、适应性好等优点。" 结合图像上下文的二阶导数边缘融合线条精确定位与配准 线条工具痕迹图像中线条的精确定位是实现犯罪工具痕迹自动检验比对的关键.针对边缘检测方法易受到图像噪声的影响产生虚假边缘,不能够对痕迹中的实际线条进行准确定位,导致线条特征提取困难的问题,提出一种结合图像上下文的二阶导数边缘融合线条精确定位方法.首先根据当前线条工具痕迹人工比对方法定义图像检验的比对线,沿比对线方向计算图像的二阶导数,并根据二阶导数边缘的性质对导数值进行规则融合,以计算线条痕迹中的脊线和谷线区域;然后根据人工线条痕迹比对经验对线条区域进行优化规整,删除深谷中的伪线条;最后结合图像上下文计算脊线区域中显著点实现线条的精确定位.在线条精确定位的基础上,以二阶导数绝对值最大脊线条、灰度值最大脊线条、最深谷为特征线条,以及特征线条之间的距离为配准特征模板(向量)对实验样本进行配准,能够对工作部位具有较显著特征工具形成的痕迹实现较高配准率.实验结果表明,文中提出的线条定位和配准方法能够快速实现线条工具痕迹图像中线条的精确定位和配准,为实现线条工具痕迹自动比对奠定基础. 基于风光互补的孤岛型微网容量优化配置研究 微网的容量优化是指综合考虑经济、环境等因素,得到微网内分布式电源的最优配比。孤岛型微网的运行受气象条件制约,传统的配置方案易导致网内分布式电源结构与气象条件不匹配,影响系统的可靠性。为提升微网性能,并建立适用于不同气象条件的优化模型,提出了一种以风光互补为基础的孤岛型微网容量优化配置方法。考虑微网建设运营中的建设成本、维护成本、能源浪费和停电损失,设置分布式电源的功率、数量、寿命等约束条件,设计了一种可气象跟随且适用于多种气象条件的风光互补组合系数,再以该系数配合免疫算法改进传统的粒子群算法,获得了一种与气象条件相匹配的优化配置模型。通过算例仿真比较可知,该模型具有良好的气象跟随性、系统稳定性和波动抑制性。结果表明,利用改进的模型和算法求解微网容量优化问题,可获得符合气象条件的最优配比。 自顶向下的常用机构库研究 论述了基于Creo平台的机械常用机构库的二次开发,并针对开发中的关键技术进行了详细的介绍.在Visual Studio 2010环境下,利用Creo软件提供的二次开发工具Creo/TOOLKIT,结合SQL Server数据库和MFC技术设计编写了机械常用机构库系统.机构库中的机构全部采用自顶向下设计完成,以封闭行星轮系圆柱齿轮凸轮机构设计为例,介绍了常用机构库的具体功能.工程应用表明,该系统能够对常用机械机构进行快速检索,并且能够查看机构的运动仿真、运动曲线、特性等参考,同时能够针对具体机构进行快速设计,进而提高了产品设计水平、缩短了设计周期. 基于优化ID3的井漏类型分类算法 决策树算法用于井漏分类时,由于井漏数据离散化后多值属性占比较大,且具有多值偏向的缺点,分类效果不理想。为此,提出一种基于改进ID3的AFIV-ID3算法。在ID3的基础上引入属性重要度计算新的信息熵,属性重要度大小由决策者依靠先验或领域知识决定。在信息增益计算中加入关联度函数比,对信息增益值做出修正。AFIV-ID3算法克服了ID3多值偏向的缺点,提高了数据中重要属性的权重,从而提升井漏类型分类精度。4组UCI数据集和真实井漏数据测试结果表明,该算法的分类精度优于ID3和C4. 5算法,并能够将人工经验法不稳定的分类精度提高至约72. 23%。 基于改进蚁群算法的协作学习分组研究 协作学习中根据学习者的特征进行有效分组对于提高学习者的学习效率具有重要的作用。基于学习者的学习能力、兴趣爱好和理解水平,在基于蚁群算法的协作学习分组中,以学习者特征相似度值作为启发信息,并针对蚁群算法可能出现的早熟收敛和停滞现象,分别在初期加入判断回退机制和在中后期对启发因子及期望因子进行动态调节以保证分组结果的准确性。模拟实验结果表明该算法在分组性能及准确性上均优于传统算法。 基于模糊控制的多电梯智能调度算法研究 交通状态是多电梯调度系统研究的重要背景,交通流的产生是由乘客流动形成的,而乘客又是电梯运送的对象,即交通系统和输送对象相关。因此通过分析交通状态预测交通流的动态趋势,确定相应交通模式,才可以对多电梯系统调度进行优化,从而达到减少乘客待梯与乘梯时间,提高电梯运送效率,减少系统中电梯的起停次数,降低能耗。论文研究多电梯调度算法,运用模糊控制理论对其进行优化。模糊控制是人工智能的重要内容,结合专家控制理论、模糊集合控制理论和神经网络控制理论,以模糊变量及模糊推理为基础的一种智能计算机控制。 复合决策粗糙建模与计算方法研究 经典决策粗糙集缺乏处理多种类型共存的复杂数据的能力。为解决该问题,首先通过融合的技术手段提出量化复合关系,并验证了量化复合关系是交-复合关系和并-复合关系的推广形式,然后在此基础上构建了相应的决策粗糙集模型。最后借助矩阵运算直观地描述了复合关系的融合过程,并给出了求解决策粗糙近似集的新方法。在UCI数据集上的实验结果表明该模型和方法有效且稳定。 复杂背景下的多阈值人眼定位新算法 提出了一种基于复杂背景下的多阈值人眼定位算法。该算法首先对图像进行光线补偿,减小由于光照不均等因素造成的影响;然后根据对人眼灰度特征的分析来确定三个人眼二值化阈值,从而分离出人眼候选区域;最后根据人脸的几何特征、人眼位置判定准则以及计算二维相关系数来排除非人眼区域,从而定位双眼。实验结果表明,该算法能在不同条件下准确定位人眼,且具有较快的定位速度和较高的检测率。 面向分布式控制系统的实时SOA研究与应用 SOA(Service Oriented Architecture)已广泛应用于传统信息系统领域。如何将SOA思想应用于社会上广泛存在的分布式控制系统的构建,是服务计算领域的一个新的研究课题。针对分布式控制系统的特点及面向服务的思想,提出一种可有效解决消息可靠性传输、服务实时性处理的层次化实时SOA模型,给出各层次模型的详细思路与实现机制。基于该模型,设计并实现了电力配网自动化系统的底层多网络冗余实时消息总线原型系统,并给出了相关结论。 基于B2B垂直搜索的网页信息抽取系统研究 为了解决从网页中准确抽取产品信息这一B2B垂直搜索引擎的关键问题,以站点树为模型,首先分析了企业网站的结构特征,在此基础上构建了一个面向B2B垂直搜索引擎的网页信息抽取系统。该系统利用站点树在企业站点大量网页中识别出产品页,并进行去噪处理,然后使用基于规则的方法抽取产品页中包含的产品描述信息和参数信息。通过该系统抽取到的各类产品信息较为准确,且效率得到明显提高,适用于B2B垂直搜索引擎中对产品的描述、分类及搜索。 基于SIFT特征点的点云配准方法 点云配准是三维建模过程中的关键问题之一。经典的ICP算法速度较慢,尤其是在点云数据较大的情况下用时更长。因此提出了一种改进方法。首先对两片点云进行体素栅格下采样,其次找出源点云的SIFT特征点并保存成点云,然后调用ICP算法,使用保存的SIFT特征点点云与目标点云进行配准,并通过RANSAC算法去除错误点对,保留变换后的源点云,重复上述过程,用以提高精度,直到满足收敛条件。实验表明,该文方法不仅速度较快,而且精度较好。 基于信息数据分析的微博研究综述 近年来随着微博信息传播力和组织能力的突显,微博吸引了各类学者的关注。对当前基于信息数据分析的微博研究进行系统梳理,提出微博信息传播三大构件的概念,归纳了此类研究的主要研究内容及方法,总结了国内外围绕微博信息传播三大构件所取得的主要研究成果。最后探讨了未来在微博网络管控方面相关工作。 基于可变形部件模型的渔船安全监控系统 基于视频的渔船驾驶舱人员检测是渔船安全行驶的保障。为解决渔船驾驶室小范围场景下人员的多姿态、多尺度、遮挡严重造成的检测精度不高的问题,系统针对场景重新建模,优化各组件权重和改变可变形部件模型的打分函数,并优化非极大抑制法,进一步缓解人员遮挡造成的多检和漏检问题,最后利用北斗卫星导航系统的报文传输功能实现对近海渔船的驾驶舱安全监控。实验结果表明,系统进一步增加了该场景下人员检测和人数统计的精度,满足了渔业安全监控的需要。 三相生物流化床处理氨氮废水模糊控制系统研究 以实现好氧三相内循环生物流化床废水处理过程的闭环控制,克服曝气造成能耗大的问题为目的,研究并提出以入、出水的氨氮浓度为输入、输出量的好氧三相内循环生物反应器氨氮废水处理过程的主导数学模型,并针对这种大惯性、大滞后的被控对象,给出模糊PD+I控制方案。用谐波平衡法分析闭环控制系统的稳定性。计算机仿真分析表明系统对不同的扰动信号,能通过经济调整曝气量实现以一定精度,平稳、迅速趋向设定值,具有好的抗干扰能力和控制精度。 基于Agent的网构软件需求监控框架 网构软件所面临的复杂、开放和动态变化的运行环境使其运行时行为常常会偏离需求规约.已有一些研究工作提出基于目标模型和需求推理实现软件需求的运行时监控和自修复,但还缺少实现框架,特别是缺少符合网构软件分布式和社会化特性的需求监控实现方法.针对这一问题,提出一种基于Agent的网构软件需求监控框架.框架中的需求监控Agent通过非侵入的方式实现对作为其宿主系统的网构软件实体的监控和干预,并通过Agent间的通信和协作实现社会化的目标委托和协作监控.为了验证框架的有效性,通过一个案例分析,对框架和工具实现进行了有效性评估. 基于数据挖掘的质量成本分析与控制 为实现基于质量成本的生产过程质量控制与改进,基于回归分析方法对质量成本进行水平分析,确定企业的质量水平,进而对其进行优化分析;结合质量成本数据中包含的质量信息,挖掘隐藏于质量成本动态数据之间的关联规则;基于企业积累的经验数据和关联分析的结果,模糊化生产制造过程的特征数据,利用模糊神经网络对质量成本进行预测性分析;最后根据分析结果,提出质量成本控制与改进的系统方法。 孪生支持向量机综述 孪生支持向量机(Twin Support Vector Machine,TWSVM)是在支持向量机(Support Vector Machine,SVM)的基础上发展而来的一种新的机器学习方法。作为一种二分类的分类器,其基本思想为寻找两个超平面,使得每一个分类面靠近本类样本点而远离另一类样本点。作为一种新兴的机器学习方法,孪生支持向量机自提出以来便引起了国内外学者的广泛关注,已经成为机器学习领域的研究热点。对孪生支持向量机的最新研究进展进行综述,首先介绍了孪生支持向量机的基本概念与基本模型;然后对近几年来新型的孪生支持向量机模型与研究进展进行了总结,并对其代表算法进行了优缺点分析和实验比较;最后对将来的研究工作进行了展望。 跨语言的项目级代码混淆方法 代码混淆作为一种保留语义的代码变换技术,在解释性语言和脚本语言的源代码保护中得到了广泛应用。随着软件项目越来越复杂,一个项目由多种语言共同编写,传统的代码混淆技术主要针对局部逻辑混淆,无法对不同类型的代码进行全局混淆,当一个子系统的代码混淆后,可能导致整个软件系统无法组装运行。设计跨语言的代码元素及其关联关系的描述规则,以统一的方式描述同一项目中不同类型的代码元素以及它们之间的关联关系,将相互关联的代码对应起来。提出跨语言的项目级代码混淆方法,实现对不同类型代码的全局混淆以及代码关联关系的自动化维护,并在真实的基于Struts2框架的源代码项目中进行混淆实验,说明所提方法及技术的可行性和有效性。 基于改进SURF算法的双目视觉测量系统研究 针对双目视觉测量中所使用的SURF图像匹配算法精度不高的问题,提出了一种改进SURF算法的图像匹配方法并将其运用到双目视觉测量中;首先通过经典SURF算法检测和描述图像特征点、特征向量;其次采用双向特征向量匹配策略对匹配点进行初始过滤;最后采用PROSAC算法根据极线约束几何模型对初始过滤后的匹配点进行二次筛选,得到最终的优质匹配集合;实验表明,改进SURF算法具有更高的匹配准确性和较好的算法实时性,运用改进SURF匹配算法的双目测量系统可实现更准确的目标测距定位。 基于遗传算法的图像彩色化方法 为解决传统的彩色化算法中效果不佳、速度慢等问题,提出一种基于遗传算法的图像彩色化方法。该方法先产生初始种群,将源图像块和目标图像块的亮度特征以及Tamura纹理特征构成适应度函数,初始种群经过选择、交叉和变异等操作逐代进化后,将源图像块的颜色转移到匹配的目标图像块中,得到最终的彩色化图像。实验结果表明,与其他彩色化方法相比,该方法能在缩短运行时间的同时,有效提高彩色化质量。 面向最优时间窗口覆盖的查询服务 该文提出了最优时间窗口覆盖查询问题,该问题是指给定多个用户和对应的时间区间以及持续时间大小的要求,希望找到既满足持续时间大小要求又能够被最多用户覆盖的时间区间段.该问题的解决能够为现实生活提供多种服务,比如:安排直播时段、云服务收费等.根据我们的调查发现,该问题属于时态数据库领域,但是与现有的时态数据库领域问题都不一样,导致目前没有现成的方法能够直接解决最优时间窗口覆盖问题.该文针对该问题设计了一种算法——基于Timeline Index的查询算法(TLI算法),该算法利用Timeline Index数据结构存储原始数据信息.再通过Timeline Index利用相邻的时间点构造多个时间区间,对于不满足时间大小的时间区间,我们设计了专门的调整机制使它们变成新的满足要求的时间区间.我们通过理论证明该算法的时间复杂度O(NlogN),其中N是原始数据中记录的数量.最后通过实验分析,发现TLI算法的运行效率比基准算法普遍快了1个数量级. 基于自适应权重和柯西变异的鲸鱼优化算法 为了改进鲸鱼算法(WOA)容易陷入局部最优和收敛精度低的问题,提出了基于自适应权重和柯西变异的鲸鱼算法(WOAWC).首先通过柯西逆累积分布函数方法对鲸鱼位置进行变异,提高了鲸鱼算法的全局搜索能力,避免了陷入局部最优.另外通过自适应权重的方法改进了鲸鱼算法的局部搜索能力,提高了收敛精度;实验结果表明,改进的算法和原鲸鱼算法、遗传算法、粒子群算法相比,收敛精度和算法稳定性上都要优于其它算法. 基于规则模式LRU优化的交互式关联规则挖掘 将规则模式应用到交互式关联规则挖掘算法中,能有效提高用户的参与程度,然而如果规则模式数目较多,需要花费大量精力处理规则模式及其模式级别。通过LRU算法优化规则模式,提出IAFBLRU算法。在该算法中,用户可主动提高感兴趣的规则模式的级别;也可采用LRU策略自动降低用户不感兴趣规则的模式级别。实验证明IAFBLRU算法有效提高了关联规则挖掘算法的质量。 基于贝叶斯网络的网络品牌认知度评价方法 随着电子商务和网络经济的快速发展,网络品牌的不确定信息越来越多,给消费者的选择造成了很大的困扰。考虑到网购消费者普遍存在的重复购买属性以及网络品牌对消费期望的重要性,有必要考虑网络品牌对消费者购物期望的动态影响,探究一种科学有效的评价体系,完成对网络品牌认知度的评价。贝叶斯网络是获取不确定知识的有效方法。介绍贝叶斯网络的优势与特征,论证基于贝叶斯网络进行网络品牌分类的可行性。实验证明通过贝叶斯网络可以有效地对网络品牌进行分类,获取电子商务系统的所需信息,帮助用户进行网络品牌的选择和购买行为的决策。 基于粒子系统的轨迹可控的烟花动画模拟 计算机动画是应用计算机技术制作动画的艺术,是计算机图形学和动画的子领域。计算机动画采用连续播放静止图像的方法产生物体运动的效果。基于此,文中采用粒子系统去产生一幅图像所需的各个像素的帧,并将其绘制在屏幕上。文中通过对烟花系统模型的研究,提出将烟花的爆炸效果进行控制的模拟方法。基本原理是对爆炸瞬间烟花粒子属性的控制,并运用纹理映射对烟花粒子进行绘制。达到了对烟花轨迹进行控制的预期效果。 城轨列车无线传感器监测网络节能设计 近几十年城市人群的主要出行方式逐渐转变为轨道交通,而确保列车处于高效而安全工作状态则是重中之重。延长列车无线传感器监测网络生命周期并降低其能耗可以更好地保证列车安全问题。论文主要从单一节点、MAC协议、路由协议三个层次上对整个监测网络进行节能设计,达到合理分配能耗进而最大程度延长监测网络生命周期的目的。 基于Kalman算法的网络安全态势预测 网络安全态势预测是网络安全领域的研究热点之一,在分析当前网络安全态势预测方法的基础上,论文利用Kalman滤波理论建立了网络安全态势预测模型,利用当前和过去时段的攻击强度和网络安全态势值对下一时段的网络安全态势进行预测。实验结果表明该算法的预测精度优于传统的GM(1,1)算法和普通卡尔曼算法(即未结合影响因素),算法适应性和实时性优于RBF算法。 篮球手臂投篮视频图像跟踪提取仿真 对篮球手臂投篮视频图像的跟踪提取,能够更好的掌握好投篮技术。对投篮视频图像的跟踪提取,需要获取动作的差异性误差向量,得到手臂动作像素信息特征仿射变换,完成视频图像轮廓跟踪提取。传统方法采用亮点模型衍射的方法实现视觉穿透,给出投篮动作手臂技术特征,但忽略了动作像素信息特征仿射的变换,完成投篮动作视频图像的跟踪提取。提出基于camshift的篮球投篮动作手臂视频图像轮廓跟踪提取方法。对原始视频图像进行滤波处理,利用最大方差比阈值法对投篮的手臂运动区域进行划分,得到初始变形下投篮动作坐标,给出篮球球员投篮举球、托球动作的差异性误差向量,获取手臂动作像素信息特征仿射变换,在图像序列中对篮球球员投篮动作手臂动态轨迹进行跟踪,完成对篮球投篮动作手臂视频图像轮廓跟踪提取。实验结果证明,所提方法标记精度较高,大幅度提升了球员的投篮技术。 高并发Web应用系统的性能优化分析与研究 为解决高并发Web应用系统响应时间过长、系统易崩溃等性能问题,分析高并发Web应用系统的性能瓶颈并提出优化策略。根据高并发Web应用系统常规的系统架构,分别从Web应用前端、后台程序代码、数据库、Web应用中间件配置以及服务器负载5个方面阐述了优化方案,并以全国基层农业技术推广体系管理信息系统为例实现了优化策略验证。验证结果表明,该系统响应速度平均提高20%左右,服务器CPU利用率平均降低40%左右,系统性能优化获得较好效果。 基于CMAC强化学习的交叉口信号控制 采用神经网络值函数逼近的强化学习方法处理交叉口的信号控制。根据交通流及交叉口信号特征,建立强化学习的状态空间、动作空间和回报空间,以最小化车辆在交叉口的延误为控制目标,对信号进行优化控制。引入小脑模型关节控制器神经网络对强化学习(RL)的Q值进行逼近。在变化的交通条件下,使用典型交叉口对提出的RL模型进行验证,同传统的定时控制和全感应控制进行对比分析。仿真结果表明,RL控制器具有较强的学习能力,可以适应交通流的动态变化,稳定性好、自适应性强,对于环境变化具有较强的适应能力。 Fortran Win32 DLL回调VB窗体 为解决Fortran与VB经由Win32 DLL开展的混合工程计算的异步通信问题,研究了Fortran Win32 DLL对VB窗体的回调,基于对函数指针的本质认识,揭示了Win32 API函数的回调机制。通过挖掘Fortran 90新增功能,给出了Fortran Win32DLL独特的回调手段,在此基础上,利用VB新增对函数指针的支持,成功实施了Fortran Win32 DLL对VB窗体的回调,对字符串特殊参数给出了具体的处理办法。探讨结果表明,Fortran90创建的Win32DLL能够拥有回调能力,可以作为便捷的异步通信手段开展科学计算可视化的交互视算。 一种提升泵车臂架位置检测精度的工程应用解决方案 针对在利用倾角传感器实现混凝土泵车之类的大型操作机的臂架姿态的间接测量方案中存在的因为臂架的柔性和操作机在不同姿态下自身水平状态的不同可能导致的臂架末端的理论计算值存在较大测量误差的问题,采用了一种在臂架的不同位置增加传感器来实现对臂架柔性变形大小和自身水平状态的实时检测的方法,并着重研究了针对具体的柔性变形及水平状态变化所导致的理论偏差进行实时补偿的切实可行的方法,实验检测的数据证明,相对补偿前臂架的定位精度提升了75%以上。 超高频无源RFID系统中Capture效应建模与分析 针对超高频无源射频识别(RFID)系统中Capture效应的分析问题,提出一种新颖的Capture模型-RFIDCap,并通过Monte-Carlo仿真分析了各系统参数对Capture效应的影响,同时比较了RFIDCap及其他传统模型与测试结果的符合程度.RFIDCap综合考虑了读写器抗干扰能力、无线信道损耗及衰落、标签反向散射等因素.仿真结果表明,读写器capture阈值、碰撞标签数量及标签位置是影响capture概率的主要因素.测试结果表明,实测数据与RFIDCap仿真值的均方误差小于3%,相对传统模型(均方误差大于12%)有较大幅度的改善. 地震灾害医疗应急救援推演研究 将BP神经网络应用到对震后灾情的预测问题中,利用BP神经网络对汶川地震震后数据进行推演,采用神经网络估计模型分别对震后受伤人员数量和医疗物资医务人员需求进行预测。最后给出对地震应急救援具有重要指导意义的震后需求数据的估算方法,并且在对震后灾情评估以及医疗物资需求预测等问题的充分研究基础上,开发了基于GIS的地震医疗应急救援系统(EMERS)。 基于UML图和动态切片的回归测试研究 重点将UML图和动态切片应用于回归测试中。针对现在应用于软件开发的UML图不能很好地满足软件测试的要求,引入了时序状态图和改进后的状态图,对两种图进行形式化定义,并且通过实例说明定义内容,其中时序状态图用于类间测试,改进后的状态图用于类内测试。对定义的图进行切片分析,形成测试步骤和测试算法。网上购物实例表明时序状态图和改进状态图可以提高回归测试效率。 一种基于DT-MSN的性能预评估方法 为提高网络的利用率,解决DT-MSN中网络部署问题,运用子图的扩展理论,给出一种判定是否存在和全网同构的样本网络方法。在存在样本网络的前提下,用最小生成树原理提出一种基于节点间最大连接次数的节点分簇算法。该算法可以构建一种样本网络,在投递的数据流上和全网同构。构建一个样本网络场景,并和全网作实验对比分析。结果表明,构建样本网络模型可以代替全网做性能的预评估,能为部署大型网络场景提供可靠的性能评估报告,具有较好的实际应用价值。 改进的概念语义相似度计算 在相似度计算中,本体能够将各种概念及相互关系明确地、形式化地表达,因而发挥着重要的作用。为了使相似度计算结果更为精确,考虑更全面的利用本体中的关系,和相似度计算在特定领域中应用的特点,提出一个改进的相似度计算模型。利用上下位关系计算相似度,非上下位关系计算相关度,将二者合成,并同时考虑语义检索领域中,相似度计算的不对称性。经过实验验证了该方法有效且精确。 基于主元证据理论在车辆识别中的应用 车辆识别技术作为智能交通管理系统中的研究热点和难点;在车辆识别技术中,应用Dempster-Shafer证据组合规则融合冲突信息时会产生不合理的结果;基于修正证据源的思想,提出了一种新的权重系数确定方法,该方法从证据主元角度分析,确定各组证据主元,利用该主元求出证据相容度、可信度,进而确定证据权重系数;通过新的证据冲突衡量方法,确定冲突值,归一化权重,修正证据源,按ER规则融合各组证据对目标进行识别;仿真部分以实际路面车辆车型识别为算例,将该方法与其他方法对比,结果表明:该方法能更有效地融合高度冲突的证据,减小计算复杂度,目标识别的准确性提高20%。 CT引导下胸部病变穿刺并发气胸的危险因素分析 目的:分析CT引导下胸部病变穿刺时并发气胸的危险因素。方法:929例接受CT引导下胸部病变穿刺患者纳入研究,回顾性分析临床资料及并发气胸情况,运用多因素非条件Logistic回归分析法研究影响气胸发生率的独立影响因素。结果:穿刺术后CT扫描显示发生气胸患者113例,发生率12.2%。多因素非条件Logistic回归分析显示病变位置、大小及破肺距离均是影响气胸发生的独立影响因素。结论:当病灶位于下肺、病灶直径小和离胸壁远时,穿刺后出现气胸的可能性较高。 一种基于蚁群算法的Sybil攻击防御 由于结构化对等网络管理的非集中性、参与者参与系统的自由性,使得Sybil攻击成为其所面临的特有的安全威胁。通过对当前Sybil攻击防御的文献综述,得出利用社交网络解决Sybil攻击防御具有良好的前景。利用社交网络,结合蚁群算法解决NP难问题的优势,提出了一种基于蚁群算法的Sybil攻击防御模型ASDM以及相关算法。实验表明,ASDM能有效地识别出Sybil结点。 一种两阶段自适应的IMS-Based IPTV应用服务器负载均衡策略 随着IPTV用户数目的增长,IPTV应用服务器的负担愈加繁重。在这种情况下,集群系统逐渐成为构建高性能和高服务质量的一种有效的应用服务器体系结构。保证应用服务器各节点的负载均衡,可以有效提高系统的吞吐量,提高IPTV服务质量。针对负载均衡问题,提出了一种基于IPTV用户行为特点的,两阶段自适应负载均衡策略,负载均衡器通过实时监控应用服务器集群内各节点的负载情况,动态修改各节点负载分配权值。算法在保持应用服务器各节点负载均衡的同时,维护用户会话的完整性,保证了服务质量QoS(Quality of Services)。仿真结果显示此算法具有较好的性能,能在保证服务质量的前提下有效地提高系统吞吐量。 MUSIC算法与空间平滑类算法对相干信源DOA估计比较 目前波达方向(DOA)估计有几种典型的方法,其中包括传统的MUSIC算法,空间平滑类算法中的前向空间平滑算法、后向空间平滑算法以及前后向空间平滑算法,对这几种算法在相干信号源情况下进行分析及仿真比较,仿真结果表明在信号源相干时空间平滑类算法的性能优于MUSIC算法。 利用平面单应分解实现服务机器人视觉伺服 智能空间中家庭服务机器人所需完成的主要任务是协助人完成物品的搜寻、定位与传递。而视觉伺服则是完成上述任务的有效手段。搭建了由移动机器人、机械臂、摄像头组成的家庭服务机器人视觉伺服系统,建立了此系统的运动学模型并对安装在机械臂末端执行器上的视觉系统进行了内外参数标定,通过分解世界平面的单应来获取目标物品的位姿参数,利用所获取的位姿参数设计了基于位置的视觉伺服控制律。实验结果表明,使用平面单应分解方法来设计控制律可简单有效地完成家庭物品的视觉伺服任务。 基于UPPAAL的AADL行为模型验证方法研究 为了实现AADL(体系结构分析与设计语言)行为模型的分析验证,基于行为附件的文法结构以及行为描述方式,提出了AADL行为模型与UPPAAL下时间自动机模型之间的模型转换规则。在转换规则的基础上,设计和实现了自动转换的原型工具。最后以航天器控制系统中制导、导航与控制计算机从陀螺取数的AADL模型为例,经自动转换得到时间自动机模型,并在UPPAAL下仿真、验证其行为正确性,同时证明了模型转换的有效性。 基于数据图的关系数据库关键词查询排序研究 针对基于数据图的关系数据库关键词查询结果的排序问题,提出了基于多因素的结果二度排序法。该方法结合结果结构权重和信息检索中常用的内容匹配,首先采用结果路径权重衡量关键词之间的关联紧密程度对结果粗排序;然后,对于结构权重相等的结果,引入信息元组中的关键词词频和包含关键词的信息量对结果细排序。实验分析表明,该排序方法能将与查询条件高度相关的结果排在前面,提高结果的查准率。 透明细胞型脑膜瘤MRI表现 目的:探讨透明细胞型脑膜瘤的常规磁共振表现特点。方法:回顾性分析2007年1月至2013年12月病理证实的16例透明细胞型脑膜瘤的常规磁共振图像,并复习相关文献。采用1.5T或3.0T MRI检查,包括T1WI、T2WI和增强后T1WI扫描。结果:经手术和病理证实的透明细胞型脑膜瘤共16例(男、女各8例;年龄8~65岁,平均年龄39岁),肿瘤最大径2~10cm(平均5.8cm),桥小脑角区最多见,共8例。小肿瘤(最大径<4cm,9例)信号均匀,T1WI呈等信号,T2WI呈稍低信号;大肿瘤(最大径≥4cm,7例)信号混杂,有囊变(6例)和出血(1例),T1WI实性部分呈等或稍低信号,T2W呈稍低信号。增强扫描肿瘤实质明显强化,可见脑膜尾征。结论:透明细胞型脑膜瘤是脑膜瘤的一种罕见类型,好发于桥小脑角区,MRI表现具有一定特点,但确诊仍需依靠病理。 《软件》杂志简介 [正文]《软件》杂志由中国科协主管,中国电子学会主办权威期刊,1979年创刊。国家新闻出版总署批准国内标准刊号:CN12-1151/TP,国际统一刊号:ISSN1003-6970,中国国际图书贸易总公司国外发行,国外发行代号:M8992。同时《软件》杂志电子版刊号:CN12-9 基于马尔柯夫链的MAC层协议性能及仿真研究 针对传统的移动自组网(Adhoc)MAC层协议不能同时兼顾节约能量和提高网络吞吐量的问题,在现有PEMAC协议的基础上,为提高网络传输率和节约能耗,提出了一种改善MAC层协议性能的算法。算法思想是在相同的网络环境和随机的数据碰撞概率下,利用马尔柯夫(Markov)链模型进行分析,得出网络的平均能量维持时间,并在参数一定的条件下利用捕获效应提高了网络吞吐量。有效地解决了能量和吞吐量的平衡问题,仿真结论证明了改进方法可提高网络的性能。 自适应多次喷射等待路由算法 针对现有容延网络的喷射路由算法中消息副本数不能依据实际情况进行调整,导致资源浪费和降低传递率的问题,提出了一种自适应多次喷射等待路由算法(AMSNW).AMSNW借鉴超时重传的思想,设置一个超时阈值,当消息副本在网络节点中的滞留时间超过该阈值时进行超时重传,将超时的消息副本继续喷射到其邻居节点,以增加该消息副本与目的节点的通信机会,解除其长时间滞留的困境,提高其到达目的地的概率.同时,采用会话式的ACK消息作为反馈消息,抑制不必要的重传,并清除冗余消息副本.仿真实验表明,该算法能有效提高消息投递率,降低消息的缓存区停留时间. 一种改进的无线传感器网络DV-Hop定位算法 传感器节点的自定位问题是无线传感器网络的重要研究内容之一。由于DV-Hop定位算法中误差来源主要是未知节点与锚节点间距离的估计误差,为了减小该误差,引入理想锚节点间距,排除锚节点计算出的单跳平均距离中的误差较大的部分,修正全网平均单跳距离,再对使用最小二乘法计算得到的未知节点坐标进行修正。仿真结果表明,该算法能有效提高节点定位精度,且算法对锚节点数目和节点通信半径要求较低,能有效节约网络的能耗和成本。 基于查询意图的长尾查询推荐 查询推荐是一种提升用户搜索效率的重要工具.传统的查询推荐方法关注频度较高的查询,但对于那些频度较低的长尾查询,由于其信息的稀疏性而难以产生好的推荐效果.另外,传统的方法由于没有考虑查询意图对推荐结果的影响,故对长尾查询的推荐会受到查询中噪声单词的影响.该文提出了一种新的关于词项查询图(term-query graph)概率混合模型,该模型能够准确地发掘出用户的查询意图.另外,文中还提出了一种融合查询意图的查询推荐方法,该方法可以将新查询中单词的推荐结果按查询意图自然地融合起来,从而避免了噪声单词对推荐结果的影响.实验结果表明,通过考虑查询意图,可以显著提高长尾查询推荐的相关性. 基于嵌入式系统的检测引软件 介绍了一个基于嵌入式系统的检测引导软件的设计方法,针对可能出现的操作系统文件某块已损坏、EEPROM硬件临时故障、软件走飞等现象,提出的检测引导软件技术提供了可靠的解决方法,通过实验证明,该方法提高了嵌入式系统的可靠、安全性能。对相关领域的研发人员,有一定参考作用。 基于虚拟拓扑的多级可信传输体系及路由计算 路由器及转发路径的安全可信一直备受关注.不同厂商的网络设备或处于不同管理环境中的同一款网络设备,都具有不同的安全可信度.人们期望为不同安全需求的流量提供相应可信级别的转发路径,实现网络数据的可信传输.设计了多级可信传输机制(credible transmission with multiple levels,CETML),提出了基本的可信管理策略.所有路由节点和IP前缀都被指定可信级别,网络流量也基于源、目的IP被设置可信级别.CETML为不同可信级别的传输网络构建虚拟拓扑,确保网络中的报文必须通过不小于其可信级别的路由器进行转发.路由器转发项要包含多个下一跳信息,会引入极少量的存储开销.面向SDN网络环境,分析多级虚拟拓扑的关联,基于Floyd算法思想设计了可依次迭代的多关联拓扑路由计算方法,计算时间相对典型的路由算法显著降低. 基于CUDA的梯级泵站调度算法实现 "动态规划方法求解梯级泵站调度问题十分经典,但在计算上存在""维数灾难""问题,GPU并行计算技术能对重复性计算进行加速,提高算法计算性能。本文对梯级泵站调度问题进行动态规划方法分析,利用CUDA(统一计算设备架构)对调度算法进行改进,给出改进动态规划方法的算法实现,并比较不同计算规模下调度算法计算耗时。实验结果表明,基于CUDA改进动态规划方法实现的梯级泵站调度算法能够降低计算维度,在计算规模较大时,加速效果较好。" 基于原语-模式的体系结构作战活动模型建模方法 为了理解、比较和集成由不同框架、不同工具及建模方法开发的体系结构,更好地支持以数据为中心的体系结构开发策略,提出了基于原语-模式的军事信息系统体系结构建模方法;依据XML的模型转换实现,重点研究了基于建模原语-模式的体系结构元模型与建模方法之间的语义映射规则;基于国际国防企业体系结构规范构建了作战活动模型(OV-5)的元模型,分别研究了OV-5的IDEF0建模和UML活动图建模的具体实现,构建了IDEF0及UML活动图与OV-5元模型数据元素严格的语义映射规则,规范了体系结构建模方法并保证了体系结构语义的精确性和一致性。 嵌入式弹射座椅程控器测试系统研究 程控器是可靠完成弹射救生过程的核心控制部件,为了确保其可靠工作,使之处于良好的工作状态,提高系统的弹射救生效能,设计了一种能够完成程控器检测保障的系统;通过分析程控器的工作原理确定了测试系统整体方案,详细论述了硬件电路和软件的设计过程,整套设备操作简便,性能可靠,对于提高部队对弹射救生装备的维护保障水平,具有十分重要的意义;实验结果表明,关键参数的检测准确度可达97%以上。 基于权重优先的业务规则引擎应用 研究基于权重优先的业务规则引擎,通过对基于顺序业务规则引擎组成结构和规则的描述,进行基于顺序业务规则应用管理系统处理业务单子数据的日志分析,得出该方法存在的不足,进而提出了基于权重的业务规则引擎,详细描述了该方法的组成结构和相关规则。通过业务单子数据采样举例,对比两种业务规则引擎处理业务单子数据的效率,证明基于权重优先的业务规则引擎方法优越性。 基于粗约简和网格的离群点检测 为解决现有高维海量数据离群点挖掘在时间与空间效率上的不足,提出了一种基于粗约简和网格的离群点检测算法RRGOD。算法在基于密度的离群点检测算法LOF的基础上,结合粗糙集理论特点,引入属性权值概念,淘汰属性权值低于重要度阈值的属性降低维度,从而减少了进行聚类的计算量。在网格聚类阶段,对传统的网格划分方法进行改进,引入属性维半径向量概念,提出了一种可变网格划分方法,根据数据集特点自适应地划分网格空间。在真实数据集和仿真数据集上进行了实验。结果表明,该算法在进行离群点检测时能在保持足够精确度的同时,检测效率有显著的改善。 基于碰撞信号的车祸碰撞检测系统设计 针对现有的车辆碰撞检测系统中对碰撞信号检测容易受到外界干扰,信号检测准确性不强的问题,设计了一套新的车辆碰撞检测系统;运用AIC23振动信号传感器对碰撞过程中汽车产生的噪声信号与正常碰撞信号的频率特征进行提取,系统中引入了抗干扰震动传感网络过滤干扰方法,设计了一种碰撞信号最小二乘估计插值法,对碰撞中的信号和干扰信号进行融合,准确区分交通事故发生时,碰撞信号与非碰撞信号的信号特征,保证检测的准确性;以重庆某汽车厂碰撞实验室器材测试的结果证明,以此方法为基础设计的检测系统对车辆碰撞信号进行提取,在存在较大外界干扰的情况下,碰撞检测准确率达到了97%,比传统系统有了7%的提高,优化效果明显。 基于MDA的需求捕获工具的设计与实现 目前MDA开发过程中仍采用人工捕获、文字描述的传统需求获取形式,这影响了需求模型与PIM模型的精度和一致性,降低了MDA开发的自动化程度。重点研究开发了一个可视化需求捕获工具。该工具采用MDA框架以及GEF等技术进行开发,基于目标-情景的捕获方式进行需求获取,同时支持以需求文档与XML的形式将需求模型导出,为需求模型到PIM模型的转换提供了足够信息。最后通过实例验证了该捕获工具的有效性。该工具弥补了MDA中没有独立需求捕获阶段的不足,在一定程度上完善了MDA的开发流程,提高了MDA软件开发的自动化程度。 物联网环境下的车辆监控信息平台设计 为使车辆管理更加科学化以及合理化,并在提高车辆管理水平的同时减少不必要的开支,需要对车辆监控信息平台进行设计;当前采用的车辆监控信息平台设计方法是利用视频图像对其进行监控,监控过程中由于视频储存占用空间大,无法设置缩小占用空间,导致监控不能及时发送信息,存在监控性能较差,安全性低的问题;为此,提出一种物联网环境下的车辆监控信息平台设计方法;该方法首先对车辆监控信息硬件平台进行设计,硬件平台是由通信平台、数据平台以及信息共享平台三部分构成,利用Adaboost算法对车辆监控信息数据进行提取,以提取的车辆监控信息数据为基础,结合REDD方案的分簇性能将终端监控的信息数据传输至通信平台,最后在通信平台进行信息发布与展示,由此完成对车辆监控信息平台设计;实验结果表明,所提方法可对车辆信息进行全方位安全监控,实现车辆的科学化管理,为车辆监控的发展提供有效依据。 非基于测距的压缩感知多测量向量目标定位 传统的压缩感知定位方法大多是基于测距的,需要获得目标的精确定位信息,并不适用于资源受限的低损耗无线传感器网络。提出一种非基于测距的压缩感知多测量向量目标定位方法,能够大大降低对网络硬件的要求。该算法一方面根据传感器获得的目标连通性信息,设计了非基于测距的压缩感知定位模型;另一方面采用对定位区域进行动态感知的方法,解决了非基于测距的定位中定位精度不高的问题。该算法能够同时处理多组测量数据,且操作简单,适用性强。仿真证明,该算法具有较好的定位精确性和鲁棒性。 基于TV准则的图像分块重构算法的研究 利用压缩感知理论进行图像重构时,基于分块思想进行可有效提高重构速度,但同时会带来较强的块效应。为了解决该问题,提出了一种基于TV准则的图像分块重构算法。该算法将基于整幅图像时梯度计算方法进行改进,充分利用已重构块的边界像素信息,从而有效消除了图像的块效应。实验结果表明,提出的算法能够有效消除图像的块效应,提高重构图像的主客观质量,与TVAL3算法相比,重构图像的PSNR值最多提高了0.84dB,时间最高可节省24.38%,算法尤其适用于低采样率的情况。 脉冲压缩雷达跨周期相位调制干扰技术研究 线性调频脉冲压缩技术是当代高分辨率体制雷达的发展主流之一,因此如何对脉冲压缩体制雷达进行有效的干扰已经成为电子对抗领域的主要问题。从线性调频脉冲压缩体制雷达信号处理的基本原理出发,分析了间歇采样延时转发干扰对信号处理的影响,指出其不足之处,有针对性地提出了一种基于跨周期相位调制的相干干扰技术。通过仿真分析了其可行性和有效性,研究了参数的选取对干扰效果的影响。 使用蚁群优化的WMSNs负载均衡路由方案 由于无线多媒体传感器网络(WMSNs)具有资源受限、信道容量可变、数据冗余度高等特点,研究WMSNs的QoS路由具有极大的挑战性。针对上述问题,提出了一种使用蚁群优化的WMSNs负载均衡路由方案——ACOLBR。ACOLBR采用分簇技术进行区域划分,簇间利用ACO找到一条簇首到基站的最优路径,簇内利用以簇首为根节点的最小生成树算法组织路由。仿真结果表明,与AGRA和M-IAR算法相比,ACOLBR在负载均衡、传输时延、传输成功率、可扩展性和自适应性等方面均有较大改进,实现了全网的负载均衡,有效地延长了网络生命期,保证了网络传输的QoS。 基于类型预测的甚块预测器 高性能的甚块预测器是保证EDGE体系结构性能的关键手段.为研究性能更好的甚块预测器,文中通过仿真实验发现甚块的出口类型独立于甚块的出口个数和甚块的动态执行结果而存在.以此为据,提出了基于类型预测的甚块预测器.该预测器摈弃了甚块出口号,直接对甚块出口类型进行预测.随后,根据对甚块出口类型可预测性的分析,通过实验证明甚块出口类型与历史和路径信息相关.仿真结果显示,与经典的基于出口预测的甚块预测器相比,文中提出的基于类型预测的甚块预测器能够将每千条指令误预测次数平均降低约10%. 基于混合核函数和高阶容积卡尔曼滤波的神经网络训练算法 现有的高阶容积卡尔曼滤波(HCKF)对基于单个核函数的神经网络进行训练精度不高。为解决这一问题,提出一种基于混合核函数和HCKF的神经网络训练算法。将局部核函数与全局核函数线性组合成混合核函数,在该混合核函数的基础之上通过神经网络建立非线性系统的状态空间模型。将非线性系统的状态量和网络的权重合并成系统的扩维状态向量,用HCKF对扩维状态进行实时训练估计。仿真结果表明,该训练算法具有可行性和有效性。 基于OPNET的网格资源发现机制研究 论文将集中式资源发现机制和分布式资源发现机制结合起来,提出了基于资源类型查找的分层资源发现模型。该模型由物理资源层和虚拟组织层组成,虚拟组织层中,将网格资源按照不同类型划分成若干个虚拟组织,并为每个虚拟组织设置一个管理节点,用来存储和管理物理资源层中同类资源的索引信息。该模型克服了集中式中使用一个中心节点容易产生瓶颈的缺点,同时也克服了分布式中资源信息空间的无序性、无结构性和资源发现的盲目性,提高了资源发现的有效性和准确性。并使用OPNET模拟仿真工具对提出的资源定位策略进行了模拟仿真,以验证所提策略的可行性和有效性。 基于双难题的数字签密方案研究 针对基于离散对数和因子分解双难题设计数字签密方案的问题,给出了一个使用Hash函数的签密方案。针对Hash函数存在被攻击的危险,给出了一个不使用Hash函数的签密方案。两个方案均具有抗伪造签名攻击、前向安全性和公开验证性。通过安全性分析和复杂度分析,与各类数字签密方案比较,复杂度更低。 SNMP网络管理中高效轮询方法研究 基于SNMP协议的网络数据采集是TCP/IP模型网络管理的基础,是互联网环境下网络管理的主要标准。但在应用过程中也存在很多具体问题,特别是在轮询过程中,缺乏统一标准,实现过程中存在效率低、附加流量大等问题,根据现有的网络环境,提出了一种基于优先级控制的轮询方法。该方法采用统计原理,可以根据网络状况动态修正在轮询过程的优先级别,根据不同优先级控制轮询过程的排队。通过测试系统的分析,该方法可以在一定程度上提高轮询的效率,减少关键对象的响应时间,达到有效管理的目的。 面向主动再制造的产品可持续设计框架 针对产品失效后被动再制造的现状,提出了面向主动再制造的可持续设计概念,分别从主动再制造设计信息模型、设计参数映射及优化、设计冲突消解及反馈等方面阐述了主动再制造设计流程,对主动再制造设计参数到再制造特征的映射机制、约束条件下不同再制造设计目标冲突协调和转化等关键问题进行了探讨,形成主动再制造设计框架,为主动再制造设计理论体系的建立奠定了基础。以机械式变速箱为例,进行了设计框架的应用描述。 基于非对称相似差别矩阵知识约简 信息系统中存在着大量数据值缺省的情况,为寻求约简的最优解需耗费大量的时间。用非对称相似关系代替粗糙集理论中的等价关系,定义了非对称相似差别矩阵,提出了基于非对称相似差别矩阵的高效求核和知识约简算法。该算法无需改变初始不完备信息系统的结构,能直接处理缺省数据。实验结果表明,新算法所获得的决策规则简洁、高效,与缺省值无关。 NTar:基于网络拓扑的纠删码树型修复方法 大规模分布式容错存储系统采用纠删码作为数据冗余技术能够比多副本技术以更低的额外存储空间开销获得相同的数据可靠性.然而,基于纠删码的数据冗余技术在修复一个失效编码块时需要从其他节点下载多个编码块,不仅占用了大量网络资源,也严重降低了修复速度.现有的修复方法都没有考虑网络拓扑的影响.为此,提出并实现了一种基于网络拓扑的纠删码树型修复方法 NTar.NTar依据网络拓扑将参与修复的节点组织成网络距离最小的树型结构,缩短修复期间数据的传输距离,从而减少占用的网络资源并缩短修复时间.此外,提出了节点选择算法Optree.Optree可快速地从所有可用节点中选出最优的参与修复的节点组合,并同时生成最优的树型修复结构.实验结果表明,相比于传统的星型修复,NTar可将修复占用的网络资源降低30%~45%,修复时间减少50%~70%. 图书供应商选择的混合型多属性群决策 针对同时存在基数评价信息与序数偏好的情形,考虑每个属性下的决策者权重,为图书供应商选择建立混合型多属性群决策模型。对定性和定量属性分别用0-1规划和线性加权和法求出决策群体对各供应商的评价值,再用0-1规划求得决策群体对供应商的最终排序结果。最后以一个实例说明模型的应用。此方法可提高供应商选择的科学性和有效性。 Steerable Pyramid分解地震随机噪声衰减——基于局部Laplace先验概率密度模型 简单介绍了具有多尺度与多方向性特点的Steerable Pyramid分解和重构的基本原理。采用softLMAP阈值将其应用于地震数据随机噪声衰减中,进行了仿真计算和实际资料的处理并与自适应BayesShrink阈值及小波域softLMAP阈值去噪进行比较。结果证明利用Steerable Pyramid分解softLMAP阈值能比较彻底地去掉噪声,去噪后的图像边缘保持良好,滤除噪声同时还保留了有效部分,去噪效果良好,且易于实现,在地震资料处理中具有一定的可行性和应用前景。 面向图像超分辨率的上下文字典学习 基于稀疏表示理论,提出了一种面向单张图片超分辨率的字典学习方法。通过对训练数据进行分类,期望在每一类训练数据训练字典的过程中,增强类内的上下文信息。与之前的面向图像分类的字典学习方法所不同的是,训练数据集由高分辨率图像块和对应的低分辨率图像块共同组成,这使训练得到的字典更适用于图像重构。利用有限的训练数据集,基于上下文的字典学习方法能够提高字典表示的拓展能力,消除由多重训练数据子集带来的冗余。 基于全变分-核回归的RPCA运动目标检测方法 近年来,鲁棒主成分分析法(Robust Principal Component Analysis,RPCA)被广泛应用到运动目标检测中,但该类方法未能有效利用运动目标的时空连续性先验,容易将动态背景误判为运动目标,且背景恢复精度不高.为此提出一种基于全变分-核回归的RPCA运动目标检测方法.该方法以RPCA为基础,利用3维全变分模型增强前景的时空连续性,去除动态背景干扰,得到清晰完整的前景.同时,利用基于扩散张量的核回归对背景的时空相关性建模,去除噪声干扰,从而精确恢复背景.在多组公开数据集上的实验结果表明,该方法在动态背景、光照变化等复杂场景中能够较为精确地检测出运动目标和恢复背景. Salsa20的差分故障分析 Salsa20是eSTREAM计划最终获胜算法之一,其主要特征是利用模加、异或和循环移位三种运算的混合提供算法所需扩散性和混淆性。目前对该算法的分析主要集中在统计分析和差分分析两方面。本文研究Salsa20/256的差分故障分析,在基于随机字的故障诱导模型下,通过诱导96个错误,将以近似1的概率获得186比特的密钥信息,从而将恢复Salsa20/256全部密钥比特的时间复杂度降为270,这表明Salsa20/256对基于随机字的差分故障分析是脆弱的。 军用电子设备试验数据库概念模型设计 从分析军用电子设备试验数据的特点入手,研究设计了试验数据库概念模型,提出了一种采用时间、设备和试验题目为关联条件对试验数据进行有效组织的三维关联数据模型,并将试验数据文件级管理和表级管理有机结合。实践表明,该概念模型能够满足试验数据管理系统的应用需求。 基于迭代卡尔曼滤波的传感器数据融合仿真 在对运动系统进行实时动态姿态信息检测过程中,常常需要加速度计、陀螺仪等多个惯性传感器的协同工作,因此需要对多传感器的输出数据进行融合处理。卡尔曼滤波法是其中常用的一种数据融合算法。该算法的滤波融合精度,直接影响了运动系统姿态信息的精度与实时性。在研究传统的卡尔曼滤波算法的基础上,仿真分析了过程噪声协方差矩阵、测量噪声协方差矩阵对于卡尔曼滤波算法的滤波精度、响应时间的影响,提出了一种基于数据迭代法的卡尔曼滤波融合算法。该算法将多传感器数据融合后的输出再次进行迭代运算,实现了较好的融合效果。仿真实验结果表明,相较于传统的卡尔曼滤波算法,所提出算法的复杂度低,实时性好,同时滤波精度大幅提升。 一种引入通配符的身份密码密钥更新机制 自从2001年BF-IBE方案提出,各种基于标识的加密机制逐渐发展起来。但由于用户公钥完全公开且不易更改,IBE机制的密钥更新问题亟待解决。具有等级结构的身份密码体制(HIBE)的提出,解决了单个私钥生成中心(PKG)无法满足大用户量应用环境的负担和安全保障问题。文章通过引入通配符提出了WIBE方案,可以对HIBE的用户组进行一次性加密。同时在WIBE基础上提出了WKU方案,是一种以年限为单位的密钥更新机制,对用户公私钥的有效期限进行了管理。 基于SNMP的MIB构结树高效算法研究 简单网络管理协议是一套定义管理信息存储、传递的应用层协议标准,它使得各种网络设备可以被统一的管理,而SNMP软件的主要性能瓶颈在于保存和查找MIB(管理信息库)对象的效率。考虑到其资源利用率和执行效率的高低对被管系统的整体性能有着直接的影响,对基于SNMP的MIB库的访问进行了研究。分析了MIB树的构造原理,提出了两种算法,并对它们进行了比较,结果表明了该方法的可行性。 基于有限状态机矩阵模型的人工情绪模型 "根据情绪心理学理论,提出先天预置和后天习得2个层次的人工情绪模型。用有限状态机的矩阵模型实现先天原始基本情绪智能的再现,克服""事件-情绪-行为""序列对需要存储空间的缺点,提高了模型的实时性。用Lapicque神经细胞模型描述情绪状态转换的过渡过程,并给出模型仿真结果。" 一种多目立体视觉的三维激光扫描系统设计 论文设计出了一种多目立体视觉的三维激光扫描系统,该系统基于ZYNQ异构计算平台,通过对精密的升降台进行控制,使用四组环绕形状的双目相机来获取不同位置处的线激光光带图像,提取光带中心,进行图像匹配,利用视差原理计算出所有光带中心的坐标,并将四路光带中心的坐标转换为统一的世界坐标系,进行点云数据的融合,最后快速地获取物体的三维点云数据,提高了系统的扫描速度及集成度。通过实验结果证明,在快速获取物体三维点云数据的情况下且物体的扫描精度可以保证在1mm的范围内,验证了该系统的可行性。 非强占有限优先权M/M/1排队系统 以计算机网络中实时视频流传输的实际应用为基础,建立非强占有限优先权M/M/1排队系统模型;对该系统模型进行分析研究,推导出顾客在系统内的的平均等待时间、平均逗留时间和平均队长。 山脉图像轮廓线引导的三维虚拟地形合成 三维地形在构建各种虚拟室外场景中具有重要的作用,而现有地形合成算法存在合成结果不可预期、合成过程人工参与较多等不足.为此,提出一种直观便捷且自动化的真实感三维地形合成算法.首先从用户给定的一幅二维图像中提取真实地形山脉轮廓线;然后借助卷积神经网络模型预测每一条轮廓线的深度,并根据深度和二维图像成像逆过程将轮廓线映射到三维空间;最后以三维空间中的轮廓线为地形的全局特征草图,以具有局部细节特征的地形块为基本元素,通过块拼接、融合、高程匹配等操作合成三维地形.实验结果表明,该算法能够在真实地形山脉轮廓线的约束下自动化地合成结果可预期的真实感三维地形. 变步长LMS算法相空间重构的AR模型预报仿真 研究船舶运动姿态预报问题,存在着预报精度不高和收敛速度慢的问题,根据船舶运动具有混沌特性的特点,提出了VSS-LMS算法重构相空间,建立AR预报模型,实现了对船舶运动姿态的预报仿真,提高了稳态的预报精度,并在初期就能很好地提高收敛速度。经实验证明,基于VSS-LMS算法的混沌相空间重构AR预报模型预报精度更高、预报时间更长,且具有更快的收敛速度,为船舶运动姿态实时在线预报提供了理论依据。 基于词平台汉字编码的文本信息隐藏算法 文本信息隐藏是版权维护的一种重要手段,针对现有算法存在信息隐藏量不足、鲁棒性不高及多数仅适用于英文文本等问题,提出一种基于词平台汉字编码的文本信息隐藏算法,运用标志位和编码变换规则实现密文信息的嵌入,在算法的信息隐藏量和鲁棒性上有较大提高,增强密文信息的安全性。理论分析和实验结果验证了该算法具有一定实用性。 基于时间感知和用户兴趣重要度融合的文档推荐模型 基于内容的文档推荐系统中,传统的向量空间模型VSM直接使用TF-IDF方法确定权值,不能真正体现用户兴趣重要度;同时,由于未考虑用户兴趣随时间变化而发生改变,导致推荐精度较低.因此,提出了基于时间感知和用户兴趣重要度融合的文档推荐模型.首先根据用户浏览行为和相关信息,隐式提取用户兴趣,构建新的用户兴趣向量模型.针对用户兴趣受时间因素的影响,提出使用时间延迟函数对近期浏览的文档赋予更高的时间权值;然后应用灰色关联理论,建立用户兴趣因子序列与文档兴趣度参考序列间关联模型,以确定用户兴趣重要度;进而融合时间因素和用户兴趣重要度建立文档推荐模型.最后设计了一个实验系统,对比所提出的模型与其他两个模型的推荐效果,验证了基于时间感知和用户兴趣重要度融合的模型优于其他模型,能够为用户提供更准确的文档. 基于度量的嵌入式软件缺陷风险分析研究 通过对COSMIC-FFP模型的扩展优化提出了嵌入式软件系统度量的方法,从而解决了COSMIC-FFP模型不支持对含有复杂数学算法的嵌入式实时系统度量的问题,基于软件规模度量提出了软件缺陷度量的方法。通过对软件规模的准确度量和对软件缺陷风险的分析,发现软件项目过程风险管理的不足,达到降低软件项目过程风险的目的。 一种QoS最优的语义Web服务自动组合方法 针对海量的信息提供类(Information Providing)语义Web服务的自动组合问题,提出了一种将正向搜索与逆向搜索相结合并追求QoS最优的快速组合方法.该方法首先根据用户的请求对服务库中的服务进行层次划分,去除无关服务并得到具有并行调用结构的组合服务原型即规划图;之后,利用得到的参数的服务源信息,计算每个服务的最优组合QoS;最后,以用户请求的输出参数为起点进行逆向搜索,以最优组合QoS为约束条件构造所有可能的最优解.以WS-Challenge 2009提供的竞赛集进行实验,结果表明该方法不仅能够找到组合服务的最优QoS,而且可以获得大量结构不同但QoS均为最优的解,从而为组合服务的执行提供更多的选择. 用于道路监测改进的多重虚拟扫描算法 虚拟扫描算法不能充分利用节点数量,为了延长网络生命周期它必须建立在节点密集部署的基础上,以致平均目标发现时间延长。为此,基于低占空比无线传感器网络(WSN),结合虚拟扫描波的思想,提出一种用于道路监测的多重虚拟扫描算法。该算法通过定点、同位置多节点部署的方式,使节点依次分批工作,以延长网络生命周期。仿真实验表明,多重虚拟扫描算法与虚拟扫描算法相比网络生命周期延长了180%,能有效提升网络性能。 NAND Flash控制器中RS码的设计与验证 由于工艺制约,NAND Flash存储器会出现位差错现象,为此引入了RS码保证其数据完整性和正确性。在研究RS码基本原理基础上,给出了编码和译码的电路实现,其中采用并行结构实现钱式搜索电路、采用流水线架构实现译码。与传统方法相比,该实现缩短了计算周期,提高了最高工作频率。在Quartus平台下对RS编译码模块进行功能仿真,仿真结果表明,该纠错码能够满足NAND flash存储器纠错要求,是一种正确适用的纠错方案。 Ghost后数据恢复的研究与实现 "Windows用户在使用Ghost工具软件安装操作系统时,有时会将""选择镜像文件到分区""误操作为""选择镜像文件到磁盘"";操作完成后,整个硬盘成为一个大C盘,造成各逻辑盘丢失。针对这一情况,以Ghost8.0为实验软件,Windows 7为平台,Win Hex 15.08为分析工具,对Ghost后硬盘中MBR分区结构进行分析,提出了两种恢复Ghost后硬盘分区的基本思路与方法。第一种是通过各逻辑盘的DBR所在扇区号和总扇区数,在硬盘0号扇区重建各逻辑盘的MBR分区表来恢复各逻辑盘;另一种是通过重建硬盘0号扇区扩展分区表来恢复各逻辑盘。实验结果表明:误Ghost后除第1个逻辑盘中前面的部分数据被覆盖后无法恢复外,只要恢复各逻辑盘的MBR分区表,后续逻辑盘中的数据均可完整恢复。通过实践表明,这两种恢复方法不仅实用而且方便、快捷。" 远程定位瞄准系统的设计和实现 本文针对远程目标的定位瞄准问题,开发了一套以人脸为基点对远程目标进行定位瞄准的自动化系统。将基于Adaboost方法的肤色检测器和人脸检测器应用于系统中,提高定位、瞄准的效率。首先用肤色检测器对被检测视频进行预处理,将肤色区域从背景中分割出来,然后利用人脸检测器对肤色区域进行检测,定位并瞄准人脸目标。实验表明,对正面人脸目标和具有小角度偏转的人脸目标具有较好的检测效果,在300米左右的距离上可以准确地定位、瞄准目标,同时完全满足实时性要求。实际应用中可以减少人工定位、瞄准目标的复杂性,可以用于战场以及解救人质等紧急情况的辅助瞄准。 RVM在航空发动机故障诊断中的应用研究 针对支持向量机算法存在的不足,研究了一种基于稀疏贝叶斯框架的机器学习方法——相关向量机在航空发动机故障检测中的应用。排气温度是进行发动机监控与故障诊断的重要依据,应用相关向量机对其进行预测。通过仿真实验,证明了相关向量机方法在样本数据较少的情况下只产生了很少的相关向量,并且能够及时准确地预测出发动机排气温度;同时可以使用真实值与预测值的相对误差作为系统是否发生故障的判断依据。 可重构S盒替换单元研究与设计 针对微型终端资源受限导致加密算法单一的问题,对分组密码中的关键部件S盒进行可重构设计,提出以8-1S盒为基本可重构单元,将S盒转换位布尔函数表达式,采用变量分组、递进计算的方式,大幅减少表达式中的与项个数,提升中间结果的利用率.在此基础上,设计了一种可重构S盒单元,并在TSMC 45nm CMOS工艺下进行综合,工作频率可达1.67Ghz,与现有研究成果相比,本设计不仅能够很好的满足当前微处理器的速度需求,且资源占用仅为同类设计的2/3. 弹药保障单元CGF人类行为建模 为提高弹药保障单元CGF行为模型的逼真度,针对CGF实体具有自主性和智能性的特点,从结构构成和行为功能上考虑,将弹药保障单元的行为视为内部成员行为表现的聚集,构建了多Agent的弹药保障单元CGF行为模型。行为模型将保障单元的成员分别视为具有智能行为的单独Agent,采用包容式结构控制模型的行为,并描述它的行为特征,给出了目标威胁等级评估、指挥决策、避障方法的实现模型。弹药保障单元CGF行为模型以组长Agent为核心,各成员Agent分工合作,其行为更加趋近于人类,提高了仿真系统的逼真度。 基于加工特征的缸体类零件数控程序自动生成 根据企业的产品特点和现有的制造资源,提出了一种新的缸体类的加工特征模型。通过该模型的使用,在设计数控工艺时就能根据加工特征的种类,以模块化的方式进行数控程序的生成,很好地解决了传统工艺和数控工序的集成问题。同时以加工特征为单元,采用参数化技术实现数控程序的派生式生成,在三维环境下进行动态仿真,提高了数控程序设计效率和质量,从而实现了数控程序的模块化设计,提高了数控工艺设计的柔性和自适应能力。该方法在企业的工艺自动化系统中得到了验征。 基于聚类和随机森林的协同过滤推荐算法 针对基于邻近关系的协同过滤算法在线推荐效率低的问题,提出了一种可离线训练评分预测模型的算法。通过聚类算法降低用户-项目评分矩阵中用户向量和项目向量的维数,并对数据进行转换使其适用于监督模型;利用转换后的数据离线训练随机森林模型,在线推荐时只需根据随机森林模型的规则进行评分预测,无需查找最邻近用户或项目。实验结果表明,该算法在不降低评分预测精度的情况下,在线推荐效率远高于基于邻近关系的协同过滤算法。 基于Fuzzing的PNG漏洞挖掘技术 Fuzzing是一种利用黑盒测试思想的自动化漏洞挖掘技术。文章基于Fuzzing的漏洞挖掘思想,设计并实现了一个针对PNG文件查看软件的fuzz工具-PNGFuzzer,可以实现对PNG文件的漏洞测试,最后给出了该工具测试的实例。 基于HyperLynx的电视跟踪系统信号完整性分析 在HyperLynx环境下,对反射和串扰这两种常见的信号完整性问题进行了仿真分析,提出了反射和串扰的抑制方案以及差分线的布线策略,在此基础上对以TS201为核心的电视跟踪系统的设计进行了改进。测试结果表明,在系统设计中进行有效的端接和合理的布局布线,可以提高信号的传输质量,避免和减小信号完整性问题。 无人机数据采集EKF滤波悬停稳定的研究与应用 许多国内外的研究机构致力于四旋翼无人机飞行控制姿态和高度悬停稳定的研究,以实现四旋翼无人机的自主飞行;四旋翼无人机是一种多输入、强耦合、多变量、欠驱动的系统,其稳定性、数据传输的可靠性、精确度、实时性对飞机性能起着决定性的作用;针对四轴无人机悬停运动测试环节中不稳定的影响,基于已有的无人机平台,最新科研前沿的文献,以ARM嵌入式系统作为上位机,设计了一个无人机数据采集的扩展卡尔曼滤波器(EKF),结合二次型最优控制预估气压计最优初始矩阵值进行开源编程。从扩展卡尔曼滤波器的建立与优化,原程序气压计程序严谨的探讨、衔接和写入飞控,最终在软件匿名科创地面站上,通过无人机对气压计大量实时悬停数据采集,实验仿真进行滤波图形对比验证和无人机实际运行的稳定性分析表明,提出的无人机数据采集扩展卡尔曼滤波方法效果良好,具有良好的应用和推广价值。 基于多重流形嵌入的局部线性嵌入与等距映射面部表情估计方法 对于传统的局部线性嵌入(LLE)算法在给定数据集中无法学习多重流形信息与等距映射(ISOMAP)算法在展现数据内在几何形态不足的特性,为提高面部表情图像的分类精度,提出了一种基于多重流形嵌入的LLE与ISOMAP面部表情估计方法。该算法分别通过LLE和ISOMAP两种流形学习对面部表情图像集进行分类训练,并得到数据的细化二维映射结果,再通过二维表情分布图将两种分类数据进行融合与重排列。为验证融合的可行性,实验通过流行学习对表情数据进行分类与标记,利用五折交叉验证,将数据定义为五种不同表情,并引入表情的识别率对融合算法与两种传统算法进行对比。融合算法使低维数据不但具有数据间的局部线性关系,同时也保证了数据间的全局映射关系。仿真结果表明,融合算法在特定K近邻(KNN)范围内取得较高的识别率和较低的重构误差,基本满足对高维图像实时处理的要求。 真空并联机器人轨迹规划仿真 为了实现FROG-LEG型真空并联机器人准确经过多个中间位姿点的路径规划,研究了类机器人NURBS曲线路径规划的关键问题。通过建立FROG-LEG型并联机器人等效的串联运动学模型,获得了机器人运动学的正反解,在运动学基础上设计了该类机器人基于NURBS曲线的路径规划方案。通过Matlab的robot tool工具箱,进行了FROG-LEG型真空并联机器人运动学和路径规划的仿真。仿真结果证明,路径规划方案可以很好的让机器人通过中间插补位姿。从而从理论上解决了FROG-LEG型真空并联机器人路径规划中需要精确经过多个插补位姿的难题,并为实现FROG-LEG型真空并联机器人采用NURBS曲线轨迹规划提供理论依据。 基于物联网技术的出租车调度系统设计 针对目前基于站牌调度的出租车调度系统存在的易出现乘客抢上车插队、调度没有最优化和乘客/出租车爽约现象频发的不足,提出了基于物联网技术的出租车调度系统。系统在原站牌调度系统基础上,增加了感知层射频识别(RFID)读写器、传输层通用分组无线服务技术(GPRS)网关模块和应用层调度管理中心等。测试结果表明,该系统能够实现在城市内的各站牌预约点近距离调度站牌附近出租车,调度管理中心可实现各站牌点调度结果的管理与查询。 采用分布估计算法计算AHP判断矩阵排序权重 判断矩阵排序权重计算及其一致性检验可以归结为一个使一致性指标最小化的非线性、变量耦合的优化问题。提出一个利用基于序贯重点采样粒子滤波和Cholesky分解的分布估计算法计算排序权重的算法,该算法采用的概率模型是多峰的并考虑了变量之间相关性。文中对判断矩阵排序权重计算及一致性检验、基于序贯重点采样粒子滤波和Cholesky分解的分布估计算法进行了介绍,描述了排序权重计算及一致性检验算法,最后给出实验数据及其分析。实验结果验证了算法的有效性,并具有很高的精度和稳定性。 动态多项目选择计划管理及其免疫优化决策 针对资源受限情况下动态多项目选择计划管理问题,探讨其数学模型,并设计免疫优化算法对其求解。算法设计中,分别引入基因块的随机漂移与确定性漂移两种变异方式,以及个体的亲和选择与激励选择两种选择方案。最后,数值实验验证了模型的合理性以及各算法的有效性。 一种基于结构化学习的排序算法 "传统排序算法将排序问题转换成分类或回归问题来求解,这样得到的模型不够精确。对此提出一种新的排序算法,该算法把排序问题看成一个结构化学习过程,即通过训练集来学习一个排序结构。算法首先定义了一个查询级的目标函数,针对算法约束条件太多,难以直接优化,提出使用割平面算法进行求解。对于算法中的""寻找最违约排列""子问题,将其变换成为一个简单的降序排列问题。基于基准数据集的实验表明,相比起传统的排序算法,所提算法更为有效。" Windows平台下软件自身防护关键技术 提高Windows平台下软件自身防护包括加强对敏感文件和自身进程的安全保护两个方面。介绍了目前常用到的三种文件保护方案,以及进程保护的技术,并对他们存在的优缺点进行了分析。 基于概念邻域的Top-N推荐算法 做为处理信息过载的有效手段,推荐系统在短时间内得到了迅速的发展.传统的基于邻域的方法忽略了用户与产品间的结构关系,只考虑了同类对象间的相似关系.随着推荐系统的广泛应用,数据稀疏条件下的推荐问题也亟待解决.针对推荐系统所面临的关键问题提出了一种面向隐式反馈数据的基于概念邻域的推荐算法.将用户与产品的评分(关系)矩阵转化为二元形式背景,以此为基础构造出相应的概念格,将用户与产品分别以对象与属性的形式聚集在概念中,并通过概念间的偏序关系,以对象(用户)的起始概念为起点探索其近邻概念并获取候选项集,最后结合所提出的全局偏好度与邻域偏好度过滤出最终推荐结果.该算法通过在两个公共数据集上的实验,相较于传统的基于邻域的推荐算法,具备较好的推荐效果,并更适用于数据稀疏条件下的推荐. 四旋翼飞行器姿态解算与滤波 四旋翼飞行器的运动控制关键在于对飞行过程中的实时姿态角控制;目前实时姿态角信息还不能直接测量出来;为了能利用已有的传感器数据解算出更准确的姿态角,通过物理实验详细分析了四旋翼飞行器姿态角的解算和滤波算法;首先,通过联立欧拉方向余弦矩阵与四元数矩阵,得到用四元数表达的姿态角表达式;然后,结合加速度计和磁强计实时测量的数据,分别采用互补滤波和卡尔曼滤波两种方法来补偿四元数结果,分别分析如何选取最佳参数,并对比分析了两种滤波方式的优缺点;在一定精度要求范围内,这两种滤波方式都能获得更加准确的姿态角,但是互补滤波相对卡尔曼滤波有一定的解算时延;因此在精度要求一般的系统中,这两种滤波方式都可以用来求解姿态角,卡尔曼滤波方法则更适于对实时性要求更高的系统。 基于再生核空间的自适应图像彩色化算法 针对传统的图像彩色化算法中彩色化结果质量不佳、参数设置不合理等问题,提出一种新的基于再生核空间的自适应灰度图像彩色化算法.该方法通过求泛函极值建立非线性方程,设置与图像像素亮度相关的自适应再生核,用最小二乘法对方程进行数值求解得到彩色化结果.与其他灰度图像彩色化技术相比,该方法能在自适应选择参数的同时,有效提高图像彩色化质量. 云计算在智慧校园中的应用研究 云计算是一种商业模型,它通过将计算任务分配给多个服务器来达到分时共享计算资源和存储资源的目的。作为一种最能体现互联网精神的计算模型,云计算在计算成本、计算模式和计算能力上的优势将从多个方面改变人们的学习、工作和生活。云计算技术在智慧校园中的应用研究,对教育科研和相关技术发展产生深远的影响,为校园网中长久以来无法解决维护和安全问题提供切实可行解决方案,为信息技术在校园中的科学合理应用奠定基础。根据云计算的本质,给出云计算在智慧校园建设上的优势,以云计算技术在校园网中的应用为例,提出切实可行解决方案。 光电导引的四轮移动机器人设计 全国大学生智能车竞赛要求设计一种能按要求快速稳定平移和转向的光电导引的四轮移动机器人系统;因此分别建立了前轮S-D5舵机转向模型和二阶后轮RS540电机驱动数学模型,设计了基于模糊控制的前轮转向算法、基于解析式控制器的智能自适应PID后轮驱动算法、赛道判别算法,改进了线性CCD采集图像处理算法,利用基于二值化的双向跳变沿检测法代替单向跳变沿检测并采用膨胀腐蚀算法滤除噪声;实际调试结果表明:所设计的机器人能快速稳定地沿赛道运动,验证了系统设计的可行性和算法的有效性。 内容中心网络中一种改进型缓存机制 内容中心网络(CCN)作为一种主要的未来网络架构,以命名的内容作为网内的主要元素之一,在网络研究中受到广泛关注。针对已有的CCN缓存方案内容副本替换严重的问题,提出一种内容热门度与节点中介中心度约束的缓存机制PopBetw。在基于节点中心度的基础上,从内容本身的属性热门度出发,避免非热门内容的不必要缓存,降低每个节点的缓存负荷,提高网络缓存性能。仿真结果表明,通过评估缓存大小和内容热门度对缓存性能的影响,PopBetw缓存策略可取得比LCE,LCPro和Ego Betw方案更高的缓存命中率和更小的路径延展度,明显降低网内缓存替换数量,有效减少网内节点中介中心性较大节点群的缓存替换数,达到整体性能最优化。 时间敏感数据流上的频繁项集挖掘算法 数据流中的数据分布随着时间动态变化,但传统基于事务的滑动窗口模型难以体现该特征,因此挖掘结果并不精确.首先提出时间敏感数据流处理中存在的问题,然后建立基于时间戳的滑动窗口模型,并转换为基于事务的可变滑动窗口进行处理,提出了频繁项集的挖掘算法FIMoTS.该算法引入了类型变化界限的概念,将项集进行动态分类,根据滑动窗口大小的变化对项集进行延迟处理,仅当项集的类型变化界限超出一定阈值的时候才进行支持度的重新计算,能够达到剪枝的目的.在4种不同密度的数据集上完成的实验结果显示,该算法能够在保证内存开销基本不变的情况下显著提高计算效率. 基于参数寻优决策树SVM的语音情感识别 在多种情感的语音情感识别中,由于部分情感状态容易混淆,导致语音情感识别的总体识别率降低;同时,对于不同的训练集,SVM参数惩罚因子和核函数参数对识别结果也存在一定影响。为了有效提高语音情感识别系统的识别率,在传统支持向量机(SVM)的基础上,提出了一种基于参数寻优决策树SVM的语音情感识别方法。该方法首先通过计算情感混淆度构建决策树SVM框架,然后采用遗传算法对决策树SVM中每个SVM的惩罚因子和核函数参数进行寻优,最后将参数优化后的决策树SVM模型应用于语音情感识别。在中文情感语音库的实验结果表明,与传统基于SVM分类方法的语音情感识别进行对比,该方法可将六种情感的平均识别率提高6.5%。 认知协作遍历检查在可用性评估中的应用 在协作任务仿真模型的基础上,提出一种认知协作遍历检查技术,给出其遍历过程算法。通过第1阶段的评估对比实验,证明该可用性评估技术适用于设计早期的协同软件系统,且评估成本较低,效率较高。在第2阶段实验中增加了评估者的数量,用以分析评估者数量及其个体表现对评估结果的影响,并针对一般情况下获得最高收益代缴比的样本数量给出建议。 便携式数据采集器的数据传输控制系统设计 当前数据采集器在数据传输过程中,存在数据传输效率低,数据安全性得不到保障等问题;现在针对这些问题,设计了一种便携式数据采集器的数据传输控制系统;数据传输控制系统通过FPGA转换器接口对数据进行采集,将采集到的数据除杂并存储在DDR2SDRAM中,然后将这些数据应有核心处理的FIFO芯片进行处理,保障数据传输过程中的数据传输效率;对系统的数据传输效率与丢包率进行实验,实验结果表明,便携式数据采集器的数据传输控制系统有效减小数据丢包率,对于数据攻击的控制准确度达到98.92%。 混合PCA/ICA与JPEG2000结合的高光谱图像压缩 主成分分析(PCA)常常结合JPEG2000压缩标准用来对高光谱图像进行压缩。然而,由PCA得到的主成分仅利用了二阶统计信息。对于高光谱图像应用来说,只采用二阶统计信息是远远不够的,如异常像素的处理常常需要用到更高阶的统计信息。研究了一种混合PCA/ICA与JPEG2000相结合的高光谱图像压缩算法。首先,对原始高光谱图像进行PCA变换,提取出前m个主成分对应的特征向量矩阵WPCA;然后,对其余的特征向量进行ICA变换,得到n个特征向量矩阵WICA;最后,将得到的混合投影矩阵、原始高光谱图像及其均值向量共同嵌入JPEG2000比特流,从而完成对高光谱图像的压缩。在不同码率的情况下,通过空间相关系数(ρ)、信噪比(SNR)、光谱角填图(SAM)等技术指标对混合PCA/ICA+JPEG2000算法的压缩性能进行评估。实验结果表明,混合PCA/ICA+JPEG2000算法不但能有效去除高光谱图像的谱间相关性,而且能够有效提高光谱保真度,保护异常像素信息。 基于HPGL文件的图元优化排序 HPGL文件的图形元素是以绘图人员设计的先后顺序记录于文件中,导致以此为基础进行的绘图或加工过程的无效行程大量增加且效率低下,且HPGL文件曲线图元均自动插补为大量小线段,以简单查找排序的方法处理耗时长.针对此问题,以HPGL文件记录的图形元素为对象,提出了一种利用哈希思想动态改变图元始末点及图元绘制顺序的优化算法,使其转化为DXF文件图元优化问题,进而实现了HPGL中图形元素及其控制点的优化排序并生成优化后的HPGL文件,使得以此文件为基础的绘图或加工路径得到优化. 良恶性淋巴结放疗早期扩散成像与病理相关性研究 目的:探讨MRI扩散成像监测良恶性淋巴结放疗早期疗效的能力。方法:26只实验兔(转移17只,良性9只)分成A组(转移10只)、B组(转移7只)和C组(良性9只)。A组和C组接受放疗,B组为对照组,分别于放疗前、后第1、3、7天进行常规MR及DWI检查,测量淋巴结的ADC值。三组淋巴结ADC值采用重复测量数据的方差分析。结果:所有实验兔共35个淋巴结,A组16枚,B组10枚及C组9枚。三组ADC值放疗前后变化有明显差异(P﹤0.001),而A组和C组ADC值变化无明显差异(P>0.05)。结论:DWI能够监测良恶性淋巴结放疗后的早期反应,但其区分不同病理特征的能力有局限性。 交叉熵方法在车辆路径问题中的应用研究 车辆路径问题已被研究证实为NP难题,属于经典的复杂组合优化问题。首先建立了带货物权重的随机需求的车辆路径问题的模型;其次针对问题的性质,设计了一种基于交叉熵方法的算法对问题进行求解;最后计算结果验证了所提算法对于解决此类问题的有效性。 间距判别投影及其在表情识别中的应用 针对全局降维方法判别信息不足,局部降维方法对邻域关系的判定存在缺陷的问题,提出一种新的基于间距的降维方法——间距判别投影(MDP)。首先,根据类的中心均值的异类近邻关系定义描述类边缘的边界向量;在这个基础上,MDP重新定义类间离散度矩阵,同时,使用全局的方法构造类内离散度矩阵;然后,MDP借鉴判别分析思想建立衡量类间距的准则,并通过类间距最大化增强样本在投影空间中的可分性。对MDP在人脸表情数据库JAFFE和Extended Cohn-Kanade上进行表情识别实验,并且跟传统的降维方法主成分分析(PCA)、最大间距准则(MMC)和边界Fisher分析(MFA)进行对比,实验结果表明,所提算法能够有效提取更具区分性的低维特征,比其他几种方法分类精度更高。 H.264/AVC快速帧内预测模式选择新算法 为了得到最优模式,H.264采用了率失真优化(RDO)技术计算宏块的每种模式的代价,但是这样增加了计算复杂度。结合PAN算法提出了一种快速帧内预测模式选择算法,首先根据宏块是否平坦决定帧内预测的类型———帧内4×4和帧内16×16。其次,改进了PAN算法,提出了一种快速帧内预测模式选择的新算法。实验结果表明,新算法与全搜索算法和PAN算法相比,减少了编码时间,峰值信噪比(PSNR)基本保持不变,输出码率略有增加。 电容电阻双模态成像系统的无线数据采集技术 针对传统ECT/ERT成像系统中连接数据采集部分和成像终端的连线复杂、抗干扰能力差等问题,设计了一种基于无线模块nRF24L01进行数据传输的ECT/ERT双模态成像系统;介绍了基于无线传输的ECT/ERT双模态成像系统硬件结构,采用一种简化的基于跳频的无线传输通信协议,该法可以在多台同频干扰机发送的环境下较好地避免采集数据受干扰,并实现每秒50帧数据传输;实验结果表明,采用无线跳频技术可以解决工业现场的干扰,使测量数据准确快速地传输到成像终端。 欢迎订阅《计算机与现代化》,欢迎投稿 [正文]《计算机与现代化》是计算机专业学术期刊。其特点是:时效性强,密切跟踪计算机科技发展动态,以最快的速度精选刊登计算机专业的新理论、新技术及其在各领域应用成果的论文。本刊系中国科技核心期刊、中国科技论文统计源期刊,《中国学术期刊综合评价数据库》来源期刊,《中国期刊网》《中国学术期刊(光盘版)》全文收录期刊,《中国学术文献网络出版总库》 复合材料整体壁板固化变形控制方法研究 针对优化工艺参数和结构尺寸在整体壁板固化变形控制方面的局限性问题,提出了通过面板非对称铺层来控制整体壁板固化变形的方法。采用整体壁板和非对称层合板固化变形的特征,面板采用非对称铺层,并且使固化变形方向和筋条固化变形方向相反,可以有效地抵消筋条回弹和树脂收缩引起的固化翘曲变形。并根据上述方法对某复合材料机翼壁板进行固化变形控制方法设计,分别计算初始铺层顺序、优化桁条铺层顺序和蒙皮非对称铺层三种情况下机翼壁板的固化变形量。结果表明,优化桁条铺层顺序对减少机翼壁板固化变形效果不明显,而机翼壁板蒙皮采用非对称铺层能明显减小机翼壁板的固化变形,从而验证了提出方法的可行性和正确性。 基于核估计的超定混合共轭盲信号分离方法 当混合信号的个数多于源信号时,盲源分离模型中的混合矩阵被描述为一个超定矩阵,因此不能直接通过估计逆矩阵的方法来得到分离矩阵。针对该线性超定混合情况提出了一种基于共轭梯度的盲源分离方法。该方法基于最小互信息准则,通过对行满秩分离矩阵的奇异值分解而引入了超定盲源分离的代价函数。利用共轭梯度优化算法推导出了迭代计算分离矩阵的更新公式。在每次迭代计算中,利用随机变量概率密度估计的核函数法在线估计分离信号的评价函数。避免了诸多传统盲分离算法中只能凭经验选取特定的非线性函数来代替评价函数的问题。仿真结果验证了所提算法的有效性。 基于块和低秩张量恢复的视频去噪方法 由于采用矩阵的表示形式会破坏视频数据的原始空间结构,针对这一问题,提出了一种基于块和低秩张量恢复的视频去噪方法。首先运用自适应中值滤波器对含噪视频进行预处理,通过相似块匹配构造一个三阶张量,根据视频张量的低秩性和噪声像素的稀疏性,利用基于张量的增广拉格朗日乘子法(ALM)重建出三阶视频张量的低秩部分和稀疏部分,实现噪声的分离。该方法采用张量模型来处理视频去噪的问题,更好地保护了视频序列的高维结构特性,可以准确地去除复杂结构视频的噪声干扰。实验结果表明,相对于常用方法,该方法能准确完整地分离噪声,具有更强的视频去噪能力。 基于统计学的无线Mesh网络路由协议 传统的无线Mesh网络(WMN)路由协议利用路由管理帧携带的路由信息来维护路由。无线环境的不稳定性可能导致路由管理帧丢失,使路由协议无法及时获取正确的路由信息,从而降低网络性能。介绍了一种基于统计学原理的无线Mesh网络路由协议B.A.T.M.A.N.(Better Approach To Mobile Ad-hoc Networking)。该协议通过统计路由管理帧的投递成功率来选择最优路径,消除了路由管理帧丢失对路由协议的影响。通过与OLSR的测试对比表明,B.A.T.M.A.N.在无线Mesh网络中有更好的性能表现,更适用于移动网络。 基于先验知识的微型零件检测中异物伪信息的剔除 微型零件视觉检测中,视场内灰尘、发屑等异物的存在会改变所提取的目标轮廓。为避免异物对测量带来的影响,提出了一种基于先验知识思想的异物伪信息剔除方法。首先对带有异物的零件图像进行角点检测;接着统计得出标准零件的角点分布特征作为先验知识;最后由标准零件角点特征得出异物伪信息判定条件,据此剔除异物伪信息。通过在实际工程项目中的成功应用,以三幅典型带异物微型零件图像的处理过程为例,证明了算法在保证测量精度的同时有效剔除了图像中的异物伪信息。 基于SOA架构的电网数据资产管理平台 电网数据已成为企业发展的重要资产,但电网企业缺乏有效的技术手段来为解决电网数据资产的全生命周期监控和管理.从组件化、松耦合、标准化等架构设计原则考虑,设计并实现了基于SOA架构的电网数据资产管理平台.设计了一种通过捕获数据库日志方法来实时采集数据资产变更信息,以及台账管理、全景视图管理、日常监测管理、溯源管理、价值评估管理和流程管理等数据资产管理核心功能,实现了电网数据资产的标准化管理,从业务、技术、管理等视角对数据资产进行全方位体现. 卫星通信网评估本体 将本体应用到卫星通信网评估系统(SCNES)中,可以有效提高卫星通信网评估系统的可重用性。对卫星通信网评估系统进行分解,对其构成要素进行分析;构建了卫星通信网评估本体(SCNEO),对其主要概念以及公理进行了设计;对SCNEO在卫星通信网评估系统中的应用流程进行了介绍,通过实例说明基于SCNEO的评估系统具有自适应能力。 基于多特征的图像检索研究 为了有效地检索图像,基于内容的图像检索技术应运而生。利用直方图表达图像的颜色特征,利用Gabor滤波器组提取图像的纹理特征,在此基础上又提出综合利用颜色特征和纹理特征共同进行图像检索的方法。实验结果表明,综合特征检索的效果比单一特征检索的效果好。 一种改进的RBF全局优化方法 径向基函数(Radial Basis Functions)由于具有良好的近似效果和运算简单的特点,被应用于全局优化中,成为解决黑箱函数全局优化问题的有效方法。然而现有的基于RBF的全局优化算法存在迭代过程中RBF模型重构效率低下,以及采样方法不合理导致函数估值次数过多等问题。在此提出几个改进思路:采用基于矩阵分块的增量RBF方法以减少模型重构时间提高效率;采用增量LHD采样方法以确保具有更好的空间填充性;采用算法重启策略以降低估值次数。通过实验验证改进方法的优势。 基于改进最大熵算法系统传输速率优化研究 研究系统速率优化提高问题。随着用户对系统的传输速率要求越来越高,传统的系统优化传输速率算法由于参数设置难,而造成算法复杂度特别高,使得系统的传输速率降低,为了解决上述问题,在基于误比特率和功率的分析基础上,提出了一种改进的最大熵算法优化系统传输速率方法。方法首先给出系统模型并提出自适应最大熵调制算法理论,然后在发送端和接收端能够完全知道信道状态信息的情况下,给出了最大化传输速率的低复杂度比特和功率分配算法。仿真结果表明,提出的算法可以有效地满足系统地误比特率要求,同时大大降低了系统的计算复杂度,是一种有效地系统传输速率优化方法。 同一变量排序下的多OBDD合并算法 有序决策图(OBDD)是一种用于表示布尔表达式的数据结构,并在许多领域得到了广泛应用。在分布式或者动态环境下,利用已知布尔表达式的OBDD构造目标布尔表达式的OBDD是一个决定实际问题解决效率的关键问题。基于Shannon分解原理提出了一个同一变量排序下的OBDD合并算法。该算法首先建立目标布尔表达式的表存储模型,然后按照变量排序的逆序,依次处理各个变量,并且合并取值相同的行,直到所有变量处理完毕。 基于LCS的多机器人路径规划控制体系结构 提出了一种基于学习分类器的多机器人混合分层体系结构.体系协作规划层中的人工势场栅格法、协调规划层的学习分类器广播操作及行为控制层的多机器人DSP控制系统,易于实现多机器人间的通信联络及系统的扩充,提高了整个多机器人系统的实用性、实时性和可靠性. 用于矿井运输的控制协议 在介绍矿用运输控制系统组成的基础上,设计并实现了用于梭车控制台和手机终端之间的矿用运输控制协议.阐述了用于矿井运输的控制协议的数据包格式,通信方法,实现过程.最后给出实验结果,实验结果表明矿用运输控制协议能较好的满足矿用运输控制需求. 一种快速的多线宽直线反走样算法 对于直线绘制中出现的锯齿现象称为走样,消除走样的方法称为反走样,通过对直线走样产生的原因进行理论上的分析,了现有的反走样技术。通过对经典的DDA直线绘制算法和Wu直线反走样绘制算法的研究,在二者结合的基础上,给出了一种任意宽度和复杂背景色下的直线反走样快速绘制算法:对于直线(fx)=mx+b,0≤m≤1,x轴上每移动一个像素单位,根据直线所需绘制的宽度,在y轴上进行跨度像素着色,填充的色深取决于该像素到对应直线边缘线的距离、原有背景色和当前直线绘制色。对算法进行了去浮点优化,给出了复杂度分析和实验结果。实践证明,该算法有很好的执行效率和反走样效果。 新型嵌入式多媒体系统架构 为了解决传统嵌入式多媒体系统架构设计中耦合度高的问题,提出了前台-中间件-后台的新型设计架构,将Qt/Embed-ded作为前后台的中间桥梁,使系统具有低耦合高内聚的特性。同时,采用HTML作为前台设计语言,提高了开发效率。 USB接口的增量式编码器数据采集系统 USB接口具有即插即用、热插拔、易扩展等优点,而编码器是一种应用较广的传感器,因此为集成两者优点设计了一种基于USB总线的增量式编码器数据采集系统;采用微控制器加专用USB接口芯片的设计方式,利用单片机AT89C55作为下位机,实现了对USB接口芯片ISP1581的控制和初始化,以及采用中断的方式实现了对编码器数据的实时采集功能,并完成了上位机应用软件和相应的固件程序设计;该系统已成功应用于某手脚盘数据采集系统中,实现了实时、并行对3个增量式编码器的数据采集,在输入转速小于5000r/min的条件下,采集数据准确无误,满足功能要求,性能稳定,便于广泛应用。 基于SAML的跨域单点登录的设计与实现 随着网络技术的飞速发展,基于网络平台的应用系统逐渐进入各行各业中,带来巨大收益的同时对安全性提出了更高的要求,需要保证访问其资源的用户具有合法的权限。为了适应多系统平台的发展要求,实现对登录平台的用户信息进行统一认证和管理,文中设计了一个跨域的单点登录系统(CD-SSO),它采用SAML断言作为安全信息定义的标准化格式,通过SOAP消息传递安全元素,利用WS-Security来保障消息的完整性和机密性。它在方便用户访问的同时提供了完善的安全服务机制,可以保证消息和服务的保密性、完整性和有效性。 使用伪氨基酸模型和K近邻分类器预测酶的分类 酶作为一种重要的生物催化剂在生物代谢过程中扮演着非常重要的角色。一种酶的功能与它所属的类或子类有着密切的关系。所以,不论是在基础研究的过程中还是药物发现的过程中,研究预测酶的分类方法都显得非常有用。通过采用一种基于伪氨基酸组成作为酶序列的特征向量,同时又加入了更多的氨基酸信息,来对酶进行分类。对于分类器,考虑到它是多分类问题,采用了最优证据理论-K近邻算法。实验结果证明这样做是有效的,达到83%的准确率。 二次型最优有限拍内模控制及加权阵的选择 针对生产中常见的时滞系统采用二自由度内模控制结构,为了减小跟踪误差、减少能量,最优指标下设计的有限拍控制系统进行综合。应用matlab仿真软件实现三种最优指标下的无纹波跟踪设计,并对三种设计方法进行比较,得出验证结果。然后继续对有限拍内模控制二次型最优控制系统中加权阵,并在simulink环境下针对一时滞非最小相位系统进行仿真,仿真结果表明具有二次型最优指标的设计控制性能好,且控制器设计简单,调节参数少,具有良好的应用前景。 数据密集型科学与工程:需求和挑战 科学研究在经历了实验科学、理论科学、计算科学阶段后,进入了数据密集型科学阶段,与之相伴的是大数据时代的到来.大数据泛指规模达到几百TB,甚至PB级的数据①,其典型的特征是分布、异构、低质量等.尽管传统数据库管理技术(特别是商业关系型数据库)在过去40年间取得了巨大成功,但是这些技术和系统无法有效管理支持数据密集型科学与工程(Data-Intensive Science and Engineering,DISE)的大数据.文中探讨数据密集型科学与工程的具体需求和现实挑战.它涵盖的内容表现在4个层面,包括数据存储与组织、计算方法、数据分析以及用户接口技术等.同时,数据质量、数据安全、数据监护等内容也需要在各层面得到重视.文中尝试梳理了数据密集型科学与工程的整体架构,回顾了相关领域的新近发展,分析了面临的挑战,探讨了未来的研究方向. 基于电子白板的远程实时答疑系统 为解决现场答疑受时间和地点限制的问题,分析了现有答疑系统的不足,构建了一种以答疑双方为对等客户端的远程实时答疑系统。将TCP协议和UDP协议相结合,采用双协议三线程方法,实现了客户端动态IP地址的自动获取及通讯连接。引入电子白板技术,实现文本与图形模式的同步交流,体现了传统板书讲解特点。提出教师答疑评价方法,为教师和教学管理部门提供考核依据。在Internet中测试的结果表明,该系统能够提供远程在线答疑,具有较好的方便性和实用性。 导航卫星在轨对星上接收机性能仿真分析 导航卫星在轨时星上接收机的两个重要考核指标是干扰下的测距精度与接收灵敏度。卫星受干扰时需提高地面主控站出站信号功率输出,会把地面高功率放大器推至饱和非线性工作区,从而引起非恒包络信号失真,继而导致星上接收机测距精度恶化,对上述现象进行分析,并提出了一种衡量星上测距精度的方法,分析表明上述方法能准确衡量在轨测试时星上的测距精度。同时,卫星在轨运行时星上接收天线只有主瓣对准地球,其余旁瓣对准冷空,导致天线温度与地面测试不同,引起星上接收机灵敏度变化。经过对上述现象进行分析,通过合理设计卫星接收天线方向图来减小灵敏度变化的程度。 基于安全标记的双认证访问控制模型研究 "针对等级保护中安全标记保护级(三级)系统的区域边界访问安全,将角色特权概念与任务工作流概念相结合,提出了一个基于安全标记的双认证访问控制模型。实现了基于角色的""特权""访问控制、基于工作流的动态权限管理访问控制和基于安全标记的强制访问控制。" 海战场高灵敏度电磁场传感器研究动向与发展 "为了满足海战场对高灵敏度电场磁场传感器的需求,结合我国开展舰艇水下电磁场测试用特种传感器研究的经历,以及海战场应用的电磁场传感器有高灵敏度、智能化、低功耗、小体积、长期环境适应性等诸多特殊要求,提出了""分布发展与集中验证相结合""的发展思路和方法;通过对国内外高灵敏度磁场电场传感器的研究发展动向的分析,指出今后一段时间内光纤类电场磁场传感器、仿生类电场磁场传感器、阵列传感器等是水下电磁场探测进入实用的重要突破方向。" 基于RapidIO总线通信系统交换单元的设计与实现 在数字通信系统中,数字处理器速度越来越高,总线瓶颈问题日益突出;同时单板的小系统集成度越来越高,并行总线布线复杂度过高等问题也日益突出。通过引入新的总线技术—RapidIO总线技术,来解决上述问题。RapidIO总线技术应用的关键在于其交换结构的设计,从交换模式、交换芯片、交换单元结构及配置方案等方面入手,设计出RapidIO总线的一种交换结构。从硬件和软件两个方面对采用该种结构的交换单元进行实现。 基于能量流动双PWM协调控制 基于双PWM结构,根据系统能量流动分析系统在能量平衡状态和能量不平衡状态下系统各部分间的能量关系,并建立双PWM结构能量数学模型;针对系统输出能量与消耗能量不平衡时造成的直流母线电压波动以及输出功率不匹配的问题,建立关于直流母线电压以及网侧电流d轴分量的约束条件,保证系统能量能够平滑变化;采用约束条件对整流器电压外环以及功率内环进行修正,用以实现整流侧输出能量与逆变侧消耗能量的快速平衡,达到双PWM结构间协调控制的目的;根据仿真结果表明,系统在电机功率突变时,能够实现能量的快速平衡,并且能够减少直流母线电压波动,减少网侧谐波分量和直流侧电容。 基于稀疏表示和奇异值分解的人脸识别 为了降低特征向量的维数,提高在特征向量维度较小、训练样本数较少时的人脸识别率,提出了一种基于稀疏表示和奇异值分解的人脸识别算法。介绍了稀疏表示用于人脸识别以及奇异值分解的原理;运用图像的局部与整体的奇异值来提取图像特征,并且用稀疏表示的方法来进行识别。在ORL人脸库上的实验表明所提出的识别方法对特征向量的维度较小、训练样本较少时的人脸识别鲁棒性较高。 安捷伦科技发布新型功能更强的经济型射频网络分析仪 [正文]本刊讯:2011年6月9日,北京??安捷伦科技公司(NYSE:A)日前宣布推出广受欢迎的ENA系列网络分析仪的最新产品--E5072A矢量网络分析仪。E5072A是双端口网络分析仪,测量频率从30 kHz至4.5 GHz或8.5 GHz,性能卓越,优于当前任何一类射频网络分析仪。它以增强的功能、可改变测量端口的配置结构、更宽输出信号功率范围来保证对器件指标的全 基于双重遗传的聚类分析算法研究 针对影响k-means聚类效果的聚类数目和初始中心点两大因素,提出了基于双重遗传的kmeans算法。它用外层遗传算法控制聚类数目,用内层遗传算法控制聚类的初始中心点,并采用类间距离和类内距离以及二者之间的比值来评价聚类结果的好坏,在算法终止后,可同时求得较优的聚类数目和某聚类数目下的较优初始中心点。此外,根据内外层遗传算法的特殊性,采用不同的编码策略适应算法需求,为保留优质个体,采用精英个体保留策略。通过UCI数据集测试实例证明此算法有很好的实用性,对数据挖掘技术有一定参考价值。 基于文件格式的漏洞挖掘技术研究 文件格式漏洞的严重威胁性和挖掘复杂性使基于文件格式的软件漏洞挖掘技术成为信息安全领域的一个研究热点。总结了文件格式漏洞挖掘技术的发展历程,重点分析了当前该技术在实际应用中存在的不足,最后提出下一步可能的研究方向。 WSN中基于对偶线性规划的异常检测和定位算法 文章提出了一种改进的传感器网络异常检测和定位方法;该方法通过两个阶段的探查来收集端到端测量数据实现异常检测和定位;第一阶段探查的目的是选择可以覆盖最大数量异常链路的探点,缩小可疑区域范围,供第2阶段探查,这一阶段的探点选择问题被建模为预算有限条件下的覆盖范围最大化问题,文章提出一种基于对偶线性规划的高效近似方法进行求解;第2阶段的目的是以最小的通信代价,定位出导致观察到的端到端异常现象的具体链路,并根据多环置信度传播算法(LBP)来预测诊断质量;在不同网络设置下展开实验,实验结果表明,文章算法的漏检率和精确求解方法相当但运行速度更快。 基于国产X86处理器的异构计算平台构建及敏感数据保护 大数据时代对敏感数据的保护需求与日俱增,如何在安全可控的软硬件环境下进行大数据集处理成为一个研究热点。设计了一种基于安全可控国产X86处理器的大数据平台,利用AES(Advanced Encryption Standard)算法对海量敏感数据提供安全保障;并合理构建GPU异构计算环境,充分提高国产大数据平台的分析计算效率,为海量数据的安全处理提供了全新的解决方案。实验结果表明,基于国产兆芯X86处理器的GPU异构计算平台能有效满足大数据集处理需求;通过改进异构计算环境下的AES算法提升了加密效率,并获得了22~23倍的加速比。当应对海量数据(GB级以上)时,国产异构计算平台的并行处理能力和加速效果非常明显。该研究结果对有海量敏感信息的大数据集处理和信息安全保护具有重要应用价值。 基于变异思想测试OWL-S工作流 Web服务因其强大的集成能力而受到广泛重视.单个Web服务提供的功能通常是有限的,因此实际应用中需要对网络上分布的Web服务进行组合以满足用户需求,这种组合的方法为Web服务测试带来了新的挑战.采取变异的思想对Web组合服务进行测试,基于OWL-S文档中提供的关于组合流程的信息设计了15种变异算子,在此基础上给出了测试系统框架,详细分析了变异测试的步骤和部分功能部件实现的思想.文章的最后通过一个例子分析变异体是如何生成的. 稀疏车辆Ad Hoc网络中基于受控机制的自适应Epidemic路由算法 针对传统Epidemic的性能缺陷,提出了基于控制机制的自适应Epidemic路由(Ad-EPI)算法。Ad-EPI算法采用受控洪泛机制和信息副本控制等机制,并引入信息生存时间和自适应控制策略,在确保有较高到达率的条件下,取得了峰值传输控制、带宽资源占用、缓存利用和时延等方面的综合平衡。在VanetMobiSim仿真平台上,对Ad-EPI算法进行了VC++6.0编程实现和仿真,并与经典Epidemic算法进行了性能对比。仿真结果证实,Ad-EPI算法与Epidemic比较,付出较小的时延代价,却具有了带宽占用减少27.62%,峰值平均降低15.19%,缓存利用率提高92.14%等优势。Ad-EPI算法在上述三个方面的性能提升,具有工程意义和应用价值。 多自由度工业机器人基于神经网络的自适应PID控制 针对六自由度工业焊接机器人轨迹跟踪控制的问题,提出了一种基于改进的神经网络PID复杂的控制方法,利用粒子群算法的全局优化能力和较强的趋同能力提高BP神经网络的权。该方法基于基本BP神经网络算法的向后误差传播,调整对应于所述更新粒子位置的BP神经网络权值和阈值,充分利用粒子群算法的全局优化能力强和BP神经网络算法反向传播特性好的特点。仿真结果表明,该方法可以优化动态过程,降低系统的稳态误差,克服了传统PID控制方法的不足。 实时数据分发服务的自动发现技术 "DDS是OMG专门针对实时分布式系统颁布的数据分发国际规范,其核心在于提出了""全局数据空间""的思想,从而实现了系统松耦合、强实时、高可靠和高吞吐量等特性。介绍了DDS基本通信模型,总结归纳了核心实体角色间的逻辑关系,分析了""全局数据空间""概念模型,重点研究了构建该模型的关键技术——实体远程自动发现技术,包括域参与者层和发布-订阅端点层的双层自动发现机制,以及实体自动发现的协议过程、控制逻辑和加入/退出的更新机制。利用该技术可以设计和开发系统监控软件,实时监听和分析系统发布和订阅状态信息,记录历史数据,提供完整且直观的系统通信拓扑结构,使开发者更好的理解分布式系统、校正系统设计、调配性能参数、优化系统集成和扩展。" 基于AP可替代性模型的密集无线网络节能机制研究 在无线网络中为了节能,主流做法是将AP(Access Point,无线接入点)进行聚簇,在网络闲时关掉一些AP而让剩下的AP来支持用户.聚簇的关键在于合理的聚簇依据.相关研究中通常利用AP之间相互监听到的RSSI(Received Signal Strength Indication,接收信号强度指示)进行聚簇.AP之间的RSSI并不是一个合理的依据,因为AP之间是否可以相互替代应从用户的角度出发,而不应从AP角度来衡量.此外,相关研究中还缺乏覆盖损失的量化描述,以及应对用户数目震荡性变化的措施.本文利用AP监听到的用户的RSSI构建了AP可替代性的数学模型,以期为AP聚簇提供合理的依据,并基于AP可替代性设计了节能机制.分析表明AP可替代性模型是有效、稳定的.利用AP可替代性模型可以量化描述AP可替代性与覆盖损失之间的关系,从而可以根据实际需求来确定聚簇的粒度,实现了节能与保持覆盖的两相兼顾,体现了本文机制的灵活性.此外,本文还设计了应对用户数目震荡性变化的双阈值策略,有效的避免了聚簇算法被反复无意义的执行.实验结果表明,相比于同类研究,本文的节能机制在损失很小的节能效果的同时,显著地减小了覆盖损失. WIA-PA网络中的无冲突资源调度算法 在使用TDMA和FDMA机制的WIA-PA网络中,由于其无线多跳的mesh结构,时隙和信道的调度复杂变得更为复杂.本文归纳分析了多信道无线多跳mesh网络中资源冲突的类型,提出了一种对时隙和信道进行调度的算法.算法利用无线mesh网络中数据传输的路由特征,以网关为根,使用广度优先搜索算法构造uplink路由,对路由图中的节点着色,从而确定每个链接使用的时隙;然后根据链接在路由中的层次,为连续三个层上的链接分配互不相同信道.算法使用至多2倍图的度数减2个时隙,使用不多于三个信道保证了各链接的无冲突传输.最后,分析证明了算法的有效性,并通过仿真验证了算法的性能. 新一代高效视频编码标准中帧内预测快速算法研究 新一代视频压缩编码标准HEVC是目前国际上最先进的视频压缩标准,其压缩性能优于目前国际上的其它视频压缩标准。因此,目前国际上很多大厂商都在开发符合HEVC标准的产品。然而,HEVC标准所具有的很高的计算复杂度是其实用化的瓶颈。对于HEVC标准中的帧内预测部分,其计算复杂度高于其它视频压缩标准的帧内预测部分的2倍以上。为此,国际上提出了不少快速帧内预测算法。对这些算法进行了回顾、分析和仿真,并比较了各典型算法的性能和优缺点。在此基础上,对在某些具体实际应用环境下适宜选用哪个算法进行了分析。同时,对将来这方面的快速算法的发展方向进行了展望。 一种用于未标定图像三维重建的立体匹配算法 提出了一种适用于未标定图像三维重建的立体匹配算法。该算法首先引入限制因子来消除Harris角点聚簇的现象,使用高斯曲面拟合内插使Harris角点达到亚像素级;接着采用特征点的Sift特征描述符进行初始匹配,利用随机抽样算法估计基础矩阵的同时剔除误匹配点对;最后在估计的基础矩阵的引导下进行双向匹配。实验证明,该算法能够很好地恢复物体的结构,是一种有效的用于未标定图像三维重建的立体匹配算法。 道路网中的移动对象连续K近邻查询 已有道路网中的连续k近邻查询处理算法采用增量式的查询处理机制,当数据频繁更新时性能急剧下降.结合多核多线程技术,提出了一种基于多线程的连续查询处理框架.该框架周期性重计算所有查询结果,将查询处理分为顺序执行的数据更新阶段和查询执行阶段,分别使用任务并行和数据并行的方法执行各阶段的操作.设计了数据更新阶段使用的数据结构,提出了查询处理阶段的k近邻查询处理策略,包含离线预计算和在线k近邻查询处理算法两个部分.对k近邻算法复杂性及多线程处理框架的加速比进行了理论分析.实验结果表明,提出的算法在数据频繁更新下,串行执行时性能优于已有算法,而基于多线程处理框架的并行执行在任何参数配置下性能均优于已有算法;且基于多线程处理框架的并行执行具有较好的性能扩展性,加速比可以达到1.51~1.7. 基于SWRL的京剧本体隐含关系挖掘 互联网上京剧资源的组织方式与检索方式的效率较低。针对该问题,提出基于本体的知识表达方式,使用语义网络规则语言(SWRL)对京剧资源的隐含关系进行自动挖掘,支持用户检索的语义扩展,实现查询结果的关联知识推理,采用OWL构建京剧本体,定义该领域的基本概念,创建京剧艺术家、京剧人物及京剧剧目之间的约束。实验结果证明,该方法能提高检索的精度。 一种用于车辆传感定位的硬件软件系统设计 当前的车辆追踪定位系统中,多半处于半自动监控状态,以人工视觉发现为主,系统在抗视觉误差干扰以及实时性方面性能较差;为此提出一种新型车辆传感追踪系统的设计方法,给出了详细的硬件软件设计细节;在系统开发中,运用高清视觉传感器组成的车辆信息采集模块对车辆进行图像采集,运用抗干扰能力较强的DSP芯片硬件配合车辆图像特征离散程度计算的软件算法,求得车辆图像中值与各自的像素均值偏差乘积的平均值,运用压缩比较高的TR100芯片完成信息传递,消除视觉误差干扰;实验证明,该系统能够对车辆进行实时追踪定位,定位误差有了明显降低。 利用有序互信息匹配包含非透明列的数据模式 数据模式匹配是异构数据源数据合并过程中的核心环节,属于数据集成中的关键问题。目前已有许多数据模式匹配方法,但其中很大一部分方法由于过多依赖数据模式描述信息,导致通用性不足,很难应用于其他场景中。为此,提出了一种利用有序互信息的匹配包含非透明列名和列数据值的数据模式。该方法不依赖诸如列名、列类型、主外键依赖等数据模式描述信息,因此具有很强的通用性。在多个数据集上实验结果表明,该方法能够在大幅降低匹配花费时间的同时提高匹配结果的准确率。 基于ICA运动目标检测的自嵌入视频认证水印 为了对视频中关键内容是否经受篡改实施有效验证和保护,提出了一种基于运动目标检测的自嵌入视频认证水印方案。由于运动目标集中了视频的关键信息,采用独立分量分析技术从连续的视频帧中分离出运动分量信息生成水印,并用奇异值分解方法对水印作预处理,采用DCT方法自嵌入到视频帧中去。实验结果表明,该水印方案在保证视频质量的前提下,对于常见攻击处理和局部篡改具有很好的鲁棒性,可以有效地验证视频关键信息是否遭到篡改,并且能够恢复出被篡改的关键内容,有效地保护视频完整性。 基于正弦级数拟合的行为识别方法 提出了一种基于正弦级数拟合的行为识别方法.该方法利用二值轮廓序列来表示给定的运动图像序列,按照时针顺序计算从轮廓质心到轮廓边界点的距离,将人体轮廓转化为距离曲线,并将这一距离曲线利用正弦级数进行拟合,将距离曲线转化为正弦参数,从而极大地减小了计算量,将行为识别过程转化为曲线参数特征匹配的过程.在特征匹配过程中,通过计算待预测行为与已知类别行为的特征级数距离,对待预测行为中的每一个动作进行分类,最后通过投票决定该行为所属类别.在包含90个不同运动类别的视频数据库上进行留一交叉验证,实验结果表明,提出的方法能够有效地进行人体行为识别. 基于动态适配的数控信息语义集成 针对多源数控信息存在语法结构和语义异构特点而难以有效集成的问题,根据数控加工过程中信息组织形式的特点,建立了数控信息资源领域本体,提出了一种基于动态适配模式的数控信息语义集成框架。该框架在建立数控信息知识库的基础上,实现从语法和语义两个层次对多源异构数控信息的集成。描述了动态适配模式下的信息集成过程和语义映射过程,提出了利用基于可扩展标记语言的约束规则的实现方法和语义查询实现模型,并对动态构建相互独立适配通道和动态配置规则约束进行了描述。通过原型实例分析,证明了该框架及其实现方法的可行性。 水下自航行器外形及水动力性能优化 研究水下自航行器(AUV)外形及水动力性能优化的问题,为使得AUV具有较小航行阻力的同时拥有较大承载能力,需要不断进行AUV模型重建以及水动力结果分析,人工完成将会耗时很长,Isight多学科优化设计平台搭载常用的优化算法—NSGA-II遗传算法,整合Solidworks、Gambit、Fluent三大集成模块实现数据交换以进行AUV外形的建模、仿真并完成设计过程的自动化和智能的设计探索,确定最佳设计参数;仿真结果表明,最终优化后的AUV不仅减小了航行阻力并且拥有更大的承载能力;因此采用多学科优化软件Isight能够有效提高AUV外形及水动力性能优化的准确性和效率,提升其整体水动力性能。 HDRI合成中新的相机响应曲线算法 详细介绍了基于同一场景不同曝光量图像序列的高动态范围图像合成过程;针对现有拟合的相机响应曲线随采样点的变化曲线变化大、不稳定等特点,提出一种新的相机响应曲线算法。该算法先根据图像序列成像特点对图像序列去噪;采用最小二乘法拟合出图像序列像素值关系曲线;利用该曲线再一次采用最小二乘法拟合得到相机响应曲线。实验结果表明,该技术拟合出的相机响应曲线比较平滑,能合成出高质量的高动态范围图像。 基于受约束偏置的概率矩阵分解算法 在概率矩阵分解(PMF)模型拟合之后,评分较少用户的特征趋近于先验分布的平均值,导致对其评分预测接近物品的平均评分.受约束概率矩阵分解(CPMF)未考虑到不同评分系统的整体差异以及数据集内部用户与物品存在的固有属性.针对以上问题,提出将传统矩阵分解中的用户和物品偏置项以及全局平均分结合受约束概率矩阵分解来建立新的矩阵分解算法.算法利用整体平均分衡量不同评分系统,在采用偏置来表示用户以及物品之间相互独立的属性的同时,引入约束使行为相近用户拥有相近的用户偏置,从而提高预测精度.在两个真实数据集上的实验结果表明,该算法相对于PMF和CPMF算法预测精度得到了提高. 基于硅光电倍增管的PET前端读出ASIC设计 针对SPM探测器的特点,采用TSMC 0.18μm CMOS工艺,设计了基于SPM的PET前端读出ASIC芯片,包括RGC前置放大器、积分器、整形器、采样保持电路等能量测量电路,以及鉴别器、单稳态电路等时间测量电路.Hspice仿真结果表明,该电路能够完成对SPM探测器输出信号的读出和处理功能,满足PET系统设计要求. 大型凹底车刚柔耦合动态挠度分析 以DA37凹底车作为研究对象,建立了以凹底架和大小底架等多个柔性体形式的刚柔耦合整车模型。针对凹底架的初始状态稳定平衡问题,提出了大结构阻尼准平衡收敛方法,使重载凹底架准静态挠度的相对误差达到3.2%。为弥补试验数据的不足,通过多种刚柔耦合形式的仿真对比还进一步获得了在重物侧移500 mm严重偏载下大底架侧扭变形(0.26°~0.31°)。小半径曲线低速通过仿真对比表明:应当把内导向最小半径R300 m超高h0曲线通过作为车底最小轨面高度危险工况。根据超高对车底轨面高度抬高的有利影响,建议在最小半径曲线通过时应当有适当的超高量(20 mm~30 mm)。最后,多种正线运行仿真证明了重载凹底车惯性质量很大,车底不会产生强烈的位移振动。 本体评估方法研究综述 本体是知识共享的重要工具,也是语义Web的支柱。本体的使用是以保证其质量为前提。本体评估为本体构建的质量及本体的选择重用提供了技术支持。分析了本体评估的必要性;对本体评估的现状进行综述,主要包括归纳总结了本体评估的方法及本体评估的基本思路,介绍了本体评估的指标体系,比较分析了本体评估工具;最后提出本体评估存在的问题和进一步研究方向。 基于位编码单元的双时隙防碰撞算法 在RFID系统中,一个阅读器在同时有多个标签响应时就会发生标签碰撞。文中通过在标签内设置一个编码单元,提出一种基于标签位编码单元(BCU)的双时隙RFID防碰撞算法。该算法中,采用位编码单元对碰撞位信息进行重新编码,使阅读器不需要遍历查询就能一次性识别标签的碰撞位信息,从而降低了查询的次数,减少了二进制树算法延时,使得标签能够快速识别。算法性能分析和仿真结果显示,文中所提出的算法在存在大量标签的环境下识别效率有较大的提高。 基于层次分析法的危险品运输路径选择模型研究 针对危险品运输过程中的路径选择问题,提出了一种基于层次分析法的路径选择模型。在利用德尔菲法建立层次结构模型的基础上,构造判断矩阵,通过层次单排序和一致性检验,得出路径选择结论。通过算例的具体分析,详细阐述了运用模型的具体步骤,实例分析结果验证了该方法的合理性。 动态数据环境下基于信息熵的相对离群点检测算法 在基于信息熵的离群点检测算法的基础上,提出一种适用于动态数据环境的检测算法。该算法在有数据对象插入或删除的时候,不必计算所有数据对象的相对离群点因子(ROF)值,而只需重新计算受影响的点的ROF值。实验结果表明,该算法在动态数据环境下的运行时间小于原来的算法。 基于排队网模型的柔性流水车间缓冲区容量优化 针对订货型单类型工件柔性流水车间缓冲区容量优化设置问题,在考虑各级工序节点之间耦合关系的前提下,基于连续时间马尔可夫随机过程原理建立了多级柔性流水车间的排队网节点状态模型。在给出系统产出率为目标和平均生产周期条件约束的情形下,利用该模型计算系统的关键性能指标,提出一种针对柔性流水车间特点的缓冲区容量优化分支定界算法。通过该算法计算算例并与Em-plant工具仿真结果进行对比分析,最终确定了合理的柔性流水车间缓冲区容量。 手写数字深度特征学习与识别 深度学习中的网络结构设计、特征提取与融合是数据挖掘和模式识别理论和行业应用中的关键问题。文中以相关领域中的典型应用问题手写数字识别和权威数据库MNIST为实验平台(包含七万个手写数字图像),探索了深度学习网络结构的设计和特征融合问题,保证研究结果的实用性、代表性和可参考性。所给方案的步骤是:首先,设计非监督深度学习网络,进行非监督高层语义特征学习,提取深度特征(DF),探索特征的高层认知特点;其次,对手写数字数据库进行非监督多特征提取,包括HOG(梯度方向直方图)特征、PCA(主成分分析)特征、LDA(判别分析)特征、像素分布特征、穿越次数特征和投影特征,构建手写数字典型特征库(Library of Typical Features,LTF);最后,构建深度有监督学习网络,有监督地融合深度特征DF和典型特征库。实验结果表明,相比于文献中的典型特征,该方案能够将手写数字识别的错误率有效降低50%。 基于自适应模糊神经网络的功放预失真新方法 针对无线通信系统中记忆非线性功率放大器预失真结构不足和精度不高等问题,提出了一种基于模糊神经网络模型识别的双环学习结构自适应预失真方法。该方法以实数延时模糊神经网络模型为基础,采用改进的简化粒子群优化(Simplified Particle Swarm Optimization,SPSO)算法进行间接学习结构离线训练模糊神经网络来确定模型参数,作为预失真器的初值,再利用最小均方(Least Mean Square,LMS)算法进行直接学习结构在线微调整预失真器参数,拟合功放的非线性和记忆效应。该方法结构简单,收敛速度快且精度高,避免了局部最优。实验结果表明,该方案邻信道功率比经典的双环结构预失真方法约改善7 d B,功放的线性化性能明显提高,由此验证了其可行性。 基于FPGA并行技术的多通道被动声呐信号模拟 利用FPGA并行技术,采用软实时的嵌入式处理器与高速硬实时的可编程FPGA模块结合方式实现了128路被动模拟信号的高速实时动态生成;系统由一路信号实时产生出具有不同相位和噪声的128路信号;实时系统将一路信号下发后,FPGA通过并行插值运算产生不同通道间的时延,叠加高斯背景噪声信号后经乒乓缓存输出;系统以192kHz输出采样率每333μs更新缓冲区数据,由此输出节拍控制装订参数与实时计算节拍;系统测试结果表明生成128路的模拟信号可编程FPGA工作时钟只需要12M即可保证连续输出,需要系统开辟的输出乒乓缓冲区大小为32K,可保证系统在最高采样速率下实时计算输出被动辐射噪声信号,满足系统实时性要求。 FPGA并行时序驱动布局算法 传统的基于模拟退火的现场可编程门阵列(FPGA)时序驱动布局算法在时延代价的计算上存在一定误差,已有的时序优化算法能够改善布局质量,但增加了时耗。针对上述问题,提出一种基于事务内存(TM)的并行FPGA时序布局算法TM_DCP。将退火过程分发至多线程执行,利用TM机制保证共享内存访问的合法性,并将改进的时序优化算法嵌入到事务中并发执行。测试结果表明,与通用布局布线工具相比,8线程下的TM_DCP算法在总线长仅有轻微增加的情况下,关键路径时延平均降低了4.2%,同时获得了1.7倍的加速,且其执行速度随线程数的增加具有较好的可扩展性。 基于统计不相关矢量集的集中式定位算法 为降低接收信号强度指示所产生的测距误差对定位精度的影响,提出一种基于统计不相关矢量集的集中式定位算法。通过坐标变换简化双重中心化矩阵的求解过程,使用统计不相关矢量集构造双重中心化矩阵,从而计算出节点坐标。仿真结果表明,在测距误差比较大的情况下,该算法仍能有效降低测距噪声干扰、提高定位精度,适用于低成本硬件的无线传感器网络。 基于全球定位系统的高精度事件顺序记录系统 "为了满足事件顺序记录(SOE)系统数百微秒级分辨率的要求,系统必须实现精确到微秒级的全局时钟同步。通过对现有同步方法的评估并对时钟同步过程误差产生原因的着重分析,利用全球定位系统(GPS)信号为时间源的网络时钟协议(NTP)校时服务器,提出了一种基于改进NTP服务器同步法和1PPS秒脉冲同步法相结合的新方法。新方法利用先进的NTP服务器同步全局秒时钟,消除控制站间时钟误差,并解决同步过程中出现的""跨秒""问题;用1PPS秒脉冲同步毫秒计数器时钟,消除现场可编程门阵列(FPGA)晶振累积误差。该方法实现简单,同步精度高,系统稳定性好。使用该同步方法实现的SOE系统分辨率达0.5 ms,并成功应用于某火电厂汽轮机保护装置。" 虚拟环境下大数据智能并行聚类方法研究 为了减少虚拟环境下大数据运行时间,数据运行时能够反映出一定的规律性和特殊的分类性,需要对虚拟环境下大数据进行智能并行聚类;当前大数据聚类方法是根据K-均值聚类方法不断地进行大数据样本分类的调整,经过多次计算调整后达到数据并行聚类的效果,但每当有新的大数据流入时,都需要对当前全部数据进行K-均值聚类,计算过程复杂,聚类效率低;为此,提出了一种基于MapReduce的虚拟环境下大数据智能并行聚类方法;首先在虚拟环境下大数据中抽取小规模数据集并确定大数据簇的质心,采用Single法对所抽样的小规模数据进行聚类,获得虚拟环境下大数据属性的均值,利用最小距离分类规则将大数据属性的均值快速地向数据簇的真实中心移动,依据Davies-bouldin指标假设一个数据簇离散度参数,在此参数值中选出大数据智能并行聚类相似度最大值,最后利用聚类相似度最大值得到Davies-bouldin指数,以Davies-bouldin指数为基础将多个类别的质心间距以及聚类离散度指定阈值合并为一个类并进行迭代计算,得到数据最佳聚类中心位置,由此完成虚拟环境下大数据智能并行聚类;仿真实验结果证明,所提方法提高了大数据智能并行聚类的灵活性和普遍适用性,减少了聚类时间,并适合应用于教育技术领域,不仅可以使教育技术网络数据更加合理化,而且更加规范化。 一体化小卫星综合测试系统的设计 在卫星的研制测试过程中,现有的测试设备通用性不强、开放性和灵活性不够、设备集成化程度低、自动化和智能化程度不高;通过提出一种小型化、一体化的综合测试系统的设计方法,并结合现有的资源进行了具体的项目开发,为未来的小卫星提升测试效率,降低系统成本,缩减系统规模探索出一条新路;实践表明,该方案在满足现有测试要求的情况下,在研制成本、系统体积、组建时间和无故障运行方面等均有较大改善。 使用树核函数进行中文代词消解的研究 指代消解是自然语言处理中语篇理解的关键问题之一。近年来对于树核函数的研究为指代消解提出了新的思路。基于树核函数,提出了一种新的中文代词的消解方法。将句法树作为一个特征,使用SVM提供的树核函数自动获取句法信息。在ACE2005 NWIRE基准数据上进行实验的结果表明树核对中文代词的消解能起到显著的作用,其中F值达到了75.8%。 基于可变禁忌长度的优化停机位分配 "针对机场""最大化停机位利用率""以及""最小化旅客行走路程""问题,提出了一种动态、灵活分配停机位的禁忌搜索算法。首先介绍了基本禁忌搜索算法的相关设计,然后引出了改进后的动态禁忌搜索算法(DTS算法),最后利用实际数据对改进后的禁忌搜索算法进行演算。通过几组数据的对比可看出,突出可变禁忌长度能够缩短全局寻优的循环次数。而与相关文献的演算结果进行对比显示:在资源不受限情况下,旅客行走总时间减少了15.75%;在资源受限情况下,旅客行走总时间减少了22.84%。实验结果表明,采用动态禁忌搜索算法能够得到更小的旅客行走路程的分配方案。" 自适应噪声调制多级误差扩散算法 针对多级半色调技术输出结果存在轮廓效应以及人工结构的问题,提出一种自适应噪声调制多级误差扩散半色调算法.首先利用加权均方误差约束求取噪声调制器的各项系数,并将噪声调制器插入至误差扩散法中的量化过程内;然后通过遗传算法,结合蓝噪声原理获取噪声调制后的最优自适应误差过滤器;最后利用所得的自适应误差过滤器完成量化误差滤波,输出半色调结果图像.实验结果表明,该算法可以有效地减少半色调输出结果中的轮廓效应和人工结构,并且具有较高的信噪比. 基于布谷鸟搜索优化BP神经网络的网络安全态势评估方法 针对现有基于神经网络的网络安全态势评估方法效率低等问题,提出基于布谷鸟搜索(CS)优化反向传播(BP)神经网络(CSBPNN)的网络安全态势评估方法。首先,根据态势输入指标数和输出态势值确定BP神经网络(BPNN)的输入输出节点数,根据经验公式和试凑法计算出隐含层节点数;然后,随机初始化各层的连接权值和阈值,使用浮点数编码方式将权值与阈值编码成布谷鸟;最后,使用CS算法对权值和阈值进行优化,得到用于态势评估的CSBPNN模型并对其进行训练,将网络安全态势数据输入到CSBPNN模型中,获取网络的安全态势值。实验结果表明,与BPNN和遗传算法优化BP神经网络方法相比,基于CSBPNN的网络安全态势评估方法的迭代代数分别减少943和47且预测精度提高8.06个百分点和3.89个百分点,所提方法具有较快的收敛速度和较高的预测精度。 磁共振非对比增强在下肢血管成像的临床应用初探 [正文]成都中医药大学附属医院放射科研究人员发表论文,旨在以数字减影血管造影(DSA)为金标准,对比分析磁共振非对比增强血管成像(3D-NC-MRA)在下肢动脉成像的临床应用价值。研究指出,3D-NC-MRA可用于诊断下肢动脉缺血性病变,对下肢缺血病变具有较高的应用价值。纳入30例临床疑有下肢缺血性病变的患者,其中18例男性,12例女性,平均年龄(61.7±11.2)岁。在2周内行磁共振非对比增强下肢动脉成像检查及下肢动脉DSA检查,范5 道路交通事故多状态识别方法研究 研究道路交通事故多状态准确识别的方法。交通事故的现场情况较为复杂,不能依靠单一的特征进行智能判断,当前的道路交通状态监测系统对意外状况的检测中,针对小范围内事故的集聚,受到车辆重叠、交错等视觉像素重叠的干扰,可能无法准确地捕捉事故发生异常路段的边界,仅仅依靠车辆的长时间聚集判断车祸的发生,检测的准确性较低。提出了一种车辆运动目标状态解析的道路交通事故多状态识别方法。引入车祸多态识别模型,根据道路交通中的车辆图像,对车辆轻微刮碰事件、碰撞事件、严重碰撞事件等车辆状态进行识别,从而完成道路交通事故多状态识别。实验结果表明,运用该方法进行交通道路事故多状态识别,能够对各种不同的交通状态进行有效识别,从而为智能交通管理提供依据。 多基因组索引研究及其改进序列比对算法 目前的多基因组比对算法需要大量时间和内存开销,多基因组索引(MuGI)的比对算法速度较快,但未能利用多基因组重复信息。为此,提出一种改进的MuGI索引比对算法。运用带单核苷酸多态性剪枝的动态种子扩展算法及多基因组的重复信息,提高比对速度。同时采用按需读取索引的内存管理策略,提高算法的空间效率。实验结果表明,改进算法仅需6 GB运行内存,即可在1 092人基因组上进行比对,并且误配阈值为5的比对速度为MuGI算法的3倍左右。 文献检索方法的研究与改进 通过对当今常用检索方法的研究与分析,提出一种相对新颖的检索模式与方法,并对这种新的检索方法进行理论分析与实践验证.新的检索模式还将把最常用的几项文案处理功能集合在同一平台下,为用户提供更为便捷、准确、高效的信息服务. 基于核函数技术的时尚服装需求预测方法 短生命周期的服装需求预测问题一直是服装品牌公司无法解决的问题,运用了非线性机器学习的核函数技术,提出了一个适合短生命周期时尚类服装的预测方法。结合服装公司的产品特征和服装数据仓库应用研究,建立了一种基于核函数技术的服装需求预测模型,提出了一个计算算法,通过实际数据进行了分析验证,结果表明所提出的方法对于时尚服装需求预测具有较高的动态预测精度,适合服装公司进行动态补货,对于品牌公司控制库存具有重要的实际意义。 动态阈值粗糙C均值算法 "粗糙C均值算法中3个参数wl,wu,ε的选择是算法应用的关键问题。针对粗糙C均值算法中反映类间叠加程度的参数ε的设定,提出一种动态自适应调整阈值ε的粗糙C均值算法,该算法根据""类-类""间距离与""对象-类""间距离,对每一个待聚类对象动态设定阈值ε。两组人工数据和图像数据的实验表明,该算法具有较好的适应性和聚类效果。" 基于改进蛙跳策略的Map-Reduce作业调度算法 为提高智能算法在Map-Reduce作业调度问题中的求解效率,提出一种基于改进蛙跳策略的调度算法。针对蛙跳策略在Map-Reduce作业调度中的应用,算法具体设计了编码方案和进化算子;同时,为提高算法收敛性能,对蛙跳策略进行改进:结合种群多样性指标增加逆转变异操作。仿真实验结果表明,提出的改进蛙跳策略在Map-Reduce作业调度问题求解中,收敛性能、作业总完成时间和平均完成时间三个方面均优于基本蛙跳策略和已有的智能调度算法,是一种实用的Map-Reduce作业调度方案。 基于Gossip协议P2P视频点播系统的设计 设计了一个基于Gossip协议的P2P视频点播原型系统。对系统中的Gossip交互消息类型及格式进行了自定义,并且对系统的缓存机制及调度机制进行了设计。采用固定缓存与动态缓存相结合的缓存管理策略,减少了系统的初始启动延迟。在系统中采用基于数据驱动的调度策略对结点进行了优化设计,尽可能地保证了数据的及时到达。 一种改进的传感器网络分组密钥预分配方案 密钥预分配方案关乎无线传感器网络节点间协同的安全问题.现有的密钥预分配方案大多存在连通率低,抗捕获性差,灵活性差等缺点.该文在分析现有密钥预分配方案的基础之上,对TD(k,n)模型作出了适当的改进,设计出一种均匀分布TD(k,n)模型,引入Blundo二元对称多项式加密方法,并结合提出的均匀分配TD(k,n)模型,进一步提出了一种改进的分组密钥预分配方案IGDKPS(improved key-predistribution scheme based on group deployment).理论分析和仿真结果表明:IGDKPS方案在安全连通率、抗捕获性、灵活性等方面均有良好表现. 基于Thrift的RPC中间件在航天信息系统中的设计与实现 随着互联网技术的发展,大数据存储技术日趋成熟,针对系统应用实施中存在的跨平台和可扩展性问题,分析了在航天信息系统中远程服务调用的几种技术方法,提出了基于Thrift技术设的一种跨平台可扩展的远程调用中间件,利用Thrift框架的技术特点,有效提高了可扩展性和高效传输性能;实际应用表明,该技术方案在跨平台可扩展性有显著优势,大数据传输性能优越,较好地满足信息化项目的需求。 基于World Wind的交通气象信息共享系统 为解决传统二维GIS系统在交通气象信息服务中无法满足现实多维气象环境的需求及气象服务产品表现效果不佳等问题,设计并研制基于三维地理信息系统World Wind的交通气象信息共享系统。采用GeoServer搭建了WMS地图服务,使用SketchUP建模工具完成重点结构物的模型加工,分析交通气象信息共享系统的体系结构、功能模块和数据库总体架构,构建一种基于二级缓存的数据访问机制,阐述气象要素道路拼图反演算法;在此基础上,对交通气象信息共享产品进行集成和综合应用。信息共享应用实例结果表明,该系统具有较好的三维效果和实用性。 适用于WSN的在线/离线异构签密方案 针对传感器节点与互联网主机通信安全性较低的问题,提出一种CLPKC-to-TPKC在线/离线异构签密方案。该方案结合在线/离线技术,定义从无证书公钥密码到传统公钥密码环境的形式化安全模型,并在随机预言模型下基于q-SDH,m ICDH和BDHI困难假设问题,证明方案的安全性。分析结果表明,与IDPKC-to-CLPKC在线/离线异构签密方案相比,该方案只需要2个双线性对运算,具有更高的运算效率,适用于无线传感器网络。 蛋白质原子运动轨迹生成改进算法 传统实现蛋白质分子动态模拟均采用采样方式生成原子运动轨迹。较大的采样间距使原本光滑的轨迹由于时域影响变得凹凸,而小的采样间距使运动缓慢且难以辨别。为解决上述问题,提出一种基于主元分析的运动轨迹生成算法。在参考坐标系内采集所有原子位置数据,计算并构造位置差的协方差矩阵,选择主元构成新基作为投影向量,确定兴趣运动点。以PYP为例,实验验证,通过提出的算法仿真PYP运动平滑而又凸显重点。 WCDMA中Turbo码质数交织器的研究与仿真 Turbo码是一种前向信道纠错码。它比卷积码有更高的译码增益,译码性能几乎接近Shannon理论极限,从而在信道的传输中具有更高的可靠性,适用于传输速率较高的业务。它优越的性能引起了人们广泛的关注。但由于Turbo码译码算法相对复杂,造成的译码时延比较大,Turbo码往往不适用于对实时性要求高的业务。WCDMA系统中使用Turbo码传输32 kbps及以上的业务。文中介绍了WCDMA中所采用的Turbo码编码结构中的质数交织器,利用MATLAB仿真,分析交织长度对Turbo码性能的影响,并通过与其他类型交织器性能上的比较,验证了质数交织器在WCDMA系统中的性能优势。 基于跳跃显露模式挖掘算法的癌症分类 分类问题是数据挖掘中的一项重要课题,然而目前对于癌症数据的分类研究还相对较少。近年来提出的强跳跃显露模式SJEP是一种具有很强区分能力的新模式,对于癌症数据的分类具有明显的优势。为了使癌症数据的分类精确度得以进一步提升,本文引入集成学习的思想,对原有的Boosting算法做出一些改进,并将改进后的Boosting算法与SP-树分类算法相结合,提出一种以SP-树分类算法作为基学习算法的SP_Boost算法。 基于演化博弈策略的高效延迟容忍网络路由 延迟容忍网络中,消息转发过程的转发节点能量管理效率偏低,导致节点能量消耗过快,降低消息转发效率,为此引入演化博弈概念,提出基于演化博弈稳定策略的高效延迟容忍网络路由算法。基于两跳路由机制设计消息转发策略,促使每个转发节点根据自身的能量状态选取不同的策略参与消息的转发操作;嵌入演化稳定策略,描述转发节点在消息转发时的博弈状态,通过理论证明与计算模型获取最佳结果,一旦确定博弈稳定状态,源节点即可获得最优转发控制。仿真结果表明,采取演化稳定策略时,转发节点可达到局部最大消息投递成功率,控制了能量开销,显著改善了网络性能。 基于优化策略的不确定数据流预测方法 为解决不确定数据流的预测问题,根据数据流高速、无限和动态不确定性的特点,在复杂人工智能预测和时间序列预测的基础上,提出一种基于优化策略的预测方法。综合考虑数据流中元组的不确定性与不确定异常性,以降低预测计算代价。同时考虑不确定的统计特性对卡尔曼滤波预测的影响,对Q和R进行异步优化估计,以形成最佳状态预测。实验结果表明,该方法的预测性能较好。 大规模多工位装配线平衡问题的规则组合算法 针对大规模多工位装配线平衡问题,提出一种基于规则组合的求解算法。将操作的选择规则和分配规则进行组合,求解第1类装配线平衡问题;将所有规则组合用于求解第2类装配线平衡问题。算法以两个大规模算例Arcus-111和Scholl-297为例对两类装配线平衡问题进行求解,并将求解结果与已有的最好结果比较,验证了算法的有效性和高效性;将操作时间进行随机扰动,基于扰动后的数据求解第1类装配线平衡问题的3个算例100次,统计结果表明算法具有较强的鲁棒性。 Call for Papers [正文]Elsevier Applied Soft Computing(SCI IF=2.81)Special Issue on Crowd Computing for Social Media Ecosystem http://www.journals.elsevier.com/applied-soft-computing/call-for-papers/special-issue-on-crowd-computing-for-social-media-ecosystem Aims and Scopes Recent decade has witnessed the birth of social media ecosystem that brings social organizations,mediacontent and various stakeholders together,and now it appears significant advantages of comprehensiveness,diver- 前置反硝化污水处理系统建模与仿真 污水处理系统是一个大滞后系统。为了对其进行高效地研究,需要深入了解系统内部机理并建立仿真模型。采用机理建模的方式建立活性污泥、沉淀池和鼓风系统,采用经验法建立入水组分转换模型,并选用前置反硝化工艺作为仿真对象,再结合该工艺的水力学模型建立了污水处理系统模型。利用BSM1仿真基准对模型进行稳态验证,仿真结果与基准值非常接近。利用实际污水处理厂数据进行动态仿真,模型能够较为准确地仿真污水处理厂的运行情况。采用外接PLC系统进行溶解氧控制,结果表明模型可用于污水处理控制研究。 基于SVM预分类学习的图像超分辨率重建算法 针对一般基于范例学习超分辨率重建算法的图像块误匹配和运算复杂度高等问题,提出了一种基于支持向量机预分类学习的算法。通过在匹配搜索前使用SVM筛选出与重建目标图像颜色特征相似的样本子库,保证了精确匹配搜索过程中样本块与输入低分辨率图像块内容之间的相关性,大大减少了误匹配现象,从而提高了图像重建质量。实验结果表明,算法的重建效果优于基于范例学习的算法,并在保证重建精度的前提下有效提高了算法运行速度。 观测几何对目标探测性能影响的仿真分析 在光电武器作战探测目标性能问题的研究中,不同大气/气溶胶模式、不同观测几何条件下的光电武器作战效能差异显著。针对上述问题,通过分析大气传输和观测几何对视在对比度的影响,建立了视在对比度传递模型,结合TTP准则提出了观测几何对目标探测性能的影响模型。利用Modtran软件离线计算的基础数据,提出了探测性能模型快速仿真计算方法,实现了典型地区、全天时、不同观测几何和观测距离条件下的成像系统探测性能的快速仿真计算。采用快速仿真计算方法,选取典型参数,计算并分析了不同大气/气溶胶模式、观测几何等因素对视在对比度、探测概率和探测距离等指标的影响。结果表明:观测几何对目标探测性能影响显著,50%探测概率条件下,顺光探测距离是逆光探测距离的1.38~1.7倍;不同大气/气溶胶模式条件下,观测几何对探测距离影响差异明显,海洋性气溶胶模式下影响较大,乡村型气溶胶模式下影响较小。文中提出了观测几何对目标探测性能的影响模型和快速仿真计算方法,为光电武器系统任务规划和作战效能评估提供了理论和技术支持。 基于非竞争式簇首轮转的WSNs分簇优化方法 针对LEACH协议中节点网络能量效率低的问题,建立了分簇协议的能耗模型;基于对簇首竞选能耗和不同节点能耗差的分析,提出了一种基于非竞争式的WSNs簇首轮换方法:在每一个轮转周期的第一轮中竞选一次簇首,其余轮中采取固定轮转的方法依次让其它节点充当簇首;同时合理设置每轮中的数据收集次数,以便有效降低网络簇首竞选能耗;理论分析和仿真实验表明:改进的分簇算法能够有效地改善WSNs分簇协议的总能耗性能。 三维无线自组网络的成簇及自适应路由算法 针对三维无线自组织网络拓扑结构复杂导致的不易寻路的问题,提出成簇算法和基于部分超立方体网络结构(PCCN)的自适应路由算法.成簇算法考虑到节点疏密不均的情况,利用节点的空间密度分布将节点分割成候选簇,采用融合机制将候选簇构建成更均匀的簇结构.使用实际拓扑到虚拟拓扑的转化策略,在簇结构的基础上构建PCCN.PCCN作为虚拟拓扑结构,简化了实际网络拓扑,具有可扩展性、延伸性能好等优点.利用PCCN,对节点进行编号之后进行自适应路由.自适应路由算法包括簇内和簇间路由两种情况.算法分析及算例表明,PCCN简化了三维网络的拓扑结构,能够有效路由,为三维自组织网络的管理提出了新的方法和手段. 基于量子委托计算模式的半量子密钥协商 为降低量子设备的成本,更好地执行量子计算,提出基于量子委托计算模式的多方半量子密钥协商协议。引入量子委托计算模式,将酉操作、Bell测量等复杂量子操作委托到量子中心进行,而参与者仅需具备访问量子信道与制备单光子的简单能力。为防止密钥信息被量子中心以及外部窃听者窃取,采用在目标量子态中插入混淆单光子的混淆策略来保证目标量子态的隐私性。分析结果表明,与其他量子密钥协商协议相比,参与者所需的量子能力显著降低,从而提升了协议的实际可行性。 基于分布式可信度量的移动代理安全应用模型研究 本文主要讨论了可信计算平台上的移动代理安全方案。利用可信计算平台提供的可信度量策略与信任链机制,构建基于可信度量的移动代理安全体系结构。进一步地,在此类平台所构成的移动代理分布式计算环境中,建立了移动代理平台间基于可信度量的信任关系数学模型,并进行了数值模拟与测试验证。 D-LDA:一种分布式单向时延测量方法 为了测量数据中心网络的单向时延,针对数据中心网络高带宽低延迟的特点,提出了分布式有损差分聚合(Distributed Lossy Difference Aggregator,D-LDA)测量方法。该方法在有损差分聚合算法的基础上,能够测量网络中任意端到端的单向时延,并且增强了对大数据量报文的处理能力,且架构灵活,扩展性好。开发了实际的测量系统进行实验,系统采用Four In One分布式框架和基于Java的B/S架构。测试结果显示该方法计算效率高,CPU占用率低,占用带宽小,可以广泛地用于数据中心网络进行性能监测。 一种风场作用下粒子系统火焰的动态模拟 为了真实地模拟火焰在风中摇曳的动态效果,提出一种新的风场作用下粒子系统火焰的动态模拟方法。该方法将风场视为一个包含全域和区域两种类型风场的随机风场,利用Perlin噪音函数生成给定条件下的风速矢量场;进而通过风场影响范围的判定,采用动力学方程实时计算风力作用下火焰粒子的速度和位置,最终实现不同风场作用下火焰的动态模拟。实验结果表明,该方法不仅能绘制出风场中火焰随风摇曳的逼真效果,同时对模拟的实时性有很好的支持。 基于多分类支持向量机的模式识别研究 "支持向量机是现代人工智能领域中的一个重要分支,它在统计学习理论的基础上,实现了结构风险最小化,提高了分类器的泛化能力,保证了分类的准确度。论文提出一种基于多分类支持向量机的模式识别方法,采用特征选择序列极小化算法对数据样本特征进行选择,并在此基础上,分析对比了""一对一""分类算法和""一对多""分类算法,实验结果表明,""一对一""分类算法的分类准确性较高,且具有较好的推广能力。" 基于P系统的粒子群优化算法 为了克服算法早熟收敛问题并提高算法精度,引入了膜计算理论。将PSO算法与P系统相结合,提出了一种基于P系统的粒子群优化算法(P-PSO),有效地平衡粒子群的全局搜索和局部寻优。采用常用的三个测试函数对新算法进行了实验,结果表明,提出的P-PSO算法有效地解决了算法早熟问题,提高了算法的收敛精度。由此可见,P-PSO算法能够有效改进原有PSO算法的性能。 一种利用已识别信息的星图识别算法 星图识别是星敏感器研究中的关键技术之一。现有的算法都是对每一个观测星在未知任何信息的情况下进行识别,而没有利用已经识别的观测星信息。如果利用已经识别的观测星信息,则可以大量减小其余星识别的计算量。研究利用已识别的观测星信息进行其余星的识别。给出了导航星库的建立方法和数据结构,以及已知3颗以上观测星时其余星的识别过程。提出的识别算法的导航星库只需要星对角距信息,存储量小,经对模拟星图的识别,其余星的平均识别时间为3.34m s,小于现有的识别算法。 形态成分正则化约束的图像恢复方法 如何设计能够保持图像纹理等小尺度结构特征的图像恢复方法是目前该领域有待解决的难点问题。由于自然图像往往包含卡通(平滑、边缘)、纹理等多种形态结构成分,很难找到单一有效的正则项对整幅图像进行约束。因此将各形态成分分开处理,建立多形态成分正则化的图像恢复最优化模型。采用交替最小化策略,对相应的多变量优化问题进行数值求解,每一子问题采用TwIST算法进行快速求解。仿真实验结果显示与min-TV和min-l1方法相比,形态成分正则化方法可以较好地保持恢复图像的整体视觉效果及纹理等小尺度结构特征。 面向系统动态可靠性的自适应目标代码生成方法 嵌入式系统工作在体积受限的封闭环境中,运算部件、存储单元等相关元器件体积小,集成度高,不同工作环境、不同使用频度对电子元器件的可靠性将产生重要影响。针对嵌入式系统工作过程中的动态可靠性,提出了面向系统动态可靠性的自适应目标代码生成方法。该方法借助于决策树学习算法,构建了系统可靠性评估模型;并以此为指导,设计了多路径目标代码生成方法,使得系统能够根据实际工作状态信息,自适应地执行最佳的路径,以避免系统资源使用的不均衡,提高各运算部件的可靠性。实验表明,该方法将程序对单个处理器最高使用率由80%以上降到了30%以内,将内存单元最大最小访问比例由157.3降到了15.4,有效均衡了各处理器核和内存单元的使用。 基于朋友关系变迁演化的社区划分算法 为了获得一种能够适用于机会网络的社区划分算法,提出了一种基于朋友关系变迁演化的社区划分算法。首先,定义了描述节点间朋友关系强弱的朋友关系度量标准;然后,利用朋友关系度量标准和节点自身的相遇历史信息,将网络中的节点划分到不同的朋友社区中。在两种不同数据集下的实验结果表明,利用朋友关系进行社区划分可有效降低消息在传输过程中的路由开销,同时保持较高的传输成功率。 基于LD预测的车载自组网下一跳选择算法 在高速移动环境中,车载自组网的下一跳节点选择算法存在高丢包率的问题。为此,在贪婪算法的基础上,通过预测车辆节点的链路持续时间(LD)和数据发送时延,提出一种改进的下一跳节点选择算法。其中,转发节点根据邻居节点和自身的相对速度,预测该节点和当前节点间的链路持续时间,选择其值不小于待发数据的发送时延的邻居节点作为下一跳节点。仿真实验结果表明,改进算法可以明显降低贪婪算法的丢包率。 基于ε-修正的直觉模糊信息集成方法及其在决策中的应用 已有的一些直觉模糊集成算子在处理一些特殊直觉模糊数时会出现反直觉现象。首先介绍了两个直觉模糊集成算子和直觉模糊数的比较方法。接着,举例说明了这些集成算子在某些情况下出现的反直觉现象。然后提出了基于ε-修正的直觉模糊集成算子,并讨论了ε取值对此算子结果的影响。之后建立了一种基于ε-修正的直觉模糊集成算子的决策方法。最后通过一个实例比较了原集成算子和本文提出的修正集成算子的集成结果,验证基于ε-修正的直觉模糊集成算子可以修正这些反直觉现象,这也拓宽了原集成算子的使用范围。 HDCH:MapReduce平台上的音频数据聚类系统 随着互联网上音乐数目的增长,如何对音乐进行分析、识别成为焦点问题.在音乐的识别过程中,需要对歌曲的帧数据进行聚类,数据的海量性与音乐数据本身的复杂性使得分析计算需要借助MapReduce平台多机并行完成.提出一种MapReduce平台上的音频数据聚类系统———HDCH,能够有效地对海量音频数据进行聚类.实验证明,HDCH具有很好的性能和可扩展性.除了音频数据外,HDCH还适用于所有海量高维数据的聚类分析. 物流网络中节点带权的Steiner最小树的参数算法 通过优化物流的运输网络,可以有效地降低物流成本。集中配送的物流网络优化问题可以转换成求解节点带权的Steiner最小树问题,这是一个NP-hard问题。运用参数理论,提出一种新的启发式解决算法P-NSMT。算法的思想是:首先尽可能只利用终端节点构造一棵连通的最小生成树,然后逐步向树中添加能减少生成树总权值的Steiner节点,最终生成一棵节点总数不超过参数k的Steiner最小树。实验表明,与同类型其他算法相比,P-NSMT算法具有更好的准确性和时间效率,特别适应于网络规模大、终端配送节点数目较少的物流网络。 基于遮挡变量的多视角目标融合追踪算法 针对多视角的复杂场景,这里引入遮挡变量表示目标遮挡状态,并提出基于遮挡变量的目标特征融合算法和基于遮挡变量的改进型粒子滤波追踪算法.这里首先采用单应性变换和传感器模型获取目标在不同视角中的位置、大小信息;然后根据目标在不同视角中的位置、大小约束关系判断目标的遮挡状态,并采用基于遮挡变量的融合算法得到目标的融合特征;最后通过结合融合特征和改进的粒子滤波得到基于遮挡变量的多视角目标融合追踪算法.实验结果表明:引入的遮挡变量使追踪系统在目标被遮挡的情况下能够保持良好的追踪效果,并且有效解决了传统追踪算法中的目标一致性、尺寸变化等难题. 基于事件交互图的算法与研究 图形用户界面的质量直接影响整个软件系统的有效性和实用性,一般采用提取模型的方法对图形用户界面进行测试,目前常用的模型为事件流图和事件交互图,但是事件流图转换成事件交互图的算法较为复杂,为此,提出一种转换算法,对图形用户界面事件进行明确划分,利用模态窗口事件的特殊性优化原有算法。测试实例结果表明,该算法可用于图形用户界面,且与Memon算法相比,能更高效地获得更准确的数据。 基于SaaS模式的家居营销服务平台 针对中小家居销售企业营销管理中集中信息服务的问题,结合基于软件即服务模式(Saa S)的家居营销服务平台,研究整体架构服务层次的中小家居企业信息服务的Saa S架构体系。针对业务处理过程中信息公布集中和业务处理分离的特点,提出基于Portal的服务发布和基于模型驱动思想的多租户服务处理方法。在信息发布模块,系统采用了可配置内容引擎的个性化展现方法,有效地处理了信息展现的差异性需求。同时,在多租户业务模型方面,采用了多租户数据设计模型和统一的数据处理接口,有效地解决了多租户数据共享和分离的问题。 反馈机制下图像镶嵌累积误差处理方法的研究 针对多幅图像镶嵌中累积误差的问题,提出一种基于反馈机制的减小累积误差的图像镶嵌方法.在图像镶嵌之前,将所有待镶嵌图像进行柱面投影.算法对待拼接图像进行尺度不变特征点提取,在粗匹配的基础上,对互匹配唯一性约束原则和随机抽样一致方法建立一种反馈机制.运用最佳重叠度原理尽可能找出更多正确的匹配点对,为图像变换矩阵的计算提供良好的数据基础.实验结果表明:该种机制能够从本质上提高图像变换矩阵的精度,从而有效地减少多幅图像镶嵌的累积误差,并且对不同图像序列有着良好的自适应性. 一种高效的SVG动画自适应渲染算法 针对不同浏览器动画渲染性能差距悬殊的问题设计了一种高效率的自适应的SVG动画渲染算法,该算法通过将动画-算子由多对多归并为一对多形式,缩减浏览器底层调度次数,削减系统资源开销.引入帧率自适应算法,为没有针对动画渲染进行优化的浏览器提供兼容性实现,对有优化的浏览器进行渲染效能的进一步提升.此算法保证了动画渲染性能在不同浏览器上都保持较高的效率以及稳定性,实验证明,此渲染算法无论有无针对动画渲染的底层优化,都能很好地平滑性能抖动,根据浏览器所能支持的条件达到当前情况最高的效率,支撑大数量的SVG动画渲染. 认知无线电分簇子网频谱决策 树形认知无线电分簇子网采用多簇并行工作模式,其频谱决策涉及子网容量、吞吐量与子网稳定性3方面因素,计算复杂度高。针对多簇子网的频谱决策问题,建立了三层优先级决策模型,并提出一种启发式决策算法。该算法基于簇结构和簇生长度构造无重复的搜索空间,并以当前最优解更新的搜索步长为启发式条件,贪心搜索增长率更高的子网结构,引入子网容量下限、可用频谱及子网速率双门限,对解空间进行严格剪枝。仿真结果表明,在相应频谱空间和子网规模等约束条件下,该算法能够获得最优解且满足实时性需求。 共享存储可重构计算机软硬件通信的优化实现 可重构硬件操作系统BORPH提供的硬件进程概念和以硬件为中心的执行模型可极大地提高可重构计算平台的易用性.BORPH-N为BORPH的扩展系统,主要的扩展是支持在共享存储可重构计算平台上的运行.BORPH-N为硬件进程提供基于共享存储、符合Unix语义的高性能进程间通信支持:共享存储和信号量.利用这两项服务,硬件进程可与系统中其他所有软件进程和硬件进程进行交互.可重构计算的重要目标是利用可重构逻辑对应用的耗时部分进行加速,所以软硬件交互机制的效率至关重要.通过类似远程调用这种简单方式来提供这两项服务,软硬件交互频繁,开销较大,性能难以满足需求.BORPH-N使用的优化策略基于独立执行的基本思路进行设计.实验结果表明,BORPH-N所需硬件开销较小,为硬件进程提供的共享存储和信号量的效率逼近硬件平台的峰值,可以满足实际应用的需求. 一种快速的体数据特征增强可视化算法 传输函数是实现体数据特征分类与可视化的重要手段,随着维度的升高,传输函数设计过程趋于复杂且难以实时交互;而在基于视线方向上特征分析的体数据特征可视化方法中,复杂的特征分析过程占用GPU硬件资源,降低了体绘制效率.为此提出一种快速的、不完全依赖于传输函数设计的体数据特征增强可视化算法.利用视线方向上采样点标量值变化率,快速识别视线方向上的重要特征,通过降低不重要特征的不透明度以增强重要特征在绘制结果图像中的可见性;引入反锐化掩模技术对视线方向上重要特征进行加权处理,在保证体绘制效率的同时增强了体数据特征的视觉感知.在绘制过程中,文中算法利用简单而有效的特征分析操作,在传输函数映射的基础上对感兴趣特征的视觉元素再次优化,实现不完全依赖于复杂传输函数设计的体数据特征增强可视化.最后通过大量实验,进一步验证了该算法的有效性与实用性. 针对MSU StegoVideo的隐藏信息检测与主动攻击 MSU StegoVideo是在互联网上公开的一种视频信息隐藏软件.为了有效检测利用该软件嵌入信息的视频并去除隐藏信息,通过秘密信息嵌入与提取实验,分析软件的信息隐藏原理及嵌入信息后的数据分布特点,在此基础上提出基于块效应分布不均匀性和边界不连续性差异的隐藏信息检测方法,以及基于帧间共谋的主动攻击方法.实验结果表明,检测方法能够实现对不同参数时嵌入信息的有效检测,主动攻击方法在保持视频质量的前提下,能够有效去除隐藏信息. 项目风险混合智能预警模型及其应用研究 针对高风险项目样本数据十分缺乏的问题,提出一种基于距离评判和支持向量数据描述的项目风险混合智能预警模型。通过对各传统风险评价指标进行距离评判,并根据评判因子的大小选取敏感指标作为支持向量数据描述的输入,实现对不同风险状态的自动识别。高技术项目投资风险预警实例表明,该方法可以有效提取敏感特征指标,降低数据维数,提高单值分类方法在项目风险智能预警中的准确性和可靠性。 大数据环境中数据跨域传输安全控制仿真分析 为保证数据跨域传输的安全性和实时性,提高网络数据对抗攻击的能力,需要进行数据跨域传输安全控制。采用当前控制方法在跨域传输接收端环境复杂、传输数据量大的情况下,传输吞吐率和速度低,且难以保证数据跨域传输的安全性,针对上述问题,提出基于混沌密钥控制的大数据环境中数据跨域传输安全控制方法。数据跨域传输发送端主动在信号中添加噪声,实现对任何数据接收端比特信噪比上限的精确控制。利用通信窃听方在高误码率环境下难以准确推测跨域传输信道编码类型和编码参数的缺陷,根据混沌映射1控制在信道编码端内映射多个禁用符号,将跨域传输信道编码检错与密码流的噪声扰乱相融合;同时通过混沌映射2控制跨域传输信源符号的算术编码,有效阻止监听方对跨域传输发送端准确码流的获取,而接收端可通过通信信道纠错码来消除传送端添加信号噪声所带来的影响。实验结果表明,所提方法能够有效提高数据传输速度和吞吐率,同时增强数据跨域传输安全性。 基于成熟度的开源软件立项评估方法 随着智能移动设备和社交媒体的发展,出现了越来越多面向最终用户的应用软件.如何充分了解最终用户的需求,从而减少软件项目的风险,成为亟待解决的问题.在各类软件项目中,开源软件项目的开发具有特殊性,体现在参与人员的广泛性、多层次性,以及用户需求的多面性和不稳定性等方面.在项目成熟度评估的基础上,提出了开源软件项目的立项评估模型,并详细介绍了成熟度评估的方法及基于成熟度的开源软件项目立项评估流程,通过一个项目实例分析了在该模型基础上的立项评估过程. 基于改进蚁群优化算法的QoS区间数服务组合方法 已有的Qo S服务组合方法由于无法准确量化区间型Qo S属性,且存在忽视Qo S属性中的数据分布特征和用户Qo S需求表达不准确的问题,导致其组合结果与用户理想结果存在较大偏差。为此,基于改进的蚁群优化算法,提出一种Qo S属性区间数的服务组合方法。从服务本身和用户体验两方面出发,应用区间数形式的用户满意度和Qo S效用函数构造服务组合的目标函数,并通过改进的蚁群信息素更新策略和参数选择策略加快蚁群收敛速度,在满足用户全局Qo S约束的基础上,找出用户满意度高、整体性能好的组合服务。实验结果表明,该方法能够有效提高服务组合的效率和成功率。 基于CFD的集输管道内水合物聚集行为仿真分析 石油开采和输送过程中形成的水合物容易在管道内聚集,堵塞管道,有碍正常生产,针对以上问题,提出了运用CFD有限元仿真软件,在以往研究的基础上采用增强壁面函数法,对管道近壁处网格进行边界层划分,并且自编译Di-Felice拽力模型导入FLUENT软件中,实现对输送管道内液、固两相流动的数值模拟分析,同时对水合物的粘度、颗粒平均直径、输运管道内颗粒体积浓度对水合物聚集的影响进行分析研究。研究表明:水合物在小粒径、中等体积浓度、适度流速和低水合物粘度的情况下,不易发生水合物聚集,该研究可以为集输管道输送方案的制定和输送系统的优化提供理论依据。 复杂网络二维抗毁性测度指标及评价算法 为衡量网络结构破坏和动态流变化对网络性能的影响,构造一个具有二维特征的抗毁性测度综合效率指标,利用基于度和介数的节点删除法模拟蓄意攻击,将网络遭遇攻击后动态流的总流动成本的倒数作为网络的综合效率值以评价网络遭遇攻击后的破坏程度,并设计网络抗毁性能评价算法。以某快递企业的配送网络为例进行验证,结果表明对于具有动态流的现实网络,该指标能有效地揭示其破坏状况。 等离子体鞘套对北斗卫星信号传输影响分析 飞行器穿越大气层时,表面覆盖的等离子体鞘套会对通信信号的传播产生影响,甚至造成通信黑障。现实中通过实验分析等离子体鞘套对北斗卫星信号传输影响十分困难。从电磁波在等离子体中的传播理论出发,分析建立了等离子体鞘套与北斗卫星制导信号相互作用的数学模型;利用数值分析方法考察了各种因素对北斗卫星制导信号传播特性的影响。得到了均匀非磁化等离子体中北斗卫星制导信号的频率、等离子体密度、等离子体碰撞频率和等离子体厚度的变化规律。仿真结果表明,可以为解决通信黑障问题提供参考。 关联词约束的半监督文本分类方法 提出了一种没有训练集情况下实现对未标注类别文本文档进行分类的问题。类关联词是与类主体相关、能反映类主体的单词或短语。利用类关联词提供的先验信息,形成文档分类的先验概率,然后组合利用朴素贝叶斯分类器和EM迭代算法,在半监督学习过程中加入分类约束条件,用类关联词来监督构造一个分类器,实现了对完全未标注类别文档的分类。实验结果证明,此方法能够以较高的准确率实现没有训练集情况下的文本分类问题,在类关联词约束下的分类准确率要高于没有约束情况下的分类准确率。 基于SFLA-FCM聚类的城市交通状态判别研究 针对城市道路交通状态判别的问题,提出了一种混合蛙跳算法(SFLA)与模糊C-均值算法(FCM)相结合的SFLA-FCM聚类算法。SFLA是一种全新的后启发式群体进化算法,具有高效的计算性能和优良的全局搜索能力。SFLA-FCM使用SFLA的优化过程代替FCM的基于梯度下降的迭代过程,有效地避免了FCM对初值敏感及容易陷入局部极小的缺陷。将该算法用于城市交通流数据的聚类分析结果表明,与单一FCM聚类算法相比,SFLA-FCM聚类算法更准确,效果更佳,能够快速而有效地对城市交通流状况进行判别,为动态交通拥堵预警和交通诱导策略的制定提供依据。 俄罗斯洲际弹道导弹及试射近况浅析 导弹试射及飞行试验是其研制、定型和服役过程中的重要环节,对于导弹武器系统的技术性能指标和作战使用性能考核、保障装备和新技术适应性验证、批生产质量可靠性检验、产品延寿、部队战斗力检验以及国家核威慑力展示等方面均具有重大意义;基于对国内外公开文献报道的系统梳理,较全面介绍了俄罗斯现役陆基洲际弹道导弹和潜射弹道导弹的发展历程及性能指标,浅析了其2010年以来的洲际弹道导弹试射近况,文献综述至2016年1月。 基于双链量子遗传算法的过程神经元网络训练 基于函数正交基展开的过程神经元网络训练,由于参数较多BP算法不易收敛。针对这一问题,本文提出了一种基于双链量子遗传算法的解决方案。首先按权值参数的个数确定染色体上的基因数,完成种群编码,然后通过染色体评估获得当前最优染色体,以该染色体为目标,用量子旋转门完成种群中个体的更新,用量子非门实现个体变异增加种群多样性。在该方法中,每条染色体携带两条基因链,因此可扩展对解空间的遍历性,加速优化进程。以两组二维三角函数的模式分类问题为例,仿真结果表明该方法不仅收敛速度快,而且寻优能力强。 一种便携式微小型导弹的气动优化设计与仿真 从国外近几次局部战争来看,微小型导弹在现代战争中发挥着越来越重要的作用。为了有效地攻击固定和运动装甲目标、土木工事以及有价值的点目标,使导弹在满足小型化尺寸约束情况下,提高战斗部威力和飞行可用过载,设计合理的气动外形布局十分关键。针对上述问题,基于现有弹芯分段结构,研究设计了一种新型的两级隔离战斗部方案,可单兵便携的鸭式布局的微小型导弹。通过三维建模和流体力学仿真,得到了不同飞行工况下的升力、阻力以及俯仰力矩系数。经气动数据分析,并结合弹道仿真结果,验证了此型导弹不仅可满足小型化设计,而且小攻角范围内气动特性良好,可提供足够高的过载,对今后研制优化微小型导弹具有一定的指导意义。 飞行器总体多学科集成设计平台 针对设计过程中学科间的耦合关系、继承关系、信息传递、异地异构设计、并行计算、数据管理和知识共享等问题,对多学科设计集成平台的功能需求进行了深入分析,提出了飞行器总体多学科集成设计平台的体系架构。基于设计结构矩阵优化和解耦思想,提出了集成平台下的总体数字化设计流程。阐述了集成平台的关键技术。在MyEclipse3.2环境下开发了面向飞行器总体设计的集成平台,并结合某型飞航导弹进行了多学科设计优化,验证了该集成平台的有效性。 真实软组织特性的肝脏组织物理建模及受力分析优化 为解决人软组织系统物理建模过程中存在的精确性与实时性之间的矛盾,选择典型的人体软组织——肝脏为实验对象,建立了一套满足实时性的复杂软组织物理建模方法.首先在基于表面模型的质点-弹簧系统基础上引入了体弹簧,采用能够满足体行为模拟的质点-弹簧模型;在质点质量分配方面,采用体离散化的方法完成了质点质量的确定,同时提出了基于层次包围盒的重心修正方法;在弹簧参数设定方面,通过真实软组织生物力学实验和参数分析,提出分段线性化弹簧参数设定方法;最后提出了作用力影响范围的受力优化分析方法,以提升变形仿真的速度.实验结果表明,该方法能够更好地模拟软组织的形变,并提高模拟仿真的实时性. 基于OCML模型的CDMA系统长短码生成方法 讨论时空混沌序列的生成方法并比较分析其作为扩频码的性能优势,为降低单向耦合映像格子(OCML)模型中格点间隔的相关度,提出一种基于模型空间维度的随机格点间隔方法,对系统空间维度分区段,在各区段内随机选取下一时刻序列的格点坐标,并行产生多条随机性好的混沌序列,从而得到码分多址(CDMA)系统的长短码。Simulink仿真结果表明,时空混沌OCML模型因其多维及高复杂度的特性,可同时产生并行性较好的伪随机序列用作CDMA系统的长短码。 使用稀疏加权平均脸及对称脸解决单样本问题 在人脸识别中,传统有效的鉴别分析方法需要更多样本评估类内散度信息。由于人脸的单样本问题,导致某些经典的方法如Fisherface和Eigenface等失效,解决的方法通常是生成各种虚拟样本来扩充训练集以实施这些算法。针对这个问题,根据人脸的对称相似理论,人脸样本的相关变化信息可以从它的对称脸上提取,提出组合原始训练样本及它的虚拟平均脸、对称脸作为训练样本集,应用稀疏理论进行加权积分融合,分两步进行识别的方法,并在ORL和FERET人脸数据库做了对比实验。实验结果表明,该方法比现有一些突出效果人脸识别方法更好,并具有一定的鲁棒性。 大型高能物理计算集群资源管理方法的评测 高能物理数据由物理事例组成,事例之间没有相关性。可以通过大量作业同时处理大量不同的数据文件,从而实现高能物理计算任务的并行化,因此高能物理计算是典型的高吞吐量计算场景。高能所计算集群使用开源的TORQUE/Maui进行资源管理及作业调度,并通过将集群资源划分成不同队列以及限制用户最大运行作业数来保证公平性,然而这也导致了集群整体资源利用率非常低下。SLURM和HTCondor都是近年来流行的开源资源管理系统,前者拥有丰富的作业调度策略,后者非常适合高吞吐量计算,二者都能够替代老旧、缺乏维护的TORQUE/Maui,都是管理计算集群资源的可行方案。在SLURM和HTCondor测试集群上模拟大亚湾实验用户的作业提交行为,对SLURM和HTCondor的资源分配行为和效率进行了测试,并与相同作业在高能物理研究所TORQUE/Maui集群上的实际调度结果进行了对比,分析了SLURM及HTCondor的优势和不足,探讨了使用SLURM或HTCondor管理高能物理研究所计算集群的可行性。 基于模糊控制的无线传感器网络室内定位算法 "提出了一种通过无线传感器网络组网,利用基于模糊算法的改进接收信号强度指示(RSSI)测距技术来进行室内定位的系统设计方案。通过模糊状态分类建立环境气候和障碍物的模糊分布参数,对""距离—损耗""模型进行改进,算出其隶属函数,从而得到较准确的测距公式,计算出移动节点的位置信息。实验结果表明:提出的定位算法在对移动节点定位的实时性和准确性上能满足实际需要,具有应用价值。" 基于各向异性高通滤波的图像边缘检测算法 图像在处理的过程中,总会受到噪声的污染。由于噪声和边缘都是图像的高频分量,在滤除噪声的同时,也破坏了图像的边缘。为了使所提取的图像边缘更加逼近被噪声污染的图像真实边缘且定位精确,提出结合各向异性高通滤波和多尺度积对图像进行边缘检测。首先采用具有各向异性的非下采样Contourlet变换(NSCT)对原始图像进行多尺度、多方向分解,并用多尺度积对变换结果的高频分量去噪,最后利用各向异性高通滤波器长轴与边缘方向之间的夹角确定图像边缘。实验结果表明,所提出的方法抗噪声能力强,计算复杂度低,所提取边缘清晰、光滑且定位精确。 连续域问题的CSO算法性能研究 介绍了基本蟑螂算法(CSO)的算法思想,并通过改进基本的CSO算法得到可应用于连续优化问题的CSO算法.分析了改进后算法的性能,实验证明:CSO算法在种群规模较小的情况下,可以快速收敛到一个高精度的解. 差分化社会网络节点角色的链路预测模型 以经典的Common Neighbor算法为例,提出了一种基于社区划分的差分化节点角色的链路预测模型,该模型首先采用Clauset-Newman-Moore算法挖掘社会网络结构属性,同时引入节点连接度和社区整体参与度的定义,差分处理社区内外邻接节点和不同社区的贡献,采用有监督的学习训练方法分别对社区内节点对和社区间节点对进行链路预测.人工网络和真实网络中的实验证明,该模型能够提高基于相似度算法对节点对链路预测的准确率,并为该类模型的研究提供一种新的方案. 时滞复杂动态网络的保性能控制 针对具有时滞的复杂网络,研究了此类系统的保性能控制问题。利用Lyapunov稳定性定理和线性矩阵不等式工具,得到了时滞复杂网络保性能控制存在的充分条件。设计的具有增益摄动的状态反馈控制器,既可以保证系统渐近稳定,又可使系统的性能指标满足一定的要求,最后通过数值算例验证了设计方法的可行性。 基于改进Criminisi算法的图像修复 针对Criminisi算法难以获得理想的修复效果,且存在修复时间过长等缺陷,提出一种改进Criminisi算法的图像修复算法。改进优先权计算方式找到最优待修复块,完善最优匹配块搜索策略,找到最优匹配块,采用新的置信值更新方式以获得更为理想修复效果,通过仿真实验测试算法性能,结果表明,相较于Criminisi算法,改进Criminisi算法不仅获得了较理想的图像修复效果,而且大幅度减少了修复时间,提高了图像修复的效果。 大型射频通道馈电控制系统智能故障诊断技术实现 在对大型射频馈电控制系统维修需求深入分析的基础上,构建了故障检测任务分解模型,并以传统故障诊断专家系统为框架,设计了智能故障诊断系统的基本组成结构,研究了故障数据的采集方案和诊断的工作流程。通过将智能诊断方法引入大型馈电控制系统的维修中,有效提高了故障诊断效率,保证了设备运行的可靠性。 高层论坛上专家提出的发展建议摘登 [正文]蔡小斌研究员提出国防科技工业试验与测试技术的发展需求当前,武器装备研制与发展及体系的革命性变化,突出表现在武器装备机械化和信息化复合发展,试验能力建设必须与装备发展相适应,拓展网络化、体系化和信息化能力,提高试验验证规模和效率,保证研制试验的需求。主要表现在:1.武器装备机械化和信息化复合发展对试验能力提出了新的要求,要求以试验信息化为支撑,实现综合试验过程的信息化,建立 SPCF:一种基于内存的传播式协同过滤推荐算法 基于内存的协同过滤是当前互联网推荐引擎中的核心技术.然而,目前该技术的发展面临着严重的用户评分稀疏性问题.该文通过采用传播的思想对数据稀疏性问题进行了有益的探索和研究,并提出了一种改进的基于内存的协同过滤推荐算法SPCF.该算法通过相似度传播,寻找到更多、更可靠的邻居,然后在此基础上,从用户和项目两方面信息考虑对用户进行推荐.在Movie Lens和Yahoo Music数据集上的实验结果表明,SPCF在MAE指标上比传统的基于内存的协同过滤推荐算法有明显的提高. 基于SFSTFC的单路口交通信号控制 针对城市单路口的交通信号控制问题,提出了一种基于比例因子自调整模糊控制器的控制方法。首先分析了比例因子对模糊控制系统性能的影响;然后,根据当前路口交通流红灯相位的损失指数和绿灯相位的增益指数,给出了比例因子自调整模糊算法,可依据路口动态车流信息在线调整比例因子;最后,基于提出的比例因子自调整模糊控制器,给出了一种单路口交通信号控制策略。仿真结果表明,相对于定时控制,以及普通模糊控制,比例因子自调整方法能够有效地降低路口平均车辆延误,提高了交通信号控制系统的控制精度。 静电除尘用大功率高频高压电源预测控制研究 静电除尘用大功率高频高压电源系统具有非线性、时变性、迟滞性等特点,需要一类数学模型要求低、自适应能力强的非线性控制方法;以自主研发的静电除尘用大功率高频高压电源为研究对象,基于现场实际电源系统试验结果,采用最小二乘法辨识系统的近似传递函数模型,最后设计了一种新颖的基于动态矩阵算法(DMC)的模型预测控制器,实现针对电源输出电压的控制;仿真结果表明,该算法具有稳定性好、自适应强等优点,并具有良好的控制效果。 联合式多引擎维汉机器翻译系统 根据维吾尔语形态变化丰富的特殊性,搭建一个基于Factored的维汉机器翻译系统,将Factored系统和基于层次短语的Joshua翻译系统以及Moses中基于句法的翻译模型进行系统融合,构建混淆网络。提出一种词级和句子级联合融合的维汉机器翻译方法,利用一致性网络进行词级融合,并采用最小贝叶斯算法进行句子级融合。实验结果表明,联合式多引擎方法能提高1.72%个BLUE-SBP值。 基于骨架特征的人体动作分类研究 "为了能够在丰富复杂的网络信息中快速找到所需图片,提出一种基于骨架特征的人体上半身动作分类方法,以提高相应图片的检索效率。对人体运动图片进行人体运动时上半身姿势识别,得到能够表示人体位置、方向以及大小的""火柴人模型""(即骨架特征),使用矩阵形式对提取到的骨架特征进行描述。为了校正因距离和位置变化造成的尺度差异,对特征矩阵进行归一化处理,然后使用多分类SVM方法对提取的骨架特征进行训练,得到可以对不同动作进行分类的分类器。以收集到的人体运动图片作为测试数据库进行实验,实验结果表明,该算法的分类准确率达到97.36%,能够很好地对人体动作进行分类。同时,在Buffy数据库上进行图片检索对比实验,实验结果表明,所提算法的分类准确率更高,更好地提高了图片检索效率。" 考虑逆向物流第三方配送的选址路径问题研究 针对电子商务退货的大量产生,提出一种送取一体化的配送方式,第三方物流和自建物流结合的逆向物流配送策略。选址和路径问题LRP(Location Routing Problem)成为了很多物流配送企业正在面对和急需解决的难题。为了降低配送方式成本以及提高客户满意度,利用改进的遗传算法,首先在自建物流成本中加入时间窗惩罚函数,偏远地区的配送采用第三方物流配送方式,建立送取货为一体的逆向物流选址路径模型;然后针对问题的复杂性设计一种改进的遗传算法对模型进行求解,采用时间分布思想初始化种群,引入局部搜索算法,设计自适应的改进遗传算法;最后采用实验进行数据分析,通过物流成本的降低、运行时间的减少、运行效率的提高等数据验证了模型的可行性以及算法的有效性。 基于CombBLAS的同辈压力图聚类并行算法的设计与实现 图聚类是指把图中相对连接紧密的顶点及其相关的边分组形成一个子图的过程,在包括机器学习、数据挖掘、模式识别、图像分析及生物信息等领域有着广泛应用。但是,随着大数据时代的到来,图数据海量增长。面对广泛的大规模图计算需求,由于图结构本身的不规则性,单机算法运行效率低下,用传统的并行计算方法进行图计算难以获得高性能。使用线性代数的方法在Combinatorial BLAS上实现了同辈压力(Peer Pressure)图聚类的分布式算法,首先将该图聚类的算法转换为对稀疏矩阵的运算,从而结构化表示图的不规则数据结构及接入模式,然后基于MPI编程模型将其并行实现。实验结果表明,在并行处理规模达到43亿的由稀疏矩阵表示的超大规模图时,基于线性代数表示的同辈压力图聚类算法在曙光超级计算机上取得了较高的并行性能及良好的可扩展性,在64个核上获得了40.1的并行加速。 无线多跳网络的延迟性能分析 多跳无线网络技术具有广阔的应用前景。研究如何精确地分析、预知和保证多跳无线通信路线的端到端延迟性能非常重要。通过引入一个延迟违约概率的下限,扩展链路层等效带宽模型,并在不同的信道服务速率和无线信道条件下进行模拟。分析和仿真发现,与信道服务速率相比,最大多普勒频移对多跳延迟性能的影响更大。 经验分布函数概率模型的分布估计算法 连续域分布估计算法普遍采用高斯概率模型,假设变量服从高斯分布。该假设并不具有普遍意义。提出一个任意分布的连续多变量耦合分布估计算法,利用经验分布函数从样本估计分布,采样产生新的个体。描述经验分布函数和逆变换法采样,讨论用样本构造经验分布函数并采样的基本思想,给出一次采样算法及完整的分布估计算法,通过典型函数的仿真实验,说明方法的正确性和有效性。 不同风险偏好下的供应链定价与订货策略 "为探讨风险偏好对供应链策略的影响,以对""高赢利""和""低赢利""不同权重的关注作为零售商的风险度量,研究供应链企业的定价与订货策略。研究表明,风险偏好零售商的最优订货量总会高于风险中性零售商的最优订货量,并随风险偏好因子的增加而增加;零售商的风险厌恶态度一定会降低供应链整体利润,而适度的风险偏好却可以增加供应链的整体利润,甚至接近完全协调时的整体利润。" 球向量机的快速在线学习 在大数据分析处理中,有效学习样本逐渐增加,据此如何高效渐进地学习分类器是一个非常有价值的问题.相比于支撑向量机和核向量机,球向量机自身在批量样本学习中具有速度快、准确率高的特点,但该方法不适合快速的在线学习.针对该问题提出了在线球向量机.首先将二分类问题转为两个单分类问题,利用球向量机(ball vector machine,BVM)对超球球心的更新算法对每一个训练向量仅迭代一次,求得两个高维超球的球心,随后直接利用两个高维超球球心的垂直平分面进行分类.理论分析证明了新方法的有效性,与现有在线增量学习方法的实验比较结果表明,在线球向量机(online ball vector machine,OBVM)在时间计算复杂度和综合性能方面有显著优势. 核协方差成分分析方法及其在聚类中的应用 以降维前后密度总和与Renyi熵的差(Densities-vs-Entropy,D-vs-E)尽量靠近为准则,得到了一种新的特征降维方法,而D-vs-E是由核特征空间的协方差矩阵导出的,因此称为核协方差成分分析(Kernel Covariance Compo-nent Analysis,KCCA)。将D-vs-E发展为广义D-vs-E(generalized D-vs-E)。KCCA通过将数据投影在使D-vs-E最大的KPCA轴方向得到转换后的低维数据,但是所选取的KPCA轴不一定对应于核矩阵最大的几个特征值。与基于Renyi熵的KECA相比,KCCA是基于D-vs-E的。基于广义D-vs-E的KCCA数据转换方法应用于聚类的结果显示,它在对高斯核参数的选择上具有更强的鲁棒性。 基于QR二维码的多级融合加密算法的设计与实现 随着二维码的广泛应用,二维码加密技术受到越来越多的关注。快速响应(Quick Response,QR)二维码是一种被广泛使用的二维码,其实现过程的不同阶段有不同的特点。论文提出一种基于QR二维码的多级融合加密算法概念,通过对不同阶段采取DES加密和固定密钥的RC4加密大大提高QR二维码的加密强度,同时不明显增加二维码的长度和处理时间。实验数据表明了论文所提算法的有效性。 基于EMD和M-FSVM的泵车液压系统故障诊断 在泵车液压系统的故障诊断技术研究中,如何精确地提取故障特征以及如何实现高精度的分类识别是研究的关键。针对这一问题,文中提出了一种基于经验模态分解(Empirical Mode Decomposition,EMD)算法与模糊多类支持向量机(Multi-class Fuzzy Support Vector Machine,M-FSVM)技术相结合的液压系统故障诊断方法。该方法首先对原始数据信号进行EMD分解,分解成若干个固有本征模态函数之和,再计算EMD能量熵作为M-FSVM的输入数据加以诊断。实验结果表明,该方法能有效地诊断泵车液压系统故障。 复杂环境下机器人路径规划方法研究 路径规划方法的优劣直接影响到移动机器人实际的工作能力,复杂的环境对于机器人路径规划的要求更高。针对复杂环境下蚁群算法搜索效率慢、易早敛的问题,结合势场法对其进行改进,提出基于势场优化的蚁群路径规划算法。初始解产生阶段,用势场法路径预规划获得的先验知识构造蚁群算法的信息素矩阵。寻优阶段构造综合启发函数,对蚂蚁的转移过程进行有目的地引导,同时提出参数自适应的伪随机转移策略,有助于蚂蚁寻找全局最优解。信息素更新过程中引入代价函数对路径规划结果进行平滑处理,得到适合机器人移动的最优路径。仿真结果表明,新算法明显提高了收敛速度和寻优能力,能够较好的满足复杂环境下机器人路径规划的需求。 云计算环境下软硬件节能和负载均衡策略 针对云计算服务环境下软硬件节能和负载均衡优化问题,提出一种自适应的云计算环境下虚拟机(VM)动态迁移软节能策略。该策略采用常用的硬件能耗感知技术———动态电压频率调节(DVFS)来实现分段优化的系统部件静态节能,又通过VM在线迁移技术实现云平台的动态自适应软件节能。在CloudSim云仿真平台下对比实现DVFS静态节能和自适应负载均衡的软节能策略,经PlanetLab云平台监测数据验证,结果表明:软硬结合的自适应能耗感知策略能够高效节能96%;DVFS+MAD_MMT节能策略(采用平均绝对偏差算法判定主机是否超载,基于最短迁移时间(MMT)原则选择VM移出)在PlanetLab低负载云任务吞吐环境下比实验环境下能节约87.15%的能耗;安全阈值为2.5的MAD_MMT算法可以实现云环境下的高效软节能,有效支持自适应负载均衡的虚拟机动态迁移策略。 主成分分析先验约束总变分正则化CT图像重建方法 总变分正则化计算机断层扫描(CT)图像重建方法适合于有限角度图像重建问题,虽然它可以克服重建问题的不适定性,但在控制迭代次数的情况下,重建图像中的边缘区域出现模糊趋势且恢复的细节不完整。为了重建出拥有更好边缘的图像,提出了一种主成分分析(PCA)先验约束总变分正则化CT图像重建方法,并给出数学模型。该方法运用PCA进行CT图像集特征提取,将特征转化为距离约束作为先验知识加入图像重建过程。实验结果表明,在迭代次数相同的情况下,该方法重建的图像噪声更小、边缘更清晰。 格值下推自动机与格值上下文无关文法 引入了格值下推自动机、格值上下文无关文法及它们的语言的概念,证明了格值下推自动机以两种不同方式接受的语言类的等价性,研究了格值Chomsky范式文法、格值上下文无关文法及其派生所产生的语言的等价条件,揭示了在一定条件下,格值下推自动机接受的语言类与格值上下文无关文法产生的语言类的等价性,证明了有理格值语言均被格值下推自动机识别。 基于RTR的遥测数据实时处理软件的设计与实现 为了对飞行遥测数据进行实时处理,以Qt为软件开发平台,采用直接接收宽带遥测接收机(RTR)发送的网络遥测数据包的方法,结合机载测试系统的采集格式、参数测试信息、校准信息和ICD信息,完成遥测数据的实时解算、工程量转换和原始数据保存,同时将关心的参数物理量通过网络实时的发送给客户端监控软件,以供试飞工程师进行实时监控;实际试验试飞结果表明,此软件运行稳定和数据准确可靠,完全满足实时监控的需求,具有良好的实用性和发展前景。 一种基于推荐网络和蚁群算法的服务发现方法 服务分散存储在互联网上,随着互联网上Web服务数量的迅速增长,自动、准确、快速地搜索Web服务已经成为面向服务计算中的难点和关键问题.文中针对在开放、动态环境下现有的服务发现研究中存在的搜索效率不高、负载不均衡和语义欠缺等问题,提出了一种基于推荐网络和蚁群算法的服务发现方法.首先,该方法构建一个自组织服务推荐网络模型,并给出了相关策略,从而适合大规模开放、动态的网络环境,为服务发现提供了搜索空间和基础.其次,在自组织服务推荐网络模型的基础上,给出了一种基于蚁群算法的服务发现方法,该方法使用推荐有效地提高了服务发现的成功率和查全率,引入蚁群算法的思想有效地解决了服务发现中的网络负载均衡问题.最后,实验结果证明了该方法的正确性和可行性. LNG冷能利用方案的本质安全性评价 为了获得本质安全性较高的LNG冷能利用方案,利用本质安全指标法评价了3个可选方案,根据评价结果对方案进行了优选。首先介绍了本质安全及典型的指标型本质安全评价方法,阐述了本质安全指标法的结构及其评分体系;应用本质安全指标法分别评价了LNG与空气换热,LNG与污氮换热,LNG与氮气、空气换热3个LNG冷能回收利用方案,得到它们的本质安全指标值分别为21,20,18;结果表明LNG与氮气、空气换热方案的本质安全指标值最低,即其本质安全性最高,主要原因是用氮气作中间介质能够消除潜在的LNG与空气、或污氮混合而引发爆炸的可能性;最后,确定该方案的本质安全性最佳,并给出了该方案的流程图。本研究改良了LNG冷能利用方案的本质安全性并对方案的优选提供了数据支持。 大规模非结构化数据的索引技术研究 为解决搜索引擎ASPSeek在大规模数据下检索效率低下、占用空间大以及不利于更新等问题,提出了一种分块式存储的倒排索引组织技术,并对基于外存的B+树索引和线性散列索引的性能进行了比较测试研究。测试结果表明,查询每万条数据耗时线性散列为B+树索引快57.40%,插入每万条数据耗时线性散列为B+树索引的2.44倍,删除每万条数据耗时线性散列为B+树索引的83.52%,线性散列索引文件大小为B+树索引文件大小的109.56%。由测试结果可知,B+树索引具有较快的索引构建和更新速度,而线性散列索引则具有较高的磁盘空间占用率和较好的查询性能。 一种改进的TCP拥塞控制算法及仿真 TCP协议提供面向连接、可靠的服务,但应用于时延敏感的实时网络时,并不能保证实时性。当网络负载过大时,会出现拥塞、传输延迟和丢包等问题。为了降低网络拥塞概率,提出了一种改进的TCP拥塞控制算法TCP-EB。该算法根据确认数据包的速率估计网络可用带宽,调整拥塞窗口的大小,提高带宽利用率。出现拥塞时,对窗口衰减速度进行限制,保证传输的优先级高于其他数据流。最后将TCP-EB与传统拥塞控制算法TCP Reno、TCP Vegas进行比较,结果表明,提高了网络吞吐量和网络传输的平滑性。 基于连续性库存检查策略的库存系统仿真优化 库存能够保证企业的正常运作,但过多的库存又导致库存成本增加、占用大量流动资金.因此,有必要在库存持有量和成本之间寻求一个平衡点.利用AnyLogic软件构建了单一库存点的库存仿真系统.以连续性库存检查策略为例进行仿真与优化实验,得出最优库存控制策略,在保证客户服务水平的基础上实现了库存成本最低. 一种面向动态偏好的交互式多目标查询 同一问题的迭代查询之间存在关联,且不同查询间用户偏好动态变化.但现有查询算法只是将不同查询独立处理或默认查询间偏好固定不变,本文提出一种可有效利用查询间关联关系且支持动态偏好的查询处理算法IMQD;定义一种结果集质量度量方法,取值在[0,1]区间内且取值越大越优.IMQD算法旨在使结果集质量更高,将查询处理过程分为三个步骤:首先确定初始候选集;然后通过用户交互获取调整后的偏好阈值,对当前候选集进行调整,使结果集质量取值更大;用户根据当前结果集质量判定是否终止查询.在模拟和真实数据集上验证IMQD算法可提升结果集质量且拥有良好交互性能.一旦用户偏好发生变化,算法可在毫秒级内完成对结果集的自适应调整. 具有柔性加工时间的机器人制造单元调度问题改进遗传算法 为克服传统遗传算法在求解具有柔性加工时间的机器人制造单元调度问题时易出现早熟收敛、冗余迭代等缺陷,提出了改进遗传算法。该算法采用基于工件搬运顺序的染色体编码,并根据调度问题特征,设计构造型启发式算法来生成初始种群,避免了大量不可行染色体的产生,提高了后续操作的优化质量。同时,在交叉变异操作中引入局部邻域搜索,通过对子代邻域的局部寻优提高了算法的收敛速度。最后,分别应用该算法和传统遗传算法求解六个基准案例,实验结果验证了该算法的有效性。 含能量耗散和容量扩充的电网级联故障模型 为了研究电力网络中级联故障产生的机理,提出了一个含能量耗散和容量扩充的级联故障模型,并用元胞自动机方法进行模拟。结果显示,在能量耗散和容量扩充这两种因素的驱动下,系统能够达到自组织临界状态。该模型较好地解释了电力系统中出现的自组织临界现象。此外,还进一步研究了级联故障的控制措施,结果发现,通过对系统中的重要节点进行控制并采取适当的分流策略,能够大大降低级联故障的规模。 基于Lucene的分布式并行索引 索引技术是搜索引擎的核心技术之一,索引技术的好坏直接影响到搜索引擎的查准率以及对用户的响应速度。Lucene是一个优秀的全文检索引擎架构,采用高度优化的倒排索引结构并支持增量索引。但在实际应用Lucene时存在一个值得关注的问题:随着被索引文件的增多,索引时间成线性增长,导致建索引的过程会影响搜索体验;在搜索引擎应用中,当索引文件量达到一定等级时,搜索引擎就遇到性能瓶颈。在深入分析和研究Lucene索引机制的基础上,采用以内存为缓冲区建索引文件的分布式并行索引技术形成了一个可扩展的搜索引擎解决方案,极大地缓解了建索引给搜索带来的瓶颈问题。 基于直接评分与间接评分的协同过滤算法 协同过滤面临着用户评分数据极为稀疏的问题,为改善不同稀疏程度数据上的推荐效果,提出基于直接评分与间接评分的协同过滤算法。针对直接评分,定义加权用户相似性和加权项目相似性度量标准,构造直接推荐用户集合与直接推荐项目集合,给出直接评分权重的计算方法;针对间接评分,构造相似评分集合,定义评分相似性度量标准。定义综合评分权重,在直接评分与间接评分的基础上得到最终推荐结果。大量实验结果表明,该算法在不同稀疏程度的数据上均具有较高的推荐质量。 基于GPU的快速色阶映射算子实现 为了提高色阶映射计算的效率,设计了基于GPU的快速色阶映射算法。首先结合基本规约算法和GPU的并行运算特征设计了基于两个核函数的最大亮度计算方法,然后通过区域中间值共享计算以像素为中心的区域平均亮度,最后针对视屏处理,提出利用纹理缓存池解决CPU读数据和GPU处理数据速度不匹配的问题,并根据像素子集最大亮度自适应地更新全局最大亮度。实验结果相对相同算法的CPU实现得到了4~5倍的速度提升,表明所提出的算法能够充分利用GPU的并行性,并减少了大量重复运算,满足实时渲染的要求,并且对不同规模的纹理具有良好的适应性。 物联网环境下的餐饮服务系统设计与实现 随着人民生活水平的提高和生活方式的转变,餐饮业具有巨大的投资市场,被称为中国的黄金产业。舌尖上的物联网系统采用无线网络技术作为信息交流的桥梁,能够提高餐馆档次、提高工作效率、避免跑单、实时监控餐馆状况,提供各种各样统计信息、提高服务品质等,为餐饮行业带来崭新的管理理念与服务手段,优化业务流程,为顾客提供更优质的服务。本文设计一种物联网环境下的餐饮服务系统,系统完成了手机预订、现场PAD(平板电脑)选菜、RFID确认、厨房终端的显示以及服务器的数据处理与管理等相关功能。实现企业价值最大化的同时又使成本最低化,是餐饮行业向信息化发展的一个重要标志。 区间值信息系统的概念格约简方法 区间值信息系统是属性值取值为区间值形式的一种特殊信息系统。通过把区间值信息系统转化为0-1形式背景,利用概念格属性约简方法,区间值信息系统协调集的判定定理,并引入可辨识属性矩阵,研究区间值信息系统上基于概念格属性约简的理论方法。 基于优选傅里叶描述子的粘连条锈病孢子图像分割方法研究 小麦条锈病越夏孢子数是判断条锈病是否爆发的关键参数之一,现有方法对粘连条锈病孢子的自动检测尚有困难。为了实现粘连条锈病孢子的准确计数,提出一种融合K-means聚类算法与优选傅里叶描述子的粘连条锈病孢子分割算法,并实现了粘连孢子的准确计数。利用K-means聚类算法进行条锈病孢子的分割,实现了包含杂质等复杂背景下孢子的准确分割;对不同傅里叶描述子的边缘描述效果进行评价,优选出适合的傅里叶描述子个数,解决K-means聚类算法所得到的孢子边缘轮廓不够平滑的问题;利用基于距离测度的角点检测算法,实现了粘连条锈病孢子的准确计数;为了验证该方法的有效性,对20幅粘连孢子图像进行了分割实验。实验结果表明,得到的条锈病孢子计数准确率为96.2%,可以用于复杂粘连背景下孢子的准确分割,表明将该方法用于小麦条锈病越夏孢子的计数是有效的、可行的。 基于卡尔曼滤波器组的执行机构故障诊断方法 研究航空发动机控制系统中故障多发部件之一的执行机构故障诊断的问题。目前航空发动机控制系统执行机构故障诊断多采用硬件冗余的方法,因而导致设备重量和费用过高的问题,针对此问题,采用模型的解析余度方法,建立航空发动机执行机构的故障模型,利用卡尔曼滤波器组对发动机进行状态参数估计,构造滤波误差加权平方和作为系统残差,结合容错设计原理实现对执行机构故障的检测与隔离。仿真结果表明,改进方法对发动机燃油计量装置和喷口面积可调装置的执行机构故障诊断准确有效,易于工程实现。 三次B样条曲线的重合判断算法 曲线和曲线求交计算是CAGD领域的一个基本问题,但现有的求交算法都无法处理曲线重合的情况.在2条三次Bézier曲线重合判断条件的基础上,提出一种判断2条三次B样条曲线是否重合的算法.对于每条B样条曲线,首先将其分割成若干Bézier曲线段,然后判断2条Bézier曲线段是否可以合并为一段;通过合并Bézier曲线段,将2条三次B样条曲线的重合判断问题转化为2组三次Bézier曲线段的重合判断问题.文中在理论上证明了该算法的正确性,并通过若干实例验证了其有效性. 一种新的基于RFID的室内移动机器人自定位方法研究 针对室内移动机器人自定位算法定位精度不高、定位误差存在波动的问题,提出了一种RTFL(RFID tag floor based localization)定位算法与RSSI定位算法相结合的室内移动机器人自定位方法。由RTFL定位算法给定机器人位置估算初值和机器人所在的范围,通过基于RSSI的机器人自定位系统进行机器人位置的进一步精确定位。求解过程中,通过遗传算法求解极大似然方程组,并提出染色体的筛选和剔除策略。仿真实验结果表明,该方法在有效的时间内完成定位,平均定位误差为0.157 2 m,与传统的改进方法 0.332 14 m的定位误差相比,降低了近一倍。并且新方法受环境影响较小,鲁棒性较好,能够很好地满足室内移动机器人的定位要求。 显微模糊图像多特征信息精准抽取方法 显微图像具有对比度小,模糊度高,畸变影响性大等弊端,为了更好地提升显微图像的识别质量。需要进行显微模糊图像多特征信息抽取方法的研究。但是采用当前方法进行显微模糊图像多特征信息抽取时,无法抽取图像不同方向上的特征信息,存在特征信息抽取误差大的问题。为此,提出一种基于Gabor的显微模糊图像多特征信息精准抽取方法。该方法先利用分水岭的思想提取显微模糊图像中的最稳定极值区域,采用融合Canny算子及ROA算子给出图像不同方位特征点,计算出Log-Gabor径向滤波器传递函数和角度滤波器传递函数,利用Gabor滤波融合显微模糊图像各方向上的多特征信息,在此基础上进行显微模糊图像多特征信息精准抽取。仿真证明,所提方法特征信息抽取精度高,有效地提升了显微模糊图像的识别质量。 机器人同时定位与地图构建技术研究 移动机器人同时定位与地图创建是实现未知环境下机器人自主导航的关键性技术,具有广泛的应用前景,也是目前机器人研究的热门课题之一。针对国内外近年来关于移动机器人同时定位与地图创建的研究工作进行了总结和分析,重点介绍了机器人的地图创建方法类别、基于概率理论的自主定位方法、同时定位与地图创建的问题描述及研究方法等方面的发展现状及存在的不足。 网络中心化仿真任务共同体服务选择算法研究 网络中心化仿真的核心问题是如何动态地把散布在网络上的各种服务进行整合,以形成新的、满足不同用户需求的仿真任务共同体.提出了一种仿真任务共同体服务选择算法(simulation task community service selection algorithm,STCSSA),其主要思想是将仿真任务共同体的构建转换成带QoS全局约束多目标优化的服务查找问题.首先介绍了仿真任务共同体服务QoS模型,并对任务共同体服务组合流程进行了评价;接着详细介绍了STCSSA运行流程,对算法的惯性权重动态变化策略进行了设计,并提出了一种可选的变异操作方法;最后将STCSSA与其他粒子群优化算法进行了对比测试,不仅从算法性能角度验证了STCSSA在提高收敛速度及避免局部最优方面具有优势,还从算法应用角度验证了STCSSA适用于大规模仿真下的网络中心化仿真任务共同体构建. 基于图割的深度图去噪算法 多视点视频编码给深度图带来的编码块效应,导致合成的虚拟视点中出现严重的几何失真,为去除深度图中编码带来的噪声,提出一种基于图割的深度图去噪算法。将深度图及相应的彩色图的局部二值模式(LBP)算子作为去噪的约束,融入算法中,有效保持深度图的边缘信息。实验结果表明,由去噪后深度图绘制的虚拟视点的质量得到大幅提高,相对目前优秀的滤波方法能平均获得0.35dB的PSNR增益,主观效果的提升非常明显。 一个基于三粒子GHZ态与Bell态的量子代理盲签名方案 提出一个基于可控隐形传态的量子代理盲签名方案.使用Bell态与三粒子Greenberger-Horne-Zeilinger(GHZ)态作为量子信道,来联系签名的三方:原始签名人Charlie,代理签名人Alice和签名验证人Bob.此方案采用异或运算盲化消息并将其按2-bit为一组编码为量子信息.Alice对自己手中粒子进行测量,根据测量结果产生一个对量子信息的代理盲签名.Charlie通过对持有的粒子进行测量操作帮助Alice完成签名.之后,Bob根据Charlie与Alice的测量结果,在余下的粒子上作合适的幺正变换,重构出消息并验证签名.在方案中,通过采用量子信道窃听检测,量子密钥分发协议,量子加密算法与纠缠粒子的相干性来保证方案的安全性,即本方案满足盲性,代理签名的可注销性,不可伪造性和不可否认性. 一种新型的多种群微粒群算法 针对微粒群算法容易出现早熟问题,提出一种动态种群与子群混合的微粒群算法(SPSDPSO)。该算法在微粒群搜索停滞时对微粒进行分群,在子群内部通过微粒随机初始化以及个体替代策略提高优化性能,在子群进化一定代数后重新混合为一个种群继续优化,种群进化与子群进化交替进行直至满足算法终止条件。SPSDPSO的种群与子群混合进化策略增强了群体多样性,并且使得子群体之间能够进行充分的信息交流。收敛性分析表明,SPSDPSO以概率1收敛到全局最优解。函数测试结果表明,新算法的全局收敛性能有了显著提高。 基于AADL的无线传感器网络的建模与分析 利用结构分析与设计语言AADL(Architecture Analysis and Design Language)对无线传感器网络进行建模,并对其中一个节点进行了详细的分析。介绍AADL语言以及它在嵌入式系统中建模的优势,展示了无线传感器网络的特征和关键技术。结合AADL,针对温度传感器案例,对温度传感器的结构及功能进行了详细描述,充分体现了此传感器节点的工作原理与内部结构,有助于提高其结构上的可改进性和扩展性,以进一步应用到传感器网络中。 分布式数据库中数据存取效率管理仿真 对分布式数据库中数据存取效率管理,可以有效实现分布式数据库资源的互访与共享。对数据的存取效率的管理,需要对数据进行非线性存取,计算出显性标记输出数据矩阵,完成数据的快速存取效率。传统方法先将数据实行等距变换,对变换后的数据组建数据填补模型,但忽略了输出数据矩阵的计算,导致管理效率偏低。提出基于主成分分析的分布式数据库中数据快速存取方法。对数据进行标准化处理,建立数据变量的相关矩阵,依据数据点的变化关系进行对数据非线性存取,计算出显性标记输出数据矩阵,通过对其进行反存取,从原始数据集中剔除最大能量对应的贡献值,得到更新处理后的数据,对该类数据进行反复迭代,获得消除谱泄露的数据,由此完成分布式数据库中数据快速存取。仿真结果表明,所提方法能够较好地保持数据的精度和完整性,更利于数据的入库。 一种基于多层感知器的动态区域联合短时降水预报方法 降水是最难预报的天气要素之一。在预报站点和周围站点之间建立多层感知器,利用主成分分析对环流背景场和局地气象要素差异等13个因子降维,作为多层感知器的输入,并采用贪心算法选择多层感知器的结构。通过联合多个多层感知器同时预报降水,提出一种动态区域联合短时降水预报方法。实验证明该方法降水预报效果较好,3小时降水预报能力优于ECMWF(European Centre for Medium-Range Weather Forecasts)和日本气象厅(JMA)数值模式。 Piccolo密码代数故障分析研究 应用代数故障分析方法,对Piccolo密码抗故障攻击安全性进行了评估.首先利用代数方法建立Piccolo密码等效布尔方程组;然后通过故障攻击手段获取故障密文并将故障注入差分进行表示,给出了一种故障注入位置未知情况下的故障差分布尔方程组表示方法;最后使用CryptoMiniSAT解析器求解联立方程组恢复密钥.结果表明,代数故障分析相比传统差分故障分析具有以下优点:(1)在线故障注入较少,在Piccolo-80第23轮注入4bit故障,1次故障注入即可恢复80位密钥;(2)离线分析方法简单,无需结合算法和故障模型判断故障位置,执行繁琐的故障差分传播分析,自动化程度较高;(3)计算资源利用率高,可将故障攻击下的密钥恢复转化为代数方程求解问题,充分利用计算资源;(4)通用性较好,可扩展到宽度故障模型、深度故障模型、其它版本的Piccolo密码以及多种分组密码,有望成为评估分组密码抗故障攻击能力的通用方法. 一种改进相似性度量的协同过滤推荐算法 协同过滤算法是目前电子商务推荐系统中最重要的技术之一,其中相似性度量方法的效果直接决定了推荐系统的准确率。传统的相似性度量方法主要关注用户共同评分项之间的相似度,却忽视了用户共同评分项和用户所有评分项之间的关系。用户共同评分项和用户所有评分项之间的关系可以通过Tanimoto系数来计算,然而Tanimoto系数是基于二值模式下的运算,因此直接运用于推荐系统中的效果并不理想。基于上述问题提出了修正的Tanimoto系数,并将用户共同评分项和用户所有评分项之间的关系融入到传统的相似性度量方法中。实验表明该算法在一定程度上提高了推荐的效率和准确度。 不同居住格局的三语竞争复杂Agent网络仿真分析 利用基于社会圈子理论的Agent技术构建三语竞争的动态社会网络模型,不仅网络拓扑结构参数更接近实际社会网络参数,而且网络中的个体可以移动、死亡和新生,具有动态特性。将复杂网络上节点Agent的双语竞争模型推广到三语竞争网络节点Agent模型中,网络上的节点Agent代表具有学习和遗忘功能的个体,每个个体均可以通过学习获得第二种或第三种语言成为双语者或三语者,也可以通过遗忘重新成为单语或双语者。语言学调研结果表明,在三语或多语竞争社群,语言的扩张和渗透具有方向性。仿真分析结果表明,弱势语言兼用强势语言和亚强势语言的比例高于强势语言和亚强势语言兼用弱势语言的比例,亚强势语言兼用强势语言的比例高于兼用弱势语言比例,弱势语言同时兼用两种语言的比例最高,三语人群居住格局能影响语言兼用比例,但不能改变兼用的方向性,这与实际的语言调研结果一致。 基于键盘行为数据的用户身份识别 用户击键行为作为一种生物特征,具有采集成本低、安全性高的特点。然而,现有的研究方法和实验环境都是基于实验室数据,并不适用于极度不平衡的真实数据。比如,在实验室数据上效果出色的分类算法在真实数据上却无法应用。针对此问题,提出了基于真实击键行为数据的用户识别算法。该方法将聚类算法和距离算法结合起来,通过比较新来的击键行为和历史击键行为相似度以实现用户识别。实验结果表明,该算法在100名用户的3 015条真实击键记录组成的数据集上准确率达到88.22%,在投入实际应用后,随着样本集的增大算法的准确率还可以进一步提升。 一种具有关联性感知的RFID网络追溯服务 随着被标识对象的位置移动,RFID网络中不断产生新的观测数据.如何跨越多个不同信息服务器,进行有效的数据追溯查询,始终是RFID网络的重点研究内容之一.现有的追溯服务研究,没有充分考虑由于被标识物理对象之间的组合或者拆分等行为所造成的RFID数据关联,导致查询结果不完整.通过改进目录服务模型,提出一种具有关联性感知的RFID网络追溯服务.在发现服务阶段加入递归的关联性分析后,可同时返回原始标识以及容器标识所对应的信息源地址列表.并针对容器可重用性问题,基于时间属性进行过滤,可有效避免容器标识信息的数据冗余. 连续值属性决策表中的可变精度粗糙集模型及属性约简 属性约简是粗糙集理论研究的一个核心问题。为了有效地处理决策表中连续值属性约简,提出了连续值属性决策表中的可变精度粗糙集模型以及基于此模型的连续值属性约简算法。仿真实验结果表明,该算法可以对连续值属性进行约简,而且比经典粗糙集相关方法在处理连续值属性约简方面更有效。 基于T-H图的多级制冷系统的节能设计 "以对外输出3股冷量的复叠制冷系统为例,提出了一种基于T-H图的节能设计方法。通过对传统工艺的T-H图分析,提出了改进的设计思路:采用回热冷物流的显热为制冷剂的冷却提供冷量,同时又引入循环水,这样可显著减少换热温差,使T-H图中冷热物流匹配更为合理。进一步分析可知,新的设计实际上就是求解包含9个变量方程组。对按新方法设计的制冷系统进行了模拟分析,绘出了T-H图,发现其中的冷热物流组合曲线的确呈""平行""状匹配,与传统工艺相比节能约16%。" 基于边密度的复杂网络社区结构划分方法 针对基于模块度最优的社区结构探测算法会产生分辨率限制、时间复杂度高等问题,提出一种基于边密度的社区结构探测算法。该算法不仅可以对网络进行社区结构的划分,而且不会产生分辨率限制的问题,算法的运行复杂度是O(k·m),其中m为网络中的边数,k为网络中节点的最大节点度。为了验证该算法的正确性和性能,与著名的社团探测算法——GN算法和NF算法进行比较,结果表明所提出的算法是有效可行的。 一种适用于Ad Hoc网络的多信道能量高效MAC协议 对多信道条件下MAC协议功率控制机制进行了分析,提出一种能够根据各个接收节点的通信状况,动态地调整数据信道上数据帧的传输功率和控制信道上CTS帧的发送功率的多信道Ad Hoc网络能量高效的MAC协议(MPEMAC).仿真表明,该协议有效的节省了节点能耗,延长了网络节点的生存时间,同时还能够增大空间信道的利用率,从而进一步提高网络的平均吞吐量. 一种基于小波稀疏基的压缩感知图像融合算法 随着压缩感知技术的发展,基于压缩感知的图像融合技术研究逐渐受到越来越多的重视。针对图像小波分解系数特点,提出了一种基于双放射状采样模式的压缩传感域图像融合算法。该算法首先通过双放射状采样模式获得待融合图像的小波稀疏域线性测量值;然后利用一种简单的绝对值最大融合规则直接在压缩感知域进行融合,最后通过最小全变分的方法重构融合图像。主客观实验结果表明,该算法具有良好的融合效果。 分布式RDF数据管理综述 资源描述框架(resource description framework,RDF)作为一个展示、共享和连接网络上的数据的模型,已经被广泛地用在各种应用中.同时,SPARQL(simple protocol and RDF query language)作为一种结构化查询语言则被用来支持对RDF数据进行查询检索.随着RDF数据规模的日益增长,在现有RDF数据库上进行SPARQL查询处理已经超出了单机的处理能力.于是,人们需要设计出高性能的分布式RDF数据库以支持对SPARQL查询进行高效的处理.当前,已经有大量的工作来讨论如何搭建分布式RDF数据管理系统.对这些不同的分布式RDF数据管理方法进行综述,将现有的分布式RDF数据管理方法分成3类:基于云计算平台的分布式RDF数据管理方法、基于数据划分的分布式RDF数据管理方法和联邦式系统.基于云计算平台的分布式RDF数据管理方法利用已有云平台进行RDF数据的管理;基于数据划分的分布式RDF数据管理方法首先将RDF数据图划分成若干子图,然后将这些子图分配到不同计算节点上;联邦式系统的特点是数据已经分布在不同节点上,数据管理系统无法控制数据的分布.在每类分布式RDF数据管理方法的介绍中,将深入讨论以帮助读者了解各种方法的特点. 汉语实体关系模式的自动获取研究 中文信息抽取系统中实体关系模式的自动获取对于整个系统具有重要意义。在bootstrap方法的基础上,根据汉语在形式表达上的多样性特点,使用统计学习技术来自动获取新模式。实验表明,该方法在人工干预很少的情况下,能够快速查找新模式,且新模式的获取不受应用领域限制。因此该方法对于提高信息抽取系统的性能是有效的。 基于兴趣度的Web访问用户关联规则挖掘 传统关联规则算法中事务扫描的重复性以及最小支持度设定的不可靠性会导致计算最大频繁集运行效率低并产生大量冗余的、无趣的规则问题,提出一种改进的Apriori关联规则挖掘算法。对候选项目进行约减,引入兴趣度测量因子对提取的关联规则进行优化。数据实验结果表明,该方法可提高传统关联规则挖掘算法的效率,避免传统关联算法中扫描的重复性,对Web访问用户行为分析具有一定的指导意义。 基于可拓分析的低压断路器虚拟样机动作特性评价 "目前低压断路器的动作特性分析主要考虑合闸/分闸速度和合闸/分闸时间两个因素,没有系统地考虑动作特性的其他内在因素并进行综合评价,针对该问题,建立了断路器动作特性多维分析的过程模型,提出""速度—位移—力""三维度十标度的断路器虚拟样机的动作特性分析评价指标体系。基于该指标体系,研究了基于可拓分析的断路器虚拟样机动作特性的评价方法,该方法的特点是:改进了传统的经典域物元模型,建立了一种断路器动作特性评价的物元模型;设计了断路器动作特性的可拓评价算法,改进了传统的关联函数,使提出的关联函数适用于断路器动作特性评价的特点。以一款CDM1-100型断路器的设计和分析过程为例验证了该评价方法的可行性和有效性。" 基于增强型K-NN块搜索的图像修复算法 针对当前图像修复方法在对遮蔽物损坏图像复原时,存在明显的模糊效应与不连续效应等不足,提出局部最小二乘逼近优化耦合增强K-NN块搜索的图像修复算法。通过对图像修复机理进行分析,联合等权方法与K-NN(K近邻)块,将未知像素的估值转化为对线性组合函数的求解;定义基于边缘的优先项,计算输入块的边缘特性,提出基于局部学习映射函数的增强型K-NN块搜索方法,降低未知像素值K-NN的误配;采用基于局部最小二乘逼近优化方法,将相似块中的像素传播至损坏区域,完成图像修复。测试结果表明,与当前图像修复算法相比,在遮蔽物损坏图像复原中,该技术拥有更好的修复质量,有效降低了模糊效应,克服了修复时存在的间断效应。 基于灰色关联理论AGREE方法的BA系统可靠性分配 合理的建筑设备自动化系统(BA系统)的可靠性分配方法是改进BA系统整体可靠性的基础环节。为了有效地管理和监测BA系统,基于灰色关联度AGREE方法研究了BA系统的可靠性分配方法。首先在确定可靠性分配规则的基础上,基于灰色关联理论构建了单元与系统失效率序列之间的重要度因子计算模型,运用灰色关联分析方法求得各单元与系统失效率序列之间联系紧密程度,作为可靠性分配中的重要度因子;然后,在此基础上,结合传统AGREE分配方法构建了系统可靠性分配模型;最后针对BA系统的可靠性框图,用灰关联理论AGREE分配方法对其可靠性分配进行了论证。结果表明,该方法是有效的。 基于用户身份特征的多标签分类算法 目前对于智慧校园中的家校沟通,缺乏一种衡量和参考的方法。针对智慧校园中特有的聊天特点即存在明显的身份特征,提出了一种基于用户身份特征的多标签分类算法——Adaboost.ML。首先,新增加了启发式规则;然后,引入Adaboost.MH算法,同时摒弃了把数据集进行分片的概念;最后,直接利用单条数据作为分析的焦点,减少了由于时间片边缘带来的误差和推断时间,综合决策出聊天用户之间的关联关系。实验结果表明,与基于规则的启发式方法相比,所提算法在智慧校园数据集上的误报率、漏报率分别降低了53%、66%,同时在微信数据集上也具有良好的分类效果。该算法已应用到智慧校园项目中,能够迅速并准确地了解到家校沟通的情况。 用户交互式叙词表更新机制研究 叙词表作为知识组织的基础资源,需要持续更新和维护。本文借鉴大众分类法(Folksonomy)和维基百科用户交互维护机制,将专家知识和用户体验相结合,探索基于用户交互的汉语叙词表更新和维护的新机制。通过上机实验,提出并验证基于规范叙词表推荐和用户自定义主题词语的交互机制,以准确地收集和反映用户需求,促进叙词表的可持续优化。 基于非定长系统调用序列的程序行为动态度量方法 针对目前程序动态度量研究中实时性与准确性较差的问题,提出了一种利用程序行为特征进行度量的方法。通过筛选程序运行过程中产生的系统调用,依据其关联特性构成非定长系统调用序列作为程序的行为特征;采用后缀树结构设计实时特征度量匹配算法(feature matching with updating suffix tree,FMUS),实现了程序运行过程中的实时特征匹配。实验表明,该方法具有较高的准确率和低时间耗费比。 基于负载均衡的医院云计算系统资源调度方案 医院云计算系统存在需求的不确定性和节点资源的异构性问题,导致节点的负载失衡。为此,提出一种新的医院云计算系统资源调度方案。该方案基于混合蛙跳算法设计,并针对混合蛙跳算法容易陷入局部最优解的不足,给出一种基于讨论机制混合蛙跳算法改进的医院云计算系统资源调度方案,通过增加自适应讨论次数,提高算法的搜索能力。仿真实验结果表明,与传统负载均衡方案相比,该方案具有更好的负载均衡度性能,可解决混合蛙跳算法陷入局部最优的问题。 中国航天科工二院第二总体设计部 "[正文]中国航天科工集团第二研究院第二总体设计部(简称二部)创建于1958年10月8日,是我国最早组建的地空导弹总体设计部,主要承担先进防御导弹武器系统的总体研发、设计、集成与试验等工作。建部以来,在党中央、国务院、中央军委和上级机关的亲切关怀下,在集团公司和二院的坚强领导下,在全国各兄弟单位的大力支持下,二部秉承""国家利益高于一切""核心价值观,发扬""全局、奉献,求实、创新,和谐、高效""的总体精神,一路披荆斩棘,艰苦奋" 基于模糊决策和MSD的单样本人脸识别算法 当每个人只有一个训练样本时,最大散度差鉴别分析在人脸识别中的识别性能会降低,为了解决这一问题,提出了基于模糊决策和最大散度差鉴别分析的单样本人脸识别算法。通过对每个训练样本进行适当的分块,从而获得较多的训练样本个数,在这些新的训练样本集上应用类内中间值最大散度差鉴别分析算法得到最优投影矩阵,并基于这个最优投影矩阵可以计算训练样本和待测试样本的特征。对模糊决策方法进行分类。在著名的ORL和FERET人脸数据库上的大量实验结果表明,该算法可以提高识别率。 基于差分隐私的数据匿名化隐私保护方法 信息共享时代下,数据发布与数据挖掘中存在的隐私保护问题一直是信息安全领域研究的重点。匿名化是当前主要的隐私保护技术之一,能够有效降低用户隐私信息被泄露的风险,同时又保证数据的真实性与可用性。除此之外,有着严格数学证明的差分隐私保护技术,因其克服了传统隐私保护模型需要背景知识假设和无法定量分析隐私保护水平的缺点,近年来也迅速成为了隐私保护领域研究的热点。文中提出一种新的匿名化隐私保护方法,该方法在差分隐私的拉普拉斯实现机制基础上,构造具有单调性的数据泛化层次结构,优化数据表示法。通过比较泛化层次结构,获取局部最优泛化过程。在真实数据集上的实验表明,该方法达到了预期:提升数据隐私保护强度、控制计算开销,同时又不会严重影响数据发布和数据挖掘效率。 基于STK/MATLAB的数据链机动站点部署优化研究 机动数据链站点由于主要使用微波信道进行信息的传输,受视距通信的限制,地形遮挡对通信链路质量影响很大,在使用预警机中继通信时,必须对数据链地面机动站点的位置进行优化才能做到与中继平台有最佳的通信效果。利用MATLAB的强大编程、运算、控制能力与STK的超强实体建模、逼真三维显示功能进行联合仿真,不仅可呈现真实的作战地理环境,还可以控制数据链机动站点部署优化仿真进程,分析仿真数据。本文采用变步长搜索方法可快速找到最优部署位置,对数据链系统的地面机动站点位置或预警机的巡航路线进行优化,可大大提高系统的整体作战效能。 基于遗传粒子群混合算法的测试节点优选方法研究 "随着电子技术的大规模发展,电路可靠性要求逐步提高,电路板测试诊断的重要性日益凸显;如何寻求最佳的测试节点或测试矢量集是电路的故障诊断中的重要问题,提出一种基于遗传粒子群优化算法对测试节点进行优化选择。该方法通过建立电路测试节点的""故障-测试""矩阵,用遗传算法对数学模型的进行优化,并采用粒子群算法搜索实现了快速求解;与传统方法相比较,该方法搜索速度快,优化效果明显,已在工程实践中得到应用。" 开放式风速控制系统的研制 在海洋工程结构动力模型模拟试验中,为了实现开放环境下风荷载的实验室模拟,研发了一套开放式风速模拟控制系统;简要介绍了系统的整体结构设计和软件开发流程;由于在开放环境下进行风速的控制容易受到外界气流、温度等环境因素的影响,控制难度大且建模较困难,采用专家-模糊自适应PID控制算法,能够较好地跟踪目标风速,实现了对风速的实时模拟控制;最后通过实验验证了所设计的开放式风速控制系统能够满足实验要求。 深度卷积神经网络胸片肺结节分类识别研究 针对肺结节特征复杂且不明显,难以精确诊断出胸片中是否含有肺结节的问题,提出将深度神经网络应用于肺结节分类识别之中。首先通过将胸片灰度一致化,减少由于不同设备导致胸片亮度与灰度的差异;其次采用不同的数据扩增方法使得深度卷积神经网络可以充分提取肺结节的特征;最后通过改进的神经网络架构对肺结节进行分类识别。提出的算法有效地避免了在对胸片图像进行分割时造成图像特征部分丢失的现象,同时克服了由于胸片图像的复杂造成的肺结节特征不明显的缺点。最终通过实验研究证明胸片肺结节分类识别的平均准确率达到84.2%,在医学胸片肺结节的分类识别领域上具有一定的应用价值。 虚拟化环境下多GPU并行计算研究 针对大规模计算任务场景,提出在虚拟化环境下多GPU并行计算的实现方案,使用多线程或流处理的方式实现多GPU并行计算,并分析GPU多层次存储结构、传输等方面内容,采用经典的蒙特卡罗方法这一具有代表性的科学计算实例进行实验验证. 一种基于助理簇头的持久化分簇路由协议 在无线传感器网络分簇路由协议中,簇头负责簇内成员的管理,数据的收集和融合,以及数据的转发,造成簇头的能量消耗过甚,从而使网络浪费有效的能量来频繁的分簇重组,最终减小网络的生命周期.针对此问题,提出了一种基于助理簇头的持久化路由协议,通过添加助理簇头,代替簇头行使多跳路由选择,及其数据转发任务,使簇头有更多剩余能量来维持簇的管理,从而延长簇的生命周期,减少网络重组频率,进而延长整个网络的生命周期.实验仿真结果表明,改进的协议显著的提高了节点能量利用率,并延长了网络的生命周期. 扰动模糊有限状态机的转移结构 利用代数方法和扰动模糊集的概念,引入了扰动模糊有限状态机概念。为研究扰动模糊有限状态机的转移结构,提出了扰动模糊有限状态机的扰动后继、扰动子系统、扰动子机、扰动生成元、扰动基等概念,并研究了其相关性质。 基于邻居节点搜索的社团发现算法 社团结构是复杂网络中的一种重要结构属性.为快速准确的得到社团结构,提出一种基于邻居节点搜索的社团发现算法.由该算法得到的相应社团结构个数准确率较高,并且有较低的时间复杂度.算法从网络中度最大的节点出发,结合邻居节点搜索和邻居节点投票,将搜索从网络的局部扩展到整体,最后形成多个互不相交的社团.算法的运行时间接近O(m+n).算法在五个真实的基准数据集上进行了测试,并与其他算法从划分的社团个数、模块度值、运行时间和归一化互信息进行对比,实验结果表明该算法在划分准确性和运行时间上均有较好的表现. 隐私保护下的组最近邻查询算法研究 针对现有的组最近邻GNN(Group Nearest Neighbor)查询的隐私保护算法没有考虑地图匹配攻击的问题,在无可信第三方的模型下,提出基于三阶段的保护用户位置隐私的组最近邻算法SFR(Send-Filter-Refine)。发送阶段中用户向服务商发送可防御地图匹配攻击的矩形区域来代替精确位置;过滤阶段中服务商利用各区域计算所有可能成为结果的数据点并回传给用户;求精阶段为了防止发起查询的用户间的隐私泄露,通过用户间的无序交互来得到最终的查询结果,并提出多个剪枝策略来加快查询速度。基于真实路网的实验结果表明,SFR与传统方法相比,有更高的查询效率和更低的受攻击率。 高性能非结构化四面体网格解耦并行生成算法 "针对大规模科学计算领域非结构化网格生成问题,提出一种基于AFT-Delaunay方法的三维复杂域解耦并行四面体网格生成算法.该算法以待剖分三维域的闭合的表面三角形网格为输入,采用边界一致约束Delaunay剖分方法串行地生成较小规模的初始四面体网格;采用界面优先策略扩展三维AFT-Delaunay方法,以几何分界面为参考指引前沿推进方向,在分界面处生成一层由四面体单元构成的有厚度的""墙"",递归、并行地将初始四面体网格分割成完全解耦的子区域;此时,各子区域均为不含内部节点的四面体网格,继续利用AFT-Delaunay方法解耦并行地生成各子区域内部四面体网格.算例结果表明,文中算法很好地解决了分界面处网格质量差的难题以及收敛性问题,具有较好的并行效率及几何适应性,可在PC平台全自动地完成108量级的非结构四面体网格生成." 融合主动学习的改进贝叶斯半监督分类算法研究 半监督学习是人工智能领域一个重要的研究内容;在半监督学习中,如何有效利用未标记样本来提高分类器的泛化性能,是机器学习研究的热点和难点;主动学习可解决未标记样本有效利用的问题,将主动学习引入到半监督分类中,并改进贝叶斯算法,提出了一种基于改进贝叶斯算法的主动学习与半监督学习结合算法;实验结果表明,该方法取得了较好的分类效果。 通用抽取引擎框架:一种新的Web信息抽取方法的研究 大规模的网络视频信息既为用户信息分享带来了方便,同时也为国家监管部门带来了新的挑战。考虑到效率问题,在线视频监管则主要考虑视频描述信息。主要研究了网络视频描述信息的抽取问题,提出了一种新的Web信息抽取方法:通用抽取引擎框架,其主要包括对视频描述信息抽取问题的形式化描述和用户感知的视频网站逻辑模型。该方法在国家某部委的视频监管项目中已得到应用,并取得了很好的效果。实验结果表明,该方法的扩展性、通用性和抽取准确率大大优于其他方法。 基于频域相位相关的自适应光学图像配准算法 自适应光学图像观测过程中,望远镜的机械抖动会使得各帧图像之间产生位移。直接对这些图像进行多帧复原,复原结果不佳。针对这一问题,结合自适应光学图像的特性,通过理论推导,提出了基于频域相位相关的自适应光学图像配准算法。算法通过计算配准图像间频域互功率谱并利用二维脉冲的位置解决自适应光学图像之间的配准问题。最后,利用仿真图像以及真实自适应光学图像进行验证,证明了算法的有效性,配准后的多帧复原图像质量有大幅提升。 风干扰下基于变船长比的无人水面艇路径跟踪方法 针对无人水面艇在路径跟踪过程中易受风环境干扰的问题,建立了风环境下无人水面艇的运动数学模型,提出一种用于抵抗风干扰的直线路径跟踪方法;首先,基于视距导航原理,设计了跟踪期望航向角的PD控制器,通过融合路径方向,距离偏差及船长比等信息,实时调整左右两侧推进电机的控制电压,实现无人水面艇直线路径跟踪;然后,针对不同船长比对直线路径跟踪的影响,采用模糊控制方法,设计了以跟踪过程中的距离偏差和距离偏差变化率为输入,以船长比为输出的模糊控制模块,对船长比进行自适应调整,以提高控制器的抗风干扰性能;仿真结果表明,所提方法可减小风干扰作用下的跟踪静差,较之传统单纯PD控制方法,抵抗风干扰的能力得到提高。 灵活访问且模糊可搜索的EHR云服务系统 在电子健康记录系统(E-Healthcare Record Systems,EHRS)中,一些方案利用密钥策略ABE(KP-ABE)来保护隐私。由用户指定一个访问策略,密文只有与访问策略相匹配时才能被解密。现有的KP-ABE要求在生成密钥期间必须先确定访问策略,这在EHRS中是不可行的,因为有时访问策略在密钥生成后才被决定。基于KP-ABE,提出一种灵活访问且模糊可搜索的EHR云服务系统。该系统不仅实现了基于关键字容错的云端密文搜索,而且允许用户重新定义访问策略并为之生成密钥,因此一个精确的访问策略将不再是必需的。最后,证明了该方案的安全性。 语义缓存技术的研究 语义缓存技术一直以来是数据库研究的热点之一。语义缓存是指将用户向服务器端提交的查询语句和查询实际得到的结果数据同一存储在指定的缓存中,因而其不仅包含有数据,还有对数据的相关描述信息,从而可以提高查询效率。在语义缓存之前,还存在页面缓存、元组缓存、块缓存等缓存技术。文中首先介绍语义缓存的模型,然后阐述和分析语义缓存查询匹配算法和缓存替换策略,最后对语义缓存技术进行总结,从而为语义缓存技术的进一步研究提供一定的理论基础。 世界上首台质子CT成像设备研发成功 [正文]由英国林肯大学(University of Lincoln)图像工程学特聘教授Nigel Allinson带领的由来自世界各地科学家组成的国际PRaV DA(Proton Radiotherapy Verification and Dosimetry Applications,PRaV DA)专家团队,成功研发了世界上第一台质子CT。科研人员在南非iT Hemba LABS实验室使用南非国家回旋加速器(South African National Cyclotron)进行了多年的研究,并最终实现了这一影像学领域的重大突破,为提高肿瘤质子治疗的疗效和普及性添砖加瓦。质子CT设备是当前最复杂的医学影像学设备,因为使用质子 基于私有云盘的科研协同平台 分析了科研协同平台发展现状,总结了目前三种常见的科研协同平台构建方式,即基于Web2.0的科研协同平台、基于管理流程的科研协同平台、基于文档管理的科研协同平台.常见科研协同平台虽各有特色,但对科研核心活动的协同支持不足.然后探讨了科研协同的概念及类型,设计了基于私有云盘的科研管理流程、科研协同流程,提出了基于私有云盘的科研协同平台的总体架构,并设计了其功能结构.最后对基于私有云盘的科研协同平台的实现及应用进行讨论.基于私有云盘的科研协同平台对于当前高校科研信息化具有很好的支持及借鉴意义. 基于EKF的航天器姿态确定算法及精度分析 采用陀螺和星敏感器组合的方式来进行航天器姿态确定;首先建立了陀螺和星敏感器的测量模型,选择以四元数作为描述航天器姿态的参数,详细推导了在小偏差下以误差姿态角和陀螺常值漂移误差为状态量的滤波状态方程,并且以星敏感器的测量残差作为量测量,采用扩展卡尔曼滤波(Extended Kalman Filter,EKF)算法进行姿态确定;然后进行了仿真分析,仿真结果表明:该算法可以达到较高的姿态确定精度;最后对影响姿态确定精度的硬件因素和软件因素进行了定量的数据分析,得出了有一定意义的结论,从而为工程实践提供理论支持。 软件测试质量评价方法 分析当前软件测试质量评价的研究现状及存在的几个误区,结合工作经验,基于度量简易、有效可行、相对公正的角度提出一种依据测试文档、测试充分性、抽测结果及测试效率进行测试质量评价的方法。最后通过实际项目进行软件测试质量评价验证,总结这种方法的下一步研究方向。 抑制非线性扰动的迭代学习控制系统研究 多轴旋转机械体速率系统和位置系统中存在一类非线性扰动,这类非线性扰动具有多周期性的性质,并具有周期不变性。为抑制多周期非线性扰动对系统的影响,在系统满足连续里普希斯条件时,得出位置多周期非线性扰动转化为时间多周期非线性扰动的条件。提出一种迭代学习控制方法,通过系统误差收敛性分析来构造学习算子,利用系统的稳态误差信号构成前馈补偿,得出补偿多轴测旋转机械体周期非线性扰动的条件,并证明了算法稳定性。仿真表明,该方法能有效地补偿系统的多周期性非线性扰动,提高多轴旋转机械体系统的控制精度,具有较高的实用价值。 病理切片远程会诊中图像拼接技术的研究 研究图像拼接技术优化问题,是当前图像处理领域的研究热点。由于技术原因,在拼接时会造成误配。目前有利用全局优化的拼接方法进行修正的,理论上比较合理,但在速度和效果方面,尤其是精度要求比较高的场合还不理想。为解决上述问题,提出一种新方法,根据图片拼接时位置之间的相关性进行修正。提出拼接参数的相关公式,分析并提出修正简化规律。最后对十六张病理切片拼接图中误配部分进行修正。实验证明,算法可以将误差控制在一个像素以内,取得良好的效果。证明方法适用于对拼接精度要求高的应用领域,有较高的实用价值。 面向无线传感器网络的分层路由信任模型 针对无线传感器网络内部不能有效地检测出恶意节点攻击所引发的安全问题,提出一种面向无线传感器网络分层路由的信任模型。该模型能发现来自网络内部攻击的恶意节点并将其排除,提高了无线传感器网络的安全性能。实验结果表明,与TLEACH协议相比,在恶意节点攻击时,该模型的敏感性提高了5%,信任值幅度增加了10%。 安全迁移支持向量机 "迁移学习方法是一种新的机器学习框架,它将源领域数据通过学习迁移到相似的目标领域中,减弱了对已标记数据的依赖。但迁移学习方法中一个重大问题是使用目标领域数据与源领域数据得到的分类器很可能比仅利用目标领域数据得到的分类器的效果更差,从而造成一种""负迁移""现象。针对此问题,提出一种基于目标领域已标记数据知识的安全控制机制,并通过结合近年出现的一种迁移学习分类器(TL-SVM)提出了一种安全迁移支持向量机(SATL-SVM),从理论上解决了TL-SVM的负迁移问题,在人工数据集和真实数据集上的实验结果表明了所提方法的有效性。" 雷达有源诱饵系统对抗ARM仿真研究 "针对雷达有源诈骗技术,为减小易损性,用有源诱饵对抗反辐射导弹是一种有效的方法,然而现实的对抗效果与攻击方式、雷达与诱饵的部署是密切相关的。为解决实际问题,采用计算机仿真进行研究。针对雷达与双诱饵所构成的""三源系统""对抗反辐射导弹的动态过程,在三维空间里建立了反辐射导弹接收信号、瞄准点、临界位置、运行轨迹以及弹着点等数学模型。根据战术背景设置了仿真条件,对反辐射导弹在各种分辨角的情况下、以任意方位及不同角度的攻击三源系统进行了仿真实验。结果表明,雷达与诱饵之间存在着一个可确保安全的距离范围,可给""哈姆""反辐射导弹提供有效布站距离参考。" 基于异构感知静态调度与动态线程迁移的异构多核调度机制 异构多核处理器体系结构可以有效减少功效开销,是处理器发展的趋势,负载不平衡问题会造成处理器执行的不稳定。提出一种基于异构感知的静态调度和动态线程迁移相结合的异构多核调度机制,解决了不同核之间的负载平衡问题,提高了吞吐量。仿真实验通过将此调度机制与静态调度策略(SS)比较,表明该机制提高了异构多核处理器的性能并保证了执行过程的稳定性。 基于人计算的小鼠行为识别 已有的计算机视觉自动分析系统很难准确识别小鼠行为,因此普遍采用专家对大量的视频图像进行行为标注的方法获得真实值,但专家标注存在一定的主观误判。针对上述问题,提出将人计算思想应用于小鼠行为识别中,让人辅助计算机识别小鼠行为。首先利用人在视觉感知等方面的优势,以及网络的分众、协同性,把人作为分布式个体处理单元,将小鼠行为视频按帧分配给网络上的人,同一帧图像由若干人进行行为分类;然后计算机系统对所有有效的分类结果进行统计、分析和处理,实现对视频图像序列的行为分类。实验表明,该方法在有限代价下,能够有效地提高小鼠行为的正确识别率。 一种高斯-马尔科夫模型下的车辆位置管理策略 在车载自组网VANET中,节点的高移动性使得网络的拓扑结构频繁变化。随着节点数目的增加,网络的开销迅速增大,直接影响到网络的可扩展性。为此,将位置管理的策略引入VANET网络中,以达到减少网络开销的目的。在高斯-马尔科夫移动模型的基础下,提出了一种新的位置管理策略,实现车辆在低开销下的可靠通信。通过仿真比较,验证其在性能上具有更好的可扩展性。 基于可信计算的匿名通信系统方案研究 匿名通信系统在互联网中得到广泛应用,在保障通信安全和用户隐私方面发挥了重要作用,然而也存在被恶意用户隐藏保护自身信息、逃避安全部门对其网络调查的隐患。针对这一问题,提出在匿名通信系统中引入可信计算进行改进的方案。改进后的系统中参与通信的各节点首先以可信计算直接匿名认证方法进行身份认证,然后通信发起方通过可信度量的方式逐步将可信匿名链路扩展至目标节点,通信过程中匿名通信链上每个节点发送给下一节点时运用签密以防止攻击者对数据包的篡改,还运用恶意行为评估机制以使接收方能发现恶意用户的攻击行为。安全性分析表明,改进后的系统在保持匿名性的同时,还具有可信性和更高的安全性,解决了匿名通信系统的安全隐患;同时模拟分析表明,引入改进的直接匿名认证和签密技术是可行的,在足够安全强度下它们的计算效率对系统的延时影响很小。 基于动态二进制翻译技术的仿真器研究 以动态二进制仿真器QEMU为平台,分析动态二进制翻译技术在仿真器开发中的应用,研究QEMU的翻译机制、优化策略、关键技术,并对相关重要代码进行解析。对仿真CPU的性能进行测试,结合分阶段的测试结果,从中找出制约仿真CPU性能的关键阶段,为后续的优化工作提供参考依据。 无线网络中通信用户目标节点定位仿真研究 对无线网络中通信用户目标节点的定位,能有效提升无线网络的运行质量。对通信用户目标节点的定位,需要将定位后的未知节点充当为信标节点,计算出目标节点通信半径,完成目标节点的定位。传统方法将环境噪声引入到接收能量的计算中,得出未知节点的两个估计位置,但忽略了计算出目标节点通信半径,导致定位精度偏低。提出基于改进RSSI的无线网络中通信用户目标节点定位算法。上述算法先通过无线信号强度计算出节点间RSSI值,给出移动信标节点的规划目标,从候选信标节点集合中搜索出最佳信标节点进行位置估计,将角色转换机制引入到对无线网络中通信用户目标节点定位过程中,将定位后的未知节点充当为信标节点,计算出目标节点通信半径,完成对无线网络中通信用户目标节点定位。仿真证明,所提算法节点定位精确度较高,为提升无线网络的运行质量奠定了基础。 时间差分相位平滑伪距定位技术分析研究 实时导航应用中,一般意义上的精密单点定位技术由于IGS精度星历的延后性限制了其应用。研究了实时精密单点定位技术,利用精度较高的时间差分载波相位值对低精度的伪距进行平滑,在伪距单点模型和相位伪距组合定位模型的基础上,推导了相位平滑伪距数学模型及其计算方法,并分析了电离层误差及对流层误差修正模型的影响。最后结合所编写的相位平滑伪距定位软件进行了实测数据导航定位精度测试,得到理想的定位结果,验证了推导的数学模型及其计算方法确实有效可行。模型及其计算方法对实时精密单点定位的应用具有很好的工程价值。 一种基于多基表示的标量乘扩展算法 标量乘是椭圆曲线密码体制操作中最耗时、最主要的工作,所以椭圆曲线密码体制的快速实现问题的关键归结为椭圆曲线标量乘法的计算.为了提高椭圆曲线密码的效率,在已有的二进制域椭圆曲线标量乘算法的基础上,结合扩展系数集和半点运算方法,提出一种新的形如d(1/2)a3b5c7z的标量k的多基表示算法和相应的多基标量乘算法.在美国国家标准技术研究所推荐的椭圆曲线NIST B-163、NIST B-233和NIST B-283上进行实验,结果表明,新算法以增加少量预计算存储为代价,有效降低了算法复杂度,提高了标量乘算法的效率,使标量乘法的运算更高效.相比于相关工作,提高了约14.4%~41.4%.因此,新算法可以较好地应用到智能卡等计算资源、存储资源受限的领域中. 改进的粒子群算法在非线性方程求根中的应用 在关于算法的研究中,针对粒子群算法局部搜索能力差,在真实解附近收敛速度慢,并求解精度不高和传统的数值解法只有当迭代初值在真实解附近时较快,为解决上述问题,提出了一种改进的粒子群算法。算法从优化的角度求解代数方程和超越方程,首先利用粒子群算法进行大范围搜索,为拟牛顿法提供一个好的初始点,然后使用拟牛顿法进行精细搜索,从而找到方程较高精度的根。数值仿真表明,算法有极好的稳定性、较高的收敛速度和精度,有效地克服了粒子群算法后期搜索效率低的缺点。 多步过程挖掘在工作流重构中的应用研究 针对工作流实例偏离预定义模型的实际情况,提出一种基于工作流日志的多步过程挖掘方法,从而实现工作流重构。基于工作流日志构建马尔可夫转移矩阵,定义关系挖掘规则分析过程中的逻辑关系,设计过程挖掘算法建立活动间的实际结构关系,以某电梯企业标梯合同签订流程为例验证方法的可行性和适用性。 Word文档中的图片向数据库转换方法的实现 从一个Word文档实例入手,分析Word文档的组成、VBA中对象的应用区间以及Delphi对Word的支持,并设计一种Word文档中的图片信息向SQL Server数据库进行转换的实现方法,通过这种方法可以借助于程序实现自动阅读Word中的信息,将Word文档中的图片信息提取出来存于相应的数据库文件中。验证表明,在办公自动化过程中,用自动转换方法来处理一些包含图片信息的Word文档向数据库的转换是行之有效的方法,可以实现快速、高效的信息转换,极大地提高工作效率。 多个模指数运算的安全外包方案 模指数运算是公钥密码体制中的基本运算,但造价昂贵。可验证外包计算将用户的计算代价转移给服务器,能大大提高用户的计算效率,且能有效验证服务器的计算结果。针对多个模指数运算的外包方案进行了研究,利用指数之间的相互隐藏,提出了新的两个模指数运算外包方案,并推广到多个模指数运算。方案基于两个服务器,实现了模指数运算底数和指数的隐私性,并且用户的可验证概率接近于1。与已有方案相比,所提方案同时提高了用户的计算效率和外包结果的可验证概率。理论分析和仿真实验均表明,所提方案极大地降低了用户的计算代价。 一种自适应参数的切换回归聚类算法 自模糊c回归模型(FCRM)聚类算法提出以来,其在收敛速度和鲁棒性等方面的改进一直是研究的热点。为此,M.S.Yang等提出模糊c回归模型α(FCRMα)算法,该算法引入参数α,对FCRM算法进行了快速迭代,提高了算法的鲁棒性。然而该算法存在参数α选值的问题。针对这种情况,基于相似关系理论提出一种自适应的α参数取值方法,得到了自适应迭代过程的SAFCRM算法。多个实验表明,相对于FCRMα算法,SAFCRM算法具有更强的鲁棒性,收敛速度更快,得到的回归效果也更好。 向量空间模型中结合句法的文本表示研究 为增强向量空间模型(VSM)中项的语义描述性,克服VSM中各语义单元相互独立的缺陷,提出一种基于短语的特征粒度描述方法。该方法从文本的表示及特征项之间的组织方式入手,通过句法规则识别基本短语,构建特征与中心动词的关系树,利用基本短语代替BOW中的词。实验结果表明,采用基本短语的文本表示可提高分类的性能,增加项之间的联系,克服特征项相互独立的缺陷,在特征数量较少的情况下仍能保持良好的分类效果。 电子元件焊接坏点红外图像检测仿真研究 由于电子元件焊接坏点红外图像与正常焊点特征不同,焊接坏点特征中与外部信息的特征很容易发生混淆,造成坏点中的图像特征很难与所在背景分离,有此产生相似性干扰。传统的计算机视觉检测方法,在滤除图像噪声时受到干扰影响会使坏点图像边缘弱化,不能有效识别坏点特征。为提高焊接坏点检测的准确性,提出采用红外图像分析的电子元件焊接坏点检测方法,首先对电子元件红外图像利用改进区域生长法进行图像分割,然后采用中值滤波法消除焊点图像的随机噪声并引用拉普拉斯算子对焊点图像边缘部分进行锐化处理,最后对电子元件的焊点进行模式识别以完成焊接坏点的检测。仿真结果表明,改进方法能够有效检测出电子元件的焊接坏点,检测效率有了显著提高。 复杂条件下MHT方法的滤波器选择 米波波段雷达,譬如超视距雷达,面临空间复杂的电磁环境,低检测概率、低数据率、低观测精度与多虚警等问题。由于空间的限制,米波雷达不能安装较多天线阵子,因此DBF所形成的波束宽度可能达到十几度到几十度,测角精度很差。对于这种条件下的跟踪,多假设算法是比较适合的。在应用MHT方法的前提下,对不同的滤波器进行仿真,结果表明,用线性补偿滤波器(LCKF)的多假设算法具有较好的性能。 基于随机游走的大容量固态硬盘磨损均衡算法 基于闪存的大容量固态硬盘(SSD)能够在未来取代磁盘.它有很多优点,包括非易失性、低能耗、抗震性强等.然而,基于NAND闪存的存储块自身存在有限的擦除重写次数的问题一直影响着它的广泛应用.当闪存芯片达到擦除重写的限制次数后,存储块上的数据就会变得不可靠.目前研究者们已经提出了一些磨损均衡算法来解决这个问题.但当固态硬盘的存储容量不断增大后,这些算法需要越来越多的内存容量来保证运行.文中提出一种基于随机游走的磨损均衡算法来应用在大容量的固态硬盘上,该算法能够很大程度地减少内存消耗.实验表明所需内存容量仅为BET算法的15.6%,与此同时磨损均衡的性能并没有降低. SIFT和改进的RANSAC算法在图像配准中的应用 在机器人视觉系统中运用SIFT描述子对现实世界中的目标进行识别,这一研究已经取得了很大的进步。运用SIFT生成的图像特征向量的性能十分稳定,对旋转、缩放、平移是保持不变性的,对一定程度目标遮挡、光照变化、视点变化、杂物场景和噪声等也能保持很好的不变性。RANSAC算法早就已经是计算机视觉领域常用的一个进行矫正的标准方法,在标准的RANSAC算法基础上加入了假设评价,改进为R-RANSAC(The Randomized RANSAC)算法。对这两个方面进行论述,运用SIFT(尺度不变特征变换)算法对双目机器人的两幅视觉图像进行匹配,采用带SPRT的R-RANSAC改进算法对匹配过程进行优化,尽可能在短的时间里完成匹配矫正,进而加速整个配准的时间。 三维虚拟火电厂参数化模型库的实现 为提高火电厂三维虚拟建模的效率,结合火电厂设备的特点,提出基于Pro/E二次开发技术Pro/Toolkit的参数化设计流程和方法。对三维虚拟火电厂的架构进行认知,明确火电厂应用领域参数化建模的要求;以Pro/E软件为开发工具,通过分析比较其二次开发工具的优劣,选择Pro/Toolkit技术来完成开发设计;在此基础上设计三维虚拟火电厂常用设备组件的参数化模型库,使用VC++编程语言实现友好、高效的交互界面,以电力变压器为实例阐述基于Pro/toolkit二次开发技术的参数化设计过程。该方法解决了采用三维实体造型技术来进行三维建模时重复建模、工作量大、效率低下等问题,提高了建模效率,降低了建模难度,节约了建模成本。 基于并行Flash的USB3.0中扰码-解扰码器的设计与实现 USB3.0是一种由Intel和Microsoft公司发起创立的接口规范,它以USB2.0作为基础实现了接口技术的进一步发展。数据的加扰与解扰是通信系统中的重要环节[2],在USB3.0系统中的链路层与物理层也需要用到加解扰技术来实现数据的准时、正确传送与接收[3]。论文按照USB3.0协议的要求,在扰码与解扰码的原理基础上,提出一种基于并行flash来快速高效实现扰码与解扰码的技术。整个设计经仿真和综合后能够在FPGA开发板上实现,结果表明所设计出来的扰码-解码器不仅满足USB3.0数据通信协议要求,还具备快速、高效、低复杂度和高可靠性的特点,使其具有一定的工程实际应用价值。 基于四叉树分割的连续LOD漫游地形绘制 针对大规模地形数据访问量大、场景渲染消耗内存大、实时渲染效率低的问题,提出了一种基于四叉树分割的连续LOD(层次细节)地形绘制方案,实现了多分辨率地形的快速绘制。视见体裁剪算法判断次数少,并结合四叉树分割过程,快速地对地形数据进行裁剪。采用与视点和地形粗糙度相关的分割评价系统,在预处理阶段对地形粗糙度误差进行计算,提升了地形实时绘制的速度;同时对分割标志位按位存储,使得内存占有率大幅减少。通过分割低分辨率节点边的方式,消除了节点间裂缝。算法运行效果良好,在普通PC机上即可达到较高的帧频率和较好的漫游效果。 飞艇电动舵机的研究与仿真分析 研究飞艇电动舵机稳定性控制问题,针对飞艇研制工程可靠性的需求,为保证飞艇空中按轨迹稳定飞行,提出采用飞艇电动舵机方案。根据电动舵机系统的指标和负载情况,选择直流无刷电机和光电编码器作为电动舵机的功率元件和位置传感器,建立了完整的电动舵机系统。为了不受外部扰动影响,采用了滑模控制理论,并利用Matlab平台对滑模控制系统进行了计算机建模仿真。结果证明给出了位置伺服系统在各种情况下稳态工作波形。仿真结果说明建立的舵机研究模型是可信的,响应完全符合电动舵机的特性,可以作为飞艇电动舵机的系统设计提供依据。 基于GPU的GRAPES数值预报系统中RRTM模块的并行化研究 GRAPES(Global and Regional Assimilation and Prediction System)是由中国气象科学研究院自主研究开发的中国新一代数值天气预报系统,由于其处理的数据量非常庞大以及对实时性的要求较高,因此一直是并行计算领域研究的热点。首次运用GPU(图形处理器)通用计算及CUDA技术对GRAPES_Meso模式中物理过程的RRTM(快速辐射传输模式)长波辐射模块进行并行化处理。在性能分析的基础上,针对GPU体系结构的特点,从代码优化、存储器优化、编译选项等方面对程序性能进行优化,并取得了14X倍的加速比。经过测试表明,长波辐射RRTM模块在GPU上并行计算过程正确、稳定而且有效,并为GRAPES系统未来在GPU平台上的并行化发展奠定了一定的基础。 无线多跳网络性能与安全性测试平台 无线多跳网络的分布式工作特点和无线传播介质的特性导致其面临着严峻的性能和安全性挑战,而为弥补以往研究过分依赖仿真分析的不足,基于路由代数与统一路由模型,设计并实现了多种设备的试验测试平台(testbed for high-level analysis of wireless ad-hoc routing design,TH-award).该平台采用模块化架构设计了协议库、参数库和测试库,便于用户扩展无线路由协议,有效实现在同一平台上对协议性能与安全性的综合测试,保证了测试平台的可扩展性与兼容性;能以仿真、测试、试验等不同应用模式实现其测试功能,具有良好的适用性与开放性;平台具有分布式管理架构、路由测试引擎等相关设计,能有效实现配置管理、运行分析的自动化,具有很高的可管理性.基于该平台,实现了多种路由协议的快速设置与部署,基于多种场景测试验证了平台的有效性,该平台为研究各种无线路由协议的性能及安全性提供了一种重要手段. 应用GPU集群加速计算蛋白质分子场 针对生物化学计算中采用量子化学理论计算蛋白质分子场所带来的巨大计算量的问题,搭建起一个GPU集群系统,用来加速计算基于量子化学的蛋白质分子场.该系统采用消息传递并行编程环境(MPI)连接集群各结点,以开放多线程OpenMP编程标准作为多核CPU编程环境,以CUDA语言作为GPU编程环境,提出并实现了集群系统结点中GPU和多核CPU协同计算的并行加速架构优化设计.在保持较高计算精度的前提下,结合MPI,OpenMP和CUDA混合编程模式,大大提高了系统的计算性能,并对不同体系和规模的蛋白质分子场模拟进行了计算分析.与相应的CPU集群、GPU单机和CPU单机计算方法对比,该GPU集群大幅度地提高了高分辨率复杂蛋白质分子场模拟的计算效率,比CPU集群的平均计算加速比提高了7.5倍. 多电压SoC引线压焊供电引脚分配及电源网络拓扑优化 针对较大电压降易导致电压岛内电路宏模块供电不足,引起电路失效的问题,基于引线压焊技术封装芯片,提出一种面向多电压技术的电压岛供电引脚分配及电源网络拓扑优化方法.首先根据电压岛的物理布图信息,采用弹簧模型确定电压岛供电引脚位置实现电压降优化;然后通过建立稠密的虚拟电源网络,利用增量式方法完成电源网络的拓扑优化.通过对GSRC标准电路测试的实验结果表明,与固定供电引脚方法相比,文中提出的供电引脚分配方法平均降低电压降26.1%;而电源网络拓扑优化方法产生的非规则网络,使得电源网络布线面积较规则电源网络的布线面积平均降低84.5%. 基于AUML的BDI Agent软件测试用例生成算法 根据面向BDI Agent的软件特点,采用基于模型的软件测试方法。利用树形结构对BDI的运行过程进行分析,确定Agent个体的运行轨迹;对AUML模型中的序列图进行分析,做Agent间的交互测试。将序列图(sequence diagramSD)中的消息进行提取,确定MAS中每个Agent所要处理的消息事件集合,依据消息集合生成目标树,遍历目标规划树确定测试路径;依据测试路径生成测试用例。实验结果验证,该方法可以基于AUML序列图生成完备的测试用例。 一种新型无线传感网络中继功率分配方案 文中针对的是无线传感网中,传感器节点处于瑞利衰落信道中,系统的模型是两跳型AF中继模型,并且在总功率受限的情况下,研究如何通过功率分配以获得最大的瞬时输出信噪比(SNR),以及如何通过设置中继的位置,以获得最小的误符号率(SER)的问题。通过运用数学的最优化分析法给出了最优功率分配的数学闭合解,并通过Matlab仿真得出最佳中继位置应为两用户连线位置上的中点。结果表明采用最优功率分配方案和最佳的中继位置,系统可以获得最大的SNR和最小的SER。 复杂环境下高架公路关键性风险预测方法 针对当前高架公路风险预测方法存在的预测准确率低和耗时长的问题,提出基于蒙特卡罗的复杂环境下高架公路关键性风险预测方法。利用数据检测器对高架公路进行数据采集,获得高架公路行驶的轨迹和运动波。由行驶的轨迹和运动波分析关键性风险发生的条件,并给出高架公路发生风险的等级。通过发生风险的等级计算出发生风险的因子,并建立蒙特卡罗关键性风险预测模型,实现高架公路关键性风险预测。实验结果表明,所提方法有效提高了预测准确率和时间效率。 Youtube移动端加密视频传输模式快速识别 近年来,用户对视频业务的需求飞速增长.Youtube作为当今最受欢迎的互联网供应商之一,拥有超过一亿用户,人们每天在Youtube上观看超过数百万小时时长的视频,其中半数访问来源于移动终端.通过研究自适应传输模式的策略、中间人攻击进行明文密文比对,我们发现即使是在加密情况下,只需提取视频前两条流的少量数据包的四个特征,便能快速、准确识别出来自不同手机终端及手机浏览器访问Youtube的视频传输模式.本文使用的A-I-P-FP方法,能够快速识别来自移动端youtube加密流的视频传输模式,准确率超过90%. 基于声誉机制的传感器网络节点安全定位算法 针对传感器网络中的节点安全定位问题,提出一种基于声誉机制的安全定位算法。算法引入信标节点的相互监督机制,使用Beta分布来表示更新和整合信标节点的声誉值,通过簇头节点来收集并判断哪些信标节点是信誉值高的可信节点,并应用声誉模型来排除提供错误定位信息的恶意信标节点,提高了恶意信标节点的检测率,减少了定位误差,提高了定位系统的鲁棒性;通过仿真实验详细分析了定位算法的有效性和鲁棒性,所提算法适用于分布式传感器网络节点实现自身定位,且在定位精确性和安全性方面都有很大提升。 Top-hat和Gaborfilter在肺结节ROI自动检测中的应用研究 在自动诊断大量带有病变区域的CT图像时,计算机辅助诊断起着重要的作用。提出了一种自动检测肺结节感兴趣区域的方法。对肺实质进行分割;利用Top-hat滤波提取包含血管和结节在内的初始感兴趣区域;用Gaborfilter对图像进行第二次处理;对图像进行比对,从而得到更为精确的疑似结节的病灶区域。实验证明该方法能准确完整地提取出感兴趣区域。 MA建模的概率混成自动机转换方法研究 信息物理融合系统(CPS)是物联网进一步发展的产物,CPS将物理过程与信息计算过程紧密联系,是一种复杂的混合系统,Modelica与AADL是适用于信息物理融合系统(CPS)的嵌入式系统体系结构建模语言。利用Modelica对物理系统部分建模,AADL对信息系统部分建模,将信息物理系统的建模分割开来,设计了Modelica-AADL接口将二者结合起来,使得物理世界与计算过程相融合。概率混成自动机是对信息物理融合系统验证分析的有效形式化建模方式,Modelia-AADL模型无法进行直接的形式化模型检测工作,根据Modelica与AADL行为附件各自的特点,抽象出状态,定义了一系列规则,给出转换算法,完成了MA模型向概率混成自动机的转换,使得系统模型能够形式化描述,有助于信息物理融合系统的可靠性与安全性验证。 一种手持可遮挡的增强现实彩色标志 基于标志的跟踪注册技术是当前增强现实系统中最成熟和最接近实际应用的注册技术。该注册技术是将一些已知空间相对位置的人工标志放置在需要注册的真实场景中,利用摄像机跟踪识别标志。以手部康复训练为背景,提出了一种特殊的近景手持彩色标志及其识别方法。标志采用海明码编码技术编/解码,不仅扩充了标志的编码量,还能够利用海明码的奇偶校验方法解决由于手部无意遮挡引起的跟踪注册失败问题。实验结果表明,该彩色标志在多种环境下都能够实现稳定注册,具有一定的鲁棒性。为验证彩色标志的实用性,提出一个基于手部运动的增强现实桌面击球游戏,拥有较好的注册效果。彩色标志的提出将有助于增强现实手部康复训练项目的研究。 基于小波分析方法的多路胎心率检测系统的研究 胎心率监护是围产期胎儿监护的一项重要内容,超声多普勒测量胎心率是最常用的无创方法;考虑到胎心监护系统采集数据量大、实时性要求高,且针对胎心多普勒信号具有信噪比低,非平稳等随机性等特点,文中采用CPLD和DSP技术相结合,控制A/D转换器对6路胎心多普勒信号进行采样和通信,并利用coif5小波结合双重阈值算法处理胎心音数据的多路胎心率检测系统;临床实验表明,通过6层小波分解可提取得到8Hz以下的低频信号,即为胎儿的心跳信号,胎心率测量精度可达到1bpm;该系统具有较高的检测精度、较好的实时性和广泛的临床应用性。 基于量子秘密共享的盲签名方案 提出了一种基于量子秘密共享的盲签名方案。其中量子秘密共享中用到了Bell纠缠和诱骗光子;盲签名使用的是异或操作和Hash函数。Bell纠缠是纠缠态中最简单的纠缠,而异或操作也是简单易操作;诱骗光子和Hash函数保证了安全性,将这些结合的本方案简单安全易实现,同时还保证了信息的盲性、签名消息的不可否认和不可伪造性。 局部信息熵的快速混合测地区域活动轮廓模型 针对变分水平集算法在图像分割过程中计算量较大且收敛速度慢的现象,在前人研究的基础上提出了一种新的局部信息熵的混合测地区域活动轮廓模型。该模型构造一个新的能量泛函,在泛函中引入柔化核函数作为窗口核函数,构造一个新的符号压力函数来代替测地线边缘检测函数,并以局部信息熵作为图像拟合能量项的权重,通过非凸正则化项来约束水平集函数。由此得到的算法不仅能加快轮廓曲线的收敛速度,而且可以处理那些由于光照或其他外界因素的变化产生的灰度不均匀或者模糊的图像,提高分割的精确性。将算法在合成图像和真实图像上做仿真实验,实验结果表明,该算法具有较快的收敛速度,分割也较准确,同时对轮廓曲线的初始位置不敏感,具有很好的鲁棒性。 基于无线传感器网络的安全网络运行环境构建 无线传感器网络被广泛应用到了军事和民用领域,安全问题始终是无线传感器网络需要突破的重要瓶颈,目前安全机制主要针对单一的攻击行为或针对攻击的某个阶段进行防护,没有形成一套完整的计算环境的安全保护机制。针对上述问题,提出了一种无线传感器网络安全运行环境构建方案,充分考虑无线传感器节点的计算能力及能耗,静态度量和动态度量相结合,主、被动相结合保障无线传感器网络组网和运行过程中计算环境的安全。仿真实验表明,该方案可以有效识别恶意节点,能耗较低,能够确保少量节点遭到攻击时全网仍能正常运转。 基于矩阵分数范数的人脸识别方法 近年来,混合的分数矩阵范数l2,p(0<p≤1)在高维数据处理的特征选取中有很好的表现,其基本思想是利用了欧氏范数l2的光滑性和分数范数lp(0<p≤1)的稀疏性。大量实验数据表明,混合分数矩阵范数l2,p(0<p<1)不仅比传统的向量范数l1具有更好的联合稀疏性,对噪声的抗干扰性也更强。文中依据人脸数据的稀疏结构,建立基于混合矩阵范数l2,p(0<p≤1)极小化的特征选取模型,结合最近邻识别方法,提出了一类新的鲁棒人脸分类方法。在多个人脸数据集上的实验结果表明,基于分数矩阵范数的新模型比传统的人脸识别方法有更好的特征选择及分类效果。 局部遮挡条件下的人脸表情识别 针对局部遮挡条件下的人脸表情识别,提出一种新的基于Gabor滤波和灰度共生矩阵的表情识别算法。首先设计一种分块提取Gabor特征统计量的方法,生成一个低维Gabor特征向量;然后,考虑到分块的Gabor特征缺失了像素之间的关联性,将反映像素间位置分布特性的灰度共生矩阵引入到表情识别领域,以此来弥补Gabor特征分块处理产生的不足;最后,将提取的低维Gabor特征向量和灰度共生矩阵纹理特征进行线性叠加,高斯归一化后生成一组用于特征表达的低维特征向量。在日本女性人脸表情库和荷兰内梅亨大学人脸数据库上的实验证明该算法对人脸不同区域、不同程度遮挡的表情识别具有鲁棒性强、特征向量维数低、分类耗时短、识别速率高的特点。 IEEE1149.7标准两线星型扫描格式研究 针对目前现代测试系统集成度越来越高,IEEE 1149.7标准提出了两线星型扫描拓扑的测试方法以满足需要较少的引脚来实现边界扫描的现状.以IEEE 1149.7标准为依据,在深入研究该标准的基础上,利用Quartus II仿真开发平台设计了基于该标准的两线星型扫描控制器,并进行了仿真验证.结果表明测试控制器能够产生符合标准要求的Mscan扫描格式及Oscan扫描格式两线星型扫描测试信号. 基于粒计算与粗糙集的人工鱼群聚类算法 针对标准鱼群算法易受到初始鱼群随机性的影响,后期收敛速度减慢,处理边界数据能力低,聚类精度低等缺点,提出了基于粒计算与粗糙集的人工鱼群聚类算法。算法引入粒计算理论,并依据粒密度和最大最小距离积法选择初始化人工鱼群避免算法易受随机性的影响;通过结合粗糙集的决策系统和属性约简,提高算法解决边界数据的能力;采用类内紧致性和类间分离度的原则设计适应度函数,并将其作为算法的终止判断条件。实验结果表明:该算法提高了聚类精度,增强了获取全局极值的能力,具有良好的聚类效果。 基于分形缝隙的短波突发信号暂态特征提取 对信号的暂态特征提取有利于通信电台的个体识别。针对分形维数在特征提取中存在的非普适性问题,提出了基于分形缝隙的短波突发信号暂态特征提取方法,该方法以幅度值为尺度计算缝隙值作为暂态特征,与分形维数相比,可以更有效地描述信号的暂态特性。在高斯白噪声和短波信道下进行了仿真分析,实验结果验证了缝隙值作为暂态特征的有效性。 面向关键结构的装配体检索方法 针对装配体难以分类检索的问题,提出一种面向关键结构的装配体检索方法。利用装配确定性划分装配体,提出联接结构、运动副结构和装配体结构的概念。根据装配体结构可以包含更基本装配体结构,将装配体结构进一步分解为基本装配体结构和装配体结构单元。讨论了装配体结构单元的定义、分类、分解和判别方法。以装配体结构和装配体结构单元作为装配体的关键结构,以装配体装配结构模型作为评价装配体关键结构相似性的特征,借鉴文本信息检索方法建立关键结构的倒排索引,通过关键结构布尔模型检索装配体,提出中心零部件、拓扑分层模型子结构的概念,以及装配体结构、装配体结构单元标引算法,实现了结构单元的计算机自动识别和高效标引,并以阀杆组件为例验证了方法的有效性。 基于优先规则的空间资源约束项目调度算法 任务组占用空间资源项目调度问题需满足组内任务的序关系和人力、设备等常规资源约束,以及空间资源这一特殊资源的约束,同时任务组之间也需满足上述约束,使得该调度问题异常复杂。以船舶建造分段制造问题为背景,建立任务组占用空间资源受限的项目调度问题数学模型,基于并行调度生成方案提出基于优先规则的启发式调度算法,实现对该调度问题的综合求解。实例测试结果表明了该算法的正解性和有效性。 高维主存kNN连接索引结构的核心算法 kNN(k最近邻)连接是高维数据库中的一种重要但代价昂贵的基本操作。随着RAM容量越来越大且价格逐渐低廉,更多的数据集能够被装入主存。如何实现快速主存kNN连接,引起人们的关注。索引Δ-tree-R和-Δtree-S是根据kNN连接的特点专门为主存kNN连接设计的索引。结合编码、节点中心重合技术,给出了构建Δ-tree-R和-Δtree-S的核心算法及相关证明,实验表明,基于该索引的主存kNN连接算法-Δtree-KNN-Join明显优于目前已存在的可用于主存的kNN连接算法Gorder。 基于边移除的智能电网级联故障鲁棒性分析 针对智能电网中连锁级联故障的问题,从复杂网络的角度,提出一种基于电网拓扑结构和物理特性的连续级联故障仿真模型。根据电网中线路的有功潮流值将电网抽象为加权网络,并在不同的移除策略下进行动态仿真模拟级联故障的发生,采用网络效率作为衡量网络整体性能的指标。实验结果表明,边权越大,移除边对电网鲁棒性的影响越大,但移除网络中某条边或某几条边,会导致网络的鲁棒性大幅下降,随着耐受性参数的增大,网络的鲁棒性增强,并趋于稳定。 基于机器学习的microRNA预测方法研究进展 传统的克隆方法受组织和环境影响显著,且实验成本高,而计算方法中的比较方法对进化距离远的microRNA敏感性低,无法预测无同源的microRNA,机器学习方法解决了比较方法依赖同源基因的问题。首先总结了基于机器学习预测microRNA的相关生物学知识;其次,给出基于机器学习的microRNA预测方法的大体流程,列举了基于机器学习的microRNA预测方法的最新研究算法及软件;再次,从数据集选取、特征集选取、分类器设计、特征子集选择、类不平衡问题解决和评价标准等环节出发,归纳总结了各环节中采用的方法及技术,并详细阐述了它们的最新研究进展,部分环节对采用的方法及技术进行了对比分析,总结了各自的优势和不足;最后,总结和展望了基于机器学习的microRNA预测方法的研究工作。 RDP码存储系统节点故障恢复的读盘优化 实际的分布式存储系统面临着频繁的磁盘故障。为了保障数据可靠性,纠删码被广泛地部署在大规模存储系统中。在基于纠删码的存储系统中,快速有效地修复故障磁盘上的数据对于维护数据可靠性有重要意义。研究最重要的容两错纠删码——RDP(Row-diagonal parity)编码的磁盘故障修复问题,优化修复过程中磁盘访问的连续性。提出的单磁盘故障修复方案在保证读取数据量最小的前提下,最大程度避免了磁盘数据的随机读取,保持数据读取的连续性。通过在实际的分布式存储系统中实验,验证了该修复方案的实际性能,证实该算法可以很好地改善混合修复方案的随机读取引起的修复速度下降问题,最终提高了修复效率。 基于用户期望符合度的数控机床质量评价及控制策略 针对数控机床质量定量评价困难的问题,提出一种基于用户期望符合度函数的数控机床质量评价方法。该模型以数控机床的质量特性为基础建立质量评价体系,引入用户期望分布描述产品质量的具体意义,并利用马氏距离计算用户期望分布与产品实际质量特性分布的差异,建立了相应的质量损失函数。根据该质量损失函数,分别计算各质量特性的质量损失,得到相应的用户期望符合度向量,判断当前产品各质量特性参数对用户群体期望的满足情况。在此基础上,计算各质量提升措施的效用费用比,指导开展相应的质量提升工作。实例表明,该方法符合工程实际,且具有较强的实用价值。 无人水面艇模型辨识及其航向非线性控制的研究 无人水面艇是一种智能化海洋装备平台,有航速快、机动性强、自动化程度高等特点,可以执行各种危险以及不适合人员参与的任务;航向控制不仅关乎到航行的安全性与经济性,更是实现其无人行驶的基础;为了实现航向的自动控制,首先进行无人艇模型辨识,模型是控制的基础,控制效果的好坏不仅与控制策略有关,更与模型的精度有关;为了提高模型精度,采集Z型和回转实验以数据,通过递推最小二乘对无人艇的数学模型进行辨识;然后将模型的仿真实验与实船数据进行对比,验证了模型的正确性和合理性;基于Backstepping方法设计非线性航向控制器,借助Lyapunov函数证明了闭环系统的稳定性;仿真结果表明系统的实际航向能实时跟踪设定航向,控制器具有良好的动静态特性和鲁棒性。 基于CC3200的设备电源远程控制系统设计 针对工业设备电源接入无线网络实现远程监测与控制的要求,设计了一款基于CC3200的数据实时监测和远程控制系统,并给出了硬件和软件设计方案;系统采用CC3200内嵌的应用MCU对电源进行信息采集和控制,采集的数据通过内嵌的Wi-Fi网络处理器无线传递到本地服务器并存入SQL数据库中,而来自终端的控制命令通过Wi-Fi处理器接收并送给应用MCU处理;实验结果表明系统运行稳定可靠,灵活高效,具有良好的应用前景。 中国航天科工集团公司科技期刊联合征订 [正文]《计算机仿真》是由中国航天科工集团公司主管,北京控制与电子技术研究所主办,《计算机仿真》杂志社编辑出版发行的中国计算机用户协会会刊。旨在促进我国仿真技术交流和发展,既总结过去、探索基础理论,又跟踪发展前沿,重点报导仿真应用成果。内容包括仿真对象建模、仿真算法研究、仿真软件开发、仿真系统的设计与实现、仿真培训系统的研制、连 基于多信道无线传输的旋翼载荷测试技术研究 直升机旋翼系统载荷和强度飞行试验是对真实大气环境中旋翼系统应力载荷谱的研究,它提供的真实数据是理论计算所不能提供的;因此旋翼系统载荷试飞是直升机设计定型试飞中极其重要的项目;针对直升机旋翼系统载荷测试技术需求,采用模块化、冗余度和高集成的设计理念,通过多信道无线传输设计等技术,将采集的动态载荷数据调制、发射与解调,实现了多通道、高带宽和精同步的旋翼系统载荷数据采集与监控;该技术对于直升机旋翼系统载荷试飞中遇到的类似问题具有一定的借鉴意义。 基于精细化梯度的传感器网络节点距离测量 定位在无线传感器网络中具有极其重要的作用,而距离测量往往是定位的前提。寻求低成本、低开销、高精度的分布式传感器网络节点距离测量算法是本文的主要目的。根据无线传感器网络最小跳数梯度场中节点精细化梯度值的分布特征,提出了一种基于精细化梯度的传感器网络节点距离测量方法DV-FGI。与DV-hop算法相比,DV-FGI保留了DV-hop算法低成本、低开销的优点,具有更高的测量精度,并将节点距离测量分辨率从节点有效通信半径提高至网络节点间距。理论分析及仿真结果表明,该算法在节点密集分布的无线传感器网络中具有很好的效果。 五轴侧铣加工空间刀具半径补偿算法的研究 根据五轴数控侧铣加工主要依靠刀轴矢量姿态变化来完成的特点,对侧铣加工中的刀具半径补偿问题进行研究,提出了一种补偿方法.该方法应用在工件坐标系下,与具体的机床类型无关,充分考虑刀轴矢量可变的各种加工情形.算法包括一个加工块内的半径补偿、拐角类型的判别公式、内拐角和外拐角处的过渡处理方法.文中对补偿方法进行了误差理论分析,并结合加工实例在matlab中进行了仿真实验,实验结果显示补偿算法能够满足系统加工的精度要求. 数字通信系统天线接收性能优化设计 在数字通信系统天线接收信号精度优化设计研究中,针对传统的虚拟阵列天线波束形成算法存在的零陷较浅和栅瓣过高的问题,提出了一种基于改进对角加载算法与奇异值分解相结合的波束形成新方法。首先利用原始的虚拟协方差矩阵确定对角加载值,构造新的虚拟协方差矩阵,然后通过奇异值算法(SVD)分解重构后的虚拟协方差矩阵得到奇异值;最后构造新的权值用以后续的波束形成,方法克服了因小特征值扰动而引起的栅瓣过高和零陷较浅的问题。仿真结果表明,上述算法有效的扩展了阵列孔径,在干扰方向能够形成较深的零陷,具有较好的鲁棒性。 一种基于建构主义的多Agent网络教学系统模型 目前的网络教学系统还面临着许多问题:缺乏先进学习理论的指导;动态交互功能不强;智能性较低;缺乏有效的引导等,目前,随着心理学家对人娄学习过程中认知规律研究的不断深入,认知学习理论的一个的新的分支——建构主义学习理论逐渐成为主流,以建构主义学习理论作为指导思想,并引用智能Agent技术,构建了一个基于建构主义的多Agent网络教学系统模型。 基于立体视觉的平面圆参数高精度测量算法 针对目前平面圆的圆心和半径测量方法存在的不足,提出一种基于立体视觉的平面圆参数高精度测量方法。该方法首先根据边缘分组算法和二次曲线椭圆拟合判别算法提取双目图像中的椭圆特征;其次利用分段立体匹配算法获取平面圆特征上部分点的三维坐标;最后通过三维平面拟合、坐标转换、平面圆拟合以及坐标反变换获取平面圆的圆心和半径参数。实验结果表明,该方法有效地减小了平面圆透视投影引起的畸变误差,提高了平面圆参数的测量精度。 机场设备维修合理规划布局仿真研究 对机场设备的维修进行合理规划布局,能够更好的提升对机场设备的高效管理和维护。对设备维修的规划,需要通过二维向量表述设备的连续空间位置,设定设备合理规划的适应度函数,完成设备维修的合理规划。传统方法先给出机场设备布局的状态空间,从而得到所有可行解的集合,但忽略了设定规划的适应度函数,导致设备维修规划精度偏低。提出基于粒子群的机场设备布局合理规划方法。融合于表面离散化的思想获取设备使用的稳定性指标,得到设备布局稳定性判断准则,获取衡量设备布局的性能指标,融合于粒子群思想理论,求解面向机场设备布局的连续空间优化问题,采用二维向量表述设备的连续空间位置,引入系统化布置设计法对初始粒子群进行优化,设定机场设备布局合理规划的适应度函数,以此为依据完成对机场设备布局合理规划。仿真证明,所提方法规划精度高,可以为确保机场设备高效、安全运转提供了可行的依据。 基于IEEE 802.16的接纳控制机制 分析IEEE 802.16的QoS机制,针对其没有详细规定接纳控制算法的情况,结合协议中定义的业务类型QoS特性,在信令交互机制下,提出一种基于优先级的动态带宽分配接纳控制机制。仿真结果表明,与预留带宽的固定带宽分配机制相比,采用该机制后,系统的切换连接掉线率、新增连接的阻塞率和带宽利用率得到改善。 一种基于均值更新的分类模型 最小距离分类法和最近邻分类法是最简单、快速、有效的分类方法,但对噪声较敏感,对于训练样本很少或训练样本偏离类中心较远时,分类效果较差。针对这一问题,提出了基于均值更新(MU)的分类模型,通过不断扩大训练样本并更新均值中心来改善对测试数据的分类效果;并在此基础上提出了基于均值更新的最小距离(MU-MD)分类模型,利用MU的分类结果重新计算各类的均值,然后采用最小距离法对所有测试样本重新进行划分,以确定最终的类别归属,这样可以部分纠正MU分类过程中的错分,进一步提高分类效果。 基于粗糙几何的脱机手写体汉字预处理 传统的图像预处理方法未能预防汉字书写带来的伪特征点(断点、伪交叉点),利用粗糙几何理论对汉字图像进行粗糙化,克服了以上缺点,同时减少图像大小,提高手写汉字识别速度。 高清电子内窥镜系统低时延视频处理设计 针对高清电子内窥镜视频处理系统的高实时性要求,提出一种基于TILE-Gx36多核处理器的高清电子内窥镜系统低时延视频处理设计方案。将内窥镜的视频处理任务按流水线的处理方式划分为视频采集、视频处理和视频送显,并利用多核处理器的并行处理能力,从视频采集、视频处理2个环节入手,采用减少视频采集等待时间的方法来减少采集延时,通过优化插值算法和实现多任务负载均衡的并行处理来提高视频处理环节的效率。实验结果表明,该方案的实时视频处理延时在19.4ms以内,达到了医用电子内窥镜领域的较高水平。 工作流程中人员合作任务的调度优化模型仿真 多人合作的大型工作任务进行调度,可以极大提高工作效率。大型企业的工作任务往往需要由多人次反复合作完成。人员之间的工作流程本身充满了利益矛盾,且矛盾呈现多维度,多链条的关系。传统的调度算法都是以单线路、单个人员的工作任务最优为目标,应用到多人次的过程中时,会由于矛盾过多,使模型不收敛,容易造成调度效率低,人力资源调配不合理。提出采用改进粒子群算法的工作流程中多人员合作任务的调度优化模型。对多人次、多任务建立优化目标,根据粒子群间的协作,对多人次调度过程进行求解,求得的接运用校验过程进行最优化试错,根据最终结果获取调度模型的最优解,得到工作流程中人员合作任务的最佳调度方法。实验结果表明,利用改进粒子群算法进行工作流程中人员合作任务调度优化处理,能够提高调度效率,提高资源利用率。 无线传感器网络中位数查询抽样算法研究 提出一种基于无线传感器网络的中位数查询抽样算法SAMQ。在SAMQ中,网络中各节点将分布式产生各自的样本集,然后将样本集聚集传递后汇集到根节点形成全网的样本集,最后使用这个远小于全网数据集规模的、可用于代表全网数据集结构的样本集,迅速获得中位数查询的近似结果,从而无需将各传感器节点的所有数据都传输至根节点,同时采用了共享无线通道的方式进行通信,减少了网络数据丢包。理论分析和实验结果显示该算法功耗低、误差较小,能有效地延长网络的生命周期。 一种相容决策表的属性值与属性约简方法 针对相容决策表,另辟一种数据约简思路,与传统数据约简方法不同的是,本文方法考虑属性值约简与属性约简之间的关联,该方法首先进行属性值约简,然后再进行属性约简,本文并给予了充分的证明,而普通的方法并没有考虑它们之间的联系,并有许多冗余的比较操作。 用于社团发现的Girvan-Newman改进算法 为了克服Girvan-Newman算法运行效率的不足,提出了一个基于modularity极值近似的社团发现算法MEA。该算法采用modularity增量作为社团结构的度量,使用贪心策略获得最优社团分划的近似解。通过理论分析,并在实际的数据集上进行实验验证,结果表明MEA算法是快速、有效的。 基于XACML的网格授权服务的设计与实现 为更好地解决网格环境下分布式跨域授权问题、增强授权功能的可扩展性和可复用性,构建了基于可扩展访问控制标记语言(extensible access control markup language,XACML)规范的网格授权框架。在该框架的基础上,依照Web服务资源框架和Web服务通知规范,设计实现了基于XACML策略引擎的网格授权服务。将复杂的模块交互调用封装在授权框架内,通过简单易用的服务接口实现域间互操作时的权限分配。实现结果表明,该框架更加灵活,适用于动态、异构的网格环境。 LBM在多核并行编程模型中的应用 LBGK(Lattice Bhatnagar-Gross-Krook)模型不仅是LBM(Lattice Boltzmann Method)理论及应用上的新突破,而且是一种非常新颖的数值计算方法,适合大规模并行计算。多线程并行编程接口库(Multi-Thread Interface,MTI)充分利用多核处理器的资源来提升计算的性能,为在多核环境下方便地开发高效的并行程序提供了一个接口,大大地减轻了开发人员的负担。MTI提供了使用cache块技术划分数据集实现单任务数据并行计算,以及采用任务密取调度策略实现多任务并行处理。应用MTI实现了LBGK模型模拟斑图形成的并行计算,并获得了较高的并行效率。 近邻搜索在多孔材料格点模型建模中的应用 大规模多孔材料格点模型系统的计算机模拟的运行效率通常严重受制于初始构型的体积大小。为了提高多孔材料格点模型建模的效率,一种基于分治策略的近邻搜索方法被提出。该建模方法将格点系统的局部空间以分治的方式划分为不相交的区域进行近邻搜索,能够高效地区分出格点系统中多孔材料的母体区域和孔隙区域。利用分治策略近邻搜索的方法构建多孔材料格点系统初始构型的时间复杂度正比于构型的体积,用该方法建模可极大地减小计算机模拟大规模多孔材料格点系统的运行时间。 生活服务领域垂直搜索引擎的设计与实现 根据生活服务领域网页信息的特点,提出一种面向生活服务领域的垂直搜索引擎模型,给出该模型在信息采集、信息抽取、索引建立和信息检索4个功能模块的具体算法及实现方式。实际应用表明,该模型搜索效果良好,提供HTML和WML 2种方式的用户界面,已经成功覆盖全国近40个城市的餐饮、娱乐和黄页信息。 Web服务组合评分分配方法 为了根据组件服务的实际和历史表现公平地将用户对组合服务的评分分配到各组件服务,首先利用层次分析法(AHP)来计算各个组件服务的分配权重,其中给出Web服务流程转换为结构树流程的方法,并利用权重矩阵计算树状结构中各层节点的权重。通过考虑组件服务服务质量实际观察值和公告的有效区间之间的关系,并以偏差函数计算了组件服务的实际服务质量(QoS)效用值与全部组件服务的实际QoS平均效用值的偏差比重,同时考虑了各组件服务的历史表现对评分分配的影响。实验结果表明,组件服务的实际观察值以及历史表现对分配到的评分有一定的影响,从而验证了评分分配方法的合理性和公平性。 OAuth2.0协议形式化验证:使用AVISPA OAuth协议是一套用于在不同的服务中进行身份认证并且实现资源互访一套协议.由于关系到用户隐私,所以OAuth协议的安全性非常重要.这篇文章的主要贡献是研究OAuth2.0协议文本,对协议进行抽象,并且使用验证工具AVISPA对抽象后的协议进行建模与验证,找到协议中会导致隐私泄露的一种攻击模式.我们在建模过程中提出需将要验证的消息作为双方的对称密码这样一种创新思路.这种对协议的抽象和验证的方法可以推广到其他安全协议上,例如在线支付协议等等. 基于模型驱动元数据管理策略的研究 目前,元数据管理存在这样的问题,各开发商使用不同的元模型来设计自己的数据仓库工具,而且对元数据的描述往往不一致,这样给数据集成带来很大的问题。使用一种基于模型驱动的方式,将元数据描述规范化,并运用基于模型驱动的公共仓库模型CWM(Common Warehouse Metamodel)来实现这一过程。该模型可以充分发挥软件的复用性,使系统有良好的通用性,从而提高系统开发效率。 基于DEXEL和离散点阵法的数控加工仿真研究 本文提出了基于DEXEL模型实现数控铣削过程中毛坯体的实时建模方法,减少了内存存储空间,支持观察视角的变化和仿真结果的缩放;根据三轴数控铣床加工的特点,将刀具扫描体看成离散刀位点之间的单个扫描体的组合,采用离散点阵法对刀具扫描体建模。使用局部搜索算法,用其搜索发生切削关系的点并动态更新仿真工件的高度值。在VC++6.0和OpenGL环境下编程实现了此方法,实例表明此方法提高了数控铣削仿真的效率。 氯代次甲基与臭氧反应机理的理论研究 臭氧层损耗是人类面临的重要环境问题之一。平流层中氟氯烃类化合物受紫外线光解所产生的氯代次甲基会消耗臭氧。为了弄清该自由基消耗臭氧的机制,用量子化学计算的方法详细地研究了CCI+O3反应在二重态势能面上的反应机理。本文在B3LYP/6-311 G(d,p)水平上优化了反应物、中间体、过渡态和产物的几何构型,得到了相应的振动频率和能量值,并在相同水平上用内禀反应坐标计算方法确认了过渡态和中间体之间的联系,理清了该反应详细的路径。研究发现CCI+O3反应有5种产物通道:CICO+O2、CO2+Cl+O、CO2+CIO、CO+O2+CI和OCO2+Cl,通过对各反应路径上的驻点的能量分析得出CICO+O2是主要的通道,CO2+Cl+O、CO2+CIO和OCO2+Cl是次要通道,通道CO+O2+Cl在动力学上是最少的。本研究工作将为控制氯代次甲基对臭氧层的破坏提供理论依据。 H.264/SVC的RTP封装算法及其应用 可伸缩视频编码(Scalable Video Coding,SVC)一般采用实时传输协议(Real-time Transport Protocol,RTP)保证视频数据流的实时传输和质量监测。在分析SVC码流结构和RTP协议的基础上实现了H.264/SVC视频数据的RTP封装算法,提出基本层与增强层分离的方法用于模拟可伸缩视频流在模拟测试环境中的传输,提出基于RTP封装的差错隐藏方法解决质量增强层数据丢失问题。实验结果证明了封装算法的有效性、标准兼容性和可扩展性。 基于参数融合的Q学习交通信号控制方法 传统Q学习交通控制方法经常因城市道路交通流的随机性和不确定性而产生维数问题,导致控制系统的学习效率和响应速度降低。针对该问题进行分析,提出了一种基于Q学习的改进路口交通信号自适应控制方法。其中,采用模糊技术与Q学习算法相结合的控制策略,改进了Q学习的奖惩机制;同时在Q学习中引入基于经验的状态划分对状态空间进行了优化,并通过建立交通参数融合函数的方式在保持多参数评价交通状态的前提下降低了状态空间存储及更新的复杂度;针对不定周期Q学习配时方案状态空间过于庞大的问题,给出基于相位的绿灯配时方案,从而最终达到对交通流的实时响应控制。使用仿真软件对控制方法进行了仿真研究,仿真结果表明该方法的控制效果优于传统控制。 一种基于进化的电路网络建模方法 针对电路设计繁冗、复杂及周期长等特点,提出了一种基于进化的电路网络建模方法,该方法把电路网络建模过程转变为电路元器件选择和元件值确定的搜索优化过程.利用遗传程序设计进化电路网络的结构,对元器件和元件值相互影响的复杂解空间进行全局寻优,实现电路网络的进化. 一种全景立体摄像装置的设计与实现 基于双曲面折反射镜的全方位视觉传感器(ODVS)具有采集速度快、制造成本低、固定单视点成像等特点,已逐渐成为新一代全景图像获取的重要装置;文中利用4台具有相同成像参数的ODVS在同一水平面上以人眼间距对称配置,从4个不同视角实时采集水平360°范围内的全景立体图像;实验结果表明,文中设计的全景立体摄像装置在10m范围内摄取的全景3D图像富有立体感,具有成本低廉、操作简便、便于空间几何计算、符合人眼视觉习惯等诸多优点,具备较为广泛的应用前景。 足球机器人路径规划算法的研究及其仿真 研究足球机器人路径规划优化问题,足球机器人由于赛场情况千变万化,系统本身存在非线性,环境也具有时变性特点,要求机器人相互协作实时性要求高。结合足球机器人系统特点,提出一种蚁群算法的足球机器人路径规划算法。把每一只蚂蚁看作是一个机器人,蚂蚁根据信息素调整自己的前进方向,通过蚂蚁间的信息交流和相互协作快速找到一条最短的机器人运行无碰撞的路径。采用算法进行测试,结果表明,用蚁群算法较好地克服了局部最优的缺陷,获得最优路径,且无碰撞现象,符合足球机器人路径规划的实时性要求。 基于K均值聚类算法的图书商品推荐仿真系统 研究推荐仿真系统是电子商务个性化服务中的重要技术,基于内容的推荐和协同过滤是推荐系统的重要方法。在实际应用中存在着特征提取困难、计算量大的难题。为了准确提取信息,增加可信度,提出了一种基于K均值聚类和关联规则的推荐方法。可以根据每个用户的购买记录采用改进的K均值算法进行客户细分,将具有相似购买倾向的用户划分为一类;对每个类的用户的购买记录进行关联规则挖掘,建立个性化知识库。依据个性化知识库和用户的购买记录,生成推荐结果。以某电子商务网站中的图书商品为例进行了仿真实验。仿真结果表明,方法具有较高的运算效率而且推荐结果具有合理性和准确性。 基于规则引擎的生产调度系统设计 在制造企业,存在大量的业务规则,导致生产调度系统难以实施。业务规则的频繁变化使生产调度系统的维护变得力不从心。基于规则引擎知识,设计和描述一个生产调度系统,成功实现业务规则与主体程序的分离,提高了系统的灵活性和适应性。 加权投票采样学习在用户信用评级中的应用 以委员会投票查询算法为基础,提出在采样过程中动态修正分类器成员权值的加权投票方法。在对无标签样本标注价值评估中,该方法能够强化高精度分类器成员的查询贡献,降低高误差成员的投票影响,减少机器训练过程中的标注学习次数。通过在UCI的Statlog(Australian Credit Approval)数据集上对用户信用度级别进行识别,并比较于其他采样方法,证明该方法能够用较小的采样标注代价获取稳定的泛化精度。 基于视觉物联网的暴恐人员快速定位追踪仿真 在大范围暴恐人员定位过程中,暴恐份子带有逃逸、遮挡、伪装的过程,给视觉定位过程带来定位区域难以划分的问题,传统混沌粒子群的暴恐人员定位方法,需要对定位区域有明确的限制,由于控制区域无法准确划分,对暴恐人员无法获取准确的区域。导致定位结果失真。提出基于视觉物联网的暴恐人员快速定位追踪方法,分析了视觉物联网的暴恐人员监控平台的图像,通过计算机视觉技术采集所有可能为暴恐人员的图像信息,根据暴恐人员身体特征同其所在类均值间的误差,采用迭代运算使误差平方和最小化,实现全部循环即获取全部暴恐人员区域准确信息,依据图像向量分辨出不同暴恐人员的面部特征,使用学习集与模糊均值聚类方法识别暴恐人员的面部特征,完成对暴恐人员定位追踪。实验表明,所提方法可准确获取暴恐人员的位置信息,具有较高的定位精度。 支持用户偏好与数据流行度的ICN缓存管理机制 作为ICN(Information Centric Networking,信息中心型网络)的主要特征之一,网内缓存在改善网络性能方面起着重要作用,如何合理地利用有限的缓存空间存放数据对象的副本信息,降低网络流量开销,成为ICN网络缓存管理的重点.考虑到用户对不同数据对象的偏好不同及数据对象的流行度的概念,提出一种ICN环境下的缓存管理机制.该机制通过对数据对象语义信息的分析,将数据对象划分为不同类型,根据用户对不同数据类型的偏好不同解决数据对象副本的放置问题,基于数据对象的流行度与数据对象的访问率之间的关系,设计了相应的流行度调节方案,解决缓存空间的有限性带来的缓存替换问题.仿真结果表明该机制在提高本地缓存命中率,减轻网络流量开销方面是可行和有效的. 高温超导磁体冷却系统LabVIEW测试平台架构 高温超导储能磁体应用在电力系统中,可以极大地改善电能质量,是电力工业中正在研究的一项重大技术革新;为了研究高温超导磁体在交变电流运行下的性能特征,完善的磁体冷却系统是必不可少的;设计了基于LabVIEW开发环境的高温超导磁体冷却系统测试平台;该测试平台由操作台控制系统和程控仪器测试系统两部分组成,具有实验参数采集、分析、显示、数据存储,历史数据曲线查询以及数据打印等功能。经过在系统运行测试,可以达到平台设计要求,完成自动化控制和数据采集、处理的各项要求。 虚拟视角自适应的视线矫正方法 针对远程视频会议中眼神缺失引起的沉浸感不足问题,提出一种普适化的基于虚拟视角的视线矫正方法.首先设计了一个能自适应用户不同位置的虚拟坐标系及基于此虚拟坐标系的几何模型,通过将实际坐标系下的三维点云数据转换到虚拟坐标系下并重投影到二维虚拟成像平面,能够达到矫正头部和视线的效果;在此几何模型下可自标定摄像机在虚拟坐标系中的位置,避免了用户参与虚拟摄像机模型中外参数的标定过程.实验结果表明,该方法可自适应设备的位置,矫正之后的图像能取得眼神直视的效果. 体操运动员平衡木平衡技能优化训练研究仿真 对平体操运动员平衡木平衡技能进行优化训练,可以提高运动员的下肢平衡性,提高比赛成绩。进行平衡技能训练时,应提取平衡木训练前后的运动员下肢平衡能力特征,组建运动员下肢平衡能力影响评价模型,计算下肢平衡指数判断阈值,利用阈值完成优化训练,但是传统方法通过分析运动员下肢平衡的变化趋势完成训练,但是不能对下肢平衡特征进行提取,无法获取平衡影响模型和平衡指数判断阈值,降低了技能训练的效果。提出一种基于博弈理论的平体操运动员平衡木平衡技能优化训练方法。该方法先以运动员最大肌肉力量为依据,得到平衡木训练前后实际下肢角度位置与目标位置之间的差值,从生理学反射机制角度给出不同频率平衡木训练下运动员下肢平衡评价数据,提取平衡木训练前后的运动员下肢平衡能力特征,融合于特征选取方法筛选出各分类器中最佳的影响特征空间,依据Markov博弈理论组建平衡木训练对运动员下肢平衡能力影响评价模型,获取耐力疲劳指数判断阈值,利用阈值完成平衡木训练对运动员下肢平衡能力影响分析。仿真结果表明,所提方法统计精确度高,体操运动员平衡木平衡技能优化训练评价以及运动员选材提供科学依据和有价值的理论参考。 关联变量分组的分解多目标进化算法研究 "含有大规模决策变量的优化问题是当前多目标进化算法领域中的研究热点和难点之一。在解决大规模变量问题时,目前的进化算法并没有寻找决策变量之间的关联信息,而都只是将所有变量视为一个整体来进行优化。但随着优化问题中决策变量的增多,""变量维度""成为瓶颈,从而影响算法的性能。针对上述问题,提出关联变量分组策略,通过识别决策变量间内在的关联信息把关联变量分配到同组中,将复杂高维变量的优化问题分解为简单低维的子问题来求解。该策略通过增加关联变量分配到同组中的概率来使算法尽可能地保留变量之间的关联性,减少分组后子问题间的依赖性,从而提高子问题最优解的质量并最终获得最佳的Pareto最优解集。将该算法在标准测试函数上进行变量扩展后再进行仿真对比实验,采用性能指标对算法的收敛性和多样性进行对比分析。实验结果表明,该算法在解决大规模变量的多目标优化问题中,随着决策变量维度的增加,比经典的多目标进化算法NSGA-II、MOEA/D以及RVEA具有更佳的收敛和更好的分布性能,所求得的Pareto解集质量更高。" 航天卫星遥测数据管理与应用系统设计过程控制方法研究 过程控制技术应用于航天器地面系统开发过程已成为航天领域研究的热点问题;介绍了基于航天卫星遥测数据管理与应用系统的设计过程,研究了航天卫星遥测数据管理与应用系统设计过程的基本框架,分析了系统结构,以模块化设计的理念搭建了遥测数据管理系统的设计过程,给出了各模块系统的定义和功能结构,分析了系统模块的通信协议,最后对系统设计过程的基线确定和基线变更给出了统一的管理过程设计。 ARIMA模型在网络流量预测中的应用研究 针对网络运行安全和可靠的要求,研究网络流量预测问题。网络流量具有高度自相似、时变性和非线性等时间序列特征,传统预测方法无法捕捉其时变性和自相似规律,导致预测精度比较低。为了提高网络流量的预测精度,在分析网络流量特征的基础上,提出一种基于ARIMA模型的网络流量预测方法。先采用差分法对网络流量原始数据平稳化处理,提取网络流量数据的自相似特征,然后将平稳后的数据利用能很好反映时变性和非线性的ARIMA模型对进行拟合和检验,建立网络流量的最优预测模型,最后根据获得最优预测模型对网络流量实例数据进行仿真预测。仿真结果表明,ARIMA模型的网络流量预测精度比其它预测模型要高,能够很好的反映网络流量的规律,在网络流量预测中有广泛应用前景。 一种弱硬实时约束规范(■,p~1) 当弱硬实时系统约束规范中μ-patterns首个字符为0时,弱硬实时约束规范(■,p)就失去作用。针对该问题,通过改变截止期满足率的计算方法,提出一个弱硬实时约束规范(■,p 1),在保持(■,p)优点的同时,扩大了其使用范围,并通过偏序证明来保证其优良性能。 正交多小波变换常模判决反馈盲均衡器 针对常模判决反馈均衡器收敛慢的缺点,在对常规的基于平衡正交多小波变换的常模判决反馈盲均衡器(MWT-CMA-DFE)进行分析的基础上,设计了一种新的基于平衡正交多小波变换的判决反馈盲均衡器(NEW-MWT-CMA-DFE)。该均衡器通过对判决器的输出信号进行归一化正交多小波变换来加快收敛。仿真结果表明:对信道频率响应变化比较平滑的信道,与CMA-DFE和MWT-CMA-DFE相比,NEW-MWT-CMA-DFE的收敛快且稳态误差小;对信道频率响应起伏大的信道,NEW-MWT-CMA-DFE和MWT-CMA-DFE性能基本一致,而比CMA-DFE收敛快且稳态误差小。 一种多链式结构的3D-SIC过硅通孔(TSV)容错方案 三维(3-Dimensional,3D)电路由于其更高的密度、更高的传输速率及低功耗的优点逐渐受到人们的重视和研究,而硅通孔(Through Silicon Via,TSV)技术是三维电路中互联上下层不同模块的主要方法之一。然而由于制造工艺水平的限制,在芯片制作完成后会出现一些失效TSV,这些失效TSV会导致由其互联的模块失效甚至整个芯片的失效。提出了一种多链式的硅通孔容错方案,通过将多个TSV划分为一个TSV链,多个TSV链复用冗余TSV的方法修复失效TSV。通过相关实验显示,该方案在整体修复率达到90%以上的情况下可以较大地减少冗余TSV增加的个数和面积开销。 牙X线特征图像边缘曲线提取的MATLAB实现 以X口腔线龋齿边缘图像为例,给出其边缘描述及曲线平滑处理的MATLAB函数。在边缘图像边沿平滑处理后,采用了简单的点扫描方式描述边缘函数tocurve(g,h,w),其中引入了边缘线宽度约定参数w,以保证生成函数f比较准确;采用了适当改进的移动均值法,编制的平滑函数smooth_r(x,span,n)在对原函数头尾数据截断处理后,再按指定循环次数,进行了循环平滑处理。通过实验可知,采用上述函数处理医疗牙X线特征图像算法简洁,实用性强。 一种高效的门限部分盲签名方案 现有的门限签名方案使用一些低效的MapToPoint哈希函数,难以避免因多次使用哈希函数而带来的安全性危害。为此,将门限签名和部分盲签名相结合,提出一种新的基于双线性对的门限部分盲签名方案。分析结果表明,该方案使用高效的普通哈希函数,可提高执行效率,具有满足门限签名和部分盲签名的优点。 基于IVHFWHM算子的犹豫关联多属性决策方法 针对决策属性为区间犹豫模糊数(IVHFN)且属性间相互关联的多属性决策(MADM)问题,提出一种基于区间犹豫模糊加权Heronian平均(IVHFWHM)算子的新型决策方法。同时基于IVHFN运算法则和Heronian平均(HM)算子,提出了区间犹豫模糊Heronian平均(IVHFHM)算子和IVHFWHM算子。研究了IVHFHM算子的置换不变性、幂等性、单调性、有界性和参数对称性等性质。建立基于IVHFWHM算子的多属性决策模型,通过MADM数值实验验证了模型的可行性与有效性。 基于Agent的自主构件模型设计与评估 为适应复杂环境和业务需求的变化,自适应软件开发要求为系统及其构成成分提供新的抽象和建模手段。自主构件能够感知并依据环境的变化自动地做出决策。采用自主构件开发复杂软件系统的主要目的是有效降低软件开发和维护的复杂性。在分析自适应软件实体功能和特征的基础上,提出一种基于智能体(Agent)的自主构件模型,该自主构件模型能动态感知环境的变化,合理封装自适应逻辑,依据策略规则进行决策,并根据目标导向,自动规划执行行为的序列。评估结果表明,该模型为建模与开发复杂自适应化软件提供了有效的底层支持。 MWSN中能量有效的拓扑控制算法 由于在移动无线传感器网络中很难对电池进行充电或者更换,因此节省能量消耗成为无线传感器网络中的一个重要问题。采用一种运动模型,借助中继区和类GeRaF方法给出一个拓扑控制算法。理论分析与仿真结果表明,该算法可以节省更多的能量,是一个能量有效的拓扑控制算法。 基于可配置规则的BOM视图转换方法 在确保物料清单(bill of material,BOM)多视图之间产品数据一致性和完整性的前提下,为实现BOM视图转换技术能够满足企业业务规则的个性化需求并适应企业业务规则可能发生的改变,提出了基于可配置规则的BOM视图转换方法。在分析企业中各种BOM视图之间关系的基础上,建立了BOM视图及其转换过程的基础模型,给出了装配转换规则的结构定义及其配置方法,通过实际案例介绍了该方法在BOM视图转换过程中的应用,表明了该方法能够满足企业业务规则的个性化需求并适应企业业务规则的变化。 一种改进的非局部均值图像去噪算法 非局部均值滤波算法(Non-Local Means,NLM)有良好的去噪效果,且能保持图像细节。但其复杂度过高引起效率低下,在噪声增大时去噪精度明显下降。快速非局部均值滤波(Fast Non-Local Means,FNLM)虽然提高了算法的效率,但去噪效果没有明显改善,在噪声增大时去噪效果仍不理想。针对该问题,提出一种新的非局部均值滤波算法,算法将Turky型函数与指数型相结合,提出一种新的指数-Turky型权值核函数,替代原NLM算法和FNLM算法中的指数型核函数,同时综合了结构相似性(Structural Similarity,SSIM)和欧氏距离来衡量图像邻域间的相似性,从而使得权值的选取更加合理,有效排除图像中不相似邻域的干扰,提高了算法的去噪性能。通过对添加不同噪声水平的高斯噪声图像进行实验,结果表明提出的算法在去噪性能上与NLM和FNLM相比有较大提高,尤其对于噪声较大的图像效果更为显著,在去噪效率上与NLM相比有明显提高,与FNLM算法的时间复杂度相当,时耗接近略有降低。 面向专利机器翻译的要素句蜕识别和转换研究 为了改善专利机器翻译中要素句蜕的翻译效果,提出了一种基于规则的要素句蜕识别和转换方法。通过分析汉语要素句蜕的格式,提取了汉语要素句蜕的描述特征,在此基础上制定了要素句蜕的识别规则。通过对比汉英要素句蜕,总结了两者的差异,在此基础上制定了汉英要素句蜕的转换规则。最后,将识别规则和转换规则应用到一个已有的机器翻译系统中。测试结果表明,这种方法可以有效地实现对要素句蜕的识别和转换,进而提高了专利文本中要素句蜕的机器翻译效果。 一种基于Chrome扩展程序的网络数据采集方法 采集大量的网络数据可以为相关的科学研究提供重要的数据基础。针对科研工作者无法方便灵活地采集针对性网络数据的现状,提出基于Chrome扩展程序的网络数据采集方法,从网页中析取数据,或通过Ajax技术从网页服务器端直接读取结构化的数据,并对采集到的数据进行集中处理和存储。最终的执行效果表明,该方法可以突破动态网页技术的限制,无需处理复杂的用户登录逻辑,并可支持多用户场景下的分布式网络数据采集。 降低线性分组码网格复杂度的研究 首先介绍线性分组码的传统网格和BCJR传统网格,然后给出一种新的降低线性分组码网格复杂度方法——校验矩阵置换法。该方法是通过置换校验矩阵列向量的适当位置,从而使网格图的状态复杂度降低。最后给出方法证明、算法思想、具体的算法描述和实验结论等。 核协同近邻表示的人脸识别算法 协同近邻表示分类算法将协同表示和线性保持嵌入算法结合,在处于欧式空间的训练样本中寻找未知样本的最近邻表示基,但协同近邻表示属于线性算法,很难利用样本间的非线性关系.核局部Fisher判别分析的核局部投影空间能够保持样本的最小类内离散度和最大类间离散度,使同类样本更容易聚集到一起,核方法的非线性投影将样本投影到高维的核空间中,改变样本的空间分布,使得输入空间中线性不可分的特征向量在核空间中线性可分.本文利用核方法在解决非线性问题时的优势,对协同近邻表示算法进行两点改进:1)在核局部投影空间中定义新的度量方法,寻找未知样本的最近邻表示基,提出基于核局部投影度量的协同近邻表示算法. 2)将所有样本投影到核空间,在核空间中构造协同近邻表示,提出基于核方法的协同近邻表示算法.在ORL、AR及Extended Yale B等人脸库上的测试结果证明改进算法的有效性. 小波变换在电能质量信号去噪中的研究 研究电能质量信号去噪问题,针对电能质量信号在采集过程含有大量的噪声,给电能质量分析带来困难,因此要对电能质量信号进行去噪处理。传统去噪方法不能同时解决去噪和突变点信息保留之间矛盾的问题,导致去除噪声的同时损失了更多的原始信号信息。为了改善电能质量信号的去噪效果,提出了一种改进的小波软阈值电能质量信号去噪算法。采用融合软、硬阈值法的不同特点,通过自适应调整参数方式获得最优的小波系数的阈值,使得改进的阈值函数适应性更强,有效克服了采用软阈值法过渡光滑导致信号失真,而采用硬阈值法去噪效果不佳的缺陷。仿真结果表明,算法补传统软阈值算法的缺陷,该方法消除信号中的噪声效果和还能保留突变点的信息能力都优于传统的去噪方法,为消噪设计提供参考。 基于DM6467T的音视频采集模块设计 针对高度集成的DaVinci数字媒体处理器应用,提出一种高性能嵌入式音视频采集模块设计方法。采用TMS320DM6467T作为核心处理单元,结合图像编、解码驱动芯片TVP5150、SII9125、ADV7342,实现视频的多路输入输出。通过对电压、电流、温度的实时监控,并辅助机内自检的方法,实现模块的健康管理功能。模块设计遵循3U CPCI规范、功能接口丰富、便于后天加固,经实验证明,该模块可满足车载移动环境中对终端设备的高性能、高可靠、高安全应用要求。 基于交换树的多机器人任务协调与负荷平衡方法 针对多机器人任务分工与协调过程中,未能有效解决的带任务偏序关系的负荷平衡问题,提出一种基于交换树的多机器人任务协调与负荷平衡方法。首先,通过有向赋权图(约束图)对带偏序关系约束的多机器人任务分工问题进行描述;其次,根据有向赋权图提出了初始任务分工策略,通过改进Dijkstra算法解决多机器人之间任务协调问题;最后,提出负荷平衡策略,通过交换树竞拍的方法解决机器人之间任务负荷不平衡问题。仿真结果表明,与一般Dijkstra方法相比,执行完任务负荷平衡策略之后,工作效率明显提高了12%,机器人之间的任务负荷差也减少了30%,验证了该方法的有效性。 遗传优化支持向量机在电力负荷预测中的应用 研究电力负荷准确预测问题,电力负荷与影响因子之间呈现复杂非线性关系,传统预测方法无法刻画其变化规律,预测精度低。为提高电力负荷预测精度,提出一种采用遗传优化支持向量机的电力负荷预测模型。采用最小二乘支持向量机的非线性逼近能力去描述电力负荷与影响因子间的复杂非线性关系,并采用自适应遗传算法优化最小二乘支持向量机的参数。采用某省1990~2008年电力负荷数据仿真测试,结果表明,遗传优化支持向量机提高了电力负荷的预测精度,预测平均误差低于其它对比模型,电力负荷预测提供了一种新的研究思路和途径。 柔性交流输电控制器故障数据定位研究 对柔性交流输电控制器中故障数据进行定位,可以提高系统的控制精度。在故障数据定位时,存在大量多次运行时收集的海量测试数据,有效利用海量数据,从中发现和故障有关的信息,可以有效地实现故障数据定位。传统方法通过设置断点运行程序进行定位挖掘,对故障数据进行排查时只利用了一次失败运行中的动态执行信息,忽略了大量测试用例多次运行时收集的海量测试数据,导致故障数据定位与挖掘的精度低,效率低。提出采用动态并行挖掘算法的柔性交流输电控制器中故障数据定位方法。利用聚类算法进行聚类,获得故障数据的数量型属性,建立故障数据的定位模型,将初始的样本数据集合转换为具有柔性交流输电控制器属性的新数据集合,并将集合中全部数据分配到各个进程中,最终实现了故障数据的准确定位与挖掘。仿真结果表明,改进算法能够提高柔性交流输电控制器中故障数据定位的精度与效率,具有较好的应用价值。 基于相变存储器的存储系统与技术综述 "随着处理器和存储器之间性能差距的不断增大,""存储墙""问题日益突出,但传统DRAM器件的集成度已接近极限,能耗问题也已成为瓶颈,如何设计扎实有效的存储架构解决存储墙问题已成为必须面对的挑战.近年来,以相变存储器(phase change memory,PCM)为代表的新型存储器件因其高集成度、低功耗的特点而受到了国内外研究者的广泛关注.特别地,相变存储器因其非易失性及字节寻址的特性而同时具备主存和外存的特点,在其影响下,主存和外存之间的界限正在变得模糊,将对未来的存储体系结构带来重大变化.重点讨论了基于PCM构建主存的结构,分析了其构建主存中的写优化技术、磨损均衡技术、硬件纠错技术、坏块重用技术、软件优化等关键问题,然后讨论了PCM在外存储系统的应用研究以及其对外存储体系结构和系统设计带来的影响.最后给出了PCM在存储系统中的应用研究展望." 数字化应急预案可操作性评价系统设计与开发 基于应急预案由描述性语言组成的特点,根据预案复杂性评价原理,采用B/S(浏览器/服务器)架构,设计开发了应急预案的可操作性评价系统。系统以PHP+APACH+MySQL作为开发工具,通过需求分析、概念、逻辑和物理设计,编程实现了在人机交互的友好界面上对应急预案进行快速检索、查看、评审、添加、修改、删除等操作,通过对待评价应急预案的执行任务进行具体分解并评价各基本子任务的复杂性和各基本子任务间的组合复杂性,量化地给出待评价应急预案的复杂度及提高其可操作性需要加强和完善的方面,为专家评价及改善应急预案提供了在线软件系统支持。 胰腺神经内分泌肿瘤的MSCT表现 目的:探讨胰腺神经内分泌肿瘤的MSCT表现,旨在提高对其认识。方法:回顾性分析经手术病理证实的14例胰腺神经内分泌肿瘤患者的MSCT影像资料,分别测量平扫、动脉期和门脉期肿瘤及周围正常胰腺组织的CT值,计算肿瘤与周围正常胰腺组织双期的绝对强化程度、肿瘤的相对强化程度比值,比较不同临床类型和不同病理级别肿瘤的强化特点。结果:6例肿瘤位于胰头部,8例位于体尾部;6例为实性,8例为囊实性,6例见少许钙化灶;瘤体最大直径0.9~12.2cm(平均4.6±3.5cm);12例边界清楚,1例侵犯脾脏,1例侵犯邻近血管;1例椎体转移;肿瘤平扫呈等密度或略低密度,增强扫描实性部分轻度强化3例,明显强化11例,囊性部分无强化。功能性胰腺NEN6例均为病理级别1级的实性肿瘤,其体积小,动脉期强化明显高于周围正常胰腺组织;非功能性胰腺NEN8例,其病理级别1~3级均涵盖,均为囊实性肿瘤,体积通常较大,多伴有钙化灶,肿瘤实质部分强化程度表现出随病理级别增高而下降的趋势。结论:不同临床类型和不同病理级别的胰腺神经内分泌肿瘤其表现有所不同,根据其临床和CT表现特点,可提高内分泌肿瘤定性诊断准确性。 高精度Sigma-Delta调制器的建模设计 基于MATLAB Simulink设计实现了一款单环三阶一位量化CIFF(Cascade-of-integrators,feedforward form)结构的高精度Sigma-Delta调制器.通过对噪声传输函数和系统反馈系数进行优化,提高了调制器的稳定性;分析了开关电容电路的主要误差影响,为电路实现提供可靠的设计指导.仿真结果显示,在输入信号带宽为75Hz,过采样率为512时,理想调制器输出SNR高达148.3dB,ENOB为24.34bit;考虑非理想因素时,ENOB为22.02bit;电路级实现的调制器ENOB达20.94bit,表明该设计可实现低信号带宽下高精度转换. 区间值信息系统中一种极大相容类的增量更新算法 由于区间值信息系统中对象的属性值都是区间值,经典粗糙集中的等价关系已不再适用.给出一个新的距离度量来定义属性值为区间值的两对象之间的距离,基于此给出了邻域关系及邻域的定义,然后给出了区间值信息系统中的极大相容类的定义.由于实际应用中信息系统是动态变化的,即新对象的加入和已有对象的删除,因此如何利用已求得的结果增量的更新极大相容类是亟待解决的一个关键问题.由此,提出一种基于Bron-Kerbosch算法的增量更新极大相容类的算法,实验结果表明,该算法能有效提高更新极大相容类的效率. 基于随机几何理论的无线异构网络性能分析 随着移动互联网的快速发展,以及新兴业务和移动应用的爆发性增长,移动用户对传输速率提出了更高的要求。通过在传统的蜂窝上叠加小型基站,使得异构无线网络可以有效地提高蜂窝网络容量。介绍了低功率节点类型、异构网络干扰等无线异构网络的概念及应用场景,构建了异构无线网络模型,异构无线网络其网络节点分布可建模为泊松分布,通过随机几何等数学工具对异构无线网络的性能进行了分析,推导出异构网络的成功传输概率及网络吞吐量。通过实验仿真验证了理论推导的正确性,为异构网络的实际部署提供了理论基础。 基于初始聚类中心优化的K-均值算法 针对传统的K-均值算法对初始聚类中心的选取和孤立点敏感的问题,本文提出了一种基于点密度的初始聚类中心选取方法。利用该方法选出初始聚类中心,再应用K-均值算法进行聚类,同时对孤立点进行特殊处理。实验表明,该方法能够产生高质量的聚类结果。 一种适合P2P MMOG的动态负载均衡算法 P2P MMOG中常采用多台服务器集群的结构来处理玩家的请求,但是,玩家在游戏中的走动、打斗常常使某些服务器超载,因此网络游戏系统应该具有一定的负载均衡能力,即能以较高的性能动态地将负载从超载节点迁移到轻载节点中。提出了一种在游戏动态运行过程中与系统空闲时的一种动态负载均衡算法。实验表明,该算法能够有效地均衡负载和提高系统性能。 基于模型的若干逻辑边界覆盖测试准则 鉴于现有的基于规约的逻辑覆盖测试准则很少考虑到边界情况,对边界值分析法进行形式化,提出了一系列基于模型的逻辑边界覆盖测试准则。结果表明,相对于传统的逻辑覆盖测试准则,满足这些测试准则生成的测试用例能检测出系统更多的错误,既满足相应的逻辑覆盖测试准则,又能检测系统的边界情况。 基于Gabor特征和支持向量引导字典学习的人脸识别 稀疏编码中的字典学习在稀疏表示的图像识别中扮演着重要的作用。由于Gabor特征对表情、光照和姿态等变化具有一定的鲁棒性,提出一种基于Gabor特征和支持向量引导字典学习(GSVGDL)的稀疏表示人脸识别算法。先提取图像的Gabor特征,然后用增广Gabor特征矩阵来构造初始字典。字典学习模型中综合了重构误差项、判别项和正则化项,判别项公式化定义为所有编码向量对平方距离的加权总和;通过字典学习同时得到字典原子与类别标签相对应的结构化字典和线性分类器。该字典学习方法能够自适应地为不同的编码向量对分配不同的权值,提高了字典的判别性能。实验结果表明该方法具有很好的识别精度和较高的识别效率。 多尺度LBP耦合K-D树的图像伪造盲检测算法 针对当前图像伪造检测算法难以有效识别相似区域,且其检测精度依赖于参数和阈值的选择,使其自适应能力较差等不足,提出局部二值模式耦合K-D树的复制-粘贴图像伪造检测算法。基于传统的LBP,设计具有均匀不变性、旋转不变性以及旋转均匀不变性的3种LBP,将其组合形成多尺度LBP(MLBP),利用MLBP提取图像特征,得到3组特征矩阵;引入K-D树,寻找其最优邻域,获取对应的3个相似特征矩阵,通过判断3个特征矩阵中至少两个特征值相同来识别该区域是否被篡改;引入随机抽样一致性策略,降低图像块的误匹配率,提高检测精度。实验结果表明,与当前图像伪造检测技术相比,该检测算法的检测精度更高,能有效识别出旋转、缩放、模糊以及噪声等伪造形式。 赤平足痕迹生物特征识别系统 近年来,犯罪手段多变复杂,而且赤脚作案的发案率或频率明显上升,给刑侦侦破工作带来非常大的压力,所以赤脚平面足痕迹(简称赤平足)生物形态特征识别技术的研究成为现阶段刑侦识别技术研究的热点。赤平足识别属于生物形态和生物结构特征识别技术研究,通过图像预处理、生态形态分割、对象变换、生物形态和结构分析、生物特征提取、生物形态和结构匹配比对等一系列操作而进行的身份识别工作。对赤平足识别系统层次结构、系统模型、生物形态分类、生物形态特征数据库等重点内容进行了详细的介绍和说明,对赤平足识别系统建模和开发具有较大的参考价值。 改进的猫群算法求解TSP 针对求解TSP给出一种新算法——改进的猫群算法。猫群算法作为一种群智能优化算法,有较快的收敛速度、向他人学习等优点,但国内目前对它的研究还处在起步阶段,所以做这方面的尝试性研究。通过引入交换子概念和改进猫的行为模式将算法用于求解TSP。最后通过MATLAB仿真,并将实验结果与已知最优解相比较,验证了该算法的有效性。这不仅拓宽了猫群算法的应用范围,也给求解TSP等路径优化问题提供一种新的解决办法。 基于贝叶斯压缩感知的信号重构 本文提出了基于贝叶斯压缩感知的信号重构算法,将压缩感知理论应用于信号的压缩传输以及重构,该算法将压缩感知问题转化为线性回归问题,逐步推演出结果向量之间的迭代关系,最后通过迭代以得到原始信号的精确重构.仿真说明了贝叶斯压缩感知在信号处理中的应用,结果表明该算法对一维和二维信号的压缩重构有很好的效果. 基于Modelica的虚拟电工电子网络实验室设计 为方便学生通过互联网进行电类课程实验,设计一种基于Modelica的虚拟电工电子网络实验室iEEVL。该实验平台利用Modelica进行多课程的统一建模,涵盖电路原理、模拟电子技术、数字电子技术、微电子器件、单片机原理、数字信号处理原理与技术等课程。仿真结果表明,该平台具有较好的可扩展性,用户可以通过建立新的Modelica模型进行实验。 基于OFDM的雷达通信一体化共波形设计 为了减小通信信息对一体化波形模糊函数的影响,以连续波信号为基础,提出了一种基于扩频的多OFDM符号调制的雷达通信一体化信号模型,并分别从波形以及雷达模糊函数的角度对其进行分析;通过对通信信息预扩频后采用OFDM调制的方法,可显著改善一体化信号的模糊函数性能,降低距离维旁瓣;理论分析表明,一体化信号的距离旁瓣由所用伪随机编码序列的自相关、互相关特性及调制的通信信息共同决定,为波形的性能改进提供了指导方向;仿真结果表明扩频因子、子载波数目、数据长度的不同取值对雷达通信一体化信号的距离维模糊函数的旁瓣的影响,为优化一体化信号的性能提供依据;设计的雷达通信一体化信号模型可有效改善对通信信息和多普勒频移的敏感性,满足雷达探测波形要求。 分布式数据监控系统的设计和实现 市场经济环境下,引入先进的信息技术可以加强宏观调控的科学性和提高宏观调控的效率,加大监控力度和监管效率。远程数据监控所获取的数据可以作为宏观调控的数据参考和依据,其具有数据容量大,监控现场环境复杂,原始数据来源多样化和异构化等特点。对此,提出了分布式监控系统功能结构和整体架构方案,分布式异构数据库设计方案,远程服务接口设计和实现方法。提出了客户端安全验证机制和远程服务调用的实现。 杜鹃鸟搜索算法优化最小二乘支持向量机的网络入侵检测模型 为了提高网络入侵检测率,提出一种改进杜鹃鸟搜索(MCS)算法优化最小二乘支持向量机(LSSVM)的入侵检测模型(MCS-LSSVM).首先将LSSVM的参数作为杜鹃鸟的鸟巢位置,然后通过模拟杜鹃鸟种群寄生繁衍机制,找到鸟巢最优位置,并转化成LSSVM最优参数,最后采用最优参数建立最优建立入侵检测模型,并采用KDD CUP 99数据集进行仿真测试.仿真结果表明,MCS-LSSVM不仅提高了网络入侵的检测率,而且减少了训练时间,提高网络入侵检测效果. 基于Zernike矩亚像素边缘检测的快速算法 为了克服传统的Zernike法在边缘检测过程中,由于人工手动选取阈值而带来的低效率、高误判等不足,将原算法与Otsu法相结合,提出了一种边缘检测的快速算法。利用传统的Zernike法计算出图像的阶跃灰度矩阵,再将该矩阵作为计算对象,用Otsu法直接得到最优的阶跃灰度阈值进行边缘判别,并考虑了由于边缘模型带来的误差,在保证检测效果的同时缩短了检测时间。实验结果表明,改进的算法能够更有效地完成边缘检测,补偿后的亚像素定位更准确。 新型可授权的秘密双向认证协议 秘密双向认证协议(又被称为秘密握手)允许同一组织内群成员间进行匿名的相互认证和通信,但允许群成员把认证能力临时授权给一个可信代理者的功能实现并没有深入研究。为了实现更有效的可授权功能,提出了一个新型可授权的秘密双向认证协议。在该协议中,允许组织外一个被授权且可信的代理者和组织内的成员完成一次成功的秘密认证和通信。基于新的k+1平方根和离散对数表示问题的困难性假设,新型可授权的秘密双向认证协议在随机预言机模型下证明是安全的,并且在计算开销上具备一定的优势。 Hadoop下基于粗糙集与贝叶斯的气象数据挖掘研究 随着气象信息化程度不断提高,气象部门积累了海量的气象数据,如何从海量的数据中获取有用的知识,成为人们关注的重点。气象数据具有维度高、依赖性强等特点,这就对气象数据挖掘提出了更高的要求。经典数据挖掘算法在处理海量气象数据时在性能与准确率方面无法获得较好的结果。在分析了MapReduce计算模型与粗糙集、贝叶斯分类的基础上,给出了基于MapReduce的计算等价类的数据约简算法与朴素贝叶斯分类算法。最后在Hadoop平台上进行了相关实验。实验结果表明,该并行数据挖掘方案可以有效处理海量气象数据,并具有良好的扩展性。 基于移动定位服务的考勤管理系统 针对传统考勤系统的缺点,提出了一种基于下一代网络服务的考勤管理实现方法,该方法结合移动定位服务和地理信息服务,对员工的移动终端进行实时定位,使用范围搜索算法确定员工是否在指定工作区内,生成考勤信息。可以实时或定时进行自动或手动考勤,并能记录和回放员工工作轨迹,是一种融合网络服务实现的低成本、高灵活性的基于位置的电信增值服务。仿真结果表明该方法的可行性和有效性。 基于智能单粒子优化的制造服务开通策略 为使制造服务平台的用户具有更好的使用环境,提出了一种制造服务开通优化方法。在该优化方法中,基于软件即服务思想构建了制造服务开通模型,利用服务开通中心调度开通站工作,开通站通过执行制造服务匹配、制造服务测试和制造服务链接三个操作完成服务申请的开通。针对制造服务开通问题的特点,使用三维粒子编码表示问题解空间,经过解码得到最终开通方案。建立了粒子位置和开通方案的映射过程以及更新过程。采用智能单粒子优化制定了制造服务开通求解策略,通过两个制造服务申请开通的算例分析,验证了该策略的可行性,并且智能单粒子算法取得了较遗传算法更好的优化结果。 基于分类的半监督聚类方法 提出一种基于分类的半监督聚类算法。充分利用了数据集中的少量标记对象对原始数据集进行粗分类,在传统k均值算法的基础上扩展了聚类中心点的选择方法;用k-meansGuider方法对数据集进行粗聚类,在此基础上对粗聚类结果进行集成。在多个UCI标准数据集上进行实验,结果表明提出的算法能有效改善聚类质量。 句法分析和深度神经网络在中文问答系统答案抽取中的研究 答案抽取是问答系统中的核心内容,问题及答案句的句法和语义充分理解是找出答案的关键.由于中文自然语言句法语义分析复杂,人工提取特征难度较大、主观性较强,使之成为中文问答系统的研究重点和难点.为此本文提出利用深度学习的思想主动学习候选答案深度特征,将答案抽取问题转化为特征学习与分类问题.即用词向量表征问题句和答案句,通过长短时记忆神经网络主动学习其深层语义相关,借助依存句法树分析句法结构特征,构造深度神经网络学习问题句、答案句和候选答案之间的内在关联信息.实验表明,该方法在不需要制定繁琐句法语义特征的条件下,仍具有较好的答案抽取性能,MRR值达到0.71. 人体运动姿态图像矫正识别仿真研究 在对姿态图像进行视觉矫正的过程中,人的运动存在较大的随机性,导致三维运动参数无法在一定区域内固定。当运动参数发生变化时,会导致传统的姿态识别在参数对比过程中,姿态特征的类内差异与类间差异过小无法提取的问题。导致姿态矫正精度低、准确性差。提出一种将三维运动形态识别应用于姿态矫正的方法,通过Gabor滤波器及图像的Gabor小波特征,提取运动图像的二维Gabor小波特征,检测正面运动形态,并定位一张正面运动形态和一张运动形态图像中重要的运动形态特征点。利用采集的二维Gabor特征向量与一个常用的3D运动形态数据库重建三维运动形态模型。并通过模板匹配与线性判别分析对其进行处理,获取模型的类内差异与类间差异,实现三维运动形态矫正。依据运动图像在三维空间中的旋转所投影的平面图像,实现运动图像的姿态矫正。仿真结果表明,所提方法具有较高的矫正精度。 面向ARM Cortex-M0的轻量级图形界面设计 针对目前现有图形库难以满足低存储空间的嵌入式设备开发需求问题,提出了面向ARM Cortex-M0的轻量级图形界面系统设计;系统采用分层结构的思想,构建模块化的图形界面模型;利用面向对象和继承的思想创建内核控件树;创建管理树结构实现对封装的页面组动态管理;实际应用表明,系统占用的资源少,响应速率快,移植性和扩展性较好,具有轻量级的特点,可满足低存储空间的嵌入式设备需求。 可信可控网络中的一致性视图构建机制 在可信可控网络中利用多个控制节点对AS进行联合控制,容易造成多个控制节点在网络控制过程中持有的AS视图不一致问题。针对该问题,在可信可控网络模型的基础上提出了基于选举算法的AS内一致性视图构建机制,该机制首先基于选举算法选举出主控制节点,然后主控制节点根据AS内各个控制节点的负载,将视图构建任务分配给负载最低的控制节点负责构建视图,并利用主控制节点的时间对生成的视图的版本进行界定,从而避免了多个控制节点独自构建视图造成的视图混乱问题。仿真实验的结果表明,所提出的一致性视图构建机制具有良好的性能。 求解对称区间矩阵标准特征值的进化策略新算法 针对实对称区间矩阵的特征值问题,将区间不确定量看成是围绕区间中点的一种摄动,提出了一种基于区间扩张的对称区间矩阵特征值问题求解的进化策略算法。将区间矩阵中点作为平衡点,区间不确定量作为相应的扰动量,根据摄动公式求出区间矩阵的最大特征值和最小特征值,从而获得区间矩阵特征值问题的解。算例显示了该算法的有效性,其主要特点是收敛速度快、求解区间精度高。 一种基于阈值构建金融网络的新方法 针对复杂系统中构建网络的边相关系数阈值法,以金融网络为研究对象,改变以往的使用固定阈值的方法,提出根据相关系数矩阵和金融网络的内在属性确定阈值的新方法。基于股票的相关系数矩阵确定了网络的有效阈值区间,根据可以反映网络内在属性的最大连通子图的节点个数的变化情况来确定阈值,给出了构建金融网络的具体步骤。研究发现,利用该方法构建的金融网络拓扑结构显著。该方法可以推广应用到其他网络中。 可靠性感知下的虚拟数据中心映射算法 介绍现阶段虚拟数据中心(VDC)映射的研究进展,根据租户对VDC可靠性的需求,提出一种可靠性感知下的VDC映射启发式算法。对于每个VDC,该算法通过限制能放置在同一个服务器上的最大虚拟机数目来保证租户VDC可靠性需求,然后以降低数据中心网络带宽消耗和服务器能耗为主要目标进行VDC映射。其具体做法是:首先将相互之间带宽需求量大的虚拟机合并部署来降低数据中心网络带宽的消耗;然后把合并后的虚拟机优先部署到已开启的服务器上,从而减少开启的服务器数目,降低数据中心的服务器能耗。利用基于胖树结构的数据中心拓扑对提出的算法进行了仿真,结果表明,与2EM算法相比,该算法能够满足租户VDC的可靠性需求,能在不增加额外能耗的前提下最多减少数据中心网络约30%的带宽消耗。 复杂调制形式脉压雷达信号的识别方法 如今,脉压雷达采用的脉内调制形式日益增多且越来越复杂。而传统的识别方法只能识别有限的几种简单调制样式的雷达信号。为了解决这个问题,提出一种基于ZAM-GTFR法和Radon变换的分类方法。该方法通过对信号进行ZAM-GTFR分析以及Radon变换提取最佳旋转角和满足一定条件的尖峰数,将信号区分开,能识别包括正弦调频信号和多相编码信号等在内的多种具有复杂调制形式的脉压雷达信号。仿真实验表明,该方法在-3 dB时能达到94%的平均正确识别率。 Ad hoc网络节点不相交多路径距离矢量路由协议 AMR算法是Ad hoc网络计算节点不相交最大路径集合的累积多路径路由机制。针对节点不相交多路径源路由协议MNDP及SMNDP存在的问题,整合按需距离矢量路由AODV和AMR算法,提出了节点不相交多路径距离矢量路由协议MDVR。MDVR协议建立的路径信息分布在网络节点中,可缩短路由分组长度以及减轻路由发现源节点建立路由的负担;可防止攻击者通过篡改路由消息中的路径信息攻陷协议。 移动阅读社交系统设计与实现 为增强移动环境中用户的阅读体验,文中设计的系统通过为电子图书添加知识点的语义标注向用户提供知识点查询服务,实现了知识点的快速点答;建立阅读社区帮助相同阅读爱好的用户互相交流,为用户提供基于位置的社交服务;设计了重视用户体验的移动阅读软件,使人们在移动阅读环境中享受到普适计算带来的便利。实验测试表明,系统能够为用户快速提供基于语义标注的知识解答,并能为用户提供稳定可靠的实时交流服务。 面向不确定数据模式指标的通用界值估算方法 针对约束模式挖掘中模式指标的界值估算问题,提出了一种面向不确定数据模式指标的通用界值估算方法。根据带有权值的不确定型事务数据库的特点,首先设计了面向常用模式指标的通用界值估算框架,其次给出了在该框架下对模式指标上界值的快速估算方法,最后估计了两种典型模式指标的上界值以说明其可行性。实验中对比了PHUI-UP算法分别结合事务加权效用值、所提方法估算所得的上界值和实际上界值后的运行时间和内存占用情况,实验结果表明所提方法可以通过占用较小内存和运行时间来实现模式效用上界值的估算。 基于可扩展有限状态机规格说明的测试数据生成效率因素模型分析 对于可扩展有限状态机(EFSM)规格说明,影响路径测试数据生成成本的因素很多,它们之间可能存在着相互关联,对测试数据生成成本的影响可能是线性或非线性的,因此建立多元线性回归预测模型和BP神经网络非线性预测模型,对EFSM路径测试数据生成进行效率—因素分析。具体而言,将路径长度、路径上变量数等因素作为自变量,测试生成成本看作因变量,建立多元线性回归模型。对于BP神经网络模型,考虑到因素间可能存在关联,首先采用主成分分析(PCA)确定影响测试生成成本的主要因素,然后应用BP神经网络建立测试生成效率主要因素分析模型,对EFSM测试生成成本进行预测。实验结果表明:BP神经网络比多元线性回归更适合作为EFSM路径测试生成效率因素分析模型,对EFSM路径测试生成成本进行非线性预测。 基于信息增益的模糊K-prototypes聚类算法 K-prototypes聚类算法结合了K-means算法和K-modes算法,可用于分析混合属性的数据对象。传统的K-prototypes聚类算法在计算数据对象的相异度时,未考虑各个属性对于最终聚类结果的影响程度,而现实世界中,各属性的重要程度是不同的。使用了信息论中信息增益的计算方法,来获得各个属性的权值。在计算各属性的差异度时,乘以这些权值,从而可以获得更为准确的聚类结果。为了增加算法处理模糊问题的能力,本算法引用了模糊理论,从而使其具有较好的抗干扰能力和处理不确定性问题的能力。通过对四个UCI数据集的聚类分析实验,表明了本算法的有效性。 面向隐私保护聚类的平面反射数据扰动方法 为了解决聚类挖掘中的隐私保护问题,针对现有的几何数据转换方法隐私保护度低的不足,提出了一种基于平面反射的几何数据转换方法,即任意选择平面上的一条直线,且将所有属性两两配对以构成平面上的点,对每个点作关于直线的对称点,所得数据即转换后的数据。通过实验证明,这种方法简单易行且比平移、缩放、旋转等几何数据转换方法具有更高的隐私保护度。 时序模型提取中复杂单元拓扑结构识别的实现研究 基于NanoTime对不同复杂D触发器和多米诺机构采取不同的处理方式,解决了单元拓扑结果识别错误的问题.结合一个单元的实际案例进行仿真验证,结果显示基于所述的处理方式可以提取出有效的单元时序模型.目前相应的设计已投入流片,成功应用于实际工程应用中,这也说明其具有可靠的实际应用价值,对工程应用设计具有重要意义. 基于遥感图像的颜色保真融合方法的研究 针对遥感图像融合Brovey变换法存在颜色失真的现象,提出了一种低通比值融合法。该融合法首先对高几何分辨率的全色波段进行低通滤波,然后将低分辨率多光谱图像与全色波段图像相乘,再除以滤波后的全色波段图像,便得到融合图像。从辐照的角度证明了该低通比值融合法具备理论基础,并从目视评价、定量分析、分类精度证实了该低通比值融合法优于Brovey变换法,该低通比值融合法是一种能较好地保全低分辨率多光谱图像颜色的融合方法。 预约机制下的共乘路径规划策略 现有的共乘路径算法只专注优化路径总权值而很少考虑到达时间准确性,针对这一现状提出一种预约机制下的共乘路径最优算法。采集乘客的预约搭乘信息,引入分类的概念对乘客信息进行团体分类,对团体内使用Bellman-Ford算法,团体间使用到达时间路径算法,得出了预约机制下的共乘路径最优算法CPPAO,使得该算法兼顾到达时间的准确和行驶代价的消耗。 基于离散对数的多消息多接收者混合签密方案 针对现有多接收者签密方案不能同时广播多条消息以及解密不公平等问题,基于离散对数问题,提出一种多消息和多接收者的混合签密方案。该方案允许发送方对发送给n名接收者的n条不同消息进行签密,每名接收者通过私钥只能正确解签密得到自己的消息。在随机预言模型下给出新方案的消息保密性和不可伪造性证明,结果表明,新方案不仅比其他签密方案更加高效,而且具有较低的计算量和通信开销,能够保证通信过程的安全性、可靠性和公平性。 基于条件随机场的低图像质量车牌字符分割 提出一种基于条件随机场的车牌字符分割算法,能够对光照不均、相机拍摄角度造成的低图像质量的车牌图像,特别是日益增多的车牌边框与字符相连接车牌图像进行有效的字符分割。算法首先进行车牌图像校正,然后利用标注车牌数据进行模型学习,对车牌图像像素列进行分类识别,最后组合成车牌字符分割结果。理论分析与实验结果验证了算法的有效性。 C程序精确形状分析中的规范语言设计 在一个C程序静态分析工具的实现中,设计了一种描述函数行为的规范语言,包括描述程序状态的基本断言,描述内存的谓词以及描述链表、二叉树等递归数据结构的形状谓词.该工具基于编译框架LLVM和符号执行工具KLEE,以函数为单位分析并构造函数行为规范,该过程中需要使用断言描述程序状态并按需抽象成形状谓词表示的形式.为此本文设计并实现了一系列的断言规范化和抽象化规则.通过使用描述内存的谓词以及形状谓词,该分析工具可以检测内存泄露、多次释放等内存安全问题以及进行形状分析. 基于重叠区域的高性能近似kD树算法 kD树是近邻搜索中应用最广泛的算法之一,针对其性能随着空间维度的增加而迅速降低的问题,提出一种可应用到高维空间的kD树搜索算法——okD树.在该okD树的创建过程中,左右子结点之间保留重叠区域,重叠区域不参与后续的划分而是直接传递到子结点;在搜索过程中,对于存在重叠区域的子结点不进行回溯,以提高okD树的搜索效率,不进行回溯的子结点中包含的重叠区域扩大了搜索范围,从而提高了搜索精度.实验结果表明okD树算法的性能优于当前主流的近似kD树算法. 锥形炮管结构参数的优化设计 关于防暴锥形炮管结构优化问题,为了提高脉冲防暴水炮射流轴向速度矢量比,增强流形稳定性等,采用相比传统的圆柱形炮管具有很大优势的锥形炮管,通过合理的选择锥形炮管的结构参数来提高脉冲防暴水炮技战术性能。通过建立压力方程、气体做功方程和水柱加速度方程,并在Fluent流体仿真软件进行仿真分析。确定了在锥形炮管大端直径D=64mm的情况下,出口直径d=38mm时所获得初始射流的综合性能最好的效果,为设计系统提供了依据。 CEStream:一种复杂事件流处理语言 复杂事件处理是支持大数据处理的流式计算平台的核心技术之一。CEStream语言作为一种新型的事件流处理语言,支持分布式环境下的复杂事件处理。该语言以XML等层次化数据为数据模型,为复杂事件检测提供了一种正规树模式匹配功能,并且支持结构连接和正规式匹配。同时,针对分布式的多个事件流,其能够将各个事件源模式匹配的结果按照时间顺序再一次进行正规式模式匹配,满足多源组合型复杂事件的检测需求,具有较强的事件处理能力。为了实现CEStream语言,研制了一个基于流数据处理集群和远端查询代理的执行引擎系统。该系统通过远程查询代理实现基于正规树模式的事件检测,通过流处理集群完成多源组合型复杂事件处理。实验表明,该系统实现了CEStream语言,有效地限制了各个节点之间的通信量,充分利用了集群的计算能力,整体性能能够满足应用需求。 基于MPC的永磁同步电机最优滑模控制 为了解决永磁同步电机PMSM(Permanent magnet synchronous motor)控制过程中存在的扰动不确定性,常规变结构控制的调节增益较大,存在抖振现象的问题,研究一种基于模型预测控制MPC(Model predictive control)的PMSM最优滑模速度控制策略。以模型预测控制作为电流内环,结合最优控制与滑模控制各自的优势,设计最优滑模速度控制器,有效抑制了超调,提高了系统的启动性能。设计扰动观测器并对系统进行前馈补偿,有效地抑制了不确定性扰动,提高了调速系统的抗扰性能。利用李雅普诺夫理论证明了控制系统的稳定性。仿真结果验证了系统具有良好动态性能和鲁棒性。 一种带变异操作的粒子群聚类算法 针对基本粒子群算法的早熟收敛和收敛较慢的问题,提出了一种带变异操作的粒子群聚类算法。算法中对出现早熟收敛的种群采取变异操作,使其能够跳出局部最优解。对Iris植物样本数据的测试结果表明:该算法具有很好的全局收敛性和较快的收敛速度。 反应性化学品泄漏扩散的三维数值模拟 多晶硅副产物四氯化硅泄漏后形成重气云,易与水蒸气发生反应,生成四氯化硅、氯化氢和硅酸的混合有毒气云,威胁人类安全,导致严重的生态和环境危害。针对四氯化硅泄漏后形成的重气云的三维大气扩散与反应迁移过程建立了传递转化模型并采用计算流体力学(CFD)进行模拟研究,化学反应模型采用漩涡破碎模型(Eddy-Break-UpModel)。模拟研究了四氯化硅气体与水蒸气反应过程中四氯化硅、氯化氢、硅酸等有毒物质的空间分布与迁移转化情形。四氯化硅受重力作用下沉并向周围扩展,迎风面处的四氯化硅下沉触碰到地面后向上风侧移动,但主体向下风向迁移;生成的毒害性氯化氢覆盖范围较四氯化硅广泛;反应物和生成物在近地面的浓度较大,威胁环境安全;水解反应消耗水分导致脱水效应和反应放热升温,会对该区域生态造成严重危害。研究结果为反应性化学品事故处理提供了依据。 重点污染物排放量测算系统的设计与实现 针对造纸、印染、冶金、火电四大重点污染行业的实际情况,在VS2010开发环境下,采用C#设计并实现一种重点污染物排放量测算系统。实现COD、氨氮、二氧化硫及氮氧化物污染物总量的测算、统计和分析。通过监测数据法、产排污系数法两种污染物排放总量测算方法的横向分析比较表明,系统的建设有利于提出适合一般省市发展现状的主要污染物初始总量分配体系,有利于合理分配主要污染物总量控制指标。 RNN编码器-解码器在维汉机器翻译中的应用 将RNN编码器-解码器作为传统的基于短语的PSMT系统的一部分,在传统统计机器翻译基础上,集成RNN解码器-编码器,兼容PSMT创建了新联合模型(RNN+PSMT)。新的模型不仅在维-汉、汉-英机器翻译的应用中取得了成效,而且能够捕捉到语言的规律,使得机器翻译中的一个重要评价指标的BLEU值得到了显著提高。实验结果表明,系统的整体性能超过了传统统计机器翻译。 脱氢法生产乙酸乙酯的流程模拟与优化 运用化工流程模拟软件Aspen Plus,对乙醇脱氢生产乙酸乙酯的工艺流程进行模拟计算分析,建立了10 wt/a乙酸乙酯生产工业过程的详细流程,最终乙酸乙酯产品纯度达99.98%,收率可达98.26%。对精馏工段关键的乙醇塔和粗塔组成的差压精馏体系进行了灵敏度分析,重点考察了进料板位置、回流比、塔顶采出量等工艺参数对精馏塔分离效果和操作能耗的影响,得到优化的参数,即乙醇塔新鲜进料板位置为第12块,循环物料进料位置为第9块,回流比2.10,塔顶采出量为33500 kg/hr:粗塔进料板位置为第9块,回流比为1.43,塔顶采出量为21000 kg/h。本文研究结果为工业上选择合适的设备参数和操作参数提供有力的数据支持。随后对原换热网络进行了进一步优化设计,节省能耗24.56%。 P2P网络环境下一种快速身份认证策略的研究 针对P2P网络中现有公钥体制在数字签名、身份认证中速度慢的缺陷,提出一种加快公钥体制速度的策略.该策略结合了离散对数加密与矩阵序列加密的特征,易于实现,安全强度高,计算量小.文中对该策略的相关工作进行了介绍,对策略进行了描述,较详细地分析了策略的安全性及其实现速度.并与其他公钥体制进行了理论上与实测上的比较,证明了在公钥体制中,该策略的速度比椭圆曲线算法(ECC)速度快. 利用模糊推理的证据理论信息融合算法 证据理论具有比较强的理论基础,能处理随机性或模糊性所导致的不确定性。但证据理论应用中基本概率分配函数(mass函数)难以确定,针对这一问题,提出了一种基于模糊推理的证据理论信息融合算法。该方法利用模糊理论中的高斯隶属度函数来获得模糊观测下具有概率特性的似然函数,并且由此似然函数得到每个传感器提供信息的可信度;再将各传感器的可信度转化成基本概率赋值函数即mass函数;最后利用证据理论对多传感器信息进行融合。对目标识别的仿真试验表明该方法获得的结果比直接结果具有更高的精度和可靠性。 基于PCA分解的图像融合框架 提出一种基于主成分分析(PCA)分解的图像融合框架。对源图像进行主成分分析,依据前几个主成分重建图像,经过下采样过程得到近似图像,对近似图像进行上采样,得到上层图像的差异图像(即细节图像),将最底层近似图像与各层细节图像进行累加完成图像的重构。实验结果表明,该方法能保持图像细节,具有较好的融合效果。 基于实体描述属性技术的XML重复对象检测方法 由于XML文档越来越广泛地被用于信息交换与集成,其数据质量问题引起了人们的关注.解决由数据质量引发的问题,实体识别技术非常关键.当实体识别被应用于XML数据中时,最为关键的操作是实体数据对象的匹配.为了克服现有方法的不足,在海量XML数据上进行高效的重复对象检测,文中提出一种基于实体描述属性技术的高效XML重复数据对象检测方法.它将所有标签属性与结点统称为属性,用实体来描述属性,通过属性的属性结点表的构建,快速地找到在某个属性上相同的所有实体对象,然后比较它们是否重复.此方法的优势体现在无需比较所有实体对象,只需要比较在属性结点表中同一位置的结点,大大节省了时间.此外,我们提出的Max-Merge算法,在兼顾相似对象传递性与独立性的基础之上,将所有相似对象进行聚类,大大提高了算法的精确率与召回率. 基于SVM概率输出与证据理论的多分类方法 单一技术无法有效解决多类分类问题。为此,提出一种基于一对多支持向量机(SVM)的基本概率分配输出方法,并与置信最大熵模型的D-S证据组合方法结合,给出基于SVM概率输出和证据理论的多分类模型。在3种UCI标准数据集上的仿真结果表明,该方法的分类精度优于传统的一对多和一对一硬输出方法,是一种有效的多类分类方法。 一种低延迟高吞吐率的浮点整型乘累加单元 针对目前浮点运算单元在处理向量点乘运算时存在数据相关性的问题,提出一种低延迟单周期的累加单元结构。该结构用于7级流水的可配置乘累加单元,可兼容双精度浮点、双单精度浮点以及32位有符号数,且能对后置模块进行操作数隔离与门控时钟的低功耗处理。在Viterx-4平台上实验结果表明,该结构具有高性能、低延迟、单周期完成数据吞吐等特点,与使用Xilinx浮点IP的设计面积相比,时间积减少30%以上。 中间件体系结构的集成研究 研究中间件体系结构设计的关键技术和集成机制,介绍基于反身映射技术和构件技术的中间件体系结构,以航空航天某虚拟试验实时软总线项目为研究背景,提出通过增加网关节点解决虚拟试验系统网络异构、软件异构和操作系统异构的集成方法。实验结果表明,该集成方法可以提高系统的实时性和确定性,应用效果较好。 一种适合突发通信的CPM定时恢复算法 针对连续相位调制(CPM)信号定时同步问题,提出一种数据辅助联合直接判决的定时恢复算法。基于CPM信号的PAM分解,由最大似然方法得到序列检测器和定时误差检测器,并构造一阶锁相环用于估计定时误差。采用数据辅助和数据重用的方法实现定时误差的捕获,运用直接判决方法进行定时误差的跟踪。仿真实验结果表明,当定时误差较大时,该算法可克服直接判决方法存在的失锁问题,实现大定时误差范围的定时估计,且降低定时估计和检测时的匹配滤波器的个数,对DVB-RCS2标准中的CPM突发信号仅用3个匹配滤波器就可以实现定时估计,具有良好的定时估计性能及较好的鲁棒性。 基于多GPU并行框架的DNN语音识别研究 提出了深度神经网络DNN的多GPU并行框架,描述了其实现方法及其性能优化,依托多GPU的强大协同并行计算能力,结合数据并行特点,实现快速高效的深度神经网络训练.对语音识别应用,在模型收敛速度和模型性能上都取得了有效提升——相比单GPU有4.6倍加速比,数十亿样本的训练数天收敛,字错率降低约10%. 改进神经元PID无线拥塞研究 神经元PID算法能较好地控制瓶颈节点的队列长度,但当网络环境发生较大变化时,其控制效果往往难以保证。根据Ad Hoc网络环境参量时变的特点,推导了无线TCP/AQM离散模型,在神经元算法的加权系数中引入二次型性能指标。另外神经元增益K是系统敏感参数,而恒定的K值不易适应时变的无线自组织网络,据此设计了一种改进二次型性能指标神经元PID的AQM。仿真结果表明:在动态拓扑、突发流及链路容量变化的Ad Hoc网络中,该改进算法优于PI算法。 去除图像高强度乘性噪声的变分模型 "本文提出了一种新的去除图像高强度乘性噪声的变分模型,该模型针对现有全变分方法在去除图像高强度乘性噪声时出现的边缘模糊、去噪效果不佳及""阶梯""效应等问题进行研究.然后导出了该模型对应的偏微分方程的初边值问题,分析了模型的去噪机理,并给出了相应的数值计算方法.数值实验结果表明,新模型不仅提高了图像去噪的质量,在视觉上更平滑自然,基本上消除了""阶梯""效应.此外,新模型在运行时间方面也具有较大的优势." 基于逼近的动态面滑膜智能控制算法的研究 "文中研究的是自适应模糊神经网络(FNN)在一类带有未知延时函数和不确定干扰的非仿射纯反馈系统中的控制问题。首先采用动态表面控制(DSC)技术避免反演设计存在的""微分爆炸""问题,同时为了克服干扰,保证鲁棒性,引入滑模控制来改进DSC方法。然后直接利用模糊神经网络近似未知函数,并利用Lyapunov-Krasovskii泛函,双曲正切函数的特性以及函数分离技术去克服未知时间延迟函数问题。该算法只需少量的自适应参数,就能保证闭环系统中的所有信号半全局一致最终有界。仿真结果表明,在存在干扰和时滞的情况下,该控制器具有良好的实时性和稳定性。" 部分四值逻辑中Sheffer函数的判定 多值逻辑是指一切逻辑值的取值数大于2的逻辑。Sheffer函数的判定问题是多值逻辑完备性理论中的一个重要问题,此问题的解决依赖于定出多值逻辑函数集中所有准完备集的最小覆盖。在深入研究部分四值逻辑中Sheffer函数的基础上,根据部分四值逻辑中准完备集的最小覆盖,给出了一个部分四值逻辑中Sheffer函数的判定算法。此算法能够判定任意一个函数是不是部分四值逻辑中的Sheffer函数。 基于网络的文件持续保护技术研究与实现 传统的数据容灾技术由于存在种种的缺陷,不能满足用户对数据实时性保护的需求。文章研究了新型的持续数据保护技术(CDP),并设计和实现了文件的CDP系统。实验表明,系统能实现文件的粒度细保护,使文件能回退到文件之前的任意状态。 基于多核PC集群的并行绘制系统研究与实现 为满足大规模虚拟现实应用在渲染速度和显示分辨率等方面的要求,采用基于多核平台的PC集群系统,构建了高性价比的分布式图形渲染系统。系统充分结合多核PC集群中节点内部的并行和节点间的并行,通过对视景体的缩放和投影中心的移动实现了灵活的分屏,集群节点内部从渲染流水线、循环迭代、函数级三个层次进行了多核并行优化,有效地提高了并行绘制系统的效率。实验结果表明:多核平台与并行绘制系统结合,以多线程的方式有效地提高了应用程序性能。 基于SIDWT的遥感图像融合算法 合成孔径雷达(SAR)和全色遥感图像由于成像机理存在差异,对目标轮廓、纹理和色调等信息的表现各不相同,像素级融合后将更易于图像判读。基于此,将平移不变离散小波变换(SIDWT)算法用于SAR与全色遥感图像融合,该算法克服了传统小波变换不具有平移不变性的缺点。同时,提出一种新的增强互补信息的融合规则:图像的低频部分采用基于边缘提取的加权规则,高频部分采用绝对值最大原则。实验结果表明,该算法能够获得较好的融合效果。 基于XMSF的舰船全数字仿真系统架构设计 论文结合舰船装备仿真训练的实际需求,针对现有仿真系统在配置灵活性、可维护性、可移植性以及可组合性等方面存在的问题,以可扩展建模与仿真框架(XMSF)为支撑技术,构建三层舰船全数字仿真系统架构,定义了资源层、服务层和应用层的具体内容,对资源层所涉及的资源进行分类并给出每类资源的含义。将服务层划分为基础服务子层和仿真应用服务子层,分别对其进行了相关说明。根据舰船仿真训练的具体使用需求,将应用层划分为教控台、操控台和功能台,并进一步按照部门、专业、岗位和舱室划分,便于实施全系统、分层次、分阶段的仿真训练,并在该框架基础上实现了某型潜艇仿真训练系统。 基于PMIPv6协议的QoS上下文转移方案 在IETF的NetLMM(Network-based Localized Mobility Management)工作组中,Proxy Mobile IPv6(PMIPv6)由于不需要移动节点对IP移动性的支持而引起人们的关注。基于PMIPv6框架,提出一种新的QoS上下文转移解决方案。它的优点是在移动节点执行切换的同时为实时应用提供服务质量保证。采用这种方法可以减少移动节点切换后重新发起信令建立QoS转发处理所带来的延时,减少了移动节点会话的QoS服务中断。理论分析和仿真实验表明,本方案可以显著降低实时业务切换时的延迟和开销,并且有较低的丢包率,实现了移动节点的平滑切换。 多媒体服务中间一公里资源优化 重点关注如何实现中间一公里处的多媒体有效分发.为此,文章首先利用博弈论分析了多媒体分发网络的内容分发与底层基础网络流量间的相互影响关系,提出一种中间一公里资源优化分配策略.在此基础上,文章提出一种新的动态资源分配算法并利用Matlab实验验证该算法的有效性.实验结果表明,与传统的冗余路由策略相比,动态资源分配算法能够将资源均匀有效的分配到整个网络中去,不仅能够优化多媒体分发网络中间一公里传输效率,还能优化底层基础网络资源分配. 基于动态、非正态EWMA控制图的废旧产品再制造质量控制方法 鉴于废旧件的原始质量与服役工况不确定,导致其再制造过程波动性大、加工时段不连续等问题,提出一种基于动态、非正态分布指数加权移动平均控制图的再制造过程质量控制方法。根据非参数方法中的Wilcoxon秩和检验的理论知识,应用秩统计量,获得与样本数据分布无关的统计量;在此基础上,通过不断移动控制图数据窗口来更新在线观测点,并利用得分函数获得动态的光滑参数,构建面向动态、非正态分布的再制造过程质量EWMA控制图,实现了动态再制造过程质量的自适应监控。以废旧TPX6113镗床的导轨再制造过程为例,对其再制造过程质量进行动态监控,结果表明,所提方法能够快速监控到质量异常,且相比于其他控制图具有更好的监控性能,证明了该方法的有效性和可行性,为再制造过程实际的质量监控提供了一种有效的途径。 LED照明工程远程监控系统设计 LED光源日益为人们所关注,各国都积极扶持并推进LED产业的发展。随着LED照明应用的推广,配套的运行监控及维护技术也应该相应发展起来,为LED工程的发展提供有力的支持。基于B/S模型设计的远程监控系统,针对LED照明工程地域分散的特点,将整个工程划分为不同的子区域,利用Internet将不同区域的照明线路数据连接起来,实现了照明工程的远程集中监控。同时,考虑到照明工程监控区域范围变化以及子区划分的变动,设计了可自由组合的结构,使得此系统不需经过大的改动就能灵活适应不同的情况。另外,通信系统的引入,给了管理员和远程用户一个及时沟通的平台。系统界面友善,运行稳定。 基于TC35i模块的呼救中心终端系统的设计 利用GSM网络搭建呼救平台是一种行之有效有效的方法,其覆盖面广,安全保密性高,且不需要组建专用网络和进行维护。研究开发了一套基于TC35i GSM模块的呼救中心终端系统,给出了一套完整的软硬件解决方案,实现了信息接收和处理等功能。该系统扩展性好,使用方便和快捷,为进一步建立事故呼救中心基站平台奠定了基础。 基于收益评估机制的连接数阈值动态调节算法 针对目前出口网关中并发连接数限制的定值方法的缺点,提出了一种基于收益评估机制的动态调节并发连接数阈值算法。根据用户链路某个时间段内的并发连接数变化特征,可利用收益评估的方法计算出一个最优化的连接数限制阈值,利用该值调节用户链路的并发连接数。通过调节有效连接与无效连接的效益值可适用于不同的网络偏好,对对等网(P2P)应用产生的大量无效连接进行有效抑制,保障了网络应用多元化的高效使用,同时给出了工程实现算法。 基于自适应遗传算法的改进PID参数优化 PID参数设置是决定PID控制性能的关键,为了获取较优的PID控制效果,提出了自适应遗传算法整定和优化PID参数的方法;首先将系统的综合性能控制区分为不同目标的局部性能控制,针对局部目标采用不同的目标函数;之后采用自适应选择、交叉和变异概率对不同控制目标进行PID参数寻优,自适应遗传算法在保持种群多样性的同时能够加快算法收敛;最后通过发动机怠速转速控制应用表明本算法的可行性和有效性;改进的PID控制和遗传算法有效提高了PID参数寻优能力,提高了控制系统的响应能力和稳定性。 矢量法在刀具半径补偿技术中的应用研究 刀具半径补偿技术是CNC系统的关键技术之一,是加工轨迹插补运算的数据来源与依据。根据编程轨迹及刀具半径值规划出刀具中心的运动轨迹,尤其是轨迹转接点坐标值,是保证零件轮廓正确性的必要前提。引入方向矢量的概念,研究矢量法在刀具半径补偿技术中的应用,实现了C功能刀具半径补偿,很好地解决了二维刀补中唯一解的确定这一复杂难题。该算法已经应用于自主研发的TDNC-L4数控铣削系统和数控车削系统,初步实现了该理论成果的实例化验证。 量子粒子群和相关性分析在心电特征选择中的应用 针对心电(ECG)信号情感识别中特征选择的问题,首先运用相关性分析方法,去除原始特征集中的高相关度特征,实现原始特征集的降维;其次,为了在降维后的特征空间中进行有效的特征选择,提出了一种改进的二进制量子粒子群算法(SBQPSO)。实验结果表明,基于本算法结合Fisher分类器建立的ECG信号情感识别系统能够对高兴、惊奇、厌恶、悲伤、愤怒和恐惧6种情感达到良好的识别效果。 一种青光眼快速检测系统的开发及应用 青光眼是一种常见疑难眼病,是导致人类失明的三大致盲眼病之一。青光眼治疗的关键在于早期发现。但是,医学上检测青光眼步骤复杂繁琐,不适于普通人在日常生活中进行自我检查。因此,文中开发了一种适于普通人自我检查的青光眼快速检测系统。系统首先采用闭运算对瞳孔图像进行预处理;其次,使用基于K均值聚类的Canny边缘检测算法提取瞳孔边缘并获得瞳孔横径;接着,通过光刺激下瞳孔横径变化间接得到被检测者瞳孔的运动状况;最后,结合青光眼临床表现判定被检测者是否患有青光眼。实验结果表明,文中检测系统准确、高效且无创。 近红外光谱结合可移动窗口偏最小二乘法对克霉唑粉末药品的定量分析 建立测定克霉唑粉末药品的近红外漫反射光谱结合可移动窗口偏最小二乘法(MW-PLS)多元校正模型。选择有效的光谱预处理方法,并对窗口宽度,窗口移动的位置,以及隐变量数对模型参数进行优化,使用逼近度作为建模参数优化评价指标,最终得到测定克霉唑粉末药品的最佳模型。用该模型进行预测,校正集和验证集的预测值和真实值间的相关系数(Rc)分别为0.9558和0.9645,校正集的均方根误差(RMSEC)为0.0041,验证集均方根误差(RMSEP)为0.0043,表明该模型的稳健性、拟合度和预测能力都令人满意。 复杂背景下基于人脸的自适应肤色检测 针对传统肤色检测在复杂背景下对类肤色像素的抗干扰能力弱,以及光照对肤色颜色特性影响的问题,提出一种自适应的肤色检测方法。通过Adaboost结合Haar的人脸检测,并利用改进后的二值化提取出包含光照信息的皮肤区域。然后利用改进后的直方图反向投影法,结合肤色样本在YCb Cr空间中Y亮度分量训练得到的最佳阈值,对整幅图像进行肤色检测。实验结果表明,该方法在复杂背景下以及光照的影响均有良好的鲁棒性,在准确率、召回率方面较传统的肤色检测方法有提高。 动态环境下有时间窗的成套配送车辆路径问题 "为解决成套配送车辆路径优化问题,针对配送线路动态变化的特点进行行程时间分析,根据服务时间窗设计满意度函数,在基本VRP模型中增加满意度目标,建立动态环境下的仿真模型;采用""预优化阶段+实时优化阶段""两阶段求解策略,利用分解法进行问题分解,设计禁忌搜索算法求解,对已产生的路径进行优化调整;仿真计算验证了模型和算法的有效性与研究的实用价值。" 基于KL散度的RNA-Seq数据差异异构体比例检测 近年来,RNA-seq技术被广泛应用于差异表达基因和异构体的检测,但目前大多数方法都是识别单个异构体的差异表达,无法同时检测同一个基因中所包含异构体表达比例的差异,因此提出一个差异异构体比例检测方法。该方法基于先前设计的sLDASeq模型,运用该模型中隐含变量的概率分布,采用KL散度进行差异异构体比例的分析。首先使用最新的SEQC数据集评估sLDASeq模型表达水平的性能,结果表明该方法能准确地估计基因中异构体的比例。接着通过模拟数据集进行差异异构体比例的检测,与其他方法相比,实验结果表明该方法在差异异构体比例检测方面具有较高的准确性。 GE医疗发布两款创新分子影像产品,精彩亮相ChinaMed 2014 "[正文]第二十六届国际医疗仪器设备展览会(ChinaMed 2014)在北京隆重开幕。GE医疗以""关爱先行""为主题参加了此次盛会,并发布两款备受业内瞩目的创新分子影像设备——Discovery PET/CT 710和Optima NM/CT640。同时,GE医疗还展出了刚刚发布的革命性的IGS智能移动介入""机器人""——Discovery IGS730血管机,以及其他二十多款创新产品和解决方案,涵盖磁共振、血管造影、彩超、X射线机、患者监护、生命关爱等多个领域,再次彰显GE医疗在业内的技术领先地位。" 基于面向对象Petri网的机械产品装配质量数据链建模 为解决复杂机械产品装配质量形成过程的逻辑建模问题,从装配质量形成过程角度出发,将装配区域划分为若干工作站,将工作站作为基本装配作业单元进行抽象和封装,根据每个工作站对象之间的逻辑关系确定整个系统的消息传递网络,最终建立基于面向对象Petri网的装配质量数据链模型,用以表征装配过程中各类质量特征的变迁和融合。知识规则的引入使模型具有自治性和决策能力,能够结合上游工序质量控制点实例化数据,根据装配误差传递、累积规律对当前工序质量控制点进行动态优化,实现装配质量的前馈控制与误差累积的动态补偿。以主减速器装配过程为例验证了模型的可行性和有效性。 大数据网络中通信传输干扰信息识别仿真研究 对大数据网络中的通信传输干扰信息的识别,能够有效提高网络通信传输性能。对通信传输过程干扰信息进行识别,需要先压缩大数据网络中通信传输信息初始数据集合,选取干扰信号瞬时特征参数,完成对干扰信息的识别。传统方法结合双谱分析与奇异值分解有机结合的方法,从而表征大数据网络干扰信号特征,但忽略了对干扰信号瞬时特征参数的获取,导致识别精度偏低。提出基于决策分类器的识别方法,采用大数据网络中不同干扰信号的特征信息来提取的参数数量少,且分类准确率高的特征向量,从而通信传输干扰信息初始数据集合,克服了当前方法直接进行通信传输干扰信息识别运算复杂度高的缺点,选取干扰信号瞬时特征参数组成的决策分类器对通信传输干扰信息进行分类识别。实验结果表明,所提方法能将大数据网络中人为加入的低频干扰、中频干扰和高频干扰信号准确识别出来。 基于FSA的DNA重复体频率统计算法 针对现有DNA重复体频率统计算法效率低、灵活性差等不足,基于字符串多模式匹配的有限状态自动机,构造DNA子序列比对自动机,利用KMP算法对自动机进行状态转移优化,由此提出一种高效的重复体频率统计算法。该算法通过对DNA数据库的线性扫描,得到每个DNA子序列在全局数据库中重叠与非重叠的重复体频率统计信息以及指定DNA序列集合的最长公共子序列信息。实验结果表明,该算法具有效率高、匹配精确、信息获取方式灵活、支持在线操作等优势。 3G车载多路视频监控终端的研究与实现 提出了一种基于3G网络的多路车载视频监控系统终端的解决方案,重点介绍车载系统终端的硬件设计及软件实现过程;该终端系统选用达芬奇系列中的TMS320DM365视频处理器构建硬件平台,内部集成了H.264编解码器,通过3G网络将视频数据实时发送到远程监控端,有效地提高视频传输质量;试验表明,在3G网络环境下,终端能实现四路视频采集,并能达到7~9帧/s的无线传输速率与本地30帧/s的播放速率,以及单路视频图像的提取放大,实现本地单路/四路视频间的切换。 认知无线电中联合功率控制的动态频谱分配算法 针对认知无线电网络动态频谱分配算法开展研究,基于最大独立集理论,提出一种改进的联合功率控制的动态频谱分配算法,通过联合功率控制机制,避免用户之间的相互干扰和对已分配信道链路的干扰,满足多用户应用需求,并减少节点能耗。该算法以最大独立集为分配起点,允许一次同时分配信道给多个互不干扰的链路,同时兼顾资源分配的公平性,能够有效减少分配的总次数和用户间的信息交互量。仿真结果显示改进的动态频谱分配算法在需求满足率和公平性上都优于原有算法。 面向制造服务的企业间信息集成方案 "针对制造企业向供应链后端扩展的战略意义,文中从W企业开展以产品维修服务为主体内容的制造服务发展战略与业务需求为背景,坚持""业务驱动、IT引领""的方针,在新的需求基础上,充分理解业务,采用信息化手段优化、管理、控制业务流程,使业务数据标准化、规范化、集成化。文中以此为目的,提出了以维修服务为主体业务的制造服务企业间信息集成方案,研究了维修服务系统的工作原理以及整体需求。结合具体的系统需求,应用基于组件的系统分析设计思想,获取了系统业务组件及其组件间的依赖关系,最终给出了维修服务系统组件部署方案。" 增强现实技术综述 增强现实技术是将计算机渲染生成的虚拟场景与真实世界中的场景无缝融合起来的一种技术,它通过视频显示设备将虚实融合的场景呈现给用户,使人们与计算机之间的交互更加的自然,同时具有广泛的应用前景,因此成为近年来的一个研究热点;随着跟踪注册技术的进步、计算机性能的飞速发展、深度摄像机的普及,以及Light Field投影技术在增强现实中的应用,增强现实技术逐渐成为下一代人机交互的发展方向;该文章首先概述了增强现实的主要研究内容和发展情况,并详细介绍了增强现实的关键技术、开发工具,然后分类概述了增强现实应用案例。 基于3G视频的水印方法 针对3G视频编码标准的特性视频流水印技术的实时性问题,提出了一种基于色度块DC系数的视频流水印的鲁棒性算法。该方法利用Arnold变换对水印图像进行预处理,通过计算视频序列I帧的亮度确定欲嵌入帧,计算色度块的均值和方差,把置乱后的水印信息嵌入到色度块的量化DC系数中,该水印算法具有盲检测性。实验结果表明,该算法具有很好的不可见性,并且实现简单,可满足实时性要求,经过压缩等视频处理后,提取水印的NC值都在0.85以上,具有很好的鲁棒性,在嵌入位置的选择性给攻击者在确定攻击目标上增加了一定的难度,具有较好的安全性。 综合CV和Facet模型的裂纹边缘检测 CV模型能检测到连续封闭的裂纹边缘,但边缘的定位精度不高,Facet模型定位裂纹边缘的精度高,但不连续。针对上述问题,研究了一种综合CV和Facet模型的算法。该算法利用CV模型进行边缘检测,在CV边缘点的附近运用Facet模型进行检测,将两种方法分别获得的边缘点进行融合得到连续封闭且定位准确的边缘。将该算法应用于工业CT图像的裂纹边缘检测中,实验结果表明该方法是有效的。 Multi-Tenant应用的页面元素可配置性研究 通过介绍Multi-Tenant并分析SaaS(Software as a Service)框架下Multi-Tenant(多租户)应用的页面元素个性化需求的问题,归纳提出并评估页面元素可配置的实施方案,最后讨论方案的应用及前景。 一种支持SIMD体系结构的高效分布式堆栈——HEDSSA 随着问题规模的增大和对实时性要求的提高,SIMD向量处理器尤其是带有向量运算单元的处理器在业界得到广泛应用。处理器上程序的运行状态一般由编译器通过堆栈进行管理。已有编译器堆栈设计机制在SIMD体系结构中严重影响了整个应用程序的运行性能。根据SIMD体系结构特点,提出了一种高效分布式堆栈设计方法——HEDSSA。实验结果表明,HEDSSA堆栈使得应用程序在进行局部数据访问、函数调用、发生中断以及动态分配数据时能够以更高的效率访问堆栈数据。 TTRank:基于倾向性转变的用户影响力排序 "近年来,不少学者从回复关系的角度分析用户影响力,但存在回复关系稀少、帖子内容被忽视、不能动态更新等问题.为弥补这些不足,提出了一种基于倾向性转变的用户影响力分析方法.先计算帖子的影响力,再提出""局部回复链""的概念,引入间接回复关系计算方法,增加了帖子之间的回复关系;然后对局部回复链,分析用户倾向性变化的过程,得到用户影响他人和受影响的程度,最终获得用户在指定范围内的影响力排名.该算法与10种经典的影响力分析算法对比以及实例分析的结果,说明该算法能从其他角度更好地刻画用户形象." 粒子群优化的神经网络PID沼气干发酵温度控制 针对目前沼气干发酵温度控制中神经网络PID控制器性能受初始权值设置影响较大的问题,提出一种粒子群优化神经网络PID控制器的控制方法。粒子群算法利用发酵温度系统数学模型对神经网络的初始权值进行优化,用优化后的神经网络在线调整PID控制器参数。在优化过程中引入多种群竞争机制,提高粒子群全局寻优性能。仿真结果表明,该系统控制品质要优于神经网络PID控制,能够减小超调量、缩短稳定时间,提高控制精度。 基于FDH的分区域多目标遗传算法 提出了一种基于FDH的分区域多目标遗传算法(FDH-MOGA)。该算法通过FDH对种群中所有个体进行评价,根据评价所得的效率值和拥挤度对种群进行选择,提高了该算法的局部搜索能力,同时引入分区策略增加算法的搜索范围,有效避免了遗传算法早熟的缺陷,提高了所获解的多样性。对多个测试函数以及投资组合优化问题的测试结果显示,FDH-MOGA算法具有良好的计算性能,更具有效性。 纯电动轿车车窗防夹的H_/H_∞鲁棒故障诊断方法研究 针对纯电动轿车车窗防夹使用安全方面的需求,以解析模型的故障诊断理论为基础,提出了H-/H∞性能指标的最优鲁棒故障诊断车窗防夹控制算法。该算法将车窗防夹事件发生时的电机转矩变化率看做故障,通过构建鲁棒故障诊断观测器产生一个残差信号,当车窗防夹发生时残差会显著偏离零值,从而将纯电动轿车车窗防夹检测问题转换为H-/H∞性能指标的鲁棒故障诊断问题,进而利用线性矩阵不等式LMI的方法来求解。在MATLAB环境下建立了Simulink模型,仿真结果表明了该算法在阈值设计为0.4721的情况下,能够在故障发生后0.15 s及时地检测出防夹事件,同时对不确定扰动及噪声有较强的鲁棒性。 基于标值点过程改进的遥感道路提取新算法 研究了标值点过程的道路提取算法,针对传统数据模型提取道路不够准确的缺点,改进了数据模型。提出了基于边缘检测的生灭转移核,避免了传统的生灭过程搜索的盲目性,大大加快了算法的收敛速度。针对传统转移核容易破坏线段的连接性的缺点,定义了多种新型的RJMCMC转移核,重新设计了基于邻域的生灭转移核及线段参数转移核。仿真结果表明,改进算法大大提高了收敛速度,并且提取的道路网络更准确,更连续。 基于Pro/E的航天器电池帆板展开过程仿真 在Pro/E4.0的软件平台上建立航天器太阳能电池帆板的虚拟样机模型,简单介绍电池帆板的三种常见结构:弯曲主振动梁式结构、弯曲组合振动板式结构和一般多体结构。并且对弯曲主振动梁式结构和一般多体结构类型的电池帆板进行展开过程的比较仿真和动力学分析,为航天器帆板的设计提供一定的依据。 超超临界二次再热机组再热汽温系统模型辨识 由于超超临界二次再热机组结构的复杂,使得再热汽温系统动态特性与一次再热机组差异较大,这也使得机组稳定运行的难度也随之增加,因此建立精度良好的再热汽温模型对优化控制策略的制定具有重大的意义。基于国电泰州3#1000MW超超临界二次再热机组运行历史数据,采用最大信息系数对参数进行相关性分析,用子空间跟踪主元递推算法分析不同时刻影响再热汽温系统的主要因素,并结合子空间辨识算法,得到了再热汽温系统的滚动多变量模型。仿真结果表明,滚动递推辨识方法得到的滚动多变量模型能够反映超超临界二次再热机组再热汽温的热工动态特性,可用于再热汽温系统的优化控制的研究。 基于像素差和模函数的隐写方法 传统的利用像素差(PVD)进行隐写的方法,通常只考虑水平方向的PVD而忽略垂直方向的PVD。为此,将原始图像分成互不相交的2×2的像素块,在水平和垂直2个方向上分别采用基于模函数的方法和普通PVD的方法进行隐写,并针对2种方法的特点对越界情况进行调整。实验结果表明,该方案能改善隐写图像的质量,提高嵌入容量,并能抵抗常见攻击。 环境重建三维格网数据下的快速航迹规划方法 三维环境重建数据往往以三角格网的形式进行管理和存储,在该数据上进行航迹规划是飞行器、机器人等实现自主飞行或行走的基础。但是航迹规划过程面临的最大困难是规划数据量庞大,规划时间过长以及内存消耗过大。本文以三维重建所得到的三角格网环境数据为基础,提出一种基于通视性分析的三维航迹规划算法。与A*算法和粒子群算法进行对比,实验结果表明本文算法能够在占用较少的内存空间的情况下快速生成三维可行航迹。 基于分子格的粗糙集模型推广 粗糙集模型的推广是粗糙集理论研究的重要方向之一。在分子格的框架下,定义了一个从分子到一般元素的映射,基于该映射,分别构造了两种上近似算子和两种下近似算子,并讨论了这些算子的基本性质。 低信噪比下TDCS的压缩感知稀疏信道估计 针对TDCS在低信噪比下宽带稀疏信道中使用传统信道估计方法进行信道估计误差过大的问题,采用压缩感知技术改进得到一种低信噪比下TDCS的压缩感知稀疏信道估计方法。将TDCS的稀疏信道估计问题建模为典型的压缩感知模型,并对正交匹配追踪算法进行改进,可以在未知稀疏信道先验信息的情况下实现TDCS稀疏信道的精确估计。理论分析与仿真结果表明,低信噪比下TDCS的压缩感知稀疏信道估计方法相比于传统的信道估计方法,可以提高TDCS稀疏信道估计精度,同时降低压缩感知重构算法计算的复杂度。 大规模地形建模的研究 针对目前各种大规模地形建模的优缺点,并结合目前流行的地形建模方法,提出一种较为真实的地形建模方案。为达到更真实的模拟,对地形泥土腐蚀现象做了研究,提出了一种基于细胞自动机的腐蚀模拟方法。 考虑缺货策略的闭环供应链牛鞭效应系统动力学分析 为考察回收物流对供应链牛鞭效应的影响程度,建立了由需求方、制造商和原材料供应商、回收商组成的闭环供应链系统动力学模型。模型建立在供应能力受限并禁止退货的约束条件上,使系统成为分段线性复杂系统。分别在允许延期交货和不允许延期交货条件下进行实验,仿真实验结果表明,在不允许延期交货策略下,回收物流会放大牛鞭效应;而在允许延期交货策略下,若回收比例合理,则可以弱化牛鞭效应。 基于遗传算法的主题爬虫 针对目前主题网络爬虫搜索策略难以在全局范围内找到最优解,通过对遗传算法的分析与研究,文中设计了一个基于遗传算法的主题爬虫方案。引入了结合文本内容的PageRank算法;采用向量空间模型算法计算网页主题相关度;采取网页链接结构与主题相关度来评判网页的重要性;依据网页重要性选择爬行中的遗传因子;设置适应度函数筛选与主题相关的网页。与普通的主题爬虫比较,该策略能够获取大量主题相关度高的网页信息,能够提高获取的网页的重要性,能够满足用户对所需主题网页的检索需求,并在一定程度上解决了上述问题。 多视角分布式视频编码中基于置信度的时空边信息融合 在现有的多视角分布式视频编码MDVC(Multi-view Distributed Video Coding)边信息SI(side information)生成方法中,时间与空间边信息的融合未能有效地选择和提取两种边信息的可靠部分。针对这个问题,提出一种基于置信度的时空边信息的融合方法。利用时间和空间边信息的可靠性模版以及时空置信度的模版,获得时空融合模版;然后,利用时空融合模版从时间边信息与空间边信息中选择出最优的像素组成最终的融合边信息。实验结果表明,在相同码率的条件下,获得的峰值信噪比PSNR(Peak Signal to Noise Ratio)相比于时间主导融合方法最高有0.79 d B的提升,相比于时空补偿融合模板最高有0.58 d B的提升。此外,获得的重构帧能有效地保留原始图像的细节部分。 含伪结的RNA分子二级结构预测 预测含伪结的RNA分子二级结构是生物信息学的一个研究难点。利用多分类支持向量机结合贝叶斯神经网络针对含伪结的RNA分子二级结构进行预测。利用多分类支持向量机进行预测,输出端得到相应碱基的平面伪结结构的E-NSSEL(Ex-tend New Secondary Structure Element Label)类别标签。使用碱基已预测的结果通过贝叶斯神经网络进行修正,并恢复RNA分子二级结构。使用该方法能有效地改善含伪结的RNA分子二级结构的预测效果。 地空信道基于OFDM/OQAM系统的波形自适应算法 传统的正交频分复用/偏移正交幅度调制(OFDM/OQAM)系统波形自适应设计主要针对具有非指数型时延功率谱和非U型多普勒功率谱的信道模型对波形进行优化,而实际中,波形自适应设计会因不同的信道模型产生不同的信道匹配准则系数。结合地空信道模型和扩展高斯函数的特性,在传统基于信干噪比(SINR)优化的OFDM/OQAM系统波形自适应算法的基础上提出一种新的OFDM/OQAM系统波形自适应设计算法。该算法引入信道匹配系数β,通过信道匹配准则建立波形时频域间隔与信道最大多径时延、最大多普勒频移的关系,再结合传统SINR优化函数计算扩展因子参数,将参数反馈给发送端并调整发送端和接收端的滤波器达到波形自适应的目的。仿真结果表明,4QAM和16QAM调制下,信道匹配系数β的引入在系统误码性能上均有1. 0 d B以上的改善。 一种基于动态带宽分配的企业服务总线模型 现有的企业服务总线(ESB)系统在重载时会发生业务拥塞并导致服务质量下降。针对该问题,提出一种基于动态带宽分配的ESB模型。将总线上运行的各个业务流分为3种级别的业务类型。系统在运行时按业务类型优先级为业务流量动态分配带宽,以保证高优先级业务的带宽需求,减少拥塞的发生,提高系统的吞吐能力。实验结果证明了该模型的有效性。 对偶分布匹配的图像分割算法 针对传统局部一致性方法的缺点,在研究全局一致性方法的基础上,提出一种对偶分布匹配(Dual Distribution Matching,即DDM)的图像分割算法。该算法首先将前景和背景的概率分布作为输入分布,构造出前景和背景的对偶匹配模型,该模型描述两个输入分布和分割结果的相似度,然后利用整幅图像的分布来确定模型的权重参数,从而求解能量函数ε(L)的全局最小化的真解L*,最后利用基于Bhattacharyya的图分割(Bhattacharyya Measure Graph Cut,BMGC)的辅助函数完成能量函数ε(L)的优化,不断更新辅助标记La,Lb收敛于真实标记L*。实验表明在输入分布不够精确的情况下,该算法具有较好的准确性和稳定性。 基于文化基因算法和最小二乘支持向量机的安全数据特征处理方法 随着各类生物智能演化算法的日益成熟,基于演化技术及其混合算法的特征选择方法不断涌现。针对高维小样本安全数据的特征选择问题,将文化基因算法(Memetic Algorithm,MA)与最小二乘支持向量机(Least Squares Support Vector Machine,LS-SVM)进行结合,设计了一种封装式(Wrapper)特征选择方法(MA-LSSVM)。该方法利用最小二乘支持向量机易于求解的特点来构造分类器,以分类的准确率作为文化基因算法寻优过程中适应度函数的主要成分。实验表明,MA-LSSVM可以较高效地、稳定地获取对分类贡献较大的特征,降低了数据维度,提高了分类效率。 基于多态并行处理器的生物计算并行实现 针对传统的生物计算中DNA序列保守序列的识别(模体识别)和最长公共子序列计算需要较大的数据量、计算量,以及功耗大等问题,文中提出了两种基于PAAG多态并行处理器的并行算法,该并行处理器能够支持数据、线程、指令多种并行。通过编程在PAAG多态并行处理的处理单元(PE)上开发了相应的串行和并行程序,将计算的不同过程分派到不同的处理单元(PE)上进行处理,实现了不同粒度算法的并行。实验结果表明,文中提出的并行算法使模体识别和最长公共子序列的计算效率得到明显提高。 基于正交试验的作业车间瓶颈识别方法 针对作业车间现有瓶颈识别方法的不足,提出了一种基于正交试验的瓶颈识别方法。该方法利用正交表和多种分派规则构造试验方案,以生产系统作业目标为衡量指标,快速便捷地实现瓶颈机器的识别。该方法能够在生产任务执行之前,预先给出瓶颈机器所在,以指导生产计划和相关生产准备。通过与移动瓶颈识别法对不同规模的作业车间调度问题标准算例进行仿真对比,证明了该方法的优越性和可靠性。 基于本体的网络安全态势知识库模型 通过对网络安全态势相关概念语义关系的分析,构建出网络安全态势的指标体系。在此基础上,利用本体工程技术,从顶级本体、领域本体、任务与行为层本体及应用层本体等四个层次,建立知识库模型。最后,对网络场景进行了形式化定义,通过网络场景来描述网络安全态势知识库中的具体实例,并分析了网络流量数据序列中的一个异常场景。 基于变惯性权重及动态邻域的改进PSO算法 分析并验证基于变惯性权重的粒子群优化(PSO)在粒子寻优过程中的有效性,论述类无标度网的特殊拓扑性质。将有向动态类无标度网作为粒子寻优邻域,提出一种基于变惯性权重及动态邻域的改进PSO算法。实验结果证明,与传统PSO算法相比,改进算法的寻优效果较好,可在一定程度上避免陷入局部最优。 一种求解高校路网的改进蚁群算法策略与应用 针对标准蚁群算法易陷入早熟收敛的缺陷且为求解高校路网问题,提出一种求解高校路网的改进蚁群算法。该算法引入了一定比例的逆向蚁群与自平衡搜索策略,以平衡两种群求解并判定算法是否陷入局部最优,采用改进的状态转移概率算子引导蚁群转移,有效提高算法性能,增加种群多样性。实验以Visual Studio2005中C++编程实现仿真,结果表明此算法不但能有效求解高校路网最短路径,而且改进的算法收敛精度高,有效克服了早熟收敛问题。 基于Web Service的普适计算环境下GDSS的研究 "文中以会商式台风综合预报系统为研究背景,对普适计算环境中的GDSS的设计与实现进行了研究,并将Web Service技术应用到普适计算环境中,设计了基于Web Service的GDSS架构,同时将其应用到会商式台风综合预报系统中。随后,针对普适环境下""无处不在""的新特性,考虑到手机仍然是当前最广泛应用的移动设备,引入了基于J2ME的手机专家。最后,为此开发了原型系统,通过对实验结果进行分析,说明文中所设计的基于Web服务的普适环境下的GDSS能够较好地应用于普适环境中。" 基于信息熵种子点选取的流线可视化 有效的种子点选取方法是影响流线分布洞悉流场特性的关键。在保持流场变化规律与重要特征准确描述前提下,为了解决由过多流线所导致的遮挡与杂乱问题,提出了基于贪婪策略和蒙特卡洛的两种种子点选取方法。基于贪婪策略的种子点选取方法通过流场信息熵的计算,对流场中的关键特征具有高度敏感性。基于蒙特卡洛种子点选取方法根据均匀随机分布函数生成输入,基于信息熵计算输入点影响半径确定流线分布。通过多个数据集对两种选取方法实验,结果表明基于贪婪策略选取方法可高效捕获流场的关键特征,基于蒙特卡洛方法选取流线更加均匀,保持了流场全局变化规律,两种方法的结合得到更优化的流场可视化效果。 基于谱图理论的本体相似度计算 概念的语义相似度研究,是知识表示以及信息检索领域中的一个重要内容。将与某概念相关的信息表示为一个向量,建立原本体图的伴随图。用ε-领域方法定义边,用高斯核函数定义边的权值。通过计算图拉普拉斯矩阵的次小特征值对应的特征向量得到本体相似度计算函数。实验结果表明该算法是有效的。 一种结合文本和链接分析的局部Web社区识别技术 当前Web社区识别算法大都基于纯链接分析,忽略了Web的文本属性.针对Flake等人提出的基于最大流算法的社区识别框架的不足(如赋予网页之间的链接不公平的权重、排序策略单一等),提出了一种结合网页内容分析与链接分析的改进算法.首先,提出一种新的基于文本相似度的边容量分配方法.基于网页间内容越相似彼此传递的权威度越大的特点,将网页的内容相似度用于Web图的边容量设置上,具体策略为Max-flow+TF-IDF边容量设置和Max-flow+TF-IDF+Seeds边容量设置.其次,提出的社区结点的排序策略充分考虑了结点和社区主题的相似度,以此来增强结点区分度.理论分析和实验证明了该算法具有提高社区发现的精度和大小、计算出的排序分值更为客观合理等优点. 基于水平集方法的弱边界运动人体目标跟踪与轮廓提取 针对图像中目标和背景灰度偏差较小、目标边缘轮廓弱的特点,提出了一种快速收敛并具有较强捕获弱边缘能力的水平集曲线演化方法。该方法采用指数函数作为边缘指示函数,运用归一化的Gauss分布函数改进传统的正则化Dirac函数。在目标跟踪过程中,采用卡尔曼滤波获取视频相应帧图像的运动人体目标最小外接矩形框,对外接矩形框内运动人体进行水平集曲线演化,实现对人体目标的跟踪和轮廓提取。分别对可见光下的运动目标和红外运动视频序列进行仿真实验。结果表明,相对于传统方法,其在跟踪速度上有很大的提高,对于红外图像中的弱边界目标及凸凹度较大的区域,也具有快速准确的收敛效果。 一种灵活的IaaS云服务租户带宽保障模型 针对云数据中心中基础设施即服务(Iaa S)的租户带宽保障问题,提出了租户需求模型弹性带宽保障模型(EBG)。提出了以往研究中没有涉及到的租户需求扩展问题。EBG模型通过解耦合网络与计算资源提供了灵活性,能更有效地利用网络资源,同时解决了租户扩展问题。设计实现了EBG模型的部署算法,通过模拟实验证实了新模型能够更好地利用网络带宽资源,同时减少因为租户扩展需求造成的虚拟机迁移问题。 一种基于深度信息的障碍物检测方法 为增强室内移动机器人障碍物检测和道路提取能力,文中提出了一种基于深度信息的障碍检测方法。首先对深度数据进行滤波处理,填补缺失的数据;然后将深度图转换为视差图,对视差图进行水平和竖直方向投影直方图统计获得U-V视差图;由V视差图得到初步道路信息,进一步用最小二乘法拟合出完整道路平面。对U-V视差图进行两次最大类间方差法(Otsu法)分割,提取出障碍物主要信息,并根据视差关系得到障碍物在世界坐标系中的位置。实验结果表明,使用Kinect可以有效地对地面障碍物进行检测并提取出道路信息,可为室内移动机器人提供良好的导航信息。 弱连接边缘独立判别社交网络社区快速生成树推荐 针对传统社交网络社区推荐算法精度不高且计算复杂度过高的问题,提出一种弱连接边缘独立判别社交网络社区快速生成树检测算法,在提高社区推荐精度的同时,降低算法计算复杂度。首先,结合社交网络社区推荐特点,设计基于边缘重量分配节点相似性的最大生成树算法,实现对社交网络社区的有效检测;其次,针对所提算法,存在弱连接边缘重复添加、删除,浪费计算资源的问题,提出弱连接边缘独立判别的快速生成树检测算法,进一步提高算法的计算效率;最后,通过在标准测试数据库中的实验对比,验证了所提算法的有效性。 超宽带拓展距离应用的研究综述 目前,超宽带(UWB)系统主要局限于短距离应用,为了扩展其应用领域,一些有关拓展UWB通信距离的研究逐步展开。针对这些研究进行了综述,描述了UWB远距离通信的应用场景,并通过原理分析、实例论证和难点探讨,具体介绍了拓展UWB通信距离的六种策略,包括降低通信速率、使用定向天线、使用M IMO天线、使用协作通信或多跳传输、使用多抽头数的RAKE接收机以及突破功率谱密度限制,为UWB远距离通信的实现方案选型提供了重要参考。 避免数据包重复采集的分布式流量测量算法 "针对DPC算法存在的问题,提出一种""标记""数据包头的分布式流量测量算法。为避免同一数据包被不同测量点重复采集,在网络入口测量点处,对到达的数据包进行抽样,修改被抽取数据包头中标志位的预留位为1;在网络的中间节点,通过查看数据包的预留位判断数据包是否已被抽取,对于已被抽取的数据包,不再重复记录,对于尚未被抽取的数据包,根据抽样规则决定是否抽取,避免多次采集相同数据包导致计算资源和存储资源的重复消耗。通过理论推导和实例验证了该算法的有效性,为分布式网络流量测量的扩展应用提供了解决途径。" 基于内存云架构的带宽负载均衡算法 为解决在线数据访问频率存在差异性造成的内存云服务器内存带宽负载不均衡问题,内存云数据中心采用段式日志结构文件系统,提出一种数据段交换(data-segments exchanging,DSE)算法,周期性地对内存带宽负载不均衡的服务器上数据段进行相互交换,使集群的带宽负载达到均衡。仿真结果表明,该算法可以使内存云集群的带宽负载达到均衡,时延降低了12.61%,有效提高了内存云集群的性能。 大数据安全与隐私保护研究进展 当前,用户数据的安全与隐私保护无疑成为大数据环境中最为重要的问题之一,而其最彻底的解决方式是通过加密所有数据来完成.因此,新的加密技术和在密文域上探索高效的大数据处理新模式是国内外当前的研究热点.在贯穿于整个数据生命周期中,密文域上的计算、访问控制和数据聚合(分别称为密文计算、密文访问控制和密文数据聚合)等问题已成为该领域的核心问题.主要针对密文计算、密文访问控制和密文数据聚合等当前国内外研究的现状进行综述,指出其存在的问题与不足.在此基础上,重点介绍了文章作者团队在大数据安全与隐私保护方面的最新研究成果.在密文计算方面,提出了通过减少公钥加密使用次数来设计高效的隐私保护外包计算的新方法,并设计了不依赖于公钥(全)同态加密,仅需一次离线计算任意单向陷门置换来实现安全外包计算的新方案.在密文访问控制方面,提出了支持大属性集合的、短密文的高效可追踪、可撤销属性基加密方案.在密文数据聚合方面,提出了不依赖于加法同态加密的、保护个体数据隐私且仅由授权接收方可成功解密聚合结果的高效隐私保护外包聚合方案.最后,还指出了该领域当前研究中需要解决的公开问题和未来的发展趋势. 增高座椅性能参数影响及损伤仿真分析 为了降低儿童乘员在碰撞过程中胸部和头部的损伤率,对增高座椅儿童约束系统进行参数化分析。本文采用多体动力学软件MADYMO建立儿童乘员碰撞仿真模型,并按照FMVSS213法规验证模型的有效性。在此基础上,以头部质心合成加速度、头部损伤准则HIC36、胸部合成加速度为损伤指标,分析增高座椅与台车座椅之间的摩擦系数、汽车座椅安全带刚度、增高座椅在高度方向上变化对儿童损伤的影响,仿真结果表明:在增高座椅底面涂以摩擦材料以提高摩擦系数,适当提高安全带刚度及维持现有座椅高度可以显著减少儿童在碰撞过程中的头部和胸部损伤。 基于动态可重构技术的阵列型处理器设计 在现有可重构处理器设计的基础上,提出了一种改进的阵列型动态可重构处理器—IRAP.在IRAP中,将处理单元组成的阵列按象限划分为4个区域,每个区域包含个可配置的处理单元,运算时不同区域可以根据需要进行不同的配置,增加了配置的灵活性,提高了系统的执行效率;同时增加了系统数据的传输带宽,并根据数字信号处理中常用的蝶形算法对阵列互联进行了优化.仿真结果显示,在FFT等典型数字信号处理应用中,IRAP具有比改进原型更优的性能. 基于Agent的辩论谈判系统的协议研究 协议是谈判支持系统实现的基本条件之一。依据经典的BD(I信念、愿望、意图)理论和已有的协议,将人类辩论思想融入,给出了协议的基本语言和逻辑框架,提出了相应的状态转换图,对状态转换图中的推理规则进行了详细阐述,从而构建了更加完整的基于Agent的辩论谈判系统协议。最后结合已有的策略和模型,以系统实现的方式对协议的合理性和有效性进行了验证,并指出了下一步研究方向。 自适应门限的异构无线网络预切换机制 以无线局域网(WLAN)和WiMAX网络融合构成的异构网络为研究对象,研究了多模移动终端基于FMIPv6机制完成异构无线网络之间垂直切换的操作流程。针对垂直切换过程中使用固定门限值预切换机制存在的不足,提出了一种自适应门限预切换机制,并详细分析了垂直切换过程中目标网络接入时延,从而为所提出的自适应门限值预切换机制提供理论依据。在仿真部分,扩充了NS2仿真平台上已有的功能模块,从而验证了所提出的自适应门限预切换机制的性能。 求解一类不可微多目标优化问题的社会认知算法 针对一类不可微多目标优化问题,给出了一个新的算法——极大熵社会认知算法。利用极大熵方法将带有约束的不可微多目标优化问题转化为无约束单目标优化问题,然后利用社会认知算法对其进行求解。该算法是基于社会认知理论,通过一系列的学习代理来模拟人类的社会性和智能性从而完成对目标的优化。利用两个测试算例对其进行测试并与其他算法进行比较,计算结果表明,该算法在求解的准确性和有效性方面均优于其他算法。 基于动态格点的压缩感知目标计数和定位算法 基于压缩感知技术的无线传感器网络定位,一般将定位区域划分为一定数目的网格并假定目标位于网格中心,然后通过求解一个1范数最小化问题来获得目标的位置。事实上,目标的随机性导致其很难位于网格中心,此时假定的变换基将无法稀疏表示位置信号,从而造成字典失配,使得定位精度下降。因此,提出一种基于动态格点的压缩感知定位算法。该算法能够自适应地调整格点的划分,使目标位于网格中心处。在求解过程中,该算法将复杂的优化问题转化成字典的更新和位置向量的求解两个部分的迭代来完成,同时实现了目标的计数和定位功能。仿真结果证明,与传统的压缩感知定位算法相比,所提算法在目标计数和定位方面都有更好的性能。 从XML历史结构变化中发现频繁变化结构 给出一个从XML历史版本结构变化中发现频繁变化结构的解决方案.提出HCN-DOM模型,在该模型中记录历史版本中出现的每一个结点的变化次数和显著变化次数.基于HCN-DOM模型,提出两种算法:FCS算法和FCS+算法.FCS算法在构建完HCN-DOM后获取FCS,而FCS+算法采取边构建边获取的策略,提高了效率.实验结果表明两种算法是高效的. 基于身份自证实的秘密共享方案 为了解决现有秘密共享方案中秘密份额的安全分发问题,基于Girault密钥交换协议,结合基于身份(ID)的公钥密码技术提出了一个新的秘密共享方案,并对其进行了安全性和性能分析.该方案中,用户的私钥作为其秘密份额,无须秘密分发者为每个用户分发秘密份额.用户的私钥可以由用户自己选取,可信第三方无法获取其私钥.同时,任何人都可以以离线方式验证每一个参与者公钥的合法性.分析表明,文中所提出的基于身份的秘密共享方案具有更高的安全性和有效性,能更好地满足应用需求. 采用延伸顶点的地面点云实时提取算法 针对室外环境下无人驾驶车辆的地面提取实时性差的问题,提出了一种利用三维激光雷达快速提取地面的方法。首先利用车载IMU和里程计对雷达点云进行校正,然后构建柱状极坐标网格地图,根据网格中点云分布的垂直连续性提取每个网格中的延伸顶点,根据延伸顶点的高度属性以及地面平滑一致性准则提取出所有的地面点。试验中使用Velodyne HDL-32E采集不同场景下的数据作为测试集,结果表明,该方法同现有的地面分割算法相比能够降低车辆自身运动造成的提取误差,避免出现过分割和欠分割,分割准确率约为98.2%,每帧处理时间能够稳定控制在33 ms左右。 计算存储一体的体系结构研究与实现 为减少访问存储器所带来的延迟,提高数据访问速率,设计一种计算存储一体的体系结构。将计算单元集成在存储器中,使计算直接在数据所在处进行,缩短数据访问的路径,减小延时和功耗。自主设计一款支持顺序超标量和超长指令字两种模式的微处理器,该处理器具有高性能、低功耗的特点,适合用作上述结构中的计算单元。通过MapReduce等部分程序在现场可编程门阵列平台上的测试验证并与传统计算结构进行对比,结果表明,性能提高约60%,功耗降低约95%,验证了该结构的高可靠性和有效性。 基于神经网络的目标识别模型验证方法研究 "针对多传感器目标识别仿真模型的验证问题,提出了一种基于多神经网络的""分层有序""的模型验证方法。该方法利用神经网络的自组织和自学习能力,通过对各种目标识别模型关键行为特性的学习,将实际系统行为归类为其中的一种模型,从而对模型的可信性做出评估。仿真结果进一步说明了该方法的可行性和有效性。" 江西杰科工程咨询有限公司简介 [正文]江西杰科工程咨询有限公司成立于2005年,是江西省计算技术研究所的控股投资公司。公司依托江西省计算技术研究所及江西省计算机质量监督检验站的强大技术实力,是我省最早从事IT领域独立第三方服务的专业机构之一,专业从事信息技术咨询、信息工程监理以及信息工程评估等服务业务。 t-SNE+LDA算法在仿生嗅觉中的应用研究 将流形学习中的t-SNE算法引入仿生嗅觉领域中,提出一种基于t-SNE(t-分布邻域嵌入)与LDA(线性判别分析)算法相结合的气味分类鉴定新方法。由PEN3电子鼻获取物质气味特征信息,通过t-SNE算法将非线性、高维度的气味响应数据降维到低维空间,并利用LDA算法对低维数据进行分类和识别。利用五种不同成分的纺织品材料气味信息,通过t-SNE、PCA+LDA和t-SNE+LDA三种算法做对比实验。实验结果表明,相较于其他两种方法,t-SNE+LDA算法对常见的不同成分纺织品材料拥有更好的分类和识别效果,而且t-SNE+LDA算法得到的结果具有较小的类内离散度和较大的类间离散度。因此,t-SNE+LDA算法是仿生嗅觉中气味分类和识别的一个新方法。 基于身份的改进认证密钥协商协议 对基于身份的认证密钥协商协议进行安全性分析,指出其可能遭遇多余信息、伪装攻击和已知临时秘密泄漏攻击。为解决上述安全隐患,在原协议的基础上提出一种改进协议,并分析其非形式化下的安全性和协议运行效率。分析结果表明,改进的协议满足目前已知的所有密钥协商协议的安全性要求,具有较高的效率。 基于FC-AE-ASM协议主机总线适配器设计 FC-AE-ASM协议是FC-AE网络中的一种上层协议,研究FC-AE-ASM网络的主机总线适配器具有重要的意义。本文对FC-AE协议以及ASM协议进行分析,设计并实现一种FC-AE-ASM网络的主机总线适配器,并从FC-AE协议主机总线适配器的系统结构设计、ASM消息收发机制等方面对该设计说明,最后通过实验验证了系统的可用性。 一种BP网的学习速率与动量项自适应算法 针对BP网络学习速率和动量项参数较难选取以及学习过程中学习效率较为低下的问题,提出BP网络的改进算法模型—AB网络模型,来选取学习速率和动量项的参数值,即通过一个为给定先验知识的A网,动态调节另一个执行实际应用的B网中的学习速率和动量项的参数值,并以此提高整个网络的学习效率.实验结果表明,通过AB网络自适应调整参数的算法比普通BP算法的学习效率大大提高.在实际应用中,我们可以通过AB网络自适应调节的方法,对学习速率参数和动量项参数进行合适的选取. 基于模糊逻辑的物联网访问控制框架研究 访问控制协议是网络中资源安全访问与共享的重要研究内容,为了提高物联网中访问控制协议的可扩展性和能源利用率,提出了一种基于模糊逻辑的访问控制协议;首先通过模糊的信任值对设备间的访问控制权限进行定义;其次,基于经验、知识和推荐的语言模型及其成员函数定义进行信任值的计算;最后提出了一种物联网访问控制框架;通过模拟实验表明,随着网络节点个数的增加,平均能量消耗逐渐增大,提出的方法在相同的网络环境下其平均能量消耗小于经典的访问控制方法,而且提出的方法在节点规模增大的情况下,平均能量消耗的增加率逐渐减小,这些表明了提出的方法与传统的访问控制协议相比,可扩展性好,能量利用率高,因而更适用于物联网环境下的访问控制。 基于软件定义网络的智能变电站网络架构设计 随着智能变电站二次装置标准化、智能化水平的提升,需要有一种更高效、智能的通信网络以满足变电站运行和维护要求,能够实现设备即插即用、智能监测、子网间安全隔离以及设备通用互换。针对智能站网络统一管理、子网间安全隔离以及设备兼容性、互换性的应用需求,提出了一种基于软件定义网络(SDN)技术的变电站网络架构,将IEC61850和OpenFlow协议用于网络架构设计,利用OpenFlow控制器管控和隔离各独立子网,以实现网络设备管理和子网安全隔离。实验结果表明,所提架构可实现流量基于业务类型的精准控制和数据的安全隔离,对于提升变电站运行和维护水平有着非常重要的应用价值。 分布式RFID复杂事件处理关键技术的研究 RFID复杂事件处理是RFID应用的一项关键技术。传统的集中式处理方式无法及时处理海量的实时RFID数据流,制约着RFID应用的大规模部署。研究了分布式RFID复杂事件处理技术。提出了分层的树形任务结构;建立基于效率-成本和延迟的模型来评价任务分解方案;设计动态规划算法来求解最优分解方案;实验验证了分布式处理的高效性和提出模型和算法的正确性。 一种开放式智能停车场及其停车位控制系统设计 提出了一种基于磁阻感应技术与无线传感网络技术相结合的开放式智能停车场的控制与管理技术方案.开放式智能停车场的基本单元是停车位的控制部件设计,方案中应用磁阻感应技术设计了地磁传感器,自动检测和确定车位中有无车辆以及停泊车辆的离开意图,通过构建无线传感网络,实现整个开放式智能停车场系统的管理. 混合量子粒子群算法求解车辆路径问题 量子粒子群算法在求解车辆路径问题时一定程度上解决了基本粒子群算法收敛速度不够快的缺点,但是量子粒子群算法仍然存在容易陷入局部最优的缺点。利用混合量子粒子群算法对车辆路径问题进行求解,运用量子粒子群算法对初始粒子群的粒子进行更新,对粒子进行交叉操作,可以提高算法的全局搜索能力,进行变异操作,可以改善算法的局部搜索能力。以Matlab为工具进行仿真实验,实验结果表明改进后的算法在求解车辆路径问题时具有良好的性能,可以避免陷入局部最优,对比量子粒子群算法和遗传算法具有一定的优势。 基于多信号模型的机载设备综合诊断方法研究 对机载设备综合诊断的信息来源和基于模型的诊断方法进行了分析,重点研究了基于多信号模型的故障诊断方法;给出了机载设备多信号模型的建模方法,研究了基于该模型的可达性和相关性分析方法,及故障-测试相关性矩阵的获取方法;在此基础上,详细设计了综合故障诊断方案和流程;并以机载气象雷达为实例,建立了该设备的多信号模型及测试-相关性矩阵,实现了气象雷达的综合故障诊断;验证了基于多信号模型的机载设备综合诊断方法的可行性。 企业级解释系统瘦客户端运行模式设计及实现 "地震综合解释系统是支持油田企业勘探开发的主要应用业务系统,其运行模式从早期的""单工作站""模式逐渐发展到目前广泛实施的""客户端-服务器""集中管理模式;随着地震综合解释系统数据量和用户群不断增多和扩大,系统的支撑能力明显不足;笔者在深入剖析地震解释系统几种运行模式优缺点的基础上,设计了以网络系统为纽带,后台合理配置PC机群、服务器群、高性能工作站群,前端配置Windows微机客户端,适合企业集中应用的""瘦客户端""运行模式;进一步地,提出针对该模式的综合管理方案,并在测试和实际应用中对""瘦客户端""架构设计进一步优化;这种模式下的解释系统有效支撑了150人的并发实时作业,大大提高了软硬件及网络资源的综合利用效率,提供了更为强大、适用、灵活的专业软件应用能力,推动了软硬件资源共享的进程,具有较好的应用价值。" 基于虚拟机架构的内核Rootkit防范方案 内核Rootkit是运行在操作系统内核空间的恶意程序,对系统安全构成巨大威胁。研究表明,内核Rootkit的共同特征是修改内核的程序控制流程。分析了Linux内核中影响程序控制流程的资源,并通过对这些资源进行保护,来防止Rootkit对内核控制流程的篡改。实验表明,该方法能够有效防止多种Rootkit对Linux内核的攻击。 钢铁企业在制品成本追溯模型研究 针对钢铁企业在制品特点及成本管理的需求,将可追溯性的概念引入到在制品成本管理中,提出了钢铁企业在制品成本追溯方法,建立了在制品状态三维描述模型。该模型通过作业中心维、属性维和成本中心维对在制品进行描述,并采用巴科斯—诺尔范式对其进行数学规范,给出了在制品成本追溯规则和算法流程。通过在钢铁企业的应用实例,验证了该模型的可行性,能够实现钢铁企业实际管理过程中对在制品的完整描述,提高了在制品成本核算的准确性。 一种考虑相遇持续时间的机会路由 机会网络中由于节点移动、网络稀疏等各种原因通常导致网络拓扑变化大,源节点到目的节点之间往往不存在稳定的端到端通信链路.在此环境下提出了一种考虑相遇持续时间的路由算法ED_PROPHET.该算法由节点间的历史相遇频率及加权平均相遇持续时间来计算节点传输概率的大小,由此确定下一跳;同时引入消息的生存时间及消息的拷贝份数来决定消息队列中消息的丢弃原则.仿真结果表明ED_PROPHET算法能更准确地选择下一跳,减少消息投递延迟及传输开销. 以应用为导向、以增加趣味性为目的的操作系统课程教学研究 "操作系统原理课程难讲,学员难以将理论应用于实践,学习兴趣不高,是当前""操作系统原理""课程的授课现状。要提高教学效果,促进学员理论转化为应用实践,应从宏观和微观两个方面把握授课过程,选取适当的应用案例,丰富教学内容,从应用中解析理论的应用场合,用理论指导学员实践,再从应用回归到理论的深入理解,从而提高学员的学习兴趣。" 含过程调用EFSM模型测试数据生成 针对含过程调用EFSM模型测试数据生成中过程调用的不可执行问题,提出了一种能对过程调用进行处理以实现模型的测试数据自动生成方法。该方法将被调子过程描述为一个EFSM模型,将表示主过程及子过程的EFSM模型合并为一个新的模型,合并后的模型符合EFSM模型规范,采用遗传算法对该模型进行测试数据自动生成。实验结果表明,该方法能够较好地解决含过程调用EFSM模型的测试数据自动生成问题。 方差正则化的分类模型选择准则 在传统的机器学习中,模型选择常常是直接基于某个性能度量指标的估计本身进行,没有考虑估计的方差,但是这样的忽略极有可能导致错误模型的选择。于是考虑在分类模型选择研究中添加方差的信息的方法,以提高所选模型的泛化能力,即将泛化误差性能度量指标的组块3×2交叉验证估计的方差估计作为正则化项添加到传统模型选择准则中,提出了一种新的方差正则化的分类模型选择准则。模拟和真实数据实验验证了在分类模型选择问题中,提出的模型选择准则相比传统方法选到正确分类模型的概率更大,验证了方差在模型选择中的重要性以及提出的模型选择准则的有效性。进一步,理论上证明了在二分类问题的模型选择中,该模型选择准则具有选择的一致性。 基于Unity3D的改进实时红外仿真系统 基于红外物理基础理论和自然场景仿真理论.通过改进已有算法以满足红外仿真系统中的实时性和准确性2个基本要求.提出基于Unity3D的改进实时红外仿真系统.首先探讨并修正了部分零视距离辐射计算模型和影响温度场的主要计算模型,在计算中考虑太阳赤纬角,改进经验公式,提高了计算的准确性;然后在讨论了热源放置和热传递计算问题之后.在红外仿真中考虑物体不同材质特性对红外效果的影响.使得绘制结果更为逼真;最后借助GPU对不同场景对象多角度下的红外辐射灰度进行并行计算.提升计算速度.该系统基于Unity3D游戏引擎.可以构建包含多种目标对象的红外场景,如植被、建筑以及车辆;重建的三维场景仿真效果图与实地拍摄的真实红外场景图像高度相似.仿真实验渲染速度达到30帧/s,基本满足实时性计算要求. 基于领域本体的可信服务组合 针对现有Web服务组合方法缺乏对服务自身属性信任问题的深入考虑,服务组合过程可信需求无法得到保障,提出了一种基于领域本体的可信Web服务组合方法。将服务的非功能属性分为服务质量、服务信任和服务上下文三个主要方面,并采用本体构建工具Protégé构建原子服务本体,利用推理机FaCT++对原始服务集进行本体推理过滤;引入了融合本体推理过滤的Web服务架构;提出了基于层次任务网络(hierarchical tasknetwork,HTN)规划法的可信服务组合算法。仿真实验表明:与传统的组合方法相比,该方法可有效提高服务组合的效率和成功率。 变增益分段滑模控制的PMSM位置伺服系统研究 滑模增益的选择直接影响系统抖振,利用位置信号误差、滑模面积分与滑模增益之间的非线性关系来设计滑模增益,再结合等效控制方法来削弱滑模抖振改善系统的鲁棒性。将单段滑模线控制扩展成在不同阶段PI与滑模分别起作用的两段控制方法应用于永磁同步电机位置控制。应用Matlab/Simulink建立了永磁同步电动机伺服系统的仿真模型,仿真结果表明该方案对系统参数不确定、外界扰动具有强鲁棒性。系统动静态品质优良,滑模控制的抖振得到明显抑制。 基于内容过滤的农资电子商务推荐系统 随着农业信息化的发展,农业类网站已经成为农业用户、合作社和农资公司等获取信息的重要渠道.结合中国现代化农资经营电子商务平台,提出了基于内容过滤的推荐技术,采用四元组构建用户偏好模型,引入遗忘因子挖掘和更新偏好模型,并根据产品模型和用户偏好模型的相似度向用户推荐产品.实验结果表明,基于内容过滤的推荐算法可使农资电子商务平台的产品浏览率和购买率得到提高. 基于Lucene的个性化站内搜索引擎的研究 提出并实现一种基于Lucene的个性化站内搜索引擎的原型,根据注册用户的行业背景和计算相似用户兴趣预测来计算相关词的权重。在实际的应用中,比通用的搜索引擎更加适合具有行业背景稳定特点的企业注册用户。最后实验结果表明该方法对于用户的搜索提供了比通用搜索引擎(以Google为例)具有更高的匹配度和查准率。 深度学习在SDN中的应用研究 软件定义网络作为一种最新网络架构,可通过软件编程的方式定义和控制网络,其控制平面和转发平面分离及开放性可编程的特点,为新型互联网体系结构研究提供了新的实验途径,也极大地推动了下一代互联网的发展。深度学习相对于传统的机器学习有很多优点,深度学习能够发现多层特征,并能够将高层特征表示成更抽象的数据特征。深度学习网络模型因为具有多个隐藏层而具有很强的特征学习能力,相对于机器学习模型来说具有很大的进步。随着深度学习的快速发展,有必要在软件定义网络中引入深度学习,推进软件定义网络的进一步发展。从架构、数据源、快速特征提取、深度学习算法选择和分析深度学习在SDN中的现有应用五个方面来说明深度学习在SDN中的应用。 行列双动态规划的改进自适应立体匹配算法 在各种立体匹配算法中,利用动态规划算法求解可有效地提高立体匹配的速度和精确度,同时具有实时性好、易于实现的优点。利用动态规划算法的优点,提出一种基于行列动态规划的自适应立体匹配算法,采用改进的自适应代价函数和能量最小化模型,对最优化问题进行求解。在求解的过程中,基于行动态规划得到的列方向视差值的变化给予对应数据项不同的奖励值,以减少行动态规划产生的明显条纹,最后使用列动态规划得出最终结果。实验结果表明,该算法能够减少总体的匹配错误率,减少明显的条纹瑕疵,取得较理想的立体匹配效果。 超高频RFID标签可重用仓储管理系统的设计 超高频RFID标签感应距离远、读取速度快和抗干扰能力强,应用于仓储管理系统可以提高其管理效率。针对中小型仓储应用提出物品入库关联RFID标签,出库解除关联,RFID标签可重复使用。采用静态字典编码和时间压缩算法对单一仓储物品信息压缩编码至128比特,通过对RFID标签顺序增计数编号,二维表被简化为线性表并存储在RFID阅读器中,RFID阅读器将仓储数据同步更新管理数据库。讨论了仓储管理系统RFID阅读器的硬件及软件设计架构。仓储物品信息压缩编码和数据顺序存储降低了系统对RFID阅读器硬件和存储资源的需求。 一种安全的射频识别双向认证协议 在RFID系统中,标签与读写器之间采用无线方式进行通信,易被攻击者窃取相关隐私信息,为保证两者之间通信安全,提出一种基于二次剩余定理的双向认证协议。协议采用二次剩余定理对传输数据进行加密,基于大数分解难题,有效保证数据的安全;且加密过程中,随机数的混入,使得消息每次均不相同,增大破解难度;超轻量级按位运算的引入,在一定程度上,能够降低系统整体计算量及成本;数据库端引入随机数查重校验机制,使系统能够抵抗去同步化等攻击。安全性分析表明协议较其他此类经典协议具有更高的安全性,能够保障传输数据的可靠性;性能分析表明协议较其他此类经典协议具有较低的计算量及成本。 某电台网络模拟训练系统的设计与实现 研制了一套某型电台模拟训练系统,利用有线局域网络模拟无线话音通信,系统主要应用于电台通信的操作训练、院校教学演示;系统主要由仿真电台终端、主控计算机以及有线传输网络组成;介绍了系统仿真电台终端和语音程控交换机硬件的设计和实现,展示了系统主控计算机管理软件、仿真电台分层程序的组成部分以及各模块之间的通信协议;实践证明,该系统效费比高、训练效果好且可靠性高。 Delaunay四面体网格并行生成算法研究进展 纵观近20年国内外Delaunay四面体网格并行生成算法的发展,依据其并行框架分为区域分解模式和基于节点模式,其中区域分解模式根据通信复杂程度进一步分为耦合的和非耦合的;对典型算法中网格质量传承性、串行代码嵌入率、扩展性、负载平衡和容错性等性能进行分析,结合数值测试结果总结了各类模式算法的优缺点.最后,针对各类模式算法的特点探讨了Delaunay四面体网格并行生成技术的发展趋势. 啁啾扩频超宽带技术的测距定位研究 系统基于啁啾扩频超宽带技术,采用对称性双边与双路测距定位技术,降低噪声、干扰和多径效应,提高测距定位精度,对其测距定位算法进行优化。解决工作面现场的水平和垂直方向起伏弯曲而带来的超视距问题,能够具有方向识别能力。抗干扰性强,覆盖距离大。 移动数据库事务处理模型的研究 在移动数据库系统中,事务的移动性、频繁断接性以及长事务等特性使得传统的事务处理模式不再适用。提出一种扩展的乐观两阶段提交事务处理模型(O2PC-MT),该模型吸收了O2PC-MT模型的设计思想,解决了由短暂的通信失效造成不必要的事务中止以及移动事务协调器故障导致的阻塞等问题。实验结果表明,与O2PC-MT事务处理模型相比,EO2PC-MT提高了系统的事务吞吐率以及改善了系统的总体性能。 一种对光照变化鲁棒的移动目标前景提取方法 运动目标前景提取是对其进一步分析如特征提取、行为分析等的基础。RPCA(鲁棒主成分分析)分解可以得到较为完整的目标前景,但该方法对光照变化敏感,容易导致误检。利用Lab颜色空间中a,b通道对光照变化不敏感的特点,可以提高基于RPCA分解的前景提取方法对光照变化的鲁棒性,首先对图像L,a,b通道分别进行RPCA分解得到稀疏前景,然后利用大津阈值分割各通道二值化前景并采用种子点填充技术融合不同前景,最后利用形态学滤波优化融合结果提取准确的运动目标前景。实验结果表明,该方法可以在复杂背景下准确提取运动目标前景,且能有效克服光照变化的影响。 基于CNN与ELM的二次超分辨率重构方法研究 为了实现将低分辨率图像重构为高分辨率图像,弥补高、低分辨率图像间信息损失,文中提出了卷积神经网络与极限学习机结合的二次超分辨率重构方法.首先通过基于深度学习的超分辨率重构优化方法,快速训练端对端的卷积神经网络重构模型,学习结构化的图像信息;然后采用像素级的特征提取,并采用极限学习机模型对图像进行高频分量的补充,通过二次重构获得具有更好视觉效果的高分辨率图像.实验结果表明,文中的优化方法将原有卷积神经网络重构模型的训练效率提高了3个数量级,重构效果在主观和客观评估中均优于当前代表性的超分辨率重构方法. 基于RGB-D融合特征的图像分类 当前经典的图像分类算法大多是基于RGB图像或灰度图像,并没有很好地利用物体或场景的深度信息,针对这个问题,提出了一种基于RGB-D融合特征的图像分类方法。首先,分别提取RGB图像dense SIFT局部特征与深度图Gist全局特征,然后将得到的两种图像特征进行特征融合;其次,使用改进K-means算法对融合特征建立视觉词典,克服了传统K-means算法过度依赖初始点选择的问题,并在图像表示阶段引入LLC稀疏编码对融合特征与其对应的视觉词典进行稀疏编码;最后,利用线性SVM进行图像分类。实验结果表明,所提出的算法能有效地提高图像分类的精度。 基于OpenStreetMap最短路径算法的分析与实现 随着计算机网络技术和地理信息科学的发展,最短路径问题无论是在交通运输,还是在城市规划、物流管理、网络通讯等方面,都发挥了重要的作用。文中旨在阐述如何基于OSM运用Dijkstra算法计算两联通节点之间的最短路径。首先介绍了开放式OSM的特点以及地图数据文件中道路图像元素的数据结构;然后运用正则表达式算法从OSM数据中提取出交通道路信息,并选择合适的结构进行存储;最后通过将道路信息抽象成路径拓扑图,并以道路的地理距离作为路径权值,运用Dijkstra最短路径算法求解出两连通节点之间的最短路径。 传感器网络中基于LT码的提高数据持续性方案 在环境恶劣且无固定Sink的无线传感器网络,节点为了避免意外死亡而丢失数据,需要能量有效地将数据分发到其他一部分节点上存储,以等待移动Sink进行采集.提出了一种新的基于Luby变换码(Luby transform codes)、简称LT码的数据持续性提高方案(LT-codes based scheme for improvingdata persistence,LTSIDP),其中LT码是一类纠删码.LTSIDP将数据的存储过程分为2个步骤:第1步,节点根据一段时间内接收数据包的情况估计网络中数据包的数量和节点的总数,进而计算出基于LT码存储数据所需要的参数;第2步,节点再根据获得的参数对接收到的数据进行存储.每一轮LTSIDP执行结束后,移动Sink可以在一定时间段内的任意时刻和任意地点进入网络,访问少量仍然存活的节点就能获得所有源数据.理论分析和实验表明,LTSIDP不仅能获得比已有算法更高的数据持续性,而且能量更有效. 基于改进PSO的装备维修器材调剂供应决策 分析了装备维修器材存储保障存在的问题,提出采用调剂供应缓解存储保障的资源失衡问题,充分利用存储过剩的超储器材补充库存短缺的需求单位,建立了装备维修器材调剂供应的多目标决策模型,采用ε-约束法对模型进行处理,基于引导因子设计了改进的粒子群优化算法(Particle Swarm Optimization,PSO)对模型求解,并通过仿真实例进行了验证。结果表明,采用调剂供应的方式,可使保障系统内的资源存储得到有效的平衡,装备维修器材的保障效率也有所提高。 基于ORB-SLAM的室内机器人定位和三维稠密地图构建 针对在室内机器人定位和三维稠密地图构建系统中,现有方法无法同时满足高精度定位、大范围和快速性要求的问题,应用具有跟踪、地图构建和重定位三平行线程的ORB-SLAM算法估计机器人三维位姿;然后拼接深度摄像头KINECT获得的三维稠密点云,提出空间域上的关键帧提取方法剔除冗余的视频帧;接着提出子地图法进一步减少地图构建的时间,最终提高算法的整体速度。实验结果表明,所提系统能够在大范围环境中准确定位机器人位置,在运动轨迹为50 m的大范围中,机器人的均方根误差为1.04 m,即误差为2%,同时整体速度为11帧/秒,其中定位速度达到17帧/秒,可以满足室内机器人定位和三维稠密地图构建的精度、大范围和快速性的要求。 基于D-GPS/IMU的组合导航方法研究与分析 研究了一种新的双GPS单元与IMU惯性测量单元组合结构(D-GPS/IMU)的导航应用问题;基于D-GPS/IMU的动力模型结构,分析了其状态空间的可观测性能,提出并证明了系统完全可观测的条件;同时,对扩展卡尔曼滤波(EKF)算法进行了改进,以定义的残差作为GPS量测信息中增益自适应调整的依据,并增加了数据反向区间平滑处理策略;最后,通过仿真实验,与基于EKF算法的单GPS与IMU组合结构(S-GPS/IMU)的姿态误差估计进行对比,实验结果表明,该方法收敛稳定且快、组合误差小,具有一定的实用性。 利用Copeland社会选择理论的在线商品群体评价 如何让消费者在评分信息不完整,消费者偏好不一致和评价标准不一致的情况下从海量在线商品中做出正确选择,成为消费者关注的问题.为此,提出利用Copeland社会选择理论的在线商品群体评价.首先基于消费者历史评分间的相似性填充不完整消费者-商品评分矩阵;其次建立每个消费者对在线商品的偏好关系,并表达为商品-商品偏好比较矩阵;最后利用社会选择理论的Copeland方法将偏好比较矩阵中的商品被偏好次数两两成对比较,并记录比较的赢输差值作为Copeland评价值.评价值越高,则在线商品群体评价越高.理论分析验证了方法满足在线商品评价的基本准则.实验结果也表明方法可有效解决不同用户间评分不可比较的问题,并提高在线商品群体评价的操纵复杂性. 企业搜索引擎个性化排序方法 "针对传统搜索引擎""面向检索""而非""面向用户""的缺点,将个性化服务思想引入到企业搜索引擎排序中,对其关键技术即用户兴趣建模进行了研究,将模型用于查询扩展及排序中,并为企业搜索引擎设计基于用户兴趣的个性化排序方法,能为不同用户的同一检索请求提供不同的检索结果列表.通过将研究用于油田企业搜索引擎的实验证明,本研究能有效地提高企业搜索引擎检索精确度及满足用户的个性化检索需求,并具有较好的自适应能力." 基于ARM-Core4x嵌入式软件平台的实现方法 针对基于ARM-Core4x微处理器的管脚功能可配置的特点,降低应用业务模块对硬件驱动层关注度及业务代码与驱动代码耦合性,提出了一种基于ARM-Core4x微处理器的嵌入式软件开发平台设计方法,该平台可提供了基于POSIX标准的硬件操作接口和操作系统及网络协议基本应用的接口,并通过子模块间逻辑调用机制的合理设计,实现了平台核心模块和应用模块独立编译且运行期间相互调用功能模块,实现开发平台的整体功能;通过对该平台软件的应用模块二次开发,减少用户应用开发对ARM微处理器硬件的关注度,使用户开发重点聚焦在应用及业务的实现上;实践证明,该软件平台可方便应用在低压继电保护装置以及智能化设备产品的开发,提高了用户应用开发效率和降低开发难度。 基于改进的n-gram模型的URL分类算法研究 在大数据时代,网络上的信息量获得了爆炸性增长,准确的网页分类技术有助于用户从海量网页中迅速定位到自己感兴趣的信息。网页分类技术在诸多应用中发挥着至关重要的作用,其大体可以分为基于网页内容分析和基于URL分析的网页分类。针对基于内容分析的网页分类技术在部分场景下的不足,提出仅根据网页URL信息进行网页分类。借鉴n-gram模型的思想,并将字符作为基本单位,进行URL特征的提取。考虑到URL各字段对于网页分类的区分能力不同,在剔除部分字段的同时,也为重要的path字段赋予更高的权重,在此基础上改进了n-gram模型。实验结果表明,将改进后的n-gram模型用于URL分类不仅提高了算法效率,而且网页分类的准确性也有所提升,其中训练时间减少了9.34%,网页分类结果的F1值提高了12.63%。 Road2vec:一种基于出租车轨迹数据的城市道路可视分析方法 发掘轨迹数据的时空连续性对分析路网结构和人类移动模式的非常重要,基于出租车GPS数据,提出一种城市道路的可视分析方法——road2vec.首先利用词嵌入技术将轨迹数据视为文本进行建模,得到道路的向量表示形式;然后计算得到道路向量在向量空间中的位置关系,并用这种位置关系来表示道路向量之间的相似性;最后根据相似性来探索道路在轨迹中的位置信息和连接关系.文中还设计了一套可视分析系统,以支持用road2vec方法探索城市道路相关信息.基于温州市出租车GPS数据的案例分析表明,该方法可以有效地反映人群在城市路网中的移动模式. 一种高性能无片外电容型LDO设计 设计了一种高性能无片外电容型LDO线性稳压器.其中,EA采用推挽输出放大器设计,在静态时保持低功耗,瞬态响应时提供大的输出电流,提高LDO的响应速率.高环路增益使LDO电路具有很高的稳压精度;采用零点补偿技术,保证了LDO环路稳定性.LDO采用0.13μm CMOS工艺设计,仿真结果表明,在1.2V~2.0V输入电压下,LDO输出稳定的1.0V电压,输出负载电流为50μA~100mA,最大负载电容可达到100pF,低频PSR为-67.5dB@100mA~-85.5dB@50μA,负载调整率0.8μV/mA,LDO的静态电流为50μA,整体版图面积为0.016 3mm2. 一种适应于海量地震数据时频分析的快速算法 为了克服MATLAB时频工具箱在计算大容量的地震数据的分频处理时,存在计算速度慢、内存不足以及FFT的计算长度严重影响时频分辨率等问题,使其适应于实际海量地震数据的时频分析。对MATLAB时频工具箱中基于离散短时傅里叶变换的时频算法进行了改进,采用Fortran语言在微机上编程实现了该算法。理论实算表明,改进后的Fortran程序能够高效地计算大容量、短时窗地震数据的分频处理。 移动机器人自主返航控制系统设计与实验 针对遥控移动机器人在通信信号中断后,无法对其进行控制的问题,设计了移动机器人自主返航控制系统,实现信号中断后移动机器人按原路径自主返航直至恢复控制信号或返回初始位置;提出了基于控制意图融合里程计和光纤陀螺仪的定位算法,对移动机器人运动学模型的结构参数进行了校正,大幅度提高了移动机器人定位精度;基于嵌入式Linux平台设计了返航控制软件系统,在信号正常的情况下,启动遥控模式,遥控机器人移动并记录路径坐标点,信号中断则开启返航模式,采用线性控制率和PID控制率实现对移动机器人路径跟踪控制;基于实际应用环境开展自主返航实验验证,实验结果表明该控制系统能够在通信信号中断后以较高的精度控制移动机器人实现自主返航;该系统能够解决移动机器人在应用中的实际问题,且代码可移植性高、通用性强。 RFID系统下基于组合公钥的密钥管理应用研究 由于RFID系统的特殊性,传统的密钥管理方法已不适用.针对RFID系统,从系统初始化、密钥生成、密钥分配和数据安全传输四个方面提出了一种结合组合公钥算法的密钥管理应用方案.该方案解决了低成本的限制下标签存储量小、计算能力弱问题,与RFID系统终端设备处理能力相匹配.分析表明,此方案具有存储量小、安全性和运行效率较高的特点,为RFID系统的密钥管理体制提供了新的手段. 表情数据库无关的人脸表情转移 为了提高人像的脸部表情质量,提出一种面向同一人脸表情转移的方法.该方法的输入包括2幅人脸图像、中性人脸库以及人脸blendshape模型.首先根据人脸轮廓面积,从2幅输入人脸图像中选择一幅近正面人脸图像,并利用中性人脸库及人脸blendshape模板生成输入人脸的特定blendshape模型;然后利用blendshape模型生成与输入人脸图像匹配的三维人脸模型,并利用RBF网格变形算法对其进行调整;最后利用生成的三维人脸模型对2幅输入图像进行扭曲,并通过图像混合的方式生成表情转移图像.实验结果表明,文中方法能够生成自然的表情转移图像,有效地提高原有图像中的人脸表情质量. 脑电注意水平的特征识别 为了提高从单通道脑电信号中注意水平的识别精度,在近似熵基础上提出改进的模糊熵计算方法,用于计算脑电注意力水平值。以12例受试者脑电监测数据作为样本,提取脑电数据模糊熵特征值,采用支持向量机进行识别,并与其他方法进行比较,基于模糊熵的特征提取方法平均准确率达76.3%。实验结果表明,该模糊熵方法能有效地表征脑电注意力集中程度的复杂度。 多态偏最小二乘法模型 为了更合理的确定偏最小二乘法的主成分数,提出了一种多态偏最小二乘法的建模方式。介绍了建模和预测具体实现过程。给出了预测时样品相似度计算的两种方式:直接距离法和性质得分距离法。以玉米样品近红外光谱数据为例,分别采用多态偏最小二乘法与传统偏最小二乘法建模对蛋白质指标进行了检测。结果表明:多态偏最小二乘法预测结果优于传统偏最小二乘法预测结果,有更强的适应性和兼容性。 新一代运载火箭一体化供电测控系统设计 "新一代运载火箭测量系统承担获取射前和飞行过程中遥外测数据和实施火箭飞行安控的重要任务,在执行发射任务时,测量系统不仅要对火箭关键参数进行长时间的不间断监测,还要对测量系统地面测控设备进行状态监测,实现加注阶段前端""无人值守"";对地面测控系统人员保障及人员对设备的操控提出了很高要求;为此,文章提出了一体化供电测控系统,介绍了系统组成及技术革新,采用定制与运行自动测控流程,实现指令执行的实时性和精确性,提高了测试效率,通过故障监测和应用切换实现同步跟踪和无缝切换设计,融合了以太网技术、1553B总线技术、光纤技术等多标准复合架构通信技术的一体化测控系统经过了新一代运载火箭首飞考核,得到了充分的试验验证。" 核参数判别选择方法在核主元分析中的应用 针对核主元分析(KPCA)中高斯核参数β的经验选取问题,提出了核主元分析的核参数判别选择方法。依据训练样本的类标签计算类内、类间核窗宽,在以上核窗宽中经判别选择方法确定核参数。根据判别选择核参数所确定的核矩阵,能够准确描述训练空间的结构特征。用主成分分析(PCA)对特征空间进行分解,提取主成分以实现降维和特征提取。判别核窗宽方法在分类密集区域选择较小窗宽,在分类稀疏区域选择较大窗宽。将判别核主成分分析(Dis-KPCA)应用到数据模拟实例和田纳西过程(TEP),通过与KPCA、PCA方法比较,实验结果表明,Dis-KPCA方法有效地对样本数据降维且将三个类别数据100%分开,因此,所提方法的降维精度更高。 针对SIP服务器的LDOS生死链攻击模型 集中于针对SIP服务器的低速率拒绝服务攻击(LDoS)的研究。通过分析LDoS攻击过程中各个阶段中不同的攻击策略,建立攻击流程,并量化攻击系统的相关参数。在此基础上,构建一个生死链攻击模型,该模型采用马尔可夫链和生死过程来刻画攻击过程,通过计算平稳概率分布,来评估攻击的有效性。最后,将仿真实验结果与理论预期结果进行对比分析,实验结果表明,建立的攻击模型是有效的、可行的。 基于命题逻辑的关联规则挖掘算法 数据挖掘是从数据库中发现潜在有用知识或者感兴趣模式的过程。事务数据库中发现关联规则是最常见的数据挖掘,目的在于帮助市场决策者发现事务数据库中项目之间的关联性。对关联规则算法进行了研究,通过使用命题逻辑,在最小支持度值未能确定的情况下,直接推导项目之间的关系。该方法把关联规则和等价命题映射起来,形成一种对应关系。如果一个规则满足逻辑相等,那么该规则是一个相关关联规则。通过实例证明了文中提出的高度相关关联规则挖掘算法是有效的。实验也表明该挖掘算法比Apriori算法更有用。 非线性噪声数据集上基于随机森林的空缺值填补算法 针对目前空缺值填补方法在非线性噪声数据集上填补效果不理想的问题,分标称属性和非标称属性两种情况提出一种基于随机森林的空缺值填补算法。该算法首先将空缺值看作决策属性,将其他属性值作为特征属性,然后利用随机森林算法进行空缺值的预测。由于随机森林算法具有良好的非线性数据拟合和抗噪声性能,因此该算法可以有效地提高空缺值的填补准确率。在UCI标准数据集和ORL人脸识别数据集上的对比实验充分说明了该算法较以往的填补方法更为有效。 基于支持向量机的多通道癫痫发作预测 癫痫是一种大脑神经系统疾病,具有突发性和反复性,对患者的生命安全构成极大的威胁,有效预测癫痫对该病的预防和治疗具有重要的意义。为此,提取来自德国弗莱堡大学癫痫预测中心21个病人的公开数据集。利用独立成分分析方法对原始数据进行去冗余操作,自回归模型被用来对癫痫脑电进行特征提取。支持向量机模型和滤波器将预测问题转化为二分类问题。蒙特卡洛统计方法使得最终的结果具有统计学上的意义。实验结果表明,该模型能够提前30 min~70 min预测到癫痫的发生,且误报率将近0,能为临床癫痫预警系统提供较好的理论依据。 基于增强现实的石化DCS人机交互方法研究 "本文对石油化工行业现有DCS人机交互方式进行分析,提出理想的石化DCS人机交互方式应该是""人-计算机-设备""之间融于现场环境之中的有机互动,并从终端用户灵活应用的角度,讨论了一种基于增强现实技术的DCS人机交互改进方法。方法在不改变现有DCS系统总体结构的基础上,通过引入增强现实技术,将真实场景与虚拟实时数据叠加在一起并通过手势识别技术实现对现场设备的自控操作,进而为石化装置一线操作人员提供一个感官效果真实、状态信息明确、操控方便的全新工作环境,最终达到对石化行业DCS人机交互方式进行改进与增强的目的。" 基于二维凸壳的平面点集Delaunay三角网算法 提出了一种基于并行二维凸壳算法的平面点集的Delaunay三角网生成算法。该算法基于颜坚等在文献[20]中提出的并行二维凸壳算法,在构建凸壳时记录被替换的边和被删除的点,形成一个初始三角网;再在初始三角网的各个三角形内部,采用逐点插入法构建局部的Delaunay三角网;最后,对各个局部Delaunay三角网的边界边进行局部优化,得到原点集的Delaunay三角网。文中给出了算法的正确性说明,实验结果也表明该算法稳定高效。 基于NSST的CS与区域特性相结合的图像融合方法 针对多聚焦图像和多模态医学图像的成像特性,结合剪切波变换可以捕捉图像更多的方向和其他几何信息的特点,提出一种利用非下采样剪切波变换的压缩感知与区域特性相结合的图像融合方法。利用非下采样剪切波变换将源图像进行多方向、多尺度的分解,将得到的低频子带系数采取区域能量与区域方差加权的自适应融合方式处理。由于分解后的高频子带系数具有高稀疏性,可将高频子带系数通过高斯随机测量矩阵进行压缩处理之后,采用基于压缩感知的绝对值取大的融合方式处理;然后利用正交匹配追踪算法重构,经过非下采样剪切波变换逆变换得到融合图像。仿真实验结果表明,该方法的图像融合效果无论是在主观感觉还是客观指标评价方面较传统的融合方法都具有较大优势。 低信噪比下的跳频信号参数估计 针对基于时频分布的跳频信号参数估计存在信噪比阈值的问题,提出了一种参数估计的算法。该算法首先基于粒子群优化,利用匹配追踪算法对信号进行自适应分解,获取匹配原子;然后基于原子参数对跳频信号进行参数估计。仿真结果表明,该方法不仅解决了匹配追踪算法运算量巨大的问题,而且克服了跳频信号各参数估计误差的相互影响,同时在低信噪比下参数估计的方差也比较小,更加适应于实际的电子战环境。 柴油加氢工艺动态仿真中相平衡模型的研究 在柴油加氢工艺动态仿真的研究中,相平衡模型是柴油加氢工艺动态仿真中重要的数学模型。由于柴油加氢动态仿真中相平衡模型求解对于计算速度和精度的要求较高,传统方法无法解决计算稳定性和计算速度这一难点问题。所以提出了一种采用简化模型逼近严格模型的新的求解方法,应用到柴油加氢动态仿真的相平衡模型计算中,计算结果与实际数据吻合较好,证明提出的方法在计算速度、收敛范围和计算精度等方面均优于传统的求解方法,表明了新方法在柴油加氢动态仿真中的有效性和实用性。 一种基于负载熵的层次负载均衡算法 针对分布式集群异构环境下集群节点负载不平衡引起的资源利用率低、作业响应时间长、系统服务质量不佳的问题,根据熵的理论给出集群负载熵的定义,并提出一种基于负载熵的层次负载均衡算法。该算法采用将静态轮询负载和基于负载熵的动态负载相结合的分层负载策略,并将集群划分成若干个均衡域,很好地规避了因集群规模太大引起的节点通信延迟的问题。在Cloudsim上仿真实验表明,该算法相对于Cloudsim自带的先来先服务(FCFS)算法性能提高26.1%,相对于基于并行计算熵的同构集群负载均衡(PCEBLB)算法性能提高12.04%。实验结果表明该算法对节点负载具有良好的均衡性,有效地控制了集群负载失衡的问题,提高了集群系统的资源利用率。 颌面部成釉细胞瘤MR-DWI表现 目的:探讨磁共振弥散加权成像(DWI)及表观扩散系数(ADC)值对不同类型成釉细胞瘤的诊断价值。方法:回顾性分析术前已行常规磁共振及DWI检查并经手术病理证实的34例成釉细胞瘤,包括原发性成釉细胞瘤26例、复发性成釉细胞瘤8例。病理类型包括单囊型6例、实体/多囊型23例、促结缔组织增生型3例、骨外/外周型2例。根据传统MR表现将所有肿瘤分为囊性12例、囊实性18例、实性4例。当b值选取0,1000s/mm2时,分别测定肿瘤实性和囊性感兴趣区(ROI)的ADC均值。采用SPSS19.0软件包进行统计学分析,比较不同类型成釉细胞瘤之间的ADC均值差异。结果:所有肿瘤实性部分ROI平均ADC值为(1.279±0.324)×10-3mm2/s,所有肿瘤囊性部分ROI平均ADC值为(2.176±0.477)×10-3mm2/s。实性部分ROI与囊性部分ROI平均ADC值差异有统计学意义(P<0.05)。囊性肿瘤与囊实性肿瘤中囊性部分ROI平均ADC值差异无统计学意义(P>0.05)。原发与复发成釉细胞瘤实性及囊性部分ROI平均ADC值差异均无统计学意义(P>0.05)。结论:ADC值的测定可作为鉴别不同类型成釉细胞瘤的辅助工具。 一种扩展的条件模糊C-均值聚类算法 在综合分析标准的模糊C-均值聚类算法和条件模糊C-均值聚类算法基础上,对模糊划分空间进行修改,进一步弱化模糊划分矩阵的约束,给出一种扩展的条件模糊C-均值聚类算法。算法的划分矩阵和原型不依赖于背景约束及模糊划分矩阵的隶属度总和。实验结果表明:该算法可以得到不同的聚类原型,并具有很好的聚类效果。 基于字符归一化双投影互相关性匹配识别算法 针对印刷体维吾尔文文字识别系统中的字符识别正确率较低这一难点问题,采用对字符图像进行横向扫描和纵向扫描生成行和列投影图,结合三级分类,将目标字符与对应分类中的字符的双投影图逐一归一化并进行相关性均值计算的方法,取均值最大的字符作为最佳匹配识别结果,实现了对维文字符的识别。实验证明这种基于字符归一化双投影互相关性匹配识别算法方法抗干扰性强,简单易行,匹配精度高,使得印刷体维吾尔文字字符识别的正确率有了进一步提高。 基于OGC数据服务的空间信息处理服务平台 设计了基于OGC数据服务的空间信息处理服务平台。平台封装了现有的开源GIS软件包含的丰富的空间信息处理功能,对用户提供在线的OGC-WPS服务。较传统处理平台不同的是,平台以符合OWS协议框架的空间数据服务(WFS、WMS和WCS)作为空间数据层,极大方便了开放地理信息在用户之间的共享和互操作。最后,开发了原型系统并利用互联网上的开放地理信息服务作为数据源进行测试,证明了平台及其方案的可行性和实用性。 基于组合分类算法的源代码注释质量评估方法 源代码注释是软件的重要组成部分,研究者往往需要利用人工或自动化的方法产生分析注释,注释的质量评估也往往是通过人工来完成,这无疑是低效不客观的。为此,首先从注释的格式、语言形式、内容以及与代码相关度4个方面出发构建注释评估准则;进而,基于这一准则提出了一种基于组合分类算法的注释质量评估方法。该方法将机器学习以及自然语言处理技术引入到注释质量评估中来,利用分类算法将注释分为不合格、合格、良好、优秀四个等级。通过对基本分类算法的组合使用,使得评估效果进一步提高。组合分类算法的准确率和F1值较单独使用某一种分类算法提高20个百分点左右,除宏平均F1值外,各项指标都达到了70%以上。实验结果表明,所提方法能够很好地应用于注释质量评估。 600MW汽包炉给水系统的模型辨识 建立亚临界机组的汽包水位模型,有利于三冲量给水控制系统的控制器参数的整定与优化。传统的飞升曲线法辨识汽包水位模型需要分别作给水流量扰动和蒸汽流量扰动试验,两者耦合性强,试验难度大。通过挖掘湛江电厂1号机组的历史运行数据,采用闭环智能辨识的建模和验模方法,建立了给水系统被控对象的两输入一输出传递函数模型。仿真研究结果表明了闭环辨识模型与理论分析模型的一致性,从而验证了闭环辨识方法的有效性。 磁共振对胎儿异常病的临床应用研究 目的:评价在胎儿少见异常疾病中磁共振检查的临床应用价值。方法:回顾性分析有临床对照资料证实的相对复杂胎儿异常疾病39例,对照产后临床诊断及尸检结果,总结磁共振诊断结果。结果:共检出胎儿中枢神经系统异常:胼胝体发育不良18例,脑出血4例以及Galen静脉瘤1例;中枢神经系统外异常:胎儿肠梗阻3例,脊膜膨出3例,先天性无肾4例,胎儿水囊状淋巴管瘤1例,唇腭裂1例,隔离肺1例,先天性胆总管囊肿2例,畸胎瘤1例。结论:胎儿磁共振可以做为超声产前胎儿检查的补充及延伸,对超声检出却无法明确性质的胎儿少见异常疾病进行诊断。 基于埃尔米特运动预测的地形实时绘制 在大规模地形实时绘制时,地形规模越大,帧速率越小,渲染速度越慢,影响了漫游者在虚拟空间中的沉浸感。基于此,提出一种基于埃尔米特运动预测的地形实时绘制方法。采用埃尔米特插值算法进行视点运动预测,提前加载下一视点位置及视线方向的地形数据,减少实时绘制时的动态加载量,有效提高帧速率,避免漫游过程中画面停滞的现象;该算法计算量较小,稳定性相对其它算法较高,在取适当步长的情况下,能获得期望的精度,不会出现龙格现象。实验结果表明,在大规模地形的实时绘制时,该方法帧速率变化小,在保证渲染画面平滑和地形绘制实时性的同时,提高了地形渲染速度,避免了视觉上的跳跃感。 基于免疫单亲遗传算法的拣选作业优化 根据堆垛机拣选作业的特点,以最短作业时间为目标构建优化数学模型。在单亲遗传算法的基础上引入免疫抗体的提取与注射机制,设计一种免疫单亲遗传算法用于求取模型最优解。仿真结果证明,该算法具备全局搜索能力,收敛速度快,响应时间短,可有效减少堆垛机的作业时间,提高自动化立体仓库的存取效率。 一种基于RTX-CWND的改进重传策略 作为传输层多宿的端到端并行多路径传输(CMT)重传策略的典型代表,RTX_CWND重传策略通过寻找具有最大拥塞窗口的路径来进行数据重传,以减少接收缓存阻塞及一定程度地减缓由此引发的端到端吞吐量下降,然而RTX_CWND重传策略的不足表现为忽略了端到端路径特征值RTT对重传路径选择及接收缓存阻塞的影响.基于RTX-CWND提出一种改进重传策略:RTX-RTTCWND,该重传策略综合考虑路径特性值RTT和CWND以寻找最佳重传路径,进一步减轻了接收缓存阻塞造成的端到端吞吐量下降的程度.仿真实验结果表明:改进后的重传策略在接收传输序列号数据块处理、端到端传输时间和吞吐量方面较传统的RTX_CWND重传策略具有更优越的性能. 基于事件概率的无线传感器网络K覆盖算法 无线传感器网络在对目标区域进行K覆盖过程中易产生大量冗余节点,消耗网络中大量节点能量,并受外界环境因素制约。为此,提出一种基于事件概率的K覆盖算法。根据对监测目标区域节点关注程度的大小赋予不同概率值,通过节点之间信息交换和关联属性确定最小节点集和最大目标集,从而完成对目标区域节点K覆盖,优化网络资源,减少节点能量的消耗。仿真实验表明,该算法能够以较小的代价完成对目标区域节点K覆盖,延长网络生存周期,具有较好的实效性和稳定性。 基于GPU的最大化1~n倍检测的测试向量选择方法 针对已有的测试向量选择方法采用串行程序实现,难以应对测试程序时间及测试数据量迅速增加的问题,提出一种基于GPU的测试向量选择方法,用于高效地从大测试向量集(n倍检测的测试向量集或随机的测试向量集)中选择出较高测试质量的测试向量.在考虑受限的测试时间?成本的条件下,采用GPU编程将测试向量选择过程并行化,以最大化1~n倍检测覆盖率为目的,将测试向量按照故障检测能力从大到小排序,从而在实际芯片测试时能够尽快淘汰故障芯片,减少测试时间.实验结果表明,与国际上考虑n倍检测的测试选择工作相比,该方法获得了21.9倍加速;与商业工具产生的同样大小的测试集相比,该方法得到的测试集具有更好的1~n倍检测覆盖率(平均提升3.2%~8.3%),同时也能获得更加陡峭的故障覆盖率曲线. 高混淆网页木马的研究与检测实现 为解决网页木马日益增多、混淆和躲避检测手段层出不穷的问题,提出一种基于浏览器关键函数挂钩的反混淆方法,能够不在系统中真正执行恶意代码而获取到其反混淆后代码。在此基础上,建立了一套动态检测为主、静态特征匹配为辅的网页木马检测系统。实验结果表明,该系统能够更有效地检测各种类型的高混淆网页木马,具有更高准确性、通用性和性能优越性。 一种分层多描述编码的动态带宽分配策略 针对无线流媒体业务带宽资源有限的特点,提出一种基于分层多描述编码的动态带宽分配策略LMDBA.该策略利用了分层多描述编码的特性实现动态带宽分配,并采用QoS升降级策略,在保证用户QoS的前提下,尽可能地降低系统阻塞,提高系统带宽资源利用率.并对QoS升降级策略进行了公平性分析.仿真实验结果表明,在提供一定用户QoS保证下,提出的新策略比传统固定带宽分配策略在带宽资源利用率和系统阻塞上有显著的改善. 不确定信息下制造系统性能评估的Petri网模型 为了提高制造系统生产性能评估的质量,结合灰色系统理论与Petri网建模理论,设计了一类基于灰数运算的Petri网及组成要素,以辅助生产管理者在不确定信息环境下评估制造系统的生产性能;研究灰色Petri网的求解算法,求解系统内部的不确定稳态概率;分析系统重要性能指标与稳态概率之间的联系,探寻其定量化表征,分析关键生产指标的白化解;以某自动化生产系统为例开展案例研究,验证了相关理论和方法的可行性与实用性,为制造系统建模及性能评估提供了一种新的分析工具和解决思路。 基于网络编码的分层流媒体直播方案 针对当前P2P流媒体直播系统网络资源利用率不高的问题,提出了一种基于网络编码的数据传输方案。方案中对等节点利用系数向量矩阵对媒体数据先进行网络编码,再存储转发,以提高系统的稳定性,并提高网络带宽的利用率。实验结果表明,在同等网络资源条件下,对等节点减少了接收的平均启动延迟,提高了平均播放的连续度,提高了整体播放性能。 连通域在复杂背景肤色检测中的应用 由于肤色常处于复杂背景下且容易被环境中的小面积类肤色区域影响,使得肤色检测成为图像识别领域中的一大难点。在对YCbCr空间和YCgCr空间中的肤色进行建模检测的基础上,引入连通域进行二次检测,以此消除背景中小面积类肤色区域对检测结果的影响。针对多幅复杂背景下的肤色图像,进行连通域二次肤色检测的结果相较于第一次检测结果更好。结果表明,该算法的准确性较高,可以有效降低肤色的误检。 基于MapX的高程获取方法在巡航导弹CGF中的应用研究 针对模拟战场中巡航导弹CGF态势显示和信息交互的需要,提出了基于MapX的高程获取方法。首先介绍了巡航导弹的航迹模型,给出了数据准备过程及MapX的数据结构,重点研究了基于MapX的高程获取方法,用于获取等高线的高程值,并在此基础上研究了等高线间高程的插值方法。仿真实例表明,基于MapX的高程获取方法能快速得到高程数据,且保证了一定精度。 基于中值滤波和梯度锐化的边缘检测 实现一种基于中值滤波和梯度锐化的边缘检测方法。首先采用既能过滤噪声又能保护边缘信息的中值滤波对图像进行平滑处理。然后采用梯度锐化加强边缘像素强度,通过简单的二值化获得图像的初始边缘,系统采用连通区域标记的方法去除位于图像封闭边界内的残留黑块。最后通过实验结果与人工提取的结果比较,进行误差分析,找出本文算法的不足之处,以便对该算法进行改进。实践证明,本文的边缘检测方法对含有噪声点且灰度分布比较均匀的图像有很好的效果。 温室作物生长环境的主成分分析 温室大棚可以帮助瓜果蔬菜在其非时令季节提供生长环境和增加产量。由于季节对于植物自然生长的不适宜性,因此作物对于温室大棚的生长环境要求就要精确得多。通过搭建Zigbee无线传感器网络有效地对温室草莓生长的空气湿度、土壤湿度、土壤盐碱度、温度、CO2浓度及光照六个指标进行了长期的数据采集,并尝试通过主成分分析的方法来分析影响温室草莓育苗期生长的关键因素。通过对育苗期温室草莓的上述六个环境指标进行主成分分析,分析所得的三个主分量的贡献率分别为44.57%、29.00%和15.83%,累计贡献率可达到89.40%。因此,可以用这三个主分量代替原有的六个单项指标反映原指标的绝大部分信息对温室草莓生长环境进行研究,且使得各综合指标所代表的信息不再重叠。有助于实现温室种植的再生产以及高效的、精准化的管理。 基于移动Agent的分布式数据挖掘平台的设计与实现 结合移动Agent应用开发方法,设计一个基于移动Agent的分布式计算应用模型。针对分布式数据计算现有方法,分析其不足,通过研究移动Agent体系结构及关键技术,从理论上探索将Agent技术与分布式数据挖掘相结合的可行性和技术优势,采用Agent技术解决分布式数据挖掘时所遇到的问题,全面、系统提出了解决方案。在此基础上,实现了一个Intranet环境下的基于移动Agent的分布式计算平台。 差异化隐私预算分配的线性回归分析算法 针对用差分隐私方法进行线性回归分析敏感性偏大的问题,提出一种差异化的隐私预算分配算法Diff-LR(Differential Privacy Linear Regression)。该算法首先把目标函数分解成两个子函数,再分别计算两个子函数的敏感性、分配合理的隐私预算,并采用拉普拉斯机制给两个子函数系数添加噪音。然后对子函数进行组合,得到添加噪声后的目标函数,求取最优线性回归模型参数。最后利用差分隐私序列组合特性从理论上证明该算法满足ε-差分隐私。实验结果表明,Diff-LR算法产生的线性回归模型具有很高的预测准确性。 基于BP神经网络的红细胞识别 论文提出了一种基于BP神经网络的自动对血涂片红细胞进行识别的方法。该方法首先对红细胞图像进行预处理,增加图像边缘及去除噪声;再进行特征求取,分别求取了圆形度、长宽比、矩形度、Hu不变矩等有区分度的特征;最后通过设计的BP神经网络对样本红细胞进行形状识别。经过大量样本测试,表明该方法比传统的模板匹配方法的识别率提高10%以上。 一种自适应压缩采样匹配追踪算法 压缩采样突破了奈奎斯特采样定理的限制,以低于奈奎斯特率对信号进行采样,通过相关算法精确恢复信号。依据重建算法需要稀疏度这一先验信息,提出一种自适应压缩采样匹配追踪信号重建算法,该算法摆脱对稀疏度的依赖,通过自适应调整步长逐步逼近原始信号。仿真结果表明,该算法能精确地对信号进行重建,重建概率和计算复杂度均有所改善。 MANET中基于动态地址的机会路由算法 机会路由过程中分发矩阵的计算量太大,随着网络规模的增大,分发矩阵的计算严重影响路由性能。针对该问题,提出基于动态地址的机会路由算法。该算法将分发矩阵的计算量从整个网络降低到一跳邻居节点之间,根据反映网络节点相对物理位置的动态地址,简化分发矩阵的计算。在大规模网络中,该路由算法的平均跳数减少了5%~10%,吞吐量提高了7%~26%。 双馈风机离线仿真与实时仿真的对比分析 双馈风机系统含有电力电子变换器,对其仿真需要微秒级的仿真步长。在微秒级步长条件下,双馈风机实时仿真结果的可信度受到质疑。为了客观评价双馈风机实时仿真的可信度,采用与离线仿真进行对比分析。首先,给出了包括风力机和发电机的双馈风电机组动态数学模型;然后,对比实时仿真和离线仿真分别采用的求解算法;最后,选取加拿大RTDS公司的实时仿真工具和基于MATLAB/Simulink的离线仿真工具作为两个比较对象,用两种仿真工具分别建立了双馈风机的仿真模型,并对风速波动的慢动态仿真和电网短路的快动态仿真,提取关键变量的波形进行对比分析。研究结果表明,在慢动态下实时仿真和离线仿真具有良好的一致性,在快动态下,两种仿真结果存在一定差异,但变化趋势仍然一致。 基于改进的FP-tree的频繁模式挖掘算法 FP-growth算法是一种基于FP-tree数据结构的高效的频繁模式挖掘算法,它不产生候选集。构造频繁模式树FP-tree需扫描数据库两次,在第二遍扫描中还扫描了那些仅包含了非频繁项的事务,针对此问题,在深入分析了FP-tree特性的基础上,改进了FP-tree构造过程,同时用一种基于Hash表的辅助存储结构,节省了项目查找时间,提高了挖掘效率。 光学向量矩阵乘法研究 向量矩阵乘法运算是数值计算中基本的运算,广泛应用于线性方程组求解、图像处理、数字信号处理、人工智能等多个领域。目前向量矩阵乘法的实现方法有很多种,其中用光学方式实现向量矩阵乘法的方法因其所特有的并行性和乘法性质,在解决这类二维信息处理问题时独具优势而受到研究者们的青睐。对光学向量矩阵乘法的研究进行了深入的分析和总结,综述国内外各种光学矩阵乘法实现的理论和方法,并阐述作者研究的基于三值光学逻辑处理器的实现方法,该方法具有结构简单、易于微型化、计算精度高等优点。 基于改进小波阈值函数的风洞连续信号降噪方法 在暂冲式高速风洞中进行连续变迎角测力试验时,由于高频信号与低频信号相互交织、难以分离,传统的低通滤波方法会在剔除噪声干扰的同时丢失风洞连续信号中的高频有效部分、难以真实反映飞行器气动力非线性或突变区域的信号特征;为此,在常规软、硬阈值函数的基础上,提出一种基于改进阈值函数的风洞信号降噪方法,采用该方法对风洞连续变迎角试验数据进行处理;结果表明,该方法与传统软、硬阈值函数方法相比具有明显的优越性,处理后的结果与原信号的相似度更高,降噪效果更好,在降低噪声影响的同时,较好地保留了飞行器模型气动力非线性或突变区域信号的非局部平稳特性。 多因素复合度量的协同过滤推荐算法 单一评分相似性度量及数据稀疏导致了传统推荐算法计算出的用户或项目近邻不准确、推荐质量不高,为此,提出了一种多因素复合度量的协同过滤推荐算法。该算法基于用户访问次数、停留时间及评分定义了一个多因素约束的相似性计算函数,避免了单一评分相似性度量问题,提高了相似性计算的准确度;同时,基于项目类别、目标用户已访问的项目、已访问过待预测评分项目的用户、访问时序建立了项目及用户信任模型,在数据稀疏及冷启动时用信任依赖度代替相似度预测评分,解决了相似性计算数据不充分的问题。实验结果表明,该算法能显著提高最近邻计算的准确性和算法的推荐质量。 SUPANET中的虚通道切换方式研究 以一种新的单物理层用户数据传输与交换平台体系结构(Single physical layer User-data transfer&switching Platform Architecture,SUPA)为研究背景,针对Internet与SUPA互联时由移动节点引起的虚通道切换问题,提出了两种基本的虚通道切换方式和一种混合的虚通道切换方式,并对每种虚通道切换方式进行了特点分析和仿真实验。仿真实验结果表明,混合切换方式的综合性能明显好于其他两种基本切换方式。 网络流量组合预测模型研究 研究优化网络管理系统,高精度的短期负载预测对提高网络性能和服务质量意义重大。为了解决各种传统的单一预测方法在网络流量预测中存在不能有效利用资源的问题,提出了一种BP神经网络最优组合的预测方法。将单一预测方法所得到的预测值作为BP神经网络的输入样本,相应历史流量数据的实际值作为样本的输出,经过样本训练达到期望精度,应用BP神经网络模型进行预测。仿真实验结果表明,新模型具有良好的预测效果,比传统的单一预测模型具有更高的预测精度和更好的自适应性。 基于进化神经网络的磨削粗糙度预测模型 针对外圆磨削中表面粗糙度的影响因素多、监测困难的问题,构建了表面粗糙度预测模型的开放式实验系统,在分析反向传播神经网络收敛速度慢、易陷入局部最小值等缺点的基础上,提出遗传算法与反向传播神经网络结合的表面粗糙度预测模型,利用遗传算法的全局搜索能力对反向传播神经网络的初始权值和阈值进行优化,详细说明了遗传算法和反向传播网络各参数的确定方法,并对比了相同网络结构下的反向传播预测模型和遗传算法-反向传播模型的预测性能。根据隐层节点计算经验公式,建立了四种基于遗传算法-反向传播网络结构的外圆纵向磨削表面粗糙度预测模型,通过对四种模型样本的预测精度检验,最终确定一种最优的预测模型结构。试验证明,遗传算法和反向传播网络的结合可以提高表面粗糙度预测模型的收敛速度和预测精度,满足智能磨削对表面粗糙度预测高效性、准确性的需求。 基于签到数据的短时间体验式路线搜索 路线搜索已经广泛地应用于基于位置的服务和旅游路线推荐等领域.现有的关于路线搜索的研究,通常只考虑景点之间路线的流行程度与用户的需求,因此提供的推荐路线并未考虑景点类别的丰富性,存在类别重复的现象.为了能使用户在短时间内体验所在地区不同类别特色的景点,增强旅游体验效果,文中提出一种新的路线搜索:短时间体验式路线搜索(SERS).该路线搜索方法根据用户给定的查询位置vs、旅行时间限定t以及用户对景点类别选择的集合uc,找到一条非重复多类别且收益最大化的最优景点访问路线.为了有效处理SERS,提出一种预计算结点收益上界值的优化策略,设计了两种优化搜索算法:单收益上界剪枝搜索算法(SUB)和多收益上界剪枝搜索算法(MUB).优化的搜索算法利用收益上界值剪掉了不可能产生结果的分枝,提高了搜索效率.利用Gowalla和Foursquare社交网站真实的签到数据集进行了充分实验,评估了所提出的算法在不同参数设置下的搜索效率,验证了算法的有效性. 一种平滑的基于链路排序的节能算法 针对目前网络级节能方案中存在的链路状态切换频繁的问题,提出了一种平滑的基于链路排序的启发式节能算法。该算法使用了一种新的排序机制,使得连续两次节能策略下的链路状态切换尽可能小,以达到平滑的目的。此外,该算法还综合考查了线卡能耗与链路能耗,以获得更高的节能效率。仿真实验表明,与一种基于链路排序的贪心节能算法相比,该算法链路状态切换频率更小,且节能效率更高;与追求最优节能效率的greenTE算法相比,在节能效率相差不大的情况下,该方法具有更好的平滑性以及更低的时间复杂度。 一种基于核的GPS空时自适应抗干扰方法 针对GPS接收系统的空时自适应抗干扰技术,分析目前基于FROST阵列处理的最小均方算法LMS(FLMS)算法,提出一种基于核方法的FLMS算法(Kernel FLMS,KFLMS).通过对空时自适应STAP和算法的建模,研究了KFLMS算法的性能.系统仿真表明,KFLMS算法不仅能抑制大于阵元个数的多个宽、窄带干扰,而且使接收机整体抗干扰能力达到70dB左右,比FLMS算法效果更优. 脉络丛肿瘤的影像学诊断及鉴别诊断 目的:研究脉络丛肿瘤的影像学表现,探讨其诊断与鉴别诊断。方法:搜集39例经手术病理证实的脉络丛肿瘤,其中脉络丛乳头状瘤35例,脉络丛癌4例。男性13例,女性26例,年龄1~60岁,平均19.5岁。所有病例均行CT和(或)MRI扫描。分析CT和MRI表现。结果:脉络丛肿瘤发生于侧脑室26例,9例位于第四脑室,3例位于桥小脑角,1例同时累及第三脑室和侧脑室。小儿21例,其中2例为脉络丛癌;成人18例,其中2例为脉络丛癌。脉络膜乳头状瘤为良性、分叶状实质性肿块,常见肿瘤血管,可见钙化,出血、囊变很少。实质部分CT呈现等或(和)略高密度;MRI T1WI呈现等或(和)略高或略低信号,T2WI等或高信号,FLAIR多为高信号,DWI多为等或略高信号。实质部分常均匀明显增强。无或有极轻微瘤周水肿,一般无脑组织浸润。可致阻塞或(和)交通性脑积水。脉络丛癌可见脑组织浸润或囊变、出血等改变。结论:脉络丛肿瘤具有典型的影像学表现,CT和MRI结合能够做出正确诊断。 基于序列图像的摄像机自标定方法 提出了一种新的结合摄影测量和计算机视觉相关理论的摄像机自标定方法。首先通过序列图像的匹配点对,利用计算机视觉理论中的8点法求得摄像机基础矩阵F,通过矩阵F利用Kruppa方程求得矩阵C,对矩阵C进行Cholesky分解得到摄像机的内参数矩阵K,然后将求出的内参数作为初始值,利用摄影测量理论进行相对定向和绝对定向,最小二乘前方交会计算得到匹配点对的三维空间坐标,最后由匹配点对的三维空间坐标及其图像坐标,采用三维直接线性变换和光束法平差方法解算出摄像机内、外参数及畸变系数。该方法不依赖于特定的场景几何约束条件,只要序列图像之间有匹配点对,就可以进行自标定工作,具有广泛的适用性。模拟数据和真实图像的实验结果表明:该方法计算过程简单,标定精度高,是一种值得借鉴的摄像机自标定方法。 石化企业云计算数据中心设计 "随着中国石化建设世界一流能源化工公司的重大决策的制定,建设""世界一流信息化能力""的信息化战略目标提出,企业IT管理的标准化、内部控制的流程化,现有的烟囱式IT基础设施架构已不能满足按需分配资源需求。云计算的广泛实践为企业数据中心建设提供了技术基础。本文应用基础设施即服务(IaaS)架构对企业数据中心进行设计,对计算、存储、网络、安全等资源池进行了详细设计,并在企业进行了实施。本文提出的云计算数据中心可以为今后一个时期的石化企业信息化应用奠定基础。" 基于单向并行多链表的流管理 "高速条件下数据访存是流管理的瓶颈,传统表项操作""读-处理-写""模式效率仅为36%,读写转换和读写延时是制约效率的重要因素。针对这个问题,提出了连续读写法处理表项,通过合并读写时延和复用读写转换的方式使表项操作效率超过90%;并在此基础上提出了单向并行多链表法。通过多个链表的交替操作,使连续读写法应用于处理不活动超时流。理论分析和实验表明,单向并行多链表法能够适用于OC-768(40Gbps)链路下管理千万条表项明显优于辅助存储法和双向链表法的OC-192(10Gbps)下百万条表项的管理能力。" α稳定分布及其在斑点抑制中的应用研究 α稳定分布是高斯分布、Rayleigh分布等的广义形式,可以准确描述脉冲型数据,在SAR图像、超声图像、遥感图像的噪声抑制方面具有显著效果和广泛的应用,是成像领域的研究热点。但目前关于α稳定分布性质、参数估计及降噪研究尚未有综合的阐述,缺少系统性的分析。从传统的滤波方法,特别是基于MAP方法的滤波算法开始,结合当今的热点研究,即相干斑抑制,综合介绍了α稳定分布的定义、特征函数、极限性质及特殊分布形式(对称α分布(SαS)、拖尾Rayleigh分布、高斯分布、混合分布等),阐述和分析了一些现有的参数估计原理和方法。最后,开展了滤噪对比试验,验证了MAP滤波方法的有效性。同时,对当前最新的相干斑抑制应用做了较为系统的总结。同时,在第1节介绍了SAR图像相干斑的产生和统计特性、经典的相干斑滤除算法、流行的非局部平均方法的原理。 基于模糊PID的汽车防抱死制动系统 针对以往汽车防抱死制动系统的控制性能不稳定、响应速度慢、控制精度低等问题,传统的逻辑门限控制算法以及单一的模糊控制算法和PID控制算法难以满足系统的要求,因此采用模糊控制算法与PID控制算法相结合的控制方法设计了一种基于滑移率的ABS控制器,并设计了路面识别系统,使汽车能够在不同的路面上自动选择最佳滑移率。利用Matlab/Simulink进行仿真,并与PID控制进行对比。仿真结果表明,模糊PID控制算法在响应速度、稳定性以及控制精度上都优于PID控制算法。 基于抢修时间的震后最优路径选择算法及GIS实现 针对震后最优路径选择相关算法的局限性和复杂性,在对城市道路系统抗震性预测的基础上,从道路抢修时间出发,提出一种改进的城市震后最优路径选择算法。运用地理信息系统(G IS)技术和数据库相关知识,以重庆邮电大学道路系统为例,设计和开发了城市震后最优路径分析系统。该系统可以有效地为救援力量快速到达救援现场,运输伤员、物资等提供合理的动态最优路径。 定向扩散路由无线传感器网络行为仿真 为改善定向扩散路由无线传感器网络性能,利用MATLAB仿真软件对其网络行为进行了仿真.根据网络运行规则建立了节点分布模型、定向扩散梯度场模型、数据汇聚模型以及特征统计模型.给出了主要仿真算法,并以图形化方式给出了仿真结果.理论分析和仿真结果表明,现有无线传感器网络的定向扩散路由存在缺陷,需要改进,并给出了改进方向. 基于群体优势遗传算法的高校排课问题研究 深入分析了高校排课问题,建立了高校排课问题的数学优化模型,构建了基本求解框架。针对高校排课问题的特点,引入遗传算法来加以解决,设计了多种改进方案:新的二进制编码方案、初始种群生成方案、适应度函数设计方案、群体优势策略、自适应交叉概率和自适应变异概率设计方案。仿真结果表明该算法能够满足高校排课问题的多重约束条件,能更有效地解决高校排课问题。 一种基于调用链分析的特征定位方法 为了完成各种软件维护任务,如纠正错误、改进原有功能、添加新功能,开发人员经常需要确定需求特征与代码的对应关系。这种确定源代码中与给定需求特征相关的程序元素的过程称为特征定位。现有的特征定位方法主要根据用户提出的需求,在源代码中搜索相关的代码元素推荐给用户。然而这些零散的元素之间不具备任何关联,用户仍然需要人工地挖掘元素间的关系,来了解代码元素是如何相互配合、实现特定功能的。而通过与数据传递相关的方法调用链可以改进特征定位的实践方法。该方法能分析源代码,获取到所有的与数据传递相关的方法调用链,然后将根据用户提供的相关需求的关键字找到相关的调用链,推荐给用户。这种调用链不再是零散的代码元素,它能够反映出特定功能实现的流程,也能够更好地帮助用户理解程序。基于该方法实现的Eclipse插件工具已经在JEdit项目上进行了测试。结果显示该工具给出的推荐结果平均查准率可达55%。 PowerPC汇编程序的反编译研究 反编译技术将二进制程序或汇编程序转换成可读性较好的高级语言代码,在代码理解、代码维护和代码安全验证等方面具有重要作用。文中介绍了一种基于Power PC汇编程序的反编译软件框架及其关键技术。该软件框架主要包括由汇编程序加载、指令系统的语义描述和汇编指令的解码所组成的前端,由数据流分析、类型分析和控制流分析所组成的中间端以及负责代码生成的后端。采用的关键技术有switch语句翻译,代码复制消除goto语句和指令习语(instruction idiom)翻译等。实验结果表明,反编译生成的高级语言程序在结构、可读性等方面都有所增强,对于辅助代码理解有指导意义。 业务质量约束下最大化收益的HFC频点带宽分配方法 HFC频点带宽的分配是广播电视网络业务提供系统的一项关键技术,直接决定了系统的整体收益.描述了HFC频点带宽资源分配面临的问题,提出基于业务收益函数的频点带宽分配方法.在分析广电网络视频点播、时移电视和高速下载三种典型业务特性的基础上,给出了它们的收益函数,提出了一种基于边际效益的贪婪算法以完成资源的分配.该算法在保证业务质量满足最低要求的基础上,按照各类业务的实际需求分配可用的频点带宽,使系统的收益最大.仿真试验验证了该算法的有效性. 基于VCG机制的动态频谱分配算法 针对在Underlay频谱共享方式下的认知无线网络动态频谱分配问题,在满足主用户服务质量(QoS)的前提下,建立一种非合作博弈模型,并设计其效用函数。在借鉴VCG机制的基础上,设计相应的收益函数,提出一种可以最大化认知无线网络系统吞吐量且保证公平性的分布式动态频谱分配算法。仿真结果表明,该算法收敛速度快、公平性强。 基于一维Markov映射的LT编解码研究 为解决数字喷泉码编码分组中度和邻接关系间的传输与恢复问题,提出一种基于一维Markov映射(ODMM)的LT编解码算法。根据ODMM的相空间分布特性,通过映射方程产生均匀分布的伪随机序列,实现对编码分组的度和邻接数据的选取,用混沌方程初值作为公钥,实现喷泉码的度与邻接关系的低开销传输与恢复。仿真结果表明,该算法结构简单,能降低传输消耗,提高译码成功率。 Web服务信任类型动态定义 在已有的信任类型研究的基础上,分析了针对Web服务的信任分类的不准确性问题,提出了动态定义信任类别的方案。从定义Web服务的信任属性的合理性和灵活性角度出发,提出用直觉模糊数描述信任特征,考虑固有能力、安全特性和声誉三个方面的特征对信任的影响,并给出能力信任贡献度、安全信任贡献度和声誉信任贡献度的计算方法。构造了信任直觉模糊集的相似矩阵,由求传递闭包的方式得到直觉模糊等价矩阵,通过设定不同的阈值得到不同的阈值截矩阵,从而得到不同的分类结果。验证了动态定义信任类别的有效性和准确性。 LTE系统中的空间复用MIMO技术 考虑到用户对LTE(Long Term Evolution)系统大容量和高速率的需要,采用了空间复用多输入多输出(MIMO)技术。在对LTE下行MIMO技术的传统接收算法研究的基础上提出一种改进算法。该算法调整排序干扰逐次消去(OSIC)算法的传统检测顺序,采用混合顺序检测。首先逆序检测出最弱信号层,并根据遍历搜索的思想对该层信号进行遍历,然后正序检测剩余信号层,利用最小距离准则来确定发送数据矢量。以QPSK和16QAM调制方式为例,计算机仿真验证了改进算法有效抑制了迭代检测过程中的差错传播,检测性能与最大似然(ML)算法检测效果一致,同时具有较低的计算复杂度,在检测性能与复杂度之间给出了很好的折衷。 基于完整局部二值和阈值优化的火焰边缘检测 针对火焰图像的边缘提取问题,论文提出了基于完整局部二值和阈值调节的边缘检测方法,该方法具有稳定,简约的优点。首先通过完整局部二值技术对火焰图像的主要边缘特征进行提取,然后利用二次阈值优化使提取的边缘更清晰化。实验结果表明在图像被噪声污染情况下,该方法仍然有效,经合成图像和现场拍摄图像分析验证,证明该方法比当前主流图像边缘提取方法具有更好的效果,为火焰图像后续分析奠定了基础。 面向哈萨克语LVCSR的语言模型构建方法研究 一个好的语言模型不仅可以压缩语音识别过程中的搜索空间,而且还可以提高识别准确率。N-gram统计语言模型是目前广泛使用的语言模型之一。从文本的收集和处理开始,介绍了哈萨克语语言模型的构建相关技术,并以此为基础实现了一个哈萨克语连续语音识别基线系统。分别训练了基于单词和基于音节的3-gram语言模型,并通过困惑度及连续语言实验结果对两种语言模型进行了评价。 面向多学科设计的多域递归制造服务资源组建方法 针对云制造模式下云制造设计服务、云制造加工服务数量庞大,缺少高效的云制造虚拟服务资源组建管理方法,导致云制造虚拟服务资源缺乏有效管理和控制的问题,在综合考虑云制造虚拟服务资源特点的基础上,提出基于多域的云制造服务资源建模方法。通过云制造服务资源—行为—特征多域模型,建立基于多域递归的云制造虚拟服务资源组建方法,给出了相应的云制造虚拟服务资源谱库架构,为制造云中的终端用户提供了高效率、低成本和可重用的虚拟服务资源组建技术。实验结果分析表明,该方法能够有效提高云制造模式下满足云制造资源需求的虚拟服务资源组建效率性能,并验证了其在工程应用中的正确性与高效性。 对象存储系统中元数据管理策略的研究 基于对象存储系统的快速发展,对元数据服务器性能提出更高的要求.在分析基于最大权值的元数据服务器选择策略基础上,提出一种基于全局权重选择的元数据管理策略即RMG策略,该策略将MDS自身权重和可用带宽两个性能指标作为服务器的总权重来选择元数据服务器,并采用将总权重与选择概率相结合的方式选择元数据服务器.对RMG策略测试结果表明,RMG策略在选择元数据服务器方面与其他基于权重选择MDS的元数据服务器选择策略相比,在保证元数据服务器整体性能的稳定性与服务效率方面有明显优势. 磁盘阵列性能测试工具研究 目前国内外有许多优秀的性能评测基准如TPC、SPCI、OMeterI、OZONE等,但它们在应用环境和功能上存在一些限制。为了解决这个问题,设计并实现了一个基于Linux分布式系统的磁盘阵列性能测试工具DAMeter,并与业内广泛使用的Windows下磁盘阵列性能测试基准IOMeter进行对比实验,证明了DAMeter工具测试结果的准确性和可靠性。并介绍了如何使用DAMe-ter产生不同负载进行磁盘阵列性能测试。 车载自组网信息交互最大流传输优化策略 在智能车联网中,多用户请求大量数据资源时存在传输不稳定的问题。为此,在车辆节点移动环境下提出集合覆盖最大流(SCMF)算法。利用集合覆盖方法考虑更多请求细节并分析最优化随机需求模型,优化信息资源共享和数据传输过程,保证车辆与无线访问节点及车辆之间信息交互以最大流效率传输,快速稳定地处理客户大数据资源请求。实验结果表明,SCMF算法能够以较少的网络开销和传输延时获得较高的传输效率,提高网络资源利用率和综合性能。 人体行为识别中的时空树建模与优化 为提高视频中人体行为识别的准确率,控制时间开销,提出一种基于时空树结构的行为建模与识别方法。通过树状结构替代传统图结构,降低模型的复杂度,通过对树结构的挖掘、聚类与排序,选择最有区分度的树结构子集,提高模型的识别准确率,进一步降低计算代价。实验结果表明,该算法具有较高的识别准确率,其时间开销低于对比算法。 基于主元分析新统计量的多元统计过程监控(英文) 针对传统的主元分析(PCA)的T~2和平方预测误差(SPE)检验所提供的信息并不一致的缺陷,提出了一种改进的PCA方法。该方法采用主元相关变量残差(PVR)和一般变量残差(CVR)统计量代替SPE统计量用于过程监测。将此改进的PCA方法应用到双效蒸发过程的仿真监测,与传统的PCA方法相比,新PCA方法能够有效地识别正常工况改变与过T~2程故障引起的图变化,避免了SPE统计量的保守性,能够提供更详细的过程变化信息,提高了对过程变化的分析与诊断能力。 基于笔画生长的自然场景艺术文字检测 自然场景图像中的文字信息属于高层语义信息,通常与图像内容紧密相关,是理解图像场景内容的重要线索。由于图像中的文字通常具有复杂的字体变化,使得现有的文字检测技术难以获得较好的检测结果。为了解决场景文字检测受到字体自身笔画属性的影响,提出一种针对笔画宽度不均匀的艺术字体的检测方法。首先采用笔画宽度变换算法沿垂直于图像边缘的方向搜索平行边缘对,确定笔画候选位置;其次在具有强平行特征的边缘对内侧采样笔画前景种子像素,在边缘对外侧采样背景种子像素。采用核密度估计方法计算图像范围内任意像素属于笔画像素的似然函数;最后以该似然函数为权重函数,采用基于颜色特征的区域生长法,将笔画覆盖范围由具有强边缘平行特征的前景种子像素蔓延至完整笔画区域,从而实现笔画区域的精确提取。实验表明上述方法具有快速、有效、稳定的特点,尤其适用于自然场景中笔画宽度不均匀的艺术字体的检测。 基于正则化互信息改进输入特征选择的分类算法 针对基于互信息(MI)传统特征选择方法中要求确定冗余度参数β的问题,提出一种改进型特征选择算法NMIFS-FS2。该算法在对连续或离散特征进行选择时,输入为特征组合与类之间的MI,代替传统算法中单一特征与类之间的MI,解决了冗余度参数β很难确定的问题,扩大了应用范围。进行的两组实验验证了该算法的有效性。实验结果表明,相比几种传统的分类算法,该算法具有更好的鲁棒性、稳定性和高效性。 基于GIS技术的智慧警务系统 "通信、信息技术和物联网的迅速发展,为警务系统的建设带来了新的契机。城市智慧警务系统是智慧城市建设的核心基础之一,所提出的智慧警务系统是依托GIS技术为先导,坚持协同警务的理念,以""系统融合、技术集成""为目标,建成了一个纵向贯通、横向融合的城市智慧警务系统。该方案实现了城市治安、交通管理无缝联动机制,促进了警务信息化的进程,加速了""智慧城市""的建设。" 局部搜索与改进MOPSO的混合优化算法及其应用 为弥补粒子群后期收敛缓慢与早熟的不足,提出了一种局部搜索与改进MOPSO的混合优化算法(H-MOP-SO)。该算法首先采用非均匀变异算子和自适应惯性权重,强化全局搜索能力;继而建立混合算法模型,并利用侧步爬山搜索算法对粒子群作周期性优化,使远离前沿的粒子朝下降方向搜索,而靠近前沿的粒子朝非支配方向搜索,加快粒子群的收敛并改善解集多样性。对标准测试函数的求解表明,该算法比MOPSO、NSGA-II和MOEA/D具有更好的多样性和收敛性。供应商优选问题的求解进一步验证了H-MOPSO的有效性。 基于粒子群和牛顿迭代法的目标定位方法研究 结合粒子群算法和牛顿迭代法的优点,提出了一种基于粒子群初始值选取和牛顿法精确迭代的目标定位方法。该方法充分发挥粒子群算法的群体搜索性和牛顿法的局部细致搜索性,克服了粒子群算法后期搜索效率低下和牛顿迭代法对初始值敏感的缺陷。仿真结果表明,该方法能有效地提高目标定位的准确性,在随机噪声干扰方差为0.5的条件下,定位均方误差不超过1.7 m。 基于uMSD的Web服务组合验证方法研究 手工分析组合服务相当困难和耗时,为此提出了一种基于uMSD的Web服务组合的模型检验方法。如何简单和直观地表示Web服务组合的时态性质是该方法的关键问题。鉴于uMSD在简单性和表达力之间找到了一个平衡点,定义了uMSD的形式语法和语义。以Web服务组合OJA为实例,使用uMSD来图形化地表示组合服务的时态性质,展示了uMSD的可行性。实验分析表明,该验证方法能够有效地检测组合服务中的逻辑错误。 非负矩阵分解特征提取技术的研究进展 非负矩阵分解(non-negative matrix factorization,NMF)算法是在矩阵中所有元素均为非负的条件下对其实现的非负分解,基于非负矩阵分解的图像特征提取技术通过将图像表示为一系列非负基图像非减的叠加组合来提取图像的特征,这种特征提取方法不但具有良好的局部表征特性、有一定的稀疏性,而且对遮挡、光照不均及图像质量较差等情形具有卓越的效果。自正式提出以来,该方法得到了许多改进,但目前关于这些改进的综述都只是罗列了这些方法,并没有系统深入地分析,因而在大量阅读文献的基础上分析其内部联系,分类总结了非负矩阵分解的研究进展和各种改进方法的实质。首先介绍非负矩阵分解的基本思想,以手指静脉图像为例说明其应用于图像特征提取的方式,然后重点深入讨论了非负矩阵分解方法的改进算法,提出了非负矩阵分解应用中有待进一步研究的新问题。 影像组学特征对肺部10mm以下纯磨玻璃结节侵袭性的诊断价值 目的:探讨10mm以下p GGN肺腺癌影像组学特征与病理侵袭性之间的关系及分类器模型对此类病变侵袭性的预测表现。方法:回顾性分析我院经手术病理证实的102例10mm以下p GGN肺腺癌患者的术前CT图像。提取术前CT图像上病灶的影像组学特征,采用Mann-Whitney U检验和信息增益算法选择特征,根据所选特征建立支持向量机、朴素贝叶斯、逻辑回归分类器模型并绘制ROC曲线。与传统图像分析方法测量的病灶大小及平均CT值的ROC曲线进行比较。结果:从每一个容积感兴趣区提取93个影像组学特征,经Mann-Whitney U检验筛选及信息增益算法过滤后共选择48个影像组学特征。支持向量机、朴素贝叶斯分类器和逻辑回归分类器模型的曲线下面积依次为:0.822、0.848和0.874。病灶大小和平均CT值的曲线下面积为0.726和0.786。结论:影像组学特征可以反映10mm以下p GGN浸润前病变和浸润性病变之间的差异,基于影像组学特征的分类器模型可以提高p GGN病理侵袭性的术前预测准确性。 Nova-BFT:一种支持多种故障模型的副本状态机协议 云计算在简化用户访问资源方式的同时导致了支撑系统开发部署的复杂,软件错误、部署管理失误导致的拜占庭故障已经成为影响系统可靠性的重要原因.对于在大部分运行周期都满足良性故障模型的系统,拜占庭容错协议在通信复杂度、安全等方面的开销以及其在攻击场景下性能鲁棒性方面的缺陷都限制了其在实际系统中的使用.如何满足实际系统对多种故障模型的需求,已经成为系统设计的一个重要问题.针对这一现状,设计了Nova-BFT,一种有效支持多种故障模型的副本状态机协议,通过牺牲部分峰值吞吐率的方式满足拜占庭容错协议对性能鲁棒性的要求,采用配置参数方式自适应满足良性故障的性能需求.实验表明,Nova-BFT在拜占庭故障模型下吞吐率为4~5kop/s,同时其对良性故障模型的支持可以有效满足大多数实际应用的需求. 利用模式噪声主分量信息的源相机辨识技术 针对数码相机源辨识问题,提出一种利用成像传感器模式噪声主要分量(或称大分量)信息进行源设备辨识的新方法。首先围绕模式噪声的抽取和预处理,讨论去噪滤波器和去颜色滤波器阵列(CFA)插值对提高模式噪声质量的影响,然后重点讨论选取传感器模式噪声部分大分量对改善相关性检测器性能的作用。该方法不仅能很好地分离两台相机所拍摄的照片,而且还大幅度减小了检测的计算量。 基于细菌觅食特征改进粒子群算法优化SVM模型参数研究 针对粒子群算法优化SVM模型参数在进化后期容易陷入局部最优的问题,研究了细菌觅食趋利避害机制,提出了一种基于细菌觅食特性改进粒子群算法的方法,并将改进方法应用于优化SVM预测模型参数的研究;实验结果表明,该方法能够弥补粒子群算法在进化后期容易陷入局部最优的缺陷,具备更好的寻优性能。 基于SMO的层次型1-FSVM算法 针对序贯最小优化(SMO)训练算法具有计算速度快、无内负荷的特点,将其移植到模糊一类支持向量机(1-FSVM)中。1-FSVM算法融入层次型偏二叉树结构进行逐步聚类以加快训练速度,并对每个输入向量赋予不同权值以达到准确的分类效果。应用于光识别手写数字集和车牌定位的结果表明,1-FSVM算法具有较高的检测率与较快的检测速度。 Mini-slot时间结构的频谱分配策略及频谱接入费用 为了降低认知用户数据包的平均时延,同时提高其吞吐量,针对集中式认知无线网络,提出一种基于mini-slot时间结构的频谱分配策略.考虑频谱切换过程和非理想感知结果,建立三维Markov模型,并使用矩阵几何解方法给出认知用户数据包平均时延和吞吐量等性能指标表达式.通过系统实验定量分析性能指标的变化趋势,验证基于mini-slot时间结构的频谱分配策略的有效性.面向认知用户构造个人收益和社会收益函数,给出频谱接入的收费方案,实现所提频谱分配策略的社会最优. 非参数投影寻踪回归在粉煤灰混凝土强度预测中的应用 为了进一步优化粉煤灰混凝土配合比设计,改进混凝土施工工艺,提高混凝土质量。针对粉煤灰混凝土强度与其影响因素之间复杂的非线性关系,通过对影响粉煤灰混凝土强度的主要因素进行分析,提出了基于投影寻踪回归分析的粉煤灰混凝土强度预测模型,用单纯形优化算法确定最佳投影方向,并在Matlab环境下编写了相应的计算程序。利用某工程粉煤灰混凝土配合比的实测数据,进行粉煤灰混凝土强度的预测。实验结果表明,该方法具有较高的拟合度和良好的预测精度,满足工程实际要求。 一种基于改进动态规划的最佳拼接线搜索方法 寻找最佳拼接线是图像拼接中的重要一步,主要用于消除图像重叠部分的配准错位以及移动物体造成的拼接伪影。为了得到一条较理想的拼接线,根据重叠区域图像的颜色和纹理相似性提出一个新的能量函数。同时对传统查找最佳拼接线的动态规划算法进行了搜索方向上的改进,将原来只搜索当前点相邻行正对的三个点改为搜索相邻行中所有的点。利用此改进的搜索算法,在构造的能量函数上找出一条能量值之和最小的全局最佳拼接线。实验结果显示,改进的算法搜索到的拼接线可以成功地绕过重叠区域内的较大目标,保留了目标的完整性,从而实现视觉感观上更加自然的图像拼接。同时,实验数据表明,该拼接线的平均能量值之和比传统方法小10%。 基于Lua的PDXP协议数据分析插件开发 在测控网中,PDXP协议作为一种私有协议用于传输测控关键信息。尤其是在航天测控任务中,测量船与陆地控制中心传输的实时测控数据均为PDXP协议数据,因此对PDXP协议数据监视尤为重要。针对测量船测控信息传输链路曾出现过丢包、乱序的现象,通过Wireshark抓包软件内置的Lua开发引擎,研究开发对PDXP数据包进行解析分析的插件。经任务检验,插件能够有效地对PDXP数据包中各字段进行解释,并且对丢包和乱序进行提示,从而帮助网管人员监视网络链路状态。 鲁棒的机器人粒子滤波即时定位与地图构建的实现 为了实现未知环境下的移动机器人同时定位与地图构建,提出一种改进的粒子滤波器算法。针对传统粒子滤波器粒子数量大的问题,通过在粒子滤波重要性采样阶段融入激光传感器观测信息,以减少所需粒子数。重采样之后出现粒子严重枯竭时,进行马尔可夫蒙特卡洛移动处理以降低粒子的匮乏效应。该方法在装有机器人操作系统的Pioneer3-DX机器人上进行测试,实验结果表明,它能够在线创建高精度的栅格地图。 《计算机技术与发展》投稿须知 [正文]1.《计算机技术与发展》是中国计算机学会会刊,中国科技核心期刊、中国科技论文统计源期刊、RCCSE核心期刊。2.投稿时请注明是否是中国计算机学会(CCF)会员(高级会员、普通会员、学生会员)。凡作者中有CCF会员(请注明会员号),则享受优先录取、优先出版待遇,第一作者为CCF会员者,将享 通用科目网络在线训练与考试系统的设计与实现 随着网络技术的发展,在线训练与考试已成为各行业、各机构人才培养与评价的重要途径。通过分析在线训练与考试任务的功能需求,设计在线训练与考试系统采用B/S架构,结合.NET开发技术和SQL Server数据库。系统功能涵盖用户授权与登录管理、试题库管理、组卷管理、考生管理、考场管理、阅卷管理、成绩管理等。作为系统设计的重点,组卷管理采用遗传算法实现作为组卷策略之一;数据库安全设计采用动态密钥分配方式确保题库认证安全,采用XML文档对称加密方式防止试题失控。 结合形态学的Hough变换虹膜定位改进算法 虹膜定位是在虹膜图像中确定虹膜的内外边界,是虹膜识别过程的首要环节。Hough变换是虹膜定位的经典算法,但对原始图像质量要求高,算法运算时间长。依据人眼图像的灰度特性,结合形态学处理提出一种改进的Hough变换定位新算法。对图像进行灰度二值化运算后进行形态学处理分离出瞳孔,结合Sobel算子边缘检测出瞳孔边界点,通过最小二乘法拟合定位出虹膜内边界;在先验知识和形态学处理的基础上对图像进行Hough变换,定位出虹膜的外边界。实验表明所提出的算法性能比传统Hough变换有较大提高,可用于实际虹膜识别的预处理过程中。 面向大数据的并行分类混合算法研究 针对传统分类算法及技术在处理海量异构数据存在的系统性能拓展性低、计算量大、耗时长、分类效果不佳等问题,采用Map-Reduce与邻近分类算法融合设计适合大数据处理的并行分类混合算法,利用加权欧氏距离并行计算,达到提高海量数据分类效率、提高分类识别率和减小资源开销的目的,搭建Hadoop集群研究并在多个数据集上测试算法的可行性.实验结果表明,并行分类混合算法在海量数据分类中显现出较好的分类效果,是可行的海量数据分类模型. 基于黄金分割率的指纹图像方向滤波模板 设计一组方向滤波器,该滤波器包含8个方向的滤波器模板。将水平方向滤波模板中可能发生旋转溢出的位置赋零值,其位置参数值按照由中心向外围参数逐渐衰减的规律由一段基于黄金分割率的斐波那契数列段确定,其余7个方向的滤波模板由水平方向滤波模板旋转得到。在保证各个方向滤波器模板结构一致性的同时,既解决模板旋转溢出的问题,又使参数在对应方向上分布更具规律性。实验结果表明,该组方向滤波器模板对低质量指纹图像具有明显的增强效果,能更好地连接断裂脊线并分离粘连脊线。 BLAKE-32的自由起始原象攻击 SHA-3第二轮候选算法BLAKE采用局部宽管道技术和改进的MD迭代结构,其内核为Chacha密码算法的内核,该算法的安全性还未得到证明。通过分析BLAKE算法的结构及其消息置换特征,首次采用分段—连接技术对其进行了3轮的自由起始原象攻击。结果表明,消息置换的设计存在缺陷,而且这一设计缺陷影响了BLAKE算法的安全性。 产品族架构研究综述 为了更加深入地研究产品族架构,阐述了架构的概念及产品族架构与单件产品架构的区别,从架构模式、物理技术、优化技术、平台与定制化架构方法、架构流程等方面综述了产品族架构本身的技术和方式方法的研究现状。在企业和产业层面上,对产品族架构与其相关因素之间的关联影响进行了系统梳理,并对研究趋势做出了展望。 基于MapReduce的云存储数据审计方法研究 云存储是一种新兴的网络存储技术,它是云计算提供的一个重要服务。云存储因其快速、廉价和方便而广受云用户喜爱。然而,它也给云用户的外包数据带来了许多安全问题。其中一个重要问题就是如何确保半可信云服务器上数据的完整性。因此,云用户和云服务器亟需一个稳定、安全、可信的数据审计方法。随着大数据时代的到来,传统数据审计方案批量处理云环境下海量数据的效率不高;并且,随着移动客户端的流行,传统数据审计方案带给用户的在线负担太过繁重。因此,提出一种基于MapReduce编程框架的云数据审计方案,使用代理签名技术将用户对数据签名计算代理出去,并且并行化处理数据签名和批量审计过程。实验结果表明,所提方法明显提高了批量审计的效率,增强了云存储服务的可用性,并且减轻了用户的在线负担。 参数测量模型中包含复数的测量不确定度的评定 论文给出了用于评定测量模型中包含复数变量参数的测量不确定度评定方法,该方法基于现行有效的JJF1059.1-2012《测量不确定度评定与表示》和JJF 1059.2-2012《用蒙特卡洛法评定测量不确定度》,通过将复数转换为实部和虚部表示的形式,使得复数变量可以按照现行不确定度评定方法进行评定,并且给出了不确定度评定实例。 基于逻辑页冷热分离的NAND闪存磨损均衡算法 针对现有的NAND闪存垃圾回收算法对磨损均衡考虑不足的问题,提出了一种基于逻辑页冷热分离的NAND闪存磨损均衡算法。算法同时考虑了无效页的年龄、物理块的擦除次数以及物理块更新的频率,采用混合模式选择回收符合条件的物理块。同时,推导了一种新的逻辑页热度计算方法,并将回收块上有效页数据按照逻辑页的热度进行了冷热分离。实验结果表明,与GR算法、CB算法、CAT算法以及Fa GC算法相比,该算法不仅在磨损均衡上取得了很好的效果,而且总的擦除次数与拷贝次数也有了明显减少。 云环境下基于二维节点矩阵的分级多表连接 "随着""大数据""时代的到来,分布式数据处理得到了广泛的应用和发展.在基于云计算的海量数据处理中,复杂处理要求逐渐增多,数据分析通常需要跨越多个数据集,因此亟需高效的多表连接机制.现有的基于MapReduce的多表连接机制多采用串行级联方式实现多个不同数据集连接,操作灵活但效率不高.本文在分析现有并行连接模型的基础上,提出基于二维节点矩阵的分级多表连接模型TD-HMJ.TD-HMJ在一次Map过程中处理全部连接属性,Reduce过程建立二维节点矩阵实现多组3(或2)表并行连接,并通过多级Reduce过程实现多组间连接.理论分析和实验表明TD-HMJ减少了数据传输量,缩短了多表连接时间,提高了连接效率." 一种复合微运动的微多普勒分析与参数估计 目标的微运动所产生的微多普勒特征包含了目标的运动和结构信息,在目标的分类、识别等领域中有着重要的作用。建立了振动与加速运动的复合微动模型,定量分析了目标的微多普勒调制特征,提出了利用提取峰值法和拟合直线法估计目标的加速度、振动频率和振动幅度等参数的方法,通过仿真验证了模型的正确性和参数估计的有效性。 并行计算技术的几种实现方式研究 面对编程语言以及并行计算实现方式的多样性,如何为不同语言选择合适的并行方式,解决不同硬件环境和语言对并行技术的约束。首先介绍了基于线程的Open MP和Java的并行编程模型,适合单机多核的并行计算;其次详细阐述了基于进程的MPI技术,适合单机多核和集群系统,主要从MPI编程过程和PBS作业调度体系做了研究,并以两种队列方案的对比说明PBS作业调度的实现过程。通过对并行技术的研究,既可实现流行语言Java的并行计算,又可实现C、C++、Fortran语言的并行;既可实现单机多核的并行,又可实现集群系统的并行;既可实现指定节点的并行,又可由调度系统分配节点并行。 带缓冲流水车间成组调度问题的混合微分算法 针对流水车间成组调度问题,加入序列相关的准备时间和有限缓冲的约束,以最小化总流程时间为目标建立数学模型,提出一种混合微分进化算法。该算法将微分进化算法和禁忌搜索算法相结合,利用微分进化的并行性搜索确定各组内的零件顺序,应用禁忌搜索寻找最优的零件组顺序。为提高求解速度和精度,利用构造算法产生问题的初始优化解,并通过数值实验确定算法的最优参数。通过算例实验以及与其他算法的比较,验证了所提算法的有效性。 基于粒子群算法的改进DV-Hop定位算法 针对无线传感器网络(WSNs)节点定位问题中DV-Hop算法的不足,提出利用粒子群优化算法对改进DV-Hop得到的估算位置校正。这种方法将定位问题看成一个多维优化问题,并且不需要任何额外硬件设备,也不会增加通信量。最后将仿真实验结果与改进DV-Hop算法进行比较,表明基于PSO算法优化的改进DV-Hop定位算法在优化性能上有所改进,有效提高了节点定位精度,证明该方法的有效性。 基于Wi-Fi信号的LDCC-PDF分级时延估计 在室内无线定位领域,Wi-Fi技术因其低成本和广泛普及性更具研究价值和应用前景,而基于时延参数估计的定位算法则能满足高精度定位需求。针对现有各类时延估计算法在室内真实多径环境下估计精度差、复杂度较高的问题,提出一种基于前沿检测互相关和子载波相位差拟合LDCC-PDF(Leading-edge Detection based Cross-Correlation and Phase Difference Fit)的分级时延估计算法。首先对收发信号进行互相关,通过分步检测得到整数倍基带采样周期粗时延估计值,再对频域各收发数据子载波的相位差进行线性拟合,得出小数倍采样精时延估计值。以802.11n标准的长训练序列作为定位信号对算法进行了性能仿真。实验结果表明,该算法估计精度高,抗噪声性能良好,单径条件下均方根时延定位误差小于0.3米,多径非视距传输环境下误差小于1米。 基于直方图的水墨画艺术风格研究 基于内容的照片或自然图像分析和检索已经得到广泛的研究,但多数都基于颜色特征,不能直接用于水墨画艺术作品的分析。对图像进行预处理,提出基于灰度直方图描述水墨画笔道力度分布信息及构图风格,并利用神经网络学习水墨画整体技法风格特征,依据此特征进行不同艺术家间的分类。实验结果表明,通过对整体风格特征的提取,直方图模型能够有效表征画家不同的艺术风格,实现了水墨画的自动分类。 排爆机械臂的运动学仿真 在机械臂性能优化设计的研究中,为了使排爆机械臂能够灵活、有效的处理爆炸物,需对其进行运动学仿真,针对所设计的排爆机械臂的机械结构,通过D-H方法建立相应的运动学模型,运用矩阵逆乘的方法分离变量,求得了运动学正解和逆解。用MATLAB平台中机器人工具箱编程并建立ADAMS虚拟样机,对机械臂的末端位移、速度和加速度做了运动学仿真,通过仿真验证了机构设计的合理性和仿真方法的正确性。结果为排爆机器人的结构设计和优化,为排爆机械臂的电机选择提供了依据。 基于模糊优化的关键词广告预算分配策略 针对单搜索引擎,研究了广告主竞投多个关键词时的广告时序预算分配策略,在总预算限制下建立了以最大化广告收益、最小化无效点击为双目标的单引擎多关键词广告时序预算分配模型,并给出了模糊优化双目标预算分配模型的解法。通过验证,该预算分配模型对广告主在单搜索引擎上竞投多个关键词广告时起着策略性指导作用。 基于深度学习的社交网络平台细粒度情感分析 在当下互联网迈入Web 2.0时代,多样的社交网络平台呈现出巨量而丰富的文本情感信息,因此挖掘网络数据文本信息并作情感倾向判断对人机交互与人工智能具有重大的现实意义。传统的解决文本情感分析问题的方法主要是浅层学习算法,利用回归、分类等方案实现特征的提取及分类。以这类方法为起点,探索采用深度学习的方法对网络文本进行细粒度的情感分析,以期达到即时获取依附于网络世界的社会人的情感,甚至是让机器达到对人类情感表达的深度理解。对于深度学习的具体实现,采用的是降噪自编码器来对文本进行无标记特征学习并进行情感分类,利用实验训练获得最佳的参数设置,并通过对实验结果的分析和评估论证深度学习对于情感信息的强大解析能力。 临近基站建议模型在基站位置校正中的应用 移动网络和智能终端的迅猛发展使得基于位置的服务LBS(Location-based Service)成为互联网发展的热点之一,因此基站位置的准确性成为至关重要的因素。针对位置错误或者无位置信息的基站,本文提出一种新的校正算法临近基站建议模型(Nearest Base Station Suggestion Method,NBSS)。首先,该算法在数据预处理和时间粒度上进行过滤,在提高数据质量的同时减少运算量;其次,根据时间连续性的特点,结合用户手机终端App上传的位置信息,获取某一动态时间内用户轨迹经过的基站序列;最后,参考这些基站的位置特点,给出错误基站或无位置信息的新增基站的建议位置。通过实地考察基站位置,将NBSS算法校正后的结果与真实值进行对比分析,实验结果表明,该算法时间复杂度较低,能够满足大多数无线应用的位置精度要求。 服务级远程灾难恢复系统 设计并实现了一种服务级远程灾难恢复系统。该系统采用逻辑卷数据拦截技术实时监控本地生产服务器的数据变化,将变化的数据通过Internet备份到远程备份服务器。采用基于趋势预测的心跳检测算法实时检测生产服务器的存活状态,当灾难发生时,将生产服务器的应用服务迅速切换到远程备用服务器。实验结果表明,该系统能够高效地实现数据恢复与服务漂移,同时保证了数据的安全性与服务的连续性。 结合分数Hartley变换和复用技术的图像加密算法 通过初等数学运算对彩色图像的RGB三个分量进行复用,并结合基于分数哈特莱变换的双随机相位对其进行单通道加密。加密算法的密文不是一幅彩色图像,而是一复数矩阵。研究表明,该加密算法加密过程简单,密钥选择性大,且有较大的密钥空间和较高的安全性。 基于增量主成份分析的在线视频镜头边界检测 在基于内容的视频检索系统中,镜头边界检测是极其关键的一步。而在线检测镜头边界也是亟需解决的问题,所以提出利用增量主成份分析(IPCA)方法,对视频进行实时分析和在线镜头边界检测。IPCA方法允许样本逐步输入,这样正符合在线视频的获取方式,所以利用IPCA可以实现镜头边界的在线检测。但是,IPCA也存在存储空间逐步增大的缺陷,针对此问题也提出了解决方案。实验证明该方法可以取得满意的效果。 无线传器网络安全系统的研究与设计 无线传感器网络(WSN)是一种新型无线网络,它有许多优点;但是也存在一些问题,这些问题让攻击者能够更轻易的分析网络安全漏洞,进行攻击并摧毁整个网络.本文设计了一个安全的无线传感器网络模型.它能够抵御大多数已知的网络攻击,且不会明显降低传感器节点(SN)的能量功率.我们建议对网络组织进行聚簇以降低能耗,并基于对信任级别的计算以及可信节点之间信任关系的建立来保护网络,基于集中式的方法对信任管理系统进行运作.实验结果表明:本文设计的无线传感器网络模型解决了高效节能的设计任务,信任管理系统在防御攻击上的结果令人满意. 一种数学表达式检索结果相关排序算法 针对数学表达式符号种类繁多、结构复杂多变、语法语义丰富等特点,提出一种检索结果相关排序算法,利用犹豫模糊集在处理多特征、多隶属度模式方面的优势,计算数学表达式间的相似度,实现基于相似度的数学表达式检索结果的相关排序。通过归纳数学表达式的符号、结构、语法、语义方面的特征,建立数学表达式的相似度函数,对数学表达式检索系统中用户查询式与检索结果集中数学表达式之间的相似程度进行综合多视角的测量。实验结果表明,该算法能实现数学表达式检索系统结果数据的有序输出,有助于改善数学表达式检索系统的性能。 面向产品设计任务的可配置知识组件技术 针对产品设计过程中已积累的知识不能快速服务产品设计过程的问题,引入知识组件技术并采用基于文件的过程集成方法来封装知识组件,研究了知识组件的运行过程。为降低知识组件与外部系统间以及知识组件内部对象间的耦合性,进一步提出可配置知识组件的概念。构建了可配置知识组件的整体结构,建立了其中输入参数、模板、输出参数等三类对象的元模型,研究了可配置知识组件的运行机制。可配置知识组件能够以松耦合的方式将参数、经验、规则和模板等组合在一起,快速应用于不同的设计过程。以发动机零部件空气滤清器细滤设计阶段的计算过程为例,说明了可配置知识组件的构建、运行和更新过程。 基于高斯概率模型的水稻重叠病斑分割算法 研究水稻病斑中的重叠病斑识别问题,针对诊断水稻图像信息和及早防治,传统的水稻病斑分割算法都是对病斑的像素进行直接的操作,容易造成像素信息的丢失,存在着识别准确性差问题,造成后期的识别率不高。为解决上述问题,提出了基于像素概率模型的水稻病斑分割方法。通过自适应病斑分割算法,用高斯混合模型描述每一像素的色彩分布情况,再以具有最大适应度值的子模型作为当前分布模型来描述每一病斑像素的特征,避免了对像素的直接操作。仿真结果表明,方法能够有效的分割大部分重叠水稻病斑特征,提高了识别准确性,取得了比较好的效果。 基于Curvelet变换的压缩传感超分辨率重建 为了在无训练集的情况下,改善单帧退化图像的分辨率,实现了一种基于Curvelet变换和快速迭代收缩阈值法(FIST)的压缩传感超分辨率重建算法(Curvelet-FIST)。算法首先对低分辨率图像建立伪星形采样的采样方式,利用压缩传感理论,在Curvelet变换域,通过快速迭代收缩阈值法由采样值恢复出高分辨率图像。仿真实验表明,此超分辨率重建算法比传统的插值算法以及基于Wavelet变换和FIST的压缩传感重建算法(Wavelet-FIST)有更高的峰值信噪比。 无标度网络中的边免疫策略 针对无标度网络提出一种边免疫策略,与目标免疫相比,该策略可使网络获得更高的传播临界值,并能更好地保持网络的连通性。采用SIS病毒传播模型,分别在BA无标度网络和HEP网络中进行仿真实验,结果表明,该免疫策略可以减少免疫剂量并能有效控制病毒传播。 频域内基于邻域特征学习的单幅图像超分辨重建 针对图像重建过程中待插值点灰度估计不准确的问题,提出一种基于邻域特征学习的单幅图像超分辨回归分析方法。在输入低分辨率图像后,利用图像特征从低分辨率图像及其对应高分辨率图像的几何相似结构中学习局部协方差。对于邻域中的每一个图像块,估计4个方向的方差以适应插值像素。实验结果表明,该方法既能保证重建的高分辨率图像均匀区域的一致性,同时也能完整保留图像细节信息和边缘轮廓。 一种求解混合整数非线性规划问题的混合优化方法 提出了一种适用于求解混合整数非线性规划(MINLP)方法(GA-SQP),针对确定型算法在NLP子问题复杂的情况下难以在有限时间内收敛的问题,将MINLP问题分解为一系列简单的NLP子问题,外层用遗传算法搜索最优的整数变量集,内层执行SQP算法解决NLP问题,相比传统的确定性算法,它能减少模型本身的非凸性,从而消除双线性项的求解困难,而相对于智能算法,它充分利用梯度信息,在求解NLP问题上具有明显的效率优势。在改进求解效率上,进一步引入存储机制,减少NLP重复求解从而加速收敛。最后以3个常用的测试函数和水处理网络问题为例,数值计算表明本文提出的方法搜索精度明显优秀于传统的确定型算法和启发式算法。 面向多源异构信息的频繁项集挖掘算法 电网调度运行过程中产生海量复杂度高的多源异构数据,利用数据挖掘将这些数据转化为知识是调度智能化发展的必然趋势。为此,构建了基于调控大数据的多源异构数据分析模型,提出了一种能够处理大数据的频繁项集挖掘算法,将分布式统计引入到频繁项集挖掘过程。该算法根据组合学原理,利用MapReduce扫描一次数据库从原始事务数据库中完成频繁项集的整个挖掘过程;且在支持度阈值改变的情况下无需重新扫描数据库进行挖掘,改进了现有频繁项集挖掘算法多次扫描事务数据库和挖掘效率低的问题。通过利用Hadoop平台对故障信息事务库进行处理,将所提出的算法与其他频繁项集挖掘算法进行了对比验证实验。实验结果表明,所提出的算法不受支持度阈值的影响,处理海量事务数据算法时间开销小,可为实现以准确、安全、经济等目标综合最优的调度智能化分析和决策提供有益的知识。 基于Android和GPS轨迹记录和能耗测算研究 现在城市里处于亚健康的人数比例越来越大,锻炼是改善亚健康状态的有效手段,跑步和走路是较方便快捷的锻炼方式。为了帮助人们科学合理地进行日常锻炼如户外跑步、走路等运动,文中基于Android平台和GPS技术,利用百度地图开源SDK,设计并研发了一个较准确记录并测算终端持有者运动轨迹和人体运动消耗量的系统。该系统提出了怎样根据运动速度计算能耗的相关算法,而且系统设置固定的经纬度步长排除了GPS不稳定性带来的异常点干扰。该系统通过采集到的用户相关参数,按照设计出的算法,测算出运动者的运动时间、速度、路程、运动的能耗等相关数据,而且能较准确记录下运动轨迹。 基于混沌免疫粒子群优化和广义回归神经网络的回采工作面瓦斯涌出量预测模型 为提高回采工作面绝对瓦斯涌出量预测的精度和效率,提出了将混沌免疫粒子群优化(CIPSO)算法与广义回归神经网络(GRNN)相耦合的绝对瓦斯涌出量预测模型。该方法采用CIPSO对GRNN的光滑因子进行动态优化调整,减少了人为因素对GRNN网络输出结果的影响,并采用优化后的网络建立瓦斯涌出量预测模型。通过对某煤矿瓦斯涌出量数据的仿真实验结果表明:基于CIPSO-GRNN的回采工作面绝对瓦斯涌出量模型比BP神经网络、Elman网络预测模型具有更好的预测精度和收敛速度,证明了该方法的有效性和可行性。 解非线性方程组的多目标优化进化算法 如何有效地求解复杂非线性方程组是进化计算领域一个新的研究问题。将非线性方程组等价地转化成多目标优化问题,同时设计了求解的多目标优化进化算法。为了提高算法的搜索能力及避免算法陷入局部最优,采用了自适应Levy变异进化算子和均匀杂交算子。计算机仿真表明该算法对非线性方程组的求解是有效的。 流水线型ADC误差及相应校正策略研究 流水线ADC存在许多非理想因素,这些误差会从不同方面影响流水线ADC的整体性能.为了进一步提高流水线型ADC的性能,需要对误差源做一个全面的概括,并采用相应的校正方法来消除.首先对流水线型ADC的各种误差源做了一个全面的介绍,针对不同误差提出了相应的消除方法,然后介绍了当前最常用的几种数字辅助校正方法,最后对各种数字校正方法的使用条件和优缺点进行了对比和总结. 基于循环谱的MPSK信号盲检测 针对MPSK信号在低信噪比、非合作条件下存在检测概率低的问题,提出了基于循环谱分析器的检测方法。方法根据MPSK信号循环平稳特性,通过在频率和循环频率双频率平面内的循环谱峰值特征搜索完成检测,不需要知道信号的编码序列、载频、码元宽度等先验参数,采用时域平滑实现循环谱估计,减小了计算量。仿真结果表明方法对MPSK信号具有较高的检测概率,而且证明数据长度的增加能够显著提高检测性能。 基于自适应极线距离变换的立体匹配 针对极线距离变换对噪声的敏感性及其在不连续区域匹配的不确定性,提出一种基于自适应极线距离变换的立体匹配算法.自适应极线距离变换利用图像结构特征,提出迭代目标尺度算法与区域不连续图来自适应选择极线距离变换参数,将图像的强度信息转化为沿着极线局部分割区域的相对位置信息,在区分低纹理区域像素点的同时保持了图像边缘信息;采用局部极小窗口均值计算分割线长度,有效地提高了低纹理区域对噪声的鲁棒性.对多幅真实图像的实验结果表明,自适应极线距离变换对低纹理区域以及不连续区域是有效的,且采用变换后图像计算视差的立体匹配算法,有效地降低了图像边缘点和噪声点等不连续区域的误匹配率,提高了图像匹配精度. 多源日志聚合分析方法 在检测网络安全威胁事件时,各种安全设备会产生大量冗余告警信息,易导致误报率高和日志聚合后聚合度低,给日志分析带来很大困难。为解决这一问题,采用一种自适应时间阈值间隔的聚类算法。通过定义聚合规则和中间日志,动态更新中间日志里的间隔阂值,实现对多源日志的聚合。实验结果表明,该算法的聚合时间阈值间隔更加接近真实攻击时间间隔,能准确对多源日志进行聚合分析,有效减少告警日志信息的数量,提高了日志的聚合度和准确率。 旋转导向钻井稳定平台的广义预测控制研究 研究了旋转导向钻井稳定平台的摩擦问题,建立了带摩擦的稳定平台广义被控对象的数学模型。针对稳定平台摩擦的不确定性,为了提高稳定平台系统的控制精度和稳定性,提出了阶梯式广义预测控制算法,既保留了传统广义预测控制的优点,又避免了在线求解逆矩阵,同时使算法的安全性得到了提高。将改进算法应用于稳定平台的工具面角控制,并进行了仿真。仿真结果表明,上述算法具有较高的控制精度和良好的动态性能,并且对时变的摩擦干扰具有较强的抗干扰能力,对系统参数摄动具有良好的鲁棒性。 Web3D虚拟现实平台的开发及在船舶行业中的应用 对实现Web3D虚拟现实技术的各种方法进行了比较,然后利用Java的Swing和I/O编程,实现了通过导入OBJ模型生成Java 3D虚拟现实场景的软件平台。该平台具有简易的图形化操作界面,能方便快速地搭建Web3D虚拟现实场景。以某船舶上层建筑为例,利用该平台创建了基于Web的船舶上层建筑虚拟漫游场景。 基于情景感知的电源管理策略 智能手机处于待机状态下仍会消耗能量,这些能量部分消耗在网络数据的通信上;为了降低这些功耗,提高智能手机的续航能力;提出一种基于情景感知的电源管理策略,通过机器学习的方法来进行决策,智能地管理移动终端的网络接口,通过使持续性的网络连接变成间断性的网络连接来减少功耗;在Android移动终端上对提出的策略进行相关实验和对比,能有效的提高续航能力。 一种面向SSD-HDD混合存储的热区跟踪替换算法 固态驱动器(SSD)读写性能优越,但成本高,因此在实践中人们往往利用SSD和普通硬盘(HDD)构建混合存储系统以获取较高的性价比.在混合存储系统中,如何使更多的IO请求能够命中SSD是充分利用SSD性能的关键.针对多任务共享存储环境下集中访问和随机访问IO存取模式并存,且通常情况下IO工作流大部分请求相对集中于有限区域内的特点,本文提出一种基于热区跟踪(HZT)的缓存替换算法.HZT算法充分考虑了IO工作流的空间局部性和时间局部性,利用IO工作流的历史访问信息,跟踪当前热区,并为热区数据块赋予更高的驻留SSD的优先级,能够有效提高混合存储中SSD缓存的命中率.经测试,在典型多任务共享存储环境下HZT算法可以使SSD缓存的命中率比使用LRU(Least Recently Used)算法的系统提高12%.采用适当的预取策略,该算法的命中率与LRU算法相比可获得23%的提升. 混合CORDIC在分裂基FFT中的应用 提出了一个基于CORDIC的分裂基FFT/IFFT处理器来计算2048/4096/8192点DFT。蝶形处理器的算术单元和旋转因子产生器采用CORDIC算法实现,所有的控制信号在片内产生。相比于存储旋转因子所需的ROM,CORDIC旋转因子所用ROM尺寸更小。与传统的FFT实现相比功耗减少了25%。 一种新的加权最近邻算法的降水预报试验 短期精确降水预报一直是天气预报的难点,如何提高预报准确率也是一直被关注的热点。提出了一种新的基于加权最近邻算法,利用某地区降雨量资料和NCEP天气资料,将降雨量作为类,将NCEP天气资料的各种因子场都作为分类因子,计算出不同天气样本间分类因子的相似离度,利用分类因子与类的皮尔逊矩阵相关系数来确定分类因子的权重,通过因子场的逐步引入实现最优分类,最终确定分类因子的数目及其权重来建立最优预报方程,即预报模型。实验中用改进模型对南京市7、8月份进行了24小时降雨预报,实验结果表明,改进模型具有较好的预报效果。 手持设备三维菜单绩效评估 为了使研究人员能够快速、有效对手持设备的三维菜单设计进行评估,基于Fitts’定律和Hick-Hyman定律,提出了一个预测手持设备三维菜单操作绩效的模型,并用实验验证了该模型的有效性。实验分为两个阶段,第一阶段的实验是为了计算模型中的系数a1,b1,a2,b2的值,并将其用于实验第二阶段的数据分析;第二阶段的实验是为了验证模型的有效性。并结合AIC(Akaike Information Criterion)准则对该模型与其他模型进行了对比分析。实验结果表明,该模型预测得到的用户操作绩效数据与实验测试得到的绩效数据非常接近(二者相关性为0.9145),且通过AIC准则分析表明,该模型最优。因此,该模型是有效的。 室内通信用泄漏同轴电缆辐射场的仿真研究 研究室内移动通信优化问题,无线电波的均匀全向传播是室内无线通信系统正常工作的重要保障。由于电磁波传播折射造成衰减,使传统室内天线辐射出的电磁波无法均匀传播到室内空间各个角落。为了解决上述问题,提出一种用泄漏同轴电缆代替传统天线的解决方案。将时域有限差分方法与射线追踪法相结合,对泄漏同轴电缆在室内空间中的辐射场进行仿真,仿真结果表明泄漏同轴电缆在室内空间中的辐射场比较均匀,达到了全向辐射,弥补了传统天线存在通信盲区的缺点,在移动通信中可以很好地发射和接收信号,具有广阔的应用前景。 Ad hoc网络的二连通骨干网构建算法 网络拓扑结构的连通性是保证数据通信的前提,而拓扑的二连通是网络在有节点或链路失效的情况下保持拓扑连通的基本条件.为了构建具有容错能力的Ad hoc网络的骨干网,针对Ad hoc网络拓扑动态变化、节点可能失效的特点,根据图论中相关理论,结合计算几何中三角剖分相关内容,给出了一种构建二连通骨干网的算法.仿真结果表明,在不同的网络环境下,采用本文的二连通骨干网算法得到的拓扑结构至少是二连通的,理论分析和仿真结果一致. 代数免疫度最优的偶数元旋转对称布尔函数的构造 针对目前许多流密码算法无法抵抗代数攻击问题,提出了一种构造代数免疫度最优的偶数元旋转对称布尔函数的新方法。该方法在择多函数的基础上,通过巧妙选择汉明重量不一的若干轨道,并改变这些轨道上的函数值,从而构造出一类新的旋转对称布尔函数。给定布尔函数达到代数免疫度最优的一个充分条件,通过证明新构造的布尔函数满足该充分条件,从而表明该类函数代数免疫度最优,能够有效抵抗代数攻击。 一种强不可伪造代理重签名方案 已有的代理重签名方案大多是存在性不可伪造的,攻击者能对已经签名过的消息重新伪造一个有效的签名,但强不可伪造性能阻止攻击者对已经签名过的消息签名对进行重新伪造。为此,利用目标抗碰撞(TCR)杂凑函数,提出一种双向代理重签名方案。基于TCR杂凑函数的抗碰撞性和计算性Diffie-Hellman假设,证明方案在适应性选择消息攻击下是强不可伪造的。分析结果表明,该方案在计算效率上优于现有的强不可伪造代理重签名方案,系统公开参数长度、签名长度和重签名长度更短,且满足更多的安全属性。 复累量切片在故障诊断中的应用 复数三阶累量具有不同的定义形式,定义形式不同,其耦合性质也不相同,则由其产生的复数累量切片也包含了信号间不同的耦合信息,实验中利用这些不同的定义方式,对特定的故障信号和正常信号同时采用相同的耦合方式进行故障判别。同时定义了复数三阶累量切片谱,通过切片谱对正常信号和故障信号的耦合特征进行了分析。实验结果表明,随着耦合方式的不同,同一故障的诊断正确率也不相同,从而为特定的故障判别提供了一种可供选择的方法。 飞行试验机载网络数据实时处理技术研究 为实现飞行试验机载网络数据实时处理,对动态数据接口、机载网络数据传输结构和实时数据解析方法进行研究,开发基于VC++的机载网络数据实时处理软件系统;该软件系统建立动态数据接口与硬件系统进行连接,利用网络套接字方法对实时传输的网络数据进行捕获,将捕获的网络数据结合结构参数信息进行解析实现数据实时处理,并已于某项目上进行试验测试,在数据有效性检查、数据采集与记录等数据实时处理方面得到成功应用;结果表明该软件系统能够对机载网络数据形象准确的真实解析进行实时处理,方便工作人员对试飞数据快速有效地做出判断,满足应用需求,同时为系统架构扩展和进行深一层的研究提供了科学保障。 基于凸片段分解和格网的点在多边形中的可见边检测 检测点在多边形中的可见边是计算几何中的一种基本计算,文中对此提出一种加速算法.首先对多边形进行凸片段分解,以利用点在凸多边形中可见边的快速计算;然后利用格网结构实现由近及远的计算,避免处理被遮挡的凸片段.该算法可基于格网结构方便地进行并行处理,并可统一处理含空洞和不含空洞的多边形,其预处理时间复杂度为O(n),空间复杂度也是很低的O(n),而检测的时间复杂度在O(logn)~O(n)之间自适应变化,其中n为多边形的边数. 重大灾害条件下的航空应急救援效率研究 分析了当前航空应急救援效率低下的原因,提出了建立以民航管理体系为背景的三层应急救援体系,研究了该体系下的救援指挥点与灾区的救援航空器分配算法,以及实施航空救援的航线设计算法和航空器飞行算法,为重大灾害条件下的应急救援预案的建立奠定了核心基础。同时,提出并实现了基于高精度等高线地图的航空救援指挥辅助系统。该系统可为飞行员提供灾区的地理和气象信息,并结合Google Earth的飞行演示功能在预定的航线上进行模拟飞行;让飞行员熟悉整个航空救援过程,极大地提高了航空救援的效率和安全性。 服务于智能制造的智能检测技术探索与应用 "为了促进工业4.0与""中国制造2025""战略在制造行业的实施,在智能制造体系中构建有效的控制层级与设备层级,促进车间状态数据与智能制造工艺流程的结合,文章提出了通过智能仪器与智能检测技术实现车间状态智能感知与工艺流程控制的方法,并探讨了智能仪器与智能检测技术在智能制造系统中新的应用模式,同时结合实际项目进行了数字化生产线改造与建设的创新实践,提出了满足智能制造要求的智能仪器与检测技术的发展方向;经项目实践验证,通过智能检测设备与智能仪器的应用,可有效促进智能制造系统中数据采集、智能感知的实现,并为整体工艺流程的智能决策提供有效的数据资源,是智能制造系统建立与完善的关键基础。" 基于Smarty模板引擎的Web页静态化研究与性能分析 动态Web页的静态化处理不仅可以减少用户请求等待的时间,而且还可以增强应用系统的运行性能,更重要的是可以有效的降低并发访问量较大时服务器的工作负载。针对Web应用项目响应时间长、运行效能低下的问题,提出了一种基于Smarty模板引擎的Web页真静态化处理的方案,并对纯动态、真静态和伪静态三种情况下分别进行了服务器的压力测试,结果表明在同等并发数量访问的环境中,真静态化处理方案中用户请求等待时间最短,服务器传输速率最快,在解决好HTML文件存储空间的情况下,是中大型Web应用项目常用的静态化处理方案。 电缆电源高频冲击下的破损故障信号包络分析技术 电缆电源故障中的高频冲击只影响电源本身结构刚度,信号脉冲特征无大变化,故振动噪声信号在频域中无明显征兆;因此频谱分析对裂纹诊断基本无效;提出一种基于包络分析的电缆电源高频冲击故障信号检测技术,电缆电源表面出现局部损伤时,会产生冲击脉冲力,必然激起测振系统的高频固有振动;通过中心频率等于该固有频率的带通滤波器把该固有振动分离出来;通过包络检波器检波,去除高频衰减振动的频率成分,诊断出故障;实验结果表明,这种方法对电缆电源的故障信号提取精度较高,检测的精度达到了88%以上,高于传统的80%平均线。 基于pcDuino的档案库房安防系统设计 针对人们对于档案库房安防智能化的需求,设计了档案库房智能安防系统,对系统结构及工作原理进行了阐述。利用基于nRF24L01的无线通信模块,构建了无线通信系统。采用pc Duino作为安防系统中央处理器的核心,并结合嵌入式Linux操作系统作为软硬件平台,通过构建Web服务器实现对档案库房设备的控制和工作状态的记录。利用JS技术实现了对监控影像的逐帧获取,提高了系统实时性及存储空间的利用率。最后,对安防系统软件进行了设计,并分析了系统软件的运行流程。在无线局域网覆盖区域对该系统进行测试,结果表明,系统能够达到远程监控档案库房的目的,易于操作和维护。 一种自适应加权中值滤波方法的研究 针对传统加权中值滤波器在中心像素的权值选择上难以确定的问题,提出一种简便的改进型中值滤波算法。综合灰度图像的聚集特征和空间特征,构造图像的二维熵,结合传统加权中值滤波算法,设置滤波算法流程,通过观察图像二维熵的变化,合理改变中心像素的权值,以达到对噪声图像进行适度地平滑的目的,既滤除噪声又较好地保留了图像的细节。实验结果表明,新的滤波算法优于传统的中值滤波算法。 基于IALM和填充可信度的协同过滤算法及其并行化研究 为解决传统协同过滤推荐中存在的数据稀疏性和可扩展性问题,基于IALM和填充可信度提出了并行化的协同过滤算法。该算法利用非精确增广拉格朗日乘子法(IALM)对评分矩阵和评分时间矩阵进行填充;引入填充可信度,并与指数遗忘函数结合,对填充评分进行加权修正,在此基础上应用协同过滤算法进行预测评分;最后基于Hadoop平台对算法进行了并行化设计与实现。实验结果表明,该算法能够提高推荐质量,同时基于Hadoop平台的算法运算效率明显提高。 大规模动态定制系统研究 针对现在大规模定制的用户定制的可选个性化范围小和交货期长等局限性,提出了大规模动态定制系统,然后分析了大规模动态定制的生产流程,构建了大规模动态定制系统原型。在该原型系统中,分析了各子系统的功能和特色,并着重分析了动态CODP的设置和算法实现。最后通过一个大规模定制玩具厂商对该系统的应用,说明了该原型系统的可行性。 标准模型下高效的代理签名方案 代理签名方案允许原始签名者将自己的签名权力授权给代理签名者,使得代理签名者能够代表原始签名者对消息进行签名。提出了一个新的标准模型下可证安全的代理签名方案,其安全性基于q-强Diffie-Hellman困难问题,证明了该方案在标准模型下能够抵抗适应性选择消息攻击下的存在性伪造。与已有的方案进行比较,提出的方案需要较少的公共参数,实现了紧凑的安全归约,并且在密钥生成、标准签名、授权生成、代理签名生成等阶段具有较高的效率。 中国民族乐器的特征值提取和分类 文章处理的是中国民族乐器的识别分类问题。提出了一种基于合适的音频特征值选择方法,该方法在基于MPEG7标准的声学特征,在特征值的时间特性上进行改造,并加入改进后的特征值。从提取的音频特征值数据集选择K-最近邻算法。特别是对没有加入新特征值和加入新特征值后生成分类器模型的性能进行了比较。实验结果证明新特征值的加入提高了分类器的F1度量值。 多粒度的图像检索方法研究 从商空间粒度理论角度分析了图像检索的过程,给出了基于商空间的多粒度图像检索方法。首先根据等价关系R(即图像主色的连通性)将图像划分为不同的区域,然后分别从颜色、形状、空间分布等不同的粒度提取区域的特征属性,利用商空间多粒度属性函数合成思想,将每个粒度下的属性函数合成,形成图像的特征向量,再根据此特征向量计算图像之间的相似度进行检索。实验结果表明,多粒度属性函数合成的检索方法要明显优于单一属性函数下的检索方法;与MTH方法和颜色体积直方图方法相比,其能够更加准确和高效地查找出用户所需要内容的图像,明显地提高了检索精度。 高精度数字式相位差测量系统设计 在测量各种双口网络电路的频率特性时,需要对它们的输入与输出信号之间的相位差进行准确测量,针对采用示波器测量相位差误差较大的问题,提出一种能对信号相位差进行高精度测量的设计方案;系统采用过零鉴相和填充计数的测量原理,利用可编程逻辑器件的高速运算能力对高速脉冲计数,由单片机对工作电路进行协调控制和数据处理显示;同时设计高精度相位差可调的直接数字频率合成信号源对系统进行测试,实际测试结果显示,系统对相位差的测量精度高,最小测量精度可达0.1°,并实现宽频率范围的相位差测量;系统在实际应用中测量结果准确、稳定、可靠。 基于双目立体视觉的人体运动仿真 为辅助运动员训练,建立一个基于双目立体视觉的人体运动仿真系统。使用无标记的动作捕获算法,获取人体关节点在图像上的像素坐标。根据双目立体视觉的原理,计算出人体关节点的世界坐标,建立三维人体模型。通过求解能量函数的最优问题,优化人体模型,并仿真人体运动。实验结果表明,该系统能够较精确地完成动作捕获及运动仿真。 基于不同重采样算法的RFID指纹定位 为满足移动机器人精确定位的需求,提出一种基于不同重采样算法的粒子滤波指纹定位法。定位阶段首先利用机器人运动学建立运动模型作为粒子预测分布,并将当前的观测信息和环境指纹融入,以改善滤波效果,减少所需粒子数;然后给出精致重采样(ER)算法,以提高粒子的细化能力,减少粒子匮乏效应并提高定位精度;最后分析不同重采样算法对定位精度的影响,且从不同的实验角度进一步验证定位算法的精确性以及可靠性。实验结果表明,该算法在定位精度和鲁棒性方面都有显著提高。 基于领域覆盖算法的音乐情感识别 音乐中具备很多情感的信息。文中通过分析音乐特征并用领域覆盖算法对音乐情感分类进行研究。音乐情感分类主要包括两个阶段:特征提取和分类。首先,通过Matlab语言提取音乐的特征,将提取到的特征值构建训练样本,然后使用训练样本训练领域覆盖算法分类器,得到音乐情感分类器,从而实现音乐的情感自动分类。文中借鉴Weiner、Graham的情感分类方法,将音乐分为开心和悲伤两类,并尝试用多种不同的音乐特征组合训练领域覆盖分类器,分析基于领域覆盖算法的音乐情感识别效果。 非中性粒细胞缺乏患者肺真菌病CT表现分析 目的 :了解非中性粒细胞缺乏患者肺真菌病的CT表现,提高影像医师对其CT表现的认识。方法:搜集2011年4月至2015年3月间我院有完整临床及影像学资料,且获得病理确诊的非中性粒细胞缺乏的肺真菌病患者118例。回顾性分析其CT表现(分布、征象等)。结果:118例中病变以单发为主,结节或肿块最为常见,占80.7%(67/83)。具有典型空腔、空气新月征及晕征表现的占21.2%、10.2%、22%。CT表现为多发病灶,细菌培养30.3%(10/33)为阳性结果,单发病灶中细菌培养4.7%(4/85)呈阳性,P=0.000,两者有显著统计学差异。结论:非粒缺肺真菌病患者CT表现多种多样,以单发结节或肿块常见,空腔、空气新月征、晕征占比例较小,但仍为特征性表现。当CT表现为多发病变时,提示同时合并细菌感染的可能。 基于RFID技术的防伪平台的设计与实现 针对商品市场传统防伪手段的缺陷,提出基于射频识别(RFID)技术的防伪机制。该防伪平台利用公钥基础设施技术建立身份认证体系,将数字签名技术应用到电子标签的识别验证中来,设计了防伪流程和验证方法,以此搭建商品防伪追溯模型,并做了模型的安全性分析,最后实现了以酒类为代表的防伪系统。该系统采用Java EE设计开发,以第三方可信防伪平台为基础,支持手机RFID扫描查询、网站查询、短信查询、RFID终端查询等方式,通过商品信息的共享达到防伪验证的目的。 基于离散化误差补偿的试题难度题量控制方法 自动组卷是高校实现考试规范化、科学化的重要手段。考生的平均成绩可以通过试卷的平均难度来控制。然而,如何确定各种难度的题量是关键技术。利用正态分布来确定各种难度的题量,是目前研究的一个方向。提出了对难度进行离散化正态分布后,利用对称积分求取各种难度的概率分布,并且采用比例误差补偿方法减少误差。同时,根据自动组卷的具体问题,确定了标准差取0.2更加合适,并且给出了在不同的总题量下各种难度题量的分配方案。结果表明,基于离散化误差补偿的试题难度题量控制方法能够较好地控制各种难度的题量,为自动组卷策略提供了有效的依据。 基于理想格的可证明安全数字签名方案 为确保签名算法在量子攻击下的安全性,现有的基于格的签名方案大部分都采用标准格结构下的困难性假设问题,而不是理想格,造成方案效率较低。为此,提出一种新的基于理想格上PLWE问题的数字签名方案。通过归约到PLWE问题,证明攻击者即使获取多个已有的消息和对应的签名,也无法对一个新的消息伪造出合法的签名。分析结果表明,与现有的基于标准格上陷门的数字签名方案相比,该方案的签名长度和公私钥长度均减少了约O(n)。 基于模拟谐振子的优化K-means聚类算法 针对K-means算法全局搜索能力的不足,提出了基于模拟谐振子的优化K-means聚类算法(SHO-KM),该算法克服了K-means聚类算法对初始聚类中心选择敏感问题,能够获得全局最优的聚类划分。为了提高聚类划分质量,在聚类过程中采用基于Fisher分值的属性加权的实体之间距离计算方法,使用属性加权距离计算方法进行聚类划分时,无论是球形数据还是椭球形数据都能够获得较好的聚类划分结果。对KDD-99数据集的仿真实验结果表明,该算法在入侵检测中获得了理想的检测率和误报率。 复合式直升机旋翼/机身干扰流场数值计算 复合式直升机是增加直升机最大飞行速度的一种有效方法,但和传统直升机相比,其旋翼与机身之间存在更为严重的气动干扰。基于结构运动嵌套网格技术,通过求解雷诺平均N-S方程,建立一套适用于复合式直升机流场计算的数值模拟方法。通过构造两种不同构型的复合式直升机作为算例,研究了不同飞行状态下复合式直升机旋翼/机身的干扰气动特性。研究结果表明,带有辅助机翼的机身对旋翼功率系数的影响优于常规机身;在小速度前飞时共轴旋翼比单旋翼对机身的影响大;在高速前飞时,旋翼对机身的干扰较弱。 车载自组织网络中基于时分复用的异步多信道MAC协议 为解决车载自组织网络负载较重时控制信道拥塞和信道利用率低的问题,提出一种时分复用机制的异步车载自组织网多信道MAC(Media Access Control)协议——ATMP(Asynchronous TDMA-based multi-channel MAC Protocol).ATMP协议采用时分复用的异步接入机制实现节点分时段接入控制信道,减少并发接入控制信道的节点数目,降低碰撞概率;进一步,为了解决多信道协调信息丢失问题,ATMP协议使用节点协作机制来获取节点遗漏的信道协调信息,有效降低因协调信息缺失造成的数据信道服务信息碰撞概率.仿真结果表明,ATMP协议在碰撞概率、安全消息时延及控制信道吞吐量指标上优于IEEE1609.4标准、AMCP协议和AMCMAC协议. Vague集相似度量及其在模糊数据检测中的应用 分析现有一些Vague集相似度量方法,并指出其不足。考虑在实际应用中,未知度对相似度量的影响,从动态的角度出发,挖掘未知度中包含的赞成与反对信息,提出了一种基于未知度的Vague集相似度量新方法,并将该相似度量方法应用于模糊数据检测中,通过实际应用说明该方法更加有效。 64层CTA诊断房颤患者冠状动脉狭窄准确性的临床研究 目的:与CAG对照分析来评价64层螺旋CT冠状动脉成像在房颤患者中诊断有血流动力学意义的冠状动脉狭窄的准确性。方法:58例房颤患者行64层螺旋CT冠状动脉成像,扫描前均未服用倍它乐克。血管图像质量分为好、中等和差。以CAG作为参考标准,分别基于血管节段和患者水平来分析MDCTCA诊断有血流动力学意义的冠状动脉狭窄的敏感性、特异性、阳性预测价值和阴性预测价值。诊断价值的评价首先仅限于图像质量达到诊断要求的血管节段和患者,进一步的分析中将图像质量不能诊断的血管节段和患者均作为阳性来处理。结果:58例患者有645段(96.55%)图像质量为中等以上,诊断有血流动力学意义的敏感性、特异性、阳性预测价值和阴性预测价值分别是86.21%(25/29)、99.35%(612/616)、86.21%(25/29)和99.35%(612/616)。将23段图像质量没有达到诊断要求的血管均作为阳性后,CTCA诊断有血流动力学意义的血管狭窄的总体阳性预测价值为48.08%(25/52),特异性为95.77%(612/639)。基于患者总体图像质量的分析,58例患者中有47例(81.03%)图像质量为中等以上,CTCA诊断有血流动力学意义的敏感性、特异性、阳性预测价值和阴性预测价值分别是87.50%(7/8)、97.44%(38/39)、87.50%(7/8)和97.44%(38/39)。将11例图像质量没有达到诊断要求的血管均作为阳性后,CTCA诊断有血流动力学意义的血管狭窄的敏感性、特异性、阳性预测价值和阴性预测价值分别是90.00%(9/10)、79.17%(38/48)、47.37%(9/19)和97.44%(38/39)。结论:64CTCA在房颤患者中诊断有血流动力学意义的冠状动脉狭窄具有较好的阴性预测价值,但需要进一步提高图像质量来提高诊断血管狭窄的准确性。 基于时间属性序列图的监控器构造方法 运行时验证一般采用时态逻辑来描述要验证的需求规约,并根据需求规约构造监控器.这对于那些没有形式化经验的软件工程师而言,是一件非常困难的事情,同时,这类方法通常缺少时间机制支撑,因此难以满足实时系统运行时验证中的要求.序列图得到了广泛使用,研究基于序列图来自动生成监控器就显得十分有意义.提出基于UML2.0时间属性序列图的监控器的自动生成方法,其具体思想是使用时间属性序列图来描述要验证的需求规约,然后将整个序列图转换为时间自动机网络,构造出监控器.实验表明,该方法方便缺少形式化经验的软件工程师使用,所产生的监控器运行开销较小,能满足验证对实时性的要求,且有效缓解了监控器生成过程中的组合爆炸. 基于SDL的H.264流媒体播放系统 针对目前广泛使用的H.264标准,设计了一种基于SDL和ffmpeg的流媒体播放系统.将经过RTP封装的流媒体信息解除封装处理后,利用ffmpeg良好的解码能力对数据进行解码,之后再利用SDL优异的视频性能进行实时显示,并同时将流媒体数据保存在本地以供随时调用.实验证明,该播放系统解码播放的实时性出色,画质良好,此外凭借ffmpeg和SDL的跨平台特性,系统具有良好的移植性和拓展性,适用于嵌入式设备和手机平台. 基于Flash的混合动力汽车体验系统设计 为使混合动力汽车体验系统具有游戏般的吸引力,提出了一种新的用脚踏装置代替内燃机动力的系统结构,使体验过程具有互动效果并绿色环保。提出了一种直流电动机工作在发电状态的动态非接触负载实现方法,实现了反馈力无级调整。采用了基于Flash开发主界面的方法,避免了一般控制系统界面质量较低、难于设计的问题。讨论了控制主机软件、采用AVR单片机的从控制器软硬件设计。该系统在广东科学中心的实际运行结果表明了以上方法和设计的可行性和有效性。 基于VSL语言的三维动态交互移动实现及其应用 从游戏开发的实际需求出发,讨论了虚拟场景中三维模型动态交互移动的必要性,分析了Virtools内嵌脚本语言VSL的功能和优势,特别是与Virtools中的其它开发技术相比,它在解决三维模型动态交互移动方面的灵活性和快捷性。根据三维对象动态交互移动的基本原理,给出了基于VSL语言的三维模型动态交互移动功能设计流程,并用VSL语言编程实现了该功能。最后将其成功的应用于汉诺塔三维仿真游戏的设计中,收到了满意的效果,具有应用价值。 基于标签分布学习的视频摘要算法 针对现有监督视频摘要算法中存在的模型训练复杂问题,提出一种新的基于标签分布学习(LDL)的视频摘要算法,采用非参数监督学习的方式生成视频摘要,利用标签传递的方法将摘要结构从带有注释的视频转移到相同类型的测试视频中.首先提取视频的卷积神经网络特征和颜色特征,将两者融合后进行降维得到特征矩阵;然后将特征矩阵与训练样本的标签分布一起输入到LDL模型中;最后根据模型输出的标签分布选取关键帧,生成视频摘要.在基准数据集上与其他算法的实验表明,该算法生成的摘要与用户创建的摘要一致性很高,明显优于其他算法. 特征交换框架下奇异特征的处理 在实体建模中,奇异特征的存在阻碍了基于特征的数据交换的顺利进行。针对该问题,提出一种在特征交换框架下基于几何操作的奇异特征交换方法,通过将奇异特征转化为一组与之等价的几何操作实现奇异特征的交换。实验结果表明,该方法能有效解决异构CAD系统间奇异特征的交换问题。 基于递推矩阵算法的仪器电磁摒闭研究 电磁干扰对于电子设备的正常运行造成了影响,在地质勘探,军工设备等环境下都有很大的困扰。论文首先提出屏蔽体模型设计,给出不同材料、厚度以及入射角度下的惩罚函数,即屏蔽体的屏蔽能力,再利用递推矩阵算法结合模型设计出给定屏蔽能力的多层复合材料屏蔽体,并且设计出厚度小材料省的模型,最后粒子群优化算法在同等条件下,计算出两个算法的屏蔽能力,通过算法实现得出论文的算法合理,且对比粒子群优化算法更为有优势。 基于支持向量机的传感器的非线性校正 铜热电阻的非线性影响到它的测温准确度和测温范围,针对这个问题提出了采用支持向量机(SVM),建立了铜热电阻传感器的逆模型进行非线性校正,并且与以往采用的BP网络和最小二乘校正方法进行了比较;结果表明,采用支持向量机的非线性校正方法的最大误差为±0.0287%左右,与BP人工神经网络取得的结果(最大误差为±0.0523%左右)和最小二乘法取得的结果(最大误差为±0.0865%左右)相比,精度高于以上2种校正方法;同时,SVM方法有较好的泛化能力,在很大程度上提高了传感器的线性度,并且补偿曲线更顺滑,预测性更强,它为铜热电阻传感器的非线性动态补偿提供了一种新方法。 基于惯性因子自适应粒子群和模糊熵的图像分割 由于基本粒子群算法存在易陷入局部最优以及过早收敛的缺点,使得基于粒子群和模糊熵的图像分割算法难以得到理想的分割效果。针对此问题,提出了一种基于惯性因子自适应粒子群和模糊熵的图像分割算法,利用惯性因子自适应粒子群和高斯变异来搜索使模糊熵最大的参数值,得到模糊参数的最优组合,进而确定图像的分割阈值。通过与其他两种粒子群算法的分割结果进行比较,表明该算法取得了令人满意的分割结果,算法运算时间较小,具有很好的鲁棒性和自适应性。 基于单片机的远程图像监控系统设计 设计一种基于GPRS网络的远程监控系统,详细阐述其硬件结构及软件设计。以8位AVR单片机控制图像捕获及存储、GPS定位数据采集、GPRS模块的数据接收和发送。系统应用现有的互联网技术,使各种IP技术与服务同移动通信技术相结合,实现远程图像传输、定位和对远程下位机的控制。该设备成本低廉,稳定性好,是一种高性价比的远程监控解决方案。 基于多模板快速搜索的运动估计算法优化研究 针对视频编码中运动估计算法运算复杂的问题,研究了一种提前终止准则和多模板快速搜索算法相结合的优化算法;该算法基于混合非对称十字多六边形搜索(UMHexagonS)算法,结合现有视频编码标准,首先对满足提前终止准则的当前块及时终止起始点搜索,然后采用非均匀多六边形部分搜索模板和六边形与小十字形相结合的并行搜索模板,分别对非均匀多六边形搜索和扩展的六边形搜索两方面做了优化;实验结果表明,该算法在保证视频质量的情况下,没有增加码率,且有效地节省了运动估计时间(约28%),降低了算法的复杂度。 基于直方图均衡化与形态学处理的边缘检测 针对传统的边缘检测算子存在噪声干扰、边缘丢失和伪边缘干扰的问题,提出将传统的边缘检测与形态学处理和直方图均衡化有机结合的边缘检测方法。算法通过抗噪性参数P,引入权值将组合算法中图像增强处理与形态学的组合算法相融合获得较好的边缘。通过不同形态学算法在四种组合下边缘检测的效果分析和抗噪性参数P比较,实验结果表明,图像在有无噪声情况下效果基本一致,边缘完整性得到了很大的提升。该组合算法在抗噪能力、边缘丢失与伪边缘干扰处理上拥有较好的平衡,提高了边缘检测效果,为工业加工图形识别提供了一定的思路。 基于降维技术及空间矩的彩色图像亚像素边缘检测 现代图像的边缘检测方法需要在充分利用图像中的色彩信息基础上能够提供亚像素边缘信息。提出一种基于图像降维技术的彩色图像亚像素边缘检测方法,利用Ostu算法得到图像的像素级边缘,并在降维所得的投影图像上结合空间矩方法提取亚像素级边缘。实验结果表明,该算法定位精度可达到0.14个像素,能够有效地提取真彩色图像的边缘轮廓信息。 基于WEB的分布式试飞数据处理系统结构设计 介绍了一种基于WEB架构,面向飞行试验各类海量测试数据处理应用的分布式事后数据处理网络系统的设计结构;用户可以方便地通过WEB浏览器,建立自己的数据处理任务,定义需要处理的参数、时间段等,由系统网络调度服务器群集和分布式计算服务器群集共同完成数据处理任务;系统能够满足多用户对海量数据的快速处理要求,提供了多功能的分析处理工具,实现了海量试飞数据的集中管理,分布式应用,网络计算资源的分配和调度等,避免了对海量试飞原始数据的拷贝、分发或无序共享等应用,解决了困扰已久的海量非结构化数据的统一管理和处理的问题。 改进的Unscented Kalman滤波算法 为了提高UKF的运算效率,本文分析了UKF中各参数对滤波效果的影响,给出了一种系统状态转移矩阵为线性变换时UKF的优化算法,并证明了本算法的正确性。针对野值影响UKF精度的缺陷,本文提出了使用新息判断野值是否存在的检测方法。对于野值存在的情况首先剔除野值,然后根据已经得到的滤波状态应用最小二乘法对当前状态进行预测估计,对于野值不存在的情况直接使用UKF滤波,最后推导了使用最小二乘法拟合野值存在时估计的合理性,从而证明了这种方法可以极大地提高UKF抗野值的能力。本文最后用具体的仿真实例说明了最小二乘法与UKF相结合算法消除野值的有效性。 蚁群算法求解装配线平衡第一类问题 装配线平衡问题是生产管理中重要且较难解决的问题,其中第一类问题是装配线平衡问题的关键问题。本文通过对装配线平衡问题的分析与建模,提出了利用蚁群算法这种人工智能优化算法求解一般装配线平衡第一类问题的步骤和算法。采用启发式的方法构造分配方案的生成策略,并对信息素的更新采用局部更新与全局更新相结合的规则,从而使得该算法具有较好的目的性,大大提高了获得最优解的效率。通过该蚁群算法能得到装配线平衡第一类问题质量较优的解,且有速度快、鲁棒性、通用性等优势。 有限理性下知识团队知识共享的演化博弈分析 为提高知识团队成员共享知识的意愿,通过运用演化博弈论,对知识团队知识共享的内在机理与动态演化过程进行了分析。结果表明,影响知识团队知识共享的因素有知识员工的知识拥有量差距、风险系数、知识共享度、互补性知识比例、激励系数、协同性影响程度等,通过调整这些参数的大小,可以有效提高知识团队成员选择知识共享策略的概率。 基于混沌的医学图像窜改定位零水印算法 针对医学信息遭遇窜改、窃取等信息安全问题,提出了一种基于混沌的零水印算法。利用Arnold置乱算法对原始载体图像进行k次置乱,然后提取置乱图像每一个像素的最低有效位与二值水印作异或运算来构造零水印,实际嵌入的水印图像通过混沌映射加密来隐藏水印信息。提取水印后对原水印图像与提取的水印取绝对差,若遭遇窜改,则能定位窜改位置及窜改形状。实验证明,混沌系统的大密钥空间和对初值的敏感性增加了算法的鲁棒性,并且算法在常规攻击下依然能准确定位窜改位置及形状。 广播网络病毒进化模型算法研究 病毒进化优化对计算机或生物病毒在网络系统中的扩散过程进行研究,是在有限网络资源情况下对病毒进化速度进行控制和研究网络用户如何被感染的行为。病毒进化优化通过连通图上的动态概率系统来建模,传统的病毒进化模型中对于病毒的进化模型进行描述时,需要解决一个以非负矩阵的谱半径为优化目标的非凸优化问题。基于此,提出了两类新的近似算法:第一种算法基于连续凸近似,为次优算法,但计算速度较快;第二种为基于分支定界的全局最优计算方法,通过非负矩阵的关键不等式获取全局最优解。通过和传统的进化模型进行仿真实验,仿真实验结果表明,新的算法能够使病毒进化过程收敛到全局最优值,并且在不同网络环境下均具有快速的收敛性能。 面向增量同生主题的维吾尔文爬虫的研究 针对传统的主题爬虫对网页信息缺乏在知识层面上的处理和理解的问题进行了研究,提出了一种面向增量同生主题的维吾尔文爬虫,通过建立一个增量主题词库优化传统的主题模型,来描述维吾尔文关键词的应用语境及场景,提高了计算网页相关度的准确率。用改进的IC主题敏感算法来预测子页面优先级,过滤无关的网页地址。依据上述方法编写爬虫系统,用构建的维吾尔文语料库进行实验,表明了基于此模型的爬虫具有更好的稳定性和准确度。 基于混合蚁群算法的模糊神经网络研究与应用 油气管道腐蚀失效检测具有多因素性、复杂性、非线性和随机性等多个特点,利用精确的数学模型描述有一定的难度。论文提出了一种基于混合蚁群聚类算法的模糊神经网络的管道腐蚀失效检测方法。聚类采用与K-均值方法混合的蚁群聚类方法,将该聚类方法用于模糊神经网络构建中,建立了基于模糊神经网络的管道腐蚀失效检测模型。通过利用实际的管道腐蚀失效检测数据进行诊断应用,取得了较好的识别效果,验证了该模型及算法的有效性及可行性。 战术互联网中基于插件技术的设备监控方法 战术互联网通信设备监控模拟训练系统设计中,设备类型和型号较多。为简化设计、提高效率,基于组件化程序设计技术的软件开发思想,提出了基于插件技术的设计方案,使监控系统能够对不同类型的设备实现动态兼容,以提高软件系统整体的扩展性。整个模块采用面向对象的程序设计与实现,系统结构清晰。经测试,系统工作稳定、可靠、兼容性强。 强安全的和无双线性对的基于身份密钥协商 为了降低计算开销,提出了基于身份的认证密钥协商协议ID-AKA。新协议基于椭圆曲线离散对数难题,采用隐式认证方式,仅需一轮信息交互,并且去除了计算量大的双线性对运算。参考Chen等人的ID-AKA安全模型和LaMacchia等人的安全模型,通过增加会话临时秘密查询,提出了分析ID-AKA协议的强安全模型。同时,指出了舒剑等人的安全模型约束条件过强,不能有效分析协议的密钥泄露伪装安全性。在新模型下,新协议是可证明安全的。对比分析表明,新协议不仅实现了强安全性,而且计算开销更低,适用于在移动通信环境下建立安全的端到端连接。 基于隐高斯混合模型的人脑MRI分割方法 针对传统的高斯混合模型的抗噪性能和鲁棒性较差的缺点,提出一种基于隐高斯混合模型的人脑MRI分割方法。传统的高斯混合模型由于忽略了空间信息和未考虑分割结果的分布情况导致模型不完整。针对这些缺点,把分割结果的概率密度函数作为隐含数据引入到高斯混合模型,建立了非线性加权的隐高斯混合模型;同时引入了含空间信息与平滑系数的高斯权重置指数;运用期望最大化算法与牛顿迭代法对类均值,类方差以及平滑系数进行求解,最后根据最大后验概率准则得到人脑MRI的最终分割结果。经实验表明,提出的方法对人脑MRI具有很好的鲁棒性与抗噪性能。 磁敏感加权成像对轻型颅脑损伤微出血的应用价值 目的:探讨3.0T MRI磁敏感加权成像(SWI)检测轻型颅脑损伤(mTBI)微出血的应用价值。方法:30例格拉斯哥昏迷量表评分(GCS)评分在13~15分、CT检查阴性的轻型颅脑损伤患者于外伤后1~7d内行MRI以及SWI扫描。分别记录SWI检出微出血灶的数量及部位。根据是否有微出血存在,将病例组分为微出血阳性组[SWI(+)]以及微出血阴性组[SWI(-)];对两组GCS评分进行对照分析。将病例组微出血灶数目与GCS评分进行相关性分析。结果:9例mTBI在SWI序列可见54处微出血灶,主要位于额叶、颞叶、顶枕叶灰白质交界处。SWI(+)组以及SWI(-)组的GCS评分分别为13.4±0.5、14.7±0.4,两者差别有统计学意义(p<0.05)。病例组微出血灶个数与GCS评分有相关性(r=-0.821,P=0.000)。结论:SWI能显示轻型颅脑损伤中CT以及常规MRI图像不能发现的微出血性病变,提示创伤性轴索微损伤的存在。 NDN网络中基于路径上缓存的多路径路由机制 为充分利用命名数据网络内缓存的内容,提出一种基于路径上缓存的多路径路由机制MRBRC。边缘节点通过扩展的转发信息表,描述不同内容在每条路径上请求热度的不同(即路径上缓存副本存在的可能性不同),为每个内容条目维护多条可用路径。在下一次请求到达时,路由器根据转发信息表中的记录实现有目的性的转发。仿真结果表明,该策略能够在一定程度上提升请求在网内节点的命中率,减小时延,降低请求命中的平均跳数。 关于机场地面人员定位检测优化 研究机场候机区候机人员易错过航班以及容易走失,且传统的无线定位方法受干扰大,定位精度不高等问题,应建立机场地面人员定位检测系统以提高机场服务水平。针对机场候机区较大,人员情况复杂,机场环境对无线信号影响大等特点,通过对无线信号传播模型进行研究,设计了一种基于RSSI测距的定位方法,利用PSO算法进行优化,在不增加无线网络硬件的基础上扩大定位范围和提高定位精度。PSO算法是一种优化迭代工具,通过优化算法来降低误差。实验结果表明,改进的机场地面人员定位算法能够解决现有RSSI定位精度不高的缺陷,在区域内实现无线节点较准确的定位。 搜索引擎优化初探 "搜索引擎是网络中一种必不可少的工具,如何合理地提供网站在搜索引擎中排名成为目前的热点话题。在分析google可能的网站评价策略基础上,提出了一套网站优化方案,并对""高等数学精品课程""网站进行了优化改进,取得令人满意的效果。" 动态网络环境下面向移动端的文件传输方法 当前智能手机之间文件传输方案可分为依托互联网和自组网2类,基于互联网的方案大多采用P2P直传或者通过服务器转发传输,无法访问互联网的情况下大多采用WiFi热点技术或蓝牙技术建立自组网进行文件传输。但在网络环境动态变化的情况下,这2种传输方式间的切换会导致文件重传,浪费已传输的资源。针对此问题,本文提出一种在网络环境动态变化的情况下文件传输的方案,解决在不同网络环境下文件传输路径的优化选取以及跨网络的文件续传问题,并提高文件传输速度。 基于OpenCV的X光手指骨图像分割方法 骨龄评价在预防医学、临床医学、体育科学和司法领域等都有着广泛的应用。随着计算机技术、图像处理技术的快速发展,基于图像处理的骨龄识别系统是目前骨龄评价的发展趋势。在图像处理中,图像预处理和图像分割技术占有很大的比重,分割质量的好坏对后期的图像识别影响很大。目前图像的分割方法有多种,文中针对骨龄测评系统中的X光片手指骨,按照CHN标准,14块特征骨块中,取其中8块进行研究,对除了拇指外的四根手指进行分割识别,使用基于Haar分类器的目标检测,来完成对手骨的识别、分割。识别出手指后,记录的实际上是手指边缘的坐标信息,依据坐标对手指进行分割提取,为后续的特征点标记以及特征值的计算打下基础。实验结果表明,使用Haar分类器进行手指的识别提取,速度快,准确率较高。 基于神经网络监督控制的拥塞控制算法研究 提出了一个基于神经网络控制的主动队列管理(AQM)算法;研究了TCP/AQM拥塞控制系统的可逆性,并利用一种神经网络监督控制结构进行了AQM算法的设计。算法由一个三层前馈结构的神经网络控制器(neural network controller,NNC)和一个反馈控制器(feedback controller,FC)组成。NNC作为一个前馈控制器,通过FC产生的教师信号进行学习,以建立被控对象的逆动力学模型。仿真结果表明,提出的算法与PI(proportion-al-integral)算法相比,无论在瞬态性能还是稳态性能方面都可以取得比较满意的效果。 赛博空间态势感知模型综述 在动态复杂的赛博空间中,决策者需要借助态势感知系统显示当前环境的连续变化情况,以便准确、及时地做出决策。态势感知模型是赛博空间态势感知系统的核心,在此基础上人们能够组建起一个良好的态势感知系统,从而顺利地进行态势的提取、分析、预测等。首先阐述了开展赛博空间态势感知模型研究的重要性,然后介绍了几个经典的态势感知模型以及它们的发展应用,最后给出了赛博空间态势感知模型研究的发展方向。 独立成分分析算法及其在脑电图中的应用 研究脑电图成像的数据处理问题时,独立成分分析(ICA)是一种新的信号处理统计方法,被广泛用于各个领域。脑电图就是,利用独立成分分析从混合信号中还原出源信号,通过目标函数,如极大似然估计,信息最大化和互信息最小化等,对源信号的概率密度函数(PDF)进行估计。在基于互信息最小化算法的基础上,提出一种新的独立成分分析算法,算法中的核心参数是由信号本身来确定的,能使所估计的PDF更加准确,从而提高分离的性能。最后,用新的ICA算法来实现脑电图(EEG)信号的盲源分离,结果表明,算法可以快速有效的分离其源信号,且准确性优于Boscolo提出的非参量ICA模型。 求解动态优化问题的多种群骨干粒子群算法 针对动态优化问题(Dynamic Optimization Problem,DOP)中所面临的过时记忆和多样性丧失的挑战,提出了一种改进的多种群骨干粒子群优化算法(Multi-swarms Bare Bones Particle Swarm Optimization,MBBPSO)。通过设置环境勘探粒子及时检测环境的变化,避免了错误信息误导种群的进化方向;环境改变后,利用上一个环境搜索的信息初始化新的种群,提高MBBPSO快速追踪到当前环境的优秀解的能力;当种群陷入停滞时,采用新的进化方程以加强粒子的活性和多种群策略维持群体的多样性。仿真实验表明,MBBPSO在解决动态环境问题中具有较强的竞争力。 基于卷积神经网络的视频图像失真检测及分类 为了检测不同失真类型的视频图像,实现对失真视频图像的分类处理,提出一种基于卷积神经网络的视频图像失真检测及分类方法。将视频图像分割成较小的图像块作为输入;然后利用卷积神经网络主动学习特征,引入正负例均衡化和自适应学习速率减缓过拟合和局部最小值问题,由softmax分类器预测图像块的失真类型;最后采用多数表决规则,得到视频图像的预测类别。采用仿真标准图像库(LIVE)和实际监控视频库对该方法进行性能测试,前者的总体分类准确率达到92.22%,后者的总体分类准确率达到92.86%。整体的分类准确率高于已有的其他三种算法。引入正负例均衡化和自适应学习速率后,CNN的分类准确率得到明显提升。实验结果表明,该方法能主动学习图像质量特征,提高失真视频图像分类检测的准确率,通用于任意失真类型的视频图像分类检测,具有较强的鲁棒性和实用性。 基于Q-learning的机会频谱接入信道选择算法 针对未知环境下机会频谱接入的信道选择问题进行研究。将智能控制中的Q-learning理论应用于信道选择问题,建立次用户信道选择模型,提出了一种基于Q-learning的信道选择算法。该算法通过不断与环境进行交互和学习,引导次用户尽量选择累积回报最大的信道,最大化次用户吞吐量。引入Boltzmann学习规则在信道探索与利用之间获得折中。仿真结果表明,与随机选择算法相比,该算法在不需要信道环境先验知识或预测模型下,能够自适应地选择可用性较好的信道,有效提高次用户吞吐量,且收敛速度较快。 基于IP的空间通信网络设计与仿真 为适应目前空间通信的发展,提出了一种基于IP的空间通信网络模型。详细设计了该通信网络的数据链路结构、数据传输协议、数据包结构等。最后,将该通信网络的通信能力与商业通信系统Teledesic进行了对比。仿真结果表明,该通信网络可以有效减少航天器与接入卫星间的切换频率和通信时延,能够长时间给航天器提供稳定的通信连接,网络的平均吞吐能力强,能够满足未来空间通信的需求。 无线自组织网络下抵抗内部节点丢弃报文攻击的安全通信模型 无线自组织网络的报文传输是依靠网络中的节点彼此多跳接力传输,当网络中有节点被俘获以后,就会成为内部攻击者,并在报文的传输过程中发起丢弃报文攻击,严重降低网络性能.现有网络协议栈中传输层和网络层协议难以检测和防范在网络层发起丢弃报文攻击的节点.文中提出一种在网络层抵抗内部节点丢弃报文攻击的通信模型,它包括通信链路状态实时分析协议和分布式的节点类型判定算法两个部分.通信链路状态实时分析协议利用节点对间逐段生成的路径环路,通过引入报文成组应答机制使得节点能实时地获取其邻居节点的报文转发状态;在通信链路状态实时分析协议基础之上,节点根据相关数学模型能有效地分析邻居节点行为是否异常,并对网络中的节点进行分类,最终将恶意节点从网络中隔离出去.仿真实验结果表明,文中算法在恶意节点的检测率和误检率方面性能表现良好,能有效地抵抗来自网络内部节点的丢弃报文攻击. 基于数组前缀树的频繁项集挖掘算法 频繁项集挖掘算法研究的焦点是不断提升算法在海量数据集上的挖掘性能.其中,基于前缀树的挖掘算法FP-Growth是目前研究的焦点之一,它在挖掘性能上有很大的改进空间,因此基于数组技术的FP-Growth*与基于被约束子树的STmine等改进算法被提出.这些算法有效提升了挖掘速率,但在搜索策略与计数方式两个方面仍存在可完善的地方.本文提出基于数组前缀树的频繁项集挖掘算法AFP-Growth.该算法使用新的遍历策略解决了FP-tree的项节点变换问题,完善了数组前缀树的构建过程以提升其计数效率,并且用数组前缀树代替FP-tree,减少了对树的遍历时间.通过实验验证表明,改进后的AFPGrowth算法在多数真实数据集上具有比FP-Growth*等其他高效算法更佳的挖掘性能,不仅减少了挖掘时间,也降低了内存消耗,体现了其对海量数据集挖掘的潜能. 基于萤火虫算法的管路系统布局序列优化技术 针对复杂机电产品中的多根管路布局设计与优化问题,提出一种基于萤火虫算法的管路布局序列优化方法。该方法以长度、折弯数和流阻为综合优化目标,结合布局过程的约束条件建立了优化模型;对萤火虫算法进行离散化,重新定义萤火虫的间距和个体更新机制,使其适用于序列规划问题的求解;提出一种确定性和随机性相结合的方法生成初始种群,以含免疫记忆的A*算法求得路径,同时采用混合种群迭代更新策略,通过更新精英解集获得全局最优解。设计并开发了原型系统,以旅行商问题为例对算法效率进行了测试,并将所提方法应用到某产品的液压管路布局上,验证了所提方法的可行性。 一种改进的可视化布局算法IGVA 可编程逻辑器件(PLD)存在安全缺陷,且危害性极大,将可视化技术作为PLD安全缺陷检测的辅助手段,其状态转移图布局是关键。针对状态转移图布局中存在的节点重叠、分布不均等不足,提出一种改进的可视化布局算法IGVA。该算法分阶段启发式计算引力和斥力,迭代早期通过减小节点间的引力以避免节点重叠,迭代后期通过减小边的斥力优化节点分布并减少图占用的空间。实验结果表明,IGVA可解决节点重叠的问题,达到图的布局要求。 序列蛋白质-GDP绑定位点预测 正确地识别蛋白质-二磷酸鸟苷(Guanosine Diphosphate,GDP)绑定位点对于蛋白质功能分析和药物设计有非常重要的意义。蛋白质-GDP绑定位点预测是一个典型的不平衡学习问题。直接应用传统的机器学习方法是不合适的,而且会使预测结果偏向大多数类。为了解决这个问题,在基于稀疏表示的位置特异性得分矩阵特征基础上,提出了加权下采样方法来使得样本平衡,采用支持向量机算法来预测。实验结果表明提出的方法能获得更高的预测性能。 带有梯度加速粒子群算法的盲源分离 研究盲源信号分离算法,针对基于标准粒子群独立分量分析算法的盲源分离存在收敛速度慢、易于陷入局部最优值的问题,提出了一种带有梯度加速粒子群的盲源分离算法。以分离信号的峰度值为目标函数,通过引入梯度信息来影响粒子速度的更新。减小陷入局优的可能性,当群体最优信息陷入停滞时,对群体进行部分初始化来保持群体的活性。仿真结果说明,梯度信息的加入使粒子的移动更有针对性,移动更有效率,不仅进一步提高PSO算法的收敛速度,而且使改进的粒子群算法在全局收敛性、稳定性和准确性等方面更有效。 基于嗅觉网络传输的重症疾病诊断机制与算法研究 目前在医学界普遍存在的一个问题是:许多重症疾病的患者到医院就医确诊时已进入疾病晚期,失去了在早期发现本可医疗治愈的机会,但同时也缺乏必要的手段对海量的潜在患者人群进行有效筛查。研究的目的是探索通过嗅觉网络传输并远距离诊断的模式,实现超大规模采集早期患者的呼出气味数据,达到重症疾病早期预警的效果。主要讨论使用基于智能手机平台的微机电系统(MEMS)构造的光谱吸收型光化学气体传感器,在使用者使用移动电话的同时获得呼出气体的特征光谱,将其发送至云计算网络中查询云存储气味数据库,使用BP算法进行光谱疾病特征码比对。对疾病特征码符合率超过一定比率的潜在患者进行短信报警、督促就医核查,以便早期发现重症疾病,提高医疗效果,降低病患死亡率。 基于抽象概念的知网词语相似度计算 针对基于知网的词语相似度算法进行研究,提出一种基于抽象概念的词语相似度的快速计算方法。将《知网》义项语义表达式中带有关系约束的第一独立义原定义成抽象概念,将义项语义表达式转换成一个多层次的抽象概念组;根据义项定义中的抽象概念将义项挂到《知网》现有的义原树中,形成一棵包含义原、抽象概念与义项等概念的义项树;利用义项树中的深度与路径,在现有优秀算法基础上,通过适当的义项定义的预处理与参数调节,直接计算义项间的语义相似度,避免复杂的意义相似性计算。实验结果表明,该方法对于MC30词对的相似度计算值与人工判定值相比,取得了0.84的Pearson相关系数,达到了目前优秀词语相似度算法的水平。 基于肤色信息与宽度优先搜索的AAM人脸特征定位算法 提出了一种结合肤色信息与宽度优先搜索的AAM(Active Appearcance Models)人脸检测算法。该算法充分利用彩色人脸图像中的肤色信息,建立肤色模型,结合形态学运算和宽度优先搜索算法,定位人脸重心,有效地缩小了搜索窗口。实验表明,和AAM算法相比,该算法不仅检测率提高,而且速度提高60%以上。 基于排序学习模型的微博多样性检索问题研究 多样性检索主要用于解决传统信息检索中面临的查询词歧义问题。为此,研究微博中的多样性检索,提出一种新的微博多样性检索方法,将多样性排序学习方法应用到微博多样性检索。开发一系列社交媒体特征和子话题分布特征,采用查询短语与博文间相关性特征和博文与博文间文本多样性特征模型作为基准,分别加入上述特征,检验其对微博多样性的影响。实验结果表明,多样性排序学习方法能有效解决微博多样性检索问题,明显提高微博检索的效果。 基于OPENGL的三维人体运动仿真 研究虚拟人体运动仿真动画技术是计算机动画设计中极重要的课题,构建简化虚拟的人体几何模型,利用计算机图形学知识,针对人体几何建模复杂,逼真度、控制性差的问题,先优化设计人体仿真运动相应的数学模型,建立人体运动系统坐标系后,采用Denavic—Hartenberg法,建立虚拟人体分层结构运动链的空间关节坐标系统。在3DS MAX下进行逼真几何建模,把模型转化为OpenGL程序,运用Visual C++6.0和OpenGL为编程工具,实现虚拟人体关节运动控制。结果表明,建模逼真,优化的几何模型在软件下容易控制,整体效果好,增强了虚拟人体运动的真实感和动作效果,很好地实现了虚拟人体行走。 低压电力线载波通信收发机架构设计 在对电力线载波通信系统技术特点和通信信道环境分析基础上,建模设计一套低压电力线载波通信收发机系统,包括基带的编码、交织与时间分集处理,脉冲成型滤波,接收端的采样时钟同步,信道均衡等主要模块。这些系统设计有针对性地解决了低压电力线载波通信的各种复杂脉冲干扰问题,A/D最佳采样问题和符号间干扰问题等系统设计难点,为后续的解交织解码处理提供具有更高信噪比的比特流。 平台颤振对空间光学遥感器成像质量的影响 空间光学遥感器在轨工作期间,会受到平台振动源的影响,从而对成像质量产生影响。振动源使遥感器在积分时间内产生颤振,导致在成像过程中发生像移,使图像模糊。讨论了颤振对光学遥感器成像的影响。以低频正弦振动为例通过数值方法推导了颤振引起像移的数学模型,并给出了颤振对调制传递函数MTF的影响公式。仿真结果表明,颤振对空间光学遥感器成像质量影响很大,应在设计中加以考虑。 基于Pareto的多目标克隆进化算法 为了克服部分多目标进化算法中容易出现退化与早熟,造成收敛速度过慢的不足,结合精英保留策略、基于近邻规则的环境选择以及免疫克隆算法中的比例克隆等思想,提出一种基于Pareto的多目标克隆进化算法NPCA(Non-dominated Pareto Clonal Algorithm)。通过部分多目标优化测试函数ZDT和DTLZ对算法进行了性能测试,验证了该算法能获得分布更加均匀的Pareto前沿,解的收敛性明显优于典型的多目标进化算法。 求解高维函数优化问题的混合蜂群算法 为了提高人工蜂群算法求解复杂优化函数的全局搜索能力,提出了多父体杂交算法、差分进化算法和蜂群算法的混合蜂群算法(Hybrid artificial bee colony algorithm,HABC)。HABC的核心在于,采用多父体杂交算子提高人工蜂群算法的全局搜索能力,通过淘汰相同个体保证群体的多样性,利用差分进化算子加快人工蜂群算法的收敛速度。高维函数优化问题的仿真结果表明,该算法全局搜索能力好,收敛速度快。 基于SIMP拓扑优化的驾驶舱轻量化设计 为解决驾驶舱设计过于保守、质量太大的问题,提出一种变密度拓扑优化方法以减轻舱体的质量。基于ANSYS软件建立驾驶舱有限元模型,应用APDL(ANSYS parametric design language)编制拓扑优化程序,以舱体质量最小作为优化目标,在弯曲和扭转两种工况下,分别对驾驶舱顶盖、侧围和底板部分进行拓扑优化,对优化前、后驾驶舱的刚度及质量进行对比与分析。经过多种方案设计与比较,得到最优的舱骨架结构空间布局,在保证驾驶舱弯曲刚度和扭转刚度的前提下,使舱体质量最轻。最终优化结果表明,该设计方法能够提高产品的刚度质量比,用于工程实际可为驾驶舱的设计提供指导。 基于语义相似度与信息量的Web服务标签优化 Web服务标签是用户向Web服务添加的描述其功能或属性的关键词,用来提高服务相似度计算的准确率进而改善服务发现、服务组合和服务聚类等.目前不准确甚至错误的无效标签比例较高,影响了服务相似度计算的准确率.为此,提出一个Web服务标签优化模型WS-TOM,分析了Web上已有WSDL文档,给出一种考虑到编程风格和命名规范的特征提取方法,用于Web服务相似度计算;然后综合标签与WSDL的语义相似度和标签的信息量来对标签进行排名,降低不准确标签的影响.实验结果及分析验证了WS-TOM模型能够提高Web服务相似度计算的准确率. 一个基于弹性云的负载均衡方法 提出了一种基于弹性云的负载均衡方法.这一方法构造了负载均衡模型框架,建立了模型对于虚拟机负载状况和虚拟机集群资源利用率进行量化评估,为实现任务的分发和虚拟机集群的弹性伸缩,设计了任务调度算法和弹性伸缩算法.实验结果表明基于弹性云的负载均衡方法在实现负载均衡的同时,有效提高了资源利用率. 一般运输成本结构下渠道协调的成本效应分析 为研究运输成本结构对供应链订货与协调策略的影响,考虑运输商—制造商—零售商渠道的协调优化问题,其中运输商的运输成本与车辆容量相关。假设运输商具有一般运输成本结构,解析了运输商—制造商—零售商渠道上下游联合优化时对系统成本节省的影响。对于计算较复杂的集中式模型提出一种有效的启发式算法,并在此基础上设计出一种有限时间解析算法。假设制造商在供应链中占主导地位,研究证明同时使用数量折扣策略和固定支付计划能够协调整个供应链。为了有效利用车辆容量,该机制并不总是鼓励零售商采取大量订购的策略。通过算例分析验证了相关算法的有效性。 基于哈希分组的动态帧时隙ALOHA防碰撞算法 针对DFSA应用的局限性和分组DFSA组内标签碰撞率较高的问题,提出一种基于哈希函数分组的动态帧时隙ALOHA防碰撞算法。根据标签符合二项分布的特点和概率论原理,采用一种新方法估计初始标签数量,通过构造哈希函数对标签适当分组,对分组标签逐一识别。仿真结果表明,该算法通过增加标签的分组数,减少了每组内响应标签的数量和时隙内标签的碰撞率,总时隙数、碰撞时隙数和空时隙数、系统识别效率几方面均有较大幅度提高,系统识别效率维持在0.4以上。 改进的基于GMM的运动目标检测方法 针对传统混合高斯背景建模(GMM)在一些复杂场景下未能有效地描述背景,提出了一种改进算法。该算法引入更新和消退控制因子改进参数更新模型,并定量约束运动目标停留时间,采用从时间域上过滤得到的快速变化的背景进行背景减除操作,最后在空间域上对检测结果进行数学形态学的处理。实验结果表明,该算法能够提高背景建立和形成速度,增强对背景扰动和光照变化的抗干扰能力,对固定摄像机场景下运动目标的检测具有良好的鲁棒性。 基于Spark的BIRCH算法并行化的设计与实现 在分布式计算和内存为王的时代,Spark作为基于内存计算的分布式框架技术得到了前所未有的关注与应用。着重研究BIRCH算法在Spark上并行化的设计和实现,经过理论性能分析得到并行化过程中时间消耗较多的Spark转化操作,同时根据并行化BIRCH算法的有向无环图DAG,减少shuffle和磁盘读写频率,以期达到性能优化。最后,将并行化后的BIRCH算法分别与单机的BIRCH算法和MLlib中的K-Means聚类算法做了性能对比实验。实验结果表明,通过Spark对BIRCH算法并行化,其聚类质量没有明显的损失,并且获得了比较理想的运行时间和加速比。 基于多值分类SVM的电梯交通模式识别 针对电梯群控系统中的交通模式识别问题,提出一种基于多值分类支持向量机(SVM)的电梯交通模式识别方法。采用直接多值分类SVM对采集的电梯交通流数据进行分析,得到交通模式分类器,从而解决电梯交通流模式识别中多输入、多输出的非线性系统辨识问题。实验结果表明,该方法可实现全局最优且分类误差较小,能满足群控系统的要求。 可变数据重用因子仿射投影算法 从修改传统仿射投影算法(Affine Projection Algorithm,APA)的约束条件出发,推导出了一种新的可变数据重用因子仿射投影算法。该算法解决了传统APA算法收敛速度与稳态失调和计量复杂度之间的矛盾,实现了在初始阶段数据重用因子大,收敛后数据重用因子小的目标。仿真结果表明该算法最终达到了高数据重用因子APA的收敛速度和NLMS算法的计算量和稳态失调。 健康成年人肾脏不同部位的DTI研究 目的:探讨年龄、性别及肾脏不同部位对DTI测量指标的影响。方法:收集60例正常志愿者行MR DTI成像检查,男女性各占30例,年龄分三组(40岁以下)、(40-60岁)、(60岁以上),每组20例,比较不同性别、年龄段及肾脏部位对ADC值、FA值的影响。结果:肾实质与肾皮质、髓质的ADC值存在相关性(r=0.91和0.92,P<0.01);肾实质与肾皮质、髓质的FA值存在相关性(r=0.90和0.88,P<0.01);不同性别、年龄段之间肾实质ADC、FA值比较均不具有统计意义(P>0.05),但肾实质ADC值随年龄段的增大逐渐减小,FA值随年龄段增大而逐渐增大;肾脏皮、髓质的上、中、下极ADC值、FA值比较均不具有统计学意义(P>0.05),左右侧比较不具有统计学意义(P>0.05)。结论:研究正常人肾脏ADC、FA值的变化特点,为今后肾脏疾病的诊断和鉴别诊断提供科学可靠的依据。 基于主成分分析和Eros的邻近传播算法在金融数据集中的应用 金融数据集的多维特性和高噪声特性使得对金融时间序列数据的分析难上加难,本文提出一种基于主成分分析和Eros的近邻传播的聚类算法。首先利用主成分分析方法对多变量的金融时间序列数据进行降维处理,提取出主要特征值;然后使用基于Eros的近邻传播算法聚类对提取出的特征值进行分析。该聚类方法可以把数据集中的个体当作是原始数据的一个属性,通过迭代竞争达到最优,不需要事先确定聚类数目。研究结果表明,这种集成算法大大降低了时间序列数据的维度,有很高的分类正确率,表明该聚类方法用于金融时间序列数据处理是有效可行的。 室内定位技术和系统的研究进展 室内定位技术一直是近年来研究的热点,研究了当前的AGPS、红外线、超声波、UWB、WaveLAN、RFID、视觉等各种室内定位技术和系统的最新进展,分析了它们的工作机制、定位精度、适用性和优缺点.其中红外线和超声波技术可以达到cm级的定位精度,利用RSSI(接收信号强度信息)技术的RFID(射频识别)定位系统最近得到了极大的发展,结合低频和高频的RFID技术使室内定位精度可以达到1m. 大区域森林中病虫害的视觉监控系统设计与实现 当前的虫害监控主要采用人工经验或翻阅相关资料等的专家分析方法,存在劳动强度大、非实时性和效率低等缺陷;设计并实现了一种基于WEB技术的可判断虫害程度森林视觉监控系统,给出了监控Web服务器、CC2430处理器模块、数据采集模块、通信接口和数据库的硬件设计原理,介绍了系统的软件功能以及实现步骤;将软件设计划分成服务器软件和客户端软件两种模块,采用视觉定位方法得到森林虫害区域的空间位置参数,获取深林虫害区域在标准坐标域中的坐标,实现对森林虫害程度的准确监控和判断;系统测试结果说明,该种系统能够对森林虫害程度进行准确的定位和判断,并且具有较高的准确度和效率。 基于改进鱼群和K-means的混合聚类算法 针对传统K-means算法存在的缺陷,引进人工鱼群算法,提出了一种基于改进鱼群和K-means的混合聚类算法。聚类样本中心点初始化时,人工鱼各维参数随机选择在对应属性两个极值之间,同时为了降低计算复杂度,提高收敛效率,寻找全局最优,首先对随机选取的一小部分人工鱼进行K-means操作,然后对全体人工鱼的追尾算子引入粒子群策略,引导其学习,模拟人工鱼的行为。通过Matlab仿真实现算法,在费雪鸢尾花卉数据集和葡萄酒质量数据集进行了实验,算法的有效性和可行性得到了验证。 LNG船液货装卸系统仿真计算与实现 液化天然气(LNG)船液货装卸过程中,需严格控制装卸时间,但由于装卸系统的复杂性,设计装卸系统时很难准确得到LNG在管路中的流动状态平衡控制。针对上述问题,提出了一种面向LNG船液货装卸系统结构的计算方法,根据图论和有限元软件构建装卸系统拓扑模型,形成双层反馈控制结构,建立仿真装卸模型,计算任意时间点的管网节点压头和管路流量情况。采用Visual C++编制了图形化界面的仿真计算软件进行仿真。仿真结果与实际情况基本符合,表明装卸方法具有实用性,能对LNG船液货装卸系统的设计起借鉴作用。 意会关键词信息取证方法 新型宣传媒介的网络舆情存在大量非法信息。为此,提出一种意会关键词信息取证方法,对中文意会关键词进行定义、分类和量化,给出6种意会关键词提取算法,对提取的证据信息进行完整性处理。实验结果表明,6种算法的提取速度均在毫秒级,查准率和查全率分别达到92%和95%,从而保证在网页舆情监控下非法信息的取证效率。 不完全语义认知过程中信息特征正确识别仿真 语义信息特征的正确识别能够有效地捕捉虚拟装配过程中用户交互意图。进行语义的正确识别时需要建立语义信息熵和词语权重值之间的关系,确定各个特征的语义权重来完成识别。传统方法利用隐藏在语义的映射关系进行识别,将奇异值分解和遗传思想理论相融合降低向量空间的维数,但没有详细分析信息熵和权重值之间的关系,所以语义信息特征的识别准确率不高。提出一种基于聚类的不完全语义认知翻译中信息特征选择方法。上述方法先依据任意信息义项的语义距离计算出信息语义间的相似度,获取信息词之间的相关度,在此基础上得到不完全语义认知翻译中信息语义扩展度,获取信息词汇间的共现率,对候选词汇集的词依次进行提取,计算信息特征之间的语义相关度,建立语义信息熵和词语权重值之间的关系,确定各个特征的语义权重,计算出每个信息特征在翻译中所提供的信息量,选取信息量较大的作为不完全语义认知翻译中信息特征。仿真结果表明,所提选择精确度高,可以为翻译教学提供了科学的依据。 自适应低轨卫星MAC协议性能分析 针对低轨卫星通信信道碰撞检测能力弱,时延较长和大业务量的特点,提出一种具有接入控制机制的自适应APRMAMAC协议。通过对信道负载和业务优先级判断来确定不同业务的接入概率函数,并且接入概率在每个时隙中通过更新来动态适应系统资源的变化。该MAC协议确保多个终端合理共享有限的无线资源同时,系统能达到高容量。通过仿真对语音业务丢包概率、数据包平均时延和数据业务吞吐量三个衡量协议性能指标与传统协议进行分析对比,证明了APRMA MAC协议显著改善系统性能。 网络存储系统可生存性定量评价研究 针对网络存储系统可生存性评价的局限性,提出一种网络存储系统可生存性定量评估方法。对灰色关联分析法进行改进,建立基于信息熵差的灰色关联分析法的定量评价模型,运用熵差计算出各关键服务的生存性态势变化。利用搭建的典型网络存储环境进行测试,结果表明,基于信息熵差的灰色关联定量分析方法对于网络存储系统的可生存性评价是准确、有效的。 基于WebGIS的维吾尔文天气信息服务 针对维吾尔语用户对基于地理信息技术的服务需求,提出一种基于WebGIS的维吾尔文天气信息服务系统。以天气信息服务为应用领域,集成Google Maps API地图服务组件与实时Yahoo Weather RSS天气信息,利用网页自定义字体技术解决维吾尔文的表达问题,使用VS2005开发工具实现系统。应用结果表明,该系统能提供多种内置工具,实现维吾尔文天气信息的实时查询。 一种专利智能推荐算法设计与软件实现 针对科技研发人员从事创新活动而需要频繁检索专利的需求,以及当今专利检索智能程度不高的现状,提出一种专利智能推荐算法并开发了相应的软件.算法的输入是用户输入的检索词,输出结果中不仅包括检索系统输出的专利还包括一批推荐的专利.本算法首先实现专利间的关联,进而计算专利关联度,并根据关联度对推荐专利进行排序,构成一个有序的推荐专利集合.实验表明推荐的专利与检索词之间的确存在关联. 基于粒子群优化算法和UniformLBP特征的分块跟踪 针对鲁棒分块跟踪采用穷举的搜索策略以及对光照敏感等问题,提出了一种基于粒子群优化算法和Uniform LBP特征的分块跟踪方法。利用统一的局部二值模式(Uniform Local Binary Pattern)特征对光照的不变性以及计算效率高的特点,在原鲁棒分块跟踪方法以灰度积分直方图作为特征的基础上,添加了Uniform LBP特征;利用粒子群优化算法具有精度高,收敛快的特点,将PSO算法运用到对候选目标的搜索中。实验结果表明,在不降低算法运行速度的情况下,以及光照变化较大,短时间目标完全遮挡的跟踪环境下,该算法鲁棒性显著增强。 装载机工作装置动力学仿真与试验研究 针对装载机工作装置作业过程中各铰点所受动态载荷计算困难的问题,采用拉格朗日方程和模态叠加法建立能够反映工作装置受力特性多柔体动力学模型,利用虚拟样机技术和ADAMS仿真平台实现了工作装置动力学分析。求解了工作装置各铰点力的动态载荷时间历程并进行铲装试验研究,试验测得的铰点力与仿真值之间的误差在6.22%~11.5%之间。试验结果表明,与静力学分析相比,综合考虑工作装置运动姿态和物料载荷的多柔体动力学仿真能够更为准确的计算各铰点的外力变化。采用多柔体动力学仿真获得各铰点的受力变化特性,为工作装置强度分析和载荷谱编制提供参考。 深亚微米抗辐射加固设计的SPICE仿真验证方法 由于在抗辐射加固设计(RHBD)中采用了环形栅的版图结构,由此引发了直栅SPICE仿真验证方法对RHBD不适用的问题.通过分析深亚微米工艺技术下环形栅结构的特性,建立了环形栅的有效栅宽长比算法,同时构建了环形栅的SPICE仿真模型,并针对抗辐射加固设计提出了如何有效地提取版图参数网表的策略,从而解决了传统SPICE仿真验证对RHBD不适用的问题,通过有效的仿真验证,确保电路性能,提高设计的可靠性. 基于Portlet技术的校园信息门户的设计与实现(英文) 建设数字化校园是推动当前教育信息化的重要系统工程,而对校园已有信息进行合理的整合更是十分必要的,也是当前数字化校园研究的重点。文中根据校园门户建设的需要,提出了一个基于Portlet技术的校园信息门户的方案,从而使校园信息资源得到有效的整合。通过Portlet构建的数字化校园门户,整合了资源,降低了开销,使得资源得到合理利用,同时也提高了各部门之间协作的工作效率,对建设数字化信息化的校园起到了很好的效果。 基于最小熵产的飞机环境控制系统优化分析 针对飞机环境控制系统的优化分析问题,提出采用以热力学第二定律为基础的熵产分析方法。选取起飞、加速爬升和高空超音速巡航为设计点,以系统熵产最小为目标函数,将热交换器效率、压气机和涡轮压力比、引气质量流量作为设计变量,建立优化模型。在优化计算结果的基础上分析设计变量对系统熵产的影响,该分析结果对飞机环境控制系统的优化设计具有一定指导作用。 Petri网层次结构中的状态一致性研究 Petri网被广泛用于建模和分析并行系统,但由于缺少层次结构,使之在实际应用中会遇到因结点数过多而产生状态空间爆炸的问题.针对上述问题,采用自顶向下的方式,运用子网对Petri网中的变迁进行细化操作,建立了整个系统模型的层次结构.其次,讨论了子网在细化变迁过程中容易出现细化前后状态不一致问题.为此,通过对子网结构的限制,提出了具有良好结构的子网,并证明该类子网在细化操作过程中保持了状态一致性.最后,给出子网判定算法并将上述思想在实际例子中进行应用及在开源工具中实现. 基于最大化交叉互信息的对称IB算法 "对称IB(Symmetric Information Bottleneck)通过行、列压缩变量之间的相互协作来挖掘数据中的双向压缩模式.由于行、列压缩变量不能完全承载行、列基层变量中所蕴含的特征信息,从而导致对称IB所得的数据双向压缩模式与基层变量所蕴含的内在模式之间存在一定的偏离.针对该问题,通过最大化地保存压缩变量与基层变量交叉之间的互信息,将基层变量引入到数据的双向压缩中,使它们协助压缩变量共同来学习联合分布中的双向压缩模式,提出交叉对称IB:ICSIB(Inter-Correlated Symmetric Information Bottleneck).ICSIB算法采用交错的顺序""抽取-合并""迭代过程来优化压缩变量与基层变量交叉之间的互信息,可保证得到目标函数的一个局部优解.实验结果表明,在基层特征变量的协助下,ICSIB算法得到的数据双向压缩模式更接近于数据中真实的内在模式,并可有效地应用于数据的联合聚类中." 基于小波变换的动态发动机过热信号检测技术研究 针对传统发动机温度过热,检测技术无法克服存油量波动造成的温度变化信号非线性失真与故障检测效果不佳的问题,提出一种融入诊断网络算法的发动机温度过热信号检测技术,利用小波变换方法,采集动态发动机温度过热故障信号特征,以温度过热信号特征为依据,通过融合诊断网络在隐含层中对温度过热信号挖掘过程中的数据进行传输,得到过热温度变化信息,实现发动机温度过热信号的深度挖掘。实验结果表明,采用该技术能够提高发动机温度过热信号检测的准确度,有利于故障的快速修复。 基于隐私保护的朴素贝叶斯分类协议 针对垂直分布的数据,给出一种基于隐私保护的朴素贝叶斯分类协议。该协议利用同态加密、门限密码及数字信封技术,实现数据垂直分布时的数据分类,并保证不向其他方泄露任何与结果有关的信息。理论分析表明,该协议在满足安全性的同时具有较低的通信与计算复杂度。 一种融合粗糙集与灰模的装备故障预测方法 结合粗糙集理论和灰色系统理论对不精确信息处理的优势,文中提出一种融合粗糙集理论与GM(1,1)灰色预测模型的故障预测方法,先运用粗糙集的属性约简算法对故障诊断决策表进行约简,推出最优诊断规则,再利用GM(1,1)灰色预测模型对约简决策表中的各条件属性测试值计算得到其预测值,从而代回约简的诊断决策表进行故障预测,最后在某型机载电台装备中以某一故障为例进行应用验证,结果表明故障预测效率和精度都较高,从而为提高装备的可靠性和维修性提供依据。 TCP New Veno:一种改进的TCP拥塞控制机制 TCP Veno协议通过对慢启动、拥塞避免和快速恢复的修改,改进了传统的TCP Reno的性能。然而,TCP的不公平性的问题仍然有待于解决。参与竞争的TCP流之间的不平衡可能造成某些通信源垄断队列空间。例如,当长RTT和短RTT流共存时,网络流量会逐渐集中于短RTT链路上。提出了一种新的TCP拥塞控制机制——TCP New Veno。其基本思路是通过引入带宽预测和动态窗口变化的思想进一步改进TCP Veno的性能,并导出其数学模型。数学分析和仿真实验都证明,改进后的算法在保证吞吐量的基础上提高了原算法的公平性。 网上书店服务质量评价模型研究 影响网上书店服务质量的因素主要有网站可用性、网站易用性、网站完整性、网站信誉与评价、顾客个人倾向、顾客风险感知、执行费用、执行周期、网络安全技术和交易制度。基于这些影响因素,设计了网上书店服务质量评价指标体系,并对指标选择的合理性进行了检验。在此基础上,建立了网上书店服务质量模糊综合评价模型,并采集数据对服务质量评价模型进行了实证研究。 有理Bézier单元求解参数曲面上Laplace-Beltrami方程 用有限元法数值求解时,定义在流形曲面上的偏微分方程的数值解精度会因为传统多边形单元的几何逼近误差而严重降低,为此提出基于有理Bernstein多项式的几何精确有限元法.首先插入重复节点从NURBS曲面直接生成有理Bézier单元,这一过程保持原有几何不变;然后通过Galerkin法建立参数曲面上包含Laplace-Beltrami微分算子的二阶椭圆偏微分方程的等效弱形式;针对Bernstein基函数的非插值性,通过配点法施加Dirichlet类型的边界约束,得到最优收敛的离散格式.数值算例结果表明,该方法能有效地减少网格离散误差,提高分析结果精度. 选举系统数据完整性验证方法 "为了克服传统算法效率低、安全性差的弊端,防止电子选举系统操作人员出现失误,确保选举结果准确无误,提高选举系统的可靠性,提出了一种基于SM2椭圆曲线公钥密码算法和改进的SM3密码杂凑算法实现选举数据完整性验证的方法。解决方案首先利用SM2椭圆曲线公钥密码算法生成选举数据的""数字签名"",然后利用SM3密码杂凑算法对前后台获取的数据进行哈希运算并对比生成的哈希值,从而实现选举数据的一致性验证。实验结果表明,相对于消息摘要MD5等传统算法,SM3杂凑算法具有更高的安全性;相对于安全散列SHA-256等算法,SM3杂凑算法的速度更快。解决方案在保证高效运行速度的基础上更安全地实现了选举系统数据的一致性验证。" 融合纹理特征的SEEDS超像素分割算法 在SEEDS算法的基础上,进行了改进,提出了T-SEEDS算法.其通过融合纹理信息,来增强图像分割的效果,并较好的解决了超像素个数较小的情况下,SEEDS算法分割效率不高的问题. 热动力温控系统建模与仿真研究 研究热动力温度控制优化问题。热动力系统在工业控制中具有较强的非线性,非线性温度控制模型能够描述温控过程,但是传统的热动力系统温控模型的参数辨识与建立,通过PID线性模糊逼近的策略完成非线性控制,随着要求精度的增加,上述逼近已经无法准确描述复杂的、短时巨变的非线性变化过程。提出基于自适应控制优化的热动力温控系统建模方法。计算热动力温控系统中的温度变化函数,对热动力状态数据进行更新,并对热动力控制系统状态数据进行反馈。描述热动力温控系统的控制结构,在指定时间域中进行热动力温度控制,建立热动力模糊自适应温度控制模型,并获取该模型的隶属度函数和温控规则。实验结果表明,利用改进算法进行热动力温控系统建模,提高了温度控制的鲁棒性和准确性,为热动力系统的运行提供保障。 一种高效的文本区间热词查询算法 文本区间热词查询是根据用户指定的查询时间范围,从文本数据中提取热词。现有的热词提取算法主要面向挖掘任务,时间复杂度较高,难以直接应用于热词的在线查询处理。为此,提出一种文本区间热词的在线查询处理算法。利用数据划分和范围查询技术,在准确率和空间复杂度不变的条件下降低提取热词的时间复杂度。实验结果表明,与现有的面向挖掘算法相比,该算法在CNN、BBC和NYT 3个数据集涉及的整个时间范围上的运行时间分别减少59.7%、65.1%和75.5%,有效提高热词在线查询的效率。 基于复用簇的测试用例复用度量 随着软件测试复用实践的深入、测试用例库规模的不断扩大,对测试用例复用的管理和评价的研究也逐渐引起人们的关注.在详细分析了测试用例复用行为的基础上,提出复用簇和测试用例从属度的概念,并基于复用簇和从属度建立测试用例复用度量模型.模型以复用为导向,建立和复用行为相关联的复用簇管理模式,并通过从属度为复用建立度量方法.该复用度量模型实现了计算过程的自动化,改进了简单计算测试用例复用次数的度量方法,保证复用度量的客观性和准确性,使复用度量的量化评价成为可能. 一种图像边缘检测算法的改进和实现 边缘检测是图像分割和模式识别的必要工作。首先分析了传统的导数算子Sobel和Canny的检测原理及其优缺点。然后针对图像边缘检测的特点,从模糊聚类角度出发,提出一种改进的蚁群算法。根据图像灰度和梯度特征设置初始聚类中心,改进启发式函数,将蚁群算法得到的聚类中心作为模糊C均值聚类的初始中心,再进行FCM聚类,实现基于目标函数的模糊聚类。最后对文中提到的各种算法的实验结果进行比较与分析,结果表明文中改进算法是有效的。 道路交通事故中关联规则挖掘研究 为了有效地对交通事故进行预测,发现交通事故中的潜在规律,本文通过多维关联规则挖掘方法在Clemetine 11.0中建立Apriori关联规则挖掘模型,挖掘导致交通事故发生的频繁因素组合,从中找到规律,从而为交通管理部门采取相应的预防措施提供决策支持。 基于粒子群优化SVM的面向对象软件缺陷预测模型 针对电力信息系统软件安全问题,分析了软件缺陷预测方法在面向对象软件开发过程中的重要性,并提出了一种与面向对象软件特征相应的基于粒子群优化的支持向量机软件预测模型。模型主要包括三部分:首先是对原数据进行归一化和特征选择的预处理模块;然后是以预测准确度作为适应度评价的动态惯性权重粒子群优化支持向量机(SVM)参数的模块;最后则是利用第二个模块中的最优参数进行降维数据预测的SVM分类模块。实验结果表明,该模型在四个数据集合上的准确率高于对比模型8.2%~12.2%,在精准度、查全率和F值上平均高出9.9%,5.6%和7.7%,说明了该模型的有效性。 基于概率补偿的无哈夫曼树变长压缩编码 现在广泛使用的压缩编码方法都要通过哈夫曼树来实现,这样围绕着哈夫曼树就存在着许多运算过程.为了化简编码过程,提出了一种无需哈夫曼树就能实现的变长最佳编码方法,通过一个概率补偿的过程,可以直接得到所有信源的最佳码长.知道码长和概率后也无需通过哈夫曼树就可以确定最后的编码,并且可以证明结果满足变长最佳编码定理和前缀编码.经测试,该方法可以快速有效得到变长最佳编码,并简化了变长编码的运算存储过程. 蓄意攻击下第三方物流可靠性逆向网络设计 在考虑蓄意攻击的情况下,研究第三方物流可靠性逆向网络设计问题,并建立了多层优化模型,包括网络设计模型、蓄意攻击模型、网络流决策模型。网络设计模型用以优化第三方物流逆向网络结构、最小化总物流成本,同时使网络在遭受攻击后满足一定的服务水平;蓄意攻击模型通过选择攻击策略来最大程度地破坏网络;网络流决策模型用以优化被攻击后回收产品的返回量。根据优化模型的特点,设计了两层紧致遗传算法和基于加权图的网络流算法。上层两层紧致遗传算法求解第三方物流逆向网络设计问题;下层两层紧致遗传算法求解蓄意攻击问题;基于加权图的网络流算法求解攻击后的网络流决策问题。通过仿真实验验证了模型的合理性和算法的有效性。 基于LTE系统的物联网架构的研究与设计 由于通信网络在物联网架构中的缺位,使得早期的物联网应用往往在部署范围、应用领域等诸多方面有所局限,终端之间以及终端与后台软件之间都难以开展协同。随着物联网发展,建立端到端的全局物联网将成为必须,通信网络将成为物联网的基础承载网络,移动通信终端也可实现与物联网终端的融合。首先简单地介绍了物联网和长期演进(LTE)系统,分析了两种技术融合的可能性和必要性,然后提出了一种LTE技术与物联网技术相互融合的一种架构,最后研究了基于新架构的物联网在供应链中的应用。 基于3G/WIFI的远程指纹考勤系统的设计与实现 针对工程质量监督中现有指纹考勤方式的不足,如移动性差、数据不能通过网络及时上传、数据统计不及时、结果展示不直观等缺点,结合某省工程质量监督实际需求,以目前最新的移动指纹设备为基础,提出一种基于3G/WIFI的远程指纹考勤系统。描述系统架构、无线网络指纹考勤终端和WEB服务器端软件设计,最后阐述系统开发过程中的数据同步、指纹识别二次开发等关键技术。系统试运行情况表明,基于3G/WIFI的远程指纹考勤系统具有更好的效率和实用性。 基于粗糙集的混合属性数据聚类算法 传统聚类方法将对象严格地划分到某一类,但是很多时候边界对象不能被严格地划分。基于粗糙集的k-means聚类算法和基于粗糙集的leader聚类算法,利用粗糙集理论将数据对象划分到一个簇的上近似集或下近似集当中,提供了一种新的处理不确定性的视角,很好地解决了这种边界不确定问题。但其缺点是不能处理混合属性数据,聚类结果对初值有明显的依赖性。针对这些算法存在的不足,给出了一种适用于混合属性数据的距离定义,对初始值的选取提出了改进办法,提出了一种基于粗糙集的混合属性数据聚类算法。仿真实验证明,在不确定聚类簇数的情况下,该算法的聚类准确率比传统k-means算法明显提高。 带批量储运环节的装配制造系统建模与分析 同时包含装配单元及物料储运环节的定制型装备制造系统,由于装配工序的同时性约束和物料的随机批量运输之间存在耦合作用,用传统的建模方法描述时容易产生状态空间的维数灾难。为此提出一种基于带阻塞的有限缓存开排队网建模方法。基于连续时间马尔可夫链的原理,建立了系统各节点对应的状态空间模型及状态转移平衡方程。应用迭代算法求解状态转移平衡方程组,获得系统各稳态状态的概率分布,并给出系统性能指标值的计算方法。最后进行算例求解并与仿真模型的结果进行比较分析,验证了状态空间分解法求解模型的精确性,从而为系统资源的优化配置提供了基础。 基于Define-Use图的MPI通信求解算法 针对分布存储计算机系统并行编译过程中,为维持数据一致性而产生冗余通信的问题,提出一种优化的通信求解算法。该算法基于依赖关系分析和过程间数据流分析,通过遍历Define-Use图,获得更精确的通信数据,消除过程调用时产生的冗余通信。实验结果表明,将算法所得结果作为后端生成MPI通信代码的依据,可以有效减少通信量,加速比接近手工MPI并行程序。 基于回答集程序的冲突问题研究 Smart-M3是一个实现智能空间的交互平台,它允许软件实体和设备共享语义信息。在Smart-M3中使用ASP可以处理固定偏好关系下的资源分配和冲突问题。然而在现实生活中,信息更新却会改变原有的资源分配顺序,从而引起新的冲突。为了处理这个问题,提出使用动态优先关系的方法解决该问题。将动态优先关系使用加权逻辑程序表示,然后求解程序得到回答集,该回答集就是冲突问题的解决方案。最后,以一个实例说明了该方法的应用。 基于HDF5实现多区结构网格CFD程序的并行I/O 计算流体动力学(computational fluid dynamics,CFD)是高性能计算重要应用领域之一,其计算涉及大量数据访问.在大规模并行计算情况下,串行I/O的性能与计算能力不匹配,I/O成为性能瓶颈.并行I/O是解决这一问题的主要途径之一.针对一个真实多区结构网格CFD并行程序HOSTA(high-order simulator for aerodynamics),基于HDF5(hierarchical data format v5)数据存储格式及其并行I/O编程接口,实现了其主要数据的并行I/O.在一套有6个I/O服务器结点的高性能计算机系统上,采用实际CFD算例进行了性能测试.对一个三角翼算例,并行I/O相对于串行I/O的性能加速比达到21.27,最高获得5.81GBps的I/O吞吐率,并使程序整体性能提高10%以上;对一个网格规模更大的简单翼型算例,并行I/O最高获得了6.72GBps的I/O吞吐率. 基于复球面映射的产品形状相似性度量算法 在产品外观设计专利侵权纠纷的判定中,缺乏科学、客观、定量的评判依据。为此,提出一种改进的基于复球面映射的产品形状相似性度量算法。以STL模型表征产品三维模型的几何形状特征,将模型表面面片映射到经过经纬划分的最小外接球上,得到包含面片法向、面积和空间位置信息的特征描述子,利用统计特征方法计算模型之间的相似度。实验结果表明,该算法具有较好的稳定性和可靠性。 波浪场中无人水面艇路径跟踪的节能控制方法 无人艇在路径跟踪过程中易受到波浪干扰,为提高抗干扰性能,现有控制方法多采用较大的控制增益,这样会增大能源消耗。针对该问题,提出一种变参数的比例微分(PD)控制方法。首先,基于视线法(LOS)制导规律和PD控制方法,设计了模糊推理模块,以波浪观测模块检测的浪高和遭遇角作为输入,动态调节PD控制器的结构参数;然后,根据不同浪高、周期和浪向对无人艇路径跟踪的影响,分析并建立了模糊推理规则。最后,采用不规则波浪场的仿真数据,进行了圆形路径跟踪实验,在跟踪精度和能耗方面与典型控制方法进行了对比。仿真结果表明,在三级海况下,所提出的控制方法能有效抑制波浪干扰同时能耗减少33%。 基于精确欧氏局部敏感哈希的改进协同过滤推荐算法 针对经典协同过滤推荐算法中用户评分数据的规模大、高稀疏度以及直接进行相似度计算实时性差等问题,提出基于p-stable分布的分层精确欧氏局部敏感哈希(E2LSH)算法。利用E2LSH算法查找相似用户,在得到相似用户后使用加权平均方法对用户未评分项目进行评分预测,从而提高推荐结果的准确性。实验结果表明,与基于局部敏感哈希的协同过滤推荐算法相比,该算法具有较高的运行效率及推荐准确率。 反馈控制采集的双模式折反射全景成像处理系统 为解决折反射全景成像系统中的采样分辨率与帧速之间的矛盾,通过反馈控制图像传感器的参数配置,设计并实现了一个全局与局部双模式的全景成像处理系统.在全局模式下,为保证采集帧速率,使用行列跳跃模式采集完整的环状全向图,并将其展开为包含360°全局信息的柱面全景图像;在局部模式下,根据感兴趣区域的空间位置设置采样窗口大小及位置,以逐像素采样模式只采集全向图中相应的一块矩形区域,并将其展开为对应视线方向上高清晰度的局部透视图;最后给出了DSP+FPGA结构的系统硬件设计方案.实验结果表明了该系统设计的科学性及实用性. 基于视频流的嵌入式GUI系统设计与实现 为在Da Vinci嵌入系统中显示图形用户界面(GUI),提出一种基于Da Vinci视频流的GUI系统设计方案。通过修改Da Vinci Vpif接口Linux核心驱动,使其能够支持用户层和驱动层的多缓冲区共享队列。在Vpif的Linux核心驱动中加入增强型直接内存存取功能,实现缓冲区的自动调度。在用户层通过多层GUI系统,设计不依赖于Framebuffer、分层封装的嵌入式GUI界面,使得系统不仅能够支持视频流的处理,而且能在视频流上实现GUI系统与视频处理系统的切换。分析结果表明,该系统能提供用户图形化参数配置的界面,具有资源消耗少、效率高等特点。 改进Gabor加权分析方法在人脸识别中的应用 为提高Gabor对人脸结构特征和内容信息的保留能力,解决人脸识别中对表情等抗噪性差的缺点,提出一种基于改进Gabor加权分析的人脸识别算法。该方法通过对归一化的人脸进行多尺度Gabor分析,并依据相同滤波窗口参数进行归类合并,最后对该信号进行加权比对得到识别结果。实验证明,该方法很好地兼顾人脸结构特征和内容信息,具有良好的抗噪性和识别率。 基于二值化指纹图像细节点提取的改进算法 深入研究了二值化指纹图像细节点提取算法,针对已有算法中存在伪细节点和遗漏细节点的问题,提出了一种改进的二值化指纹图像细节点提取算法。该算法在原有提取算法的基础上,采用了形式分割图段、结合纹线方向提取、合并图段等措施。大量实验表明,该算法显著地减少了伪细节点和遗漏细节点的出现,是一种较为理想的二值化指纹图像细节点提取算法。 基于GPS伪距的火箭弹扰动源最优估计方法 "针对某型火箭弹纵向运动过程,根据小扰动运动理论,采用""系数冻结法""推导出了火箭弹纵向扰动运动的解析方程。根据风洞吹风实验数据,采用拟合的方法给出弹体纵向运动气动参数模型,建立了以气动系数误差扰动源和GPS误差源为状态量的系统状态方程,利用GPS伪距观测量构建了系统量测方程,应用扩展卡尔曼滤波方法对火箭弹被动段纵向平面的气动参数误差干扰源进行最优估计和仿真。仿真结果表明,改进方法加快了扰动源误差的收敛速度,并提高了估计精度。" 基于ISMP的高速公路护栏立柱导波检测 超声导波在高速公路护栏立柱检测中存在信噪比低、回波中特征信号不明显等问题,为此,提出一种改进的子空间匹配追踪算法(ISMP),利用回波信号的先验信息在过完备Chirp原子库上得到每次迭代的强相关原子集,经过迭代得到待匹配信号的最佳时频原子,从而实现对立柱回波信号的特征提取。通过对中心频率为128 k Hz的检测信号进行算法验证,结果表明,ISMP可以有效提取出回波信号的特征原子,所得检测长度与实际测量误差小于1%,满足工程检测要求。 基于社会网络与信誉的C2C信任评价模型 将概率论和社会网络理论应用到信任传递以及多信任路径建模中,针对C2C交易平台实际的商业网络特征,提出基于社会网络与信誉的C2C信任评价模型。引入相似度计算建立簇与簇之间的关联,以解决C2C交易网络存在的买卖分工明确、稀疏性、聚簇问题。对淘宝网C2C交易平台数据的分析表明,该模型对淘宝网现有信誉机制具有较好的改进和补充作用,更符合现实生活中人与人之间的信任和推荐关系。 WBR0-代数的∧-半格表示及其实例 对WBR0-代数进行了再研究。给出WBR0-代数的一种∧-半格结构表示的简化形式,并根据这一简化形式构造了一个非BR0-代数的WBR0-代数的实例,进一步说明了WBR0-代数提出的合理性。 基于组合杂交法的四边形薄板弯曲有限元 在研究工程结构的问题中,为了建立简单高效的四边形薄板弯曲有限元算法,一种基于组合杂交变分原理的新型四边形杂交有限元CH18P被设计出来。单元首先独立插值力矩和挠度形函数,然后在能量协调的概念下使用挠度对力矩进行约束从而得到优化的力矩模式。单元只要求挠度及其偏导数在单元顶点处连续,从而降低了挠度设计的难度。由于挠度弱协调,并引入组合系数和能量协调条件,和其它杂交元相比,计算花费小,无条件稳定,并且保证收敛性。此外,单元还有很高的计算精度,并且对网格形状不敏感,实验证明是一种实用的有限元算法。 随机工时下柔性加工车间的鲁棒优化调度方法 为了提高柔性加工车间调度方案的可行性、保障生产过程的稳定性,提出一种鲁棒优化调度方法。引入两个不确定参数来描述随机工时的波动程度和约束条件的允许违背程度,提出随机变量服从概率分布时一般线性规划问题的鲁棒优化方法。采用该方法将含随机工时而难以求解的随机型柔性加工车间调度模型转化为确定型鲁棒对等模型。基于该模型,将随机工时融入适应度函数中,结合遗传进化的全局优化和邻域搜索的空间拓展能力研制出鲁棒调度算法,同步实现工件排序和机器分配的双重决策。案例测试表明,所提方法可以在较短计算时间内、以较小性能损失、将近95%的置信度获得当前最优解。 基于多Agent的产品模块化协同设计策略 为满足分布异构环境对产品协同设计的要求,提出了一种基于多Agent的产品模块化协同设计策略。分析了协同设计需求与功能的映射关系,构建了基于多Agent的产品模块化协同设计自顶向下的四层过程模型;从模块内聚度、模块耦合度和模块可执行度三个方面进行探讨,建立了基于多目标优化的模块分解数学模型,利用模块分解Agent实现最优模块划分,通过相似度算法获得求解模块的最匹配Agent;引入集成推理与决策机制构建了协同设计Agent行为模型,给出了基于黑板的Agent平行协商机制。最后,开发了基于浏览器/服务器的汽车协同设计原型系统,并以车身协同设计为例验证了该策略的可行性和有效性。 协作通信的中继选择策略性能研究 在解码转发协议的基础上,研究了全选中继策略和机会中继选择策略的中断概率性能,推导了瑞利衰落下的两种策略的中断概率精确闭式结果,给出了高信噪比时的中断概率近似表达式。通过理论分析和数值仿真结果得出:在信噪比足够高或在中继-目的信道性能优于源-中继的信道性能的情况下,这两种策略具有相同的中断概率性能;源-中继的信道性能比中继-目的节点的信道性能越好,全选中继策略的性能越优于机会中继选择策略的中断概率性能。 群智能算法在螺旋桨参数优化设计中的应用 螺旋桨参数优化设计一般是复杂的非线性问题,设计的难点在于如何在各种非线性约束条件下找到一组适当的参数,使得螺旋桨性能最佳。群智能算法作为一种新兴演化计算技术,能有效解决全局优化问题,是优化算法研究的新热点。首先介绍了粒子群算法和蜂群算法两种群智能算法的工作原理;然后在建立螺旋桨参数优化数学模型的基础上,将群智能算法运用到螺旋桨初步和终结设计优化问题中,并通过实例进行对比分析,结果表明群智能算法解决螺旋桨参数优化问题是实用且高效的。 一种系统级内存泄漏的自愈机制 针对系统级内存泄漏问题,建立有限状态自动机相关问题模型,设计一种内存泄漏的自愈机制。该机制基于系统性能指标能够反映内存泄漏问题的假设,完成对内存泄漏的检测、诊断和恢复。实验结果表明所提假设的正确性,且该自愈机制对解决内存泄漏问题可行有效。 基于规则的数据类型重构技术研究 为恢复变量的数据类型信息并提高反编译的质量,提出一种基于规则的数据类型重构方法。采用静态和动态相结合的分析方式,将可执行代码转化为中间语言,在优化中间语言的基础上为每条指令制定约束规则,并给出相应的求解算法,从而推导出变量的数据类型信息。实验结果表明,该方法能对简单数据变量类型和复杂数据变量类型进行恢复,具有较高的准确性。 时间序列的表示与分类算法综述 时间序列是按照时间排序的一组随机变量,它通常是在相等间隔的时间段内,依照给定的采样率,对某种潜在过程进行观测的结果。时间序列数据广泛地存在于商业、农业、气象、生物科学以及生态学等诸多领域,从时间序列中发现有用的知识已成为数据挖掘领域的研究热点之一。在时间序列表示方面,主要介绍了非数据适应性表示方法、数据适应性表示方法和基于模型的表示方法;针对时间序列的分类方法,着重介绍了基于时域相似性、形状相似性和变化相似性的分类算法,并对未来的研究方向进行了进一步的展望。 一种基于Linux的图形用户接口的设计与实现 介绍一种采用标准C语言开发的Linux图形用户接口设计思想与实现方法,提供简单易用的图形用户编程接口,在此基础上用户可以高效便捷地开发出具有良好可移植性的图形界面应用程序。 网络域名用户异常访问行为信息精准识别仿真 对用户访问行为的研究往往依托于历史访问记录,而网络管理系统统计的历史访问记录中含有大量的异常访问行为信息,严重影响了对用户访问行为规律特性的研究。当前识别方法所设定的分类器置信度低、无法有效提取异常访问行为特征,致使所设定的识别阈值难以准确识别异常访问行为。对此,提出一种基于选择性协同学习的网络域名用户异常访问行为信息精准识别方法。上述方法采用时间窗函数与Bootstrap重采样构建网络域名用户访问行为状态信息簇,利用随机加权网络的有监督学习获得访问行为状态信息模型,对模型进行稀疏化处理,获得异常访问行为信息特征。利用混合扰动生成方法建立分类器对访问行为信息样本子集进行协同学习,在学习过程中利用选择性集成进行置信度计算与访问行为信息更新,在此基础上基于准确性选取构造异常访问行为识别阈值,用于实际用户异常访问行为识别。实验结果表明,所提方法有效提高了异常访问行为信息识别精度。 基于压缩感知的低数据率雷达采样与成像方法 传统的信号获取体制要求采样率大于两倍信号带宽,这使得高速率A/D转换成为经典超宽带高分辨雷达系统的瓶颈技术之一。压缩感知理论提供了一种低速率采样的信号精确采集和重构方式。本文基于压缩感知理论,提出一种新的雷达采样与成像方法。根据目标的散射特性,采用了基于小波变换的雷达目标稀疏表示方法;结合雷达成像原理,构造了基于Fourier束的最优测量矩阵。仿真实验表明,基于压缩感知的低数据率雷达采样与成像方法,能在数据率仅为传统系统数据率15%的条件下,获得良好的成像结果,尤其是能对弱小目标进行高分辨成像。本文所提的方法可为新体制高分辨率成像雷达系统的设计提供支持。 基于峭度的BSS开关算法的语音信号盲分离 盲信号处理算法主要有批处理和自适应算法两类,导出了一种基于峭度的自适应盲源分离(blind source separation,BSS)开关算法,将该算法应用于语音信号盲分离处理,通过综合实验,从分离前后的波形、频谱图和主要评价参数说明该算法具有良好的信号分离效果。与批处理中的典型算法,如扩展联合对角化(joint approximative diagonalization of eigenmatrix,JADE)和四阶盲辨识(fourth orther blind identification,FOBI)算法比较,该算法具有更好的分离效果。 面向龙芯3A体系结构的BLAS库优化 双精度普通矩阵乘法DGEMM是BLAS库中最核心的函数之一,大部分三级BLAS库函数的核心计算都是通过调用DGEM M来实现的.该文针对龙芯3A具有128位访存指令的特点,通过理论分析,找到了最佳的循环展开方式;针对龙芯3A的Cache替换策略(随机替换),通过使用地址交错技术,减少了Cache的冲突失效;针对龙芯3A访存带宽有限的问题,通过使用共享数据的任务划分方式,减少了数据访存量.优化后的DGEMM单核和多核运算速度均是性能最高的开源BLAS库(Goto-BLAS)的2倍多. 改进的动态面鲁棒自适应飞行控制律设计 提出一种改进的动态面鲁棒自适应飞行控制律设计方法;动态面飞行控制律消除了反推设计中由于对虚拟控制反复求导而导致的复杂性问题;利用RBF神经网络在线逼近飞机气动参数变化引起的非线性和不确定性,并以所有神经网络权值范数平方的最大值为更新参数来设计控制器,仅一个参数需要更新;基于Lyapunov稳定性定理证明了闭环系统的所有信号半全局一致最终有界;飞机俯仰运动飞行的数值仿真表明:在考虑气动参数摄动的情况下,轨迹角跟踪仍很好地实现,且兼具控制器结构简单、计算量小和鲁棒性强的特点。 基于门禁的高校开放式实验教学管理系统 基于门禁系统的高校开放式实验教学管理系统,是融合了门禁系统、计算机网络技术、数据库技术和web技术与一体的新型管理系统.主要介绍了该系统的总体设计方案、与门禁系统的整合以及所实现的新型管理功能. 基于Intel Xeon Phi的激光等离子体粒子模拟研究 激光等离子体粒子模拟广泛用于探索极端物质状态下的科学问题。将一种基于粒子云网格方法的三维等离子体粒子模拟程序LARED-P移植到Intel Xeon Phi协处理器上。在移植的过程中,综合运用了Native和Offload两种编程模式:首先运用Native模式对LARED-P程序中热点计算任务进行优化研究,通过采用SIMD扩展指令使该计算任务获得了4.61倍的加速;然后运用Offload模式将程序移植到CPU-Intel Xeon Phi异构系统上,并通过使用异步数据传输和双缓冲技术分别提升了程序性能9.8%和21.8%。 面向未来互联网的感知服务发现方法研究 要解决现有互联网管控能力弱的问题,未来互联网就必须具备强大的网络感知。面向服务的未来互联网将感知信息作为一种创新的服务和应用来增强网络的感知能力,如何快速发现和获取所需的感知服务信息成了必须要解决的重要问题之一。提出一种基于DHT的分布式服务发现方法。该方法利用分层的服务描述使得在保证查询的准确性和效率的同时,更能够支持复杂查询,并通过仿真工具Over Sim验证了该方法的性能。 基于时间度的风险型动态灰色多属决策方法 针对方案属性值为区间灰数的动态多属性决策问题,提出了一种基于前景理论的动态多属性决策方法。定义了区间灰数新的距离测度;鉴于被评价对象在时序上的差异信息和波动性,建立了基于熵和时间度的确定时间权重的优化模型;以两两方案互为参考点确定了前景价值函数,由此构建了求解最优权向量的优化模型,最后以方案的综合前景值对方案进行排序。通过实例说明了该方法的合理性和有效性。 非真实感绘制技术研究进展 作为与真实感图形学相对应的图形学分支,非真实感绘制技术近年来受到人们的关注并已成为计算机图形学的研究热点之一,其研究成果已在计算机动画产业、计算机艺术和科学资料插图绘制等领域得到了广泛的应用。对非真实感绘制的相关技术进行了综述,首先介绍了非真实感绘制的发展过程,然后以不同艺术效果的模拟为线索对非真实感绘制技术进行了分类,并对各类技术的特点、发展状况和主要算法进行了分析和讨论,同时对非真实感绘制中所使用的特殊技术进行了分类讨论。最后对非真实感绘制技术的未来发展进行了展望。 信息化建设在高校校园中的实现模式研究 课题小组进行广泛的调查研究,充分了解师生员工的信息需求,也充分了解工作环境、教学环境对信息的要求,分析了校园信息化建设的方向和目标;总结了利用Web技术进行校园信息化建设的经验和局限性,提出将目前最流行的即时通讯技术(IM)应用于校园信息化的第二波建设,并且开发了一款聊天功能类同于腾讯QQ、用户结构类同于校友录的IM软件;进行校园信息化的理论探讨,提出恰当的校园信息化的解决方案,并且用实践来佐证和说明相应的观点。 入侵检测系统中BM算法的改进 随着网络安全问题的日益突出,入侵检测技术也成为当前研究的热点,模式匹配算法是入侵检测系统(IDS)中一种重要算法,直接影响到系统的准确性和实时性。在研究BM算法和分析现有改进算法的基础上,提出了一种新的改进算法。该算法利用了末字符和下一个字符在模式串中首次出现的位置、存在性、唯一性的判断来增加模式串移动距离,利用记录因子记录上次匹配过程中的匹配后缀来减少比较次数,从而有效地加快模式匹配的速度,提高入侵检测的效率。 基于DCT系数的加密信息隐藏技术的研究与实现 信息化技术高度进步的今天,传统的安全技术已显得捉襟见肘,而信息隐藏技术作为一门新兴的技术,因其安全性程度高而显示了广阔的应用前景。基于信息隐藏算法并结合密码技术设计并实现了一个可行的信息隐藏系统,基本实现了隐藏信息的嵌入和提取,平均相似度达到91.42%;在隐藏信息嵌入前,对隐藏信息进行加密,在接收端加入解密程序,从源头上消除了隐藏信息在传输过程中被恶意破解的隐患。保证了隐藏信息的安全性,从而也保证了载体信息的安全性。并通过试验分析了其RGB值、隐藏前后的均方根误差值(RMSE)和峰值信噪比值(PSNR)等特征,达到了准业务水平。 两级系统多周期随机库存路径优化 库存和运输是物流活动的最主要环节,如何同时对二者进行优化,是供应商管理库存必须解决的核心问题。针对一个配送中心为多个零售商实施统一配送的两级系统,以最小化系统计划期平均总费用为目标,分析包括零售商存储费、缺货费,以及配送中心进货费、存储费和配送费的系统全部费用组成,整合库存与配送建立了库存路径问题数学模型。根据零售商需求的随机性和库存路径问题本身的两阶段性,估计零售商库存上下限,选择配送中心订货策略及配送优先原则,借鉴旅行商问题求解思路,设计了求解问题的启发式算法。用Matlab7.0编程实现仿真算例求解表明,整合优化比分别优化节省平均总费用6.2%。 面向互联网的开源软件自动化评估证据框架 如何从海量的、公共可获取的互联网资源中快速定位和获取高质量、满足自身特定需求的开源软件资源是当前软件开发技术核心竞争力的一个重要体现.本文研究了互联网上开源软件各种可信证据的存在及分布形式,给出了相关数据的定位和自动化获取方法;提出了面向互联网的开源软件自动化评估证据框架,用于解决互联网数据到软件可信证据的映射问题;提出面向互联网上海量软件资源的开源软件可信证据查询平台实现方法,用于解决软件可信证据的自动化获取及使用问题;基于该系统能够极大的提高评估效率,使得用户准确、快速、全面的了解相关软件项目的各种信息.最后,通过两个典型的开源软件实例证实了上述证据框架和方法的可行性. 空间相机CCD像面拼接重叠像元数分析与计算 为了避免空间相机在侧摆成像时多片CCD的拼接处出现缝隙,造成部分目标信息缺失且获取的图像无法正常拼接的问题,根据CCD推扫成像的工作原理和像移补偿残差对图像出现缝隙的原理进行分析,通过采用重叠像元的方法克服了图像缝隙的问题;根据实际工程需求对像面重叠像元数进行计算,对重叠像元数提出具体要求;根据实例计算得出,在96级积分级数下允许的偏流角误差不大于12’和偏流机构的偏差为1’的前提下,像面拼接时相邻两片CCD之间的重叠像元数计算值应大于15,考虑误差及安装多重因素增加一定的余量,重叠像元数为40完全满足地面覆盖宽度10km的要求。 一种自适应的运动目标阴影消除新算法 精确地消除活动阴影对运动目标的影响是智能视频监控的核心任务之一,对此提出了一种基于局部纹理分析的自适应阴影消除新算法。进行了基于高斯混合模型的背景重建,并根据阴影的光学特性进行了阴影区域的预检测,得到疑似阴影区域;提出了一种新的自适应动态纹理分析方法并在此基础上实现了活动阴影的检测与消除。实验结果验证了算法的有效性和实用性。 基于SIP的无线局域网V2IP电话的设计与实现 对SIP(session initial protocol)协议和WAPI协议进行了研究与分析,在此基础上提出了一种新的基于SIP的V2IP电话模型并实现。与传统IP电话相比,V2IP电话不仅支持WiFi无线接入,而且支持WAPI无线安全接入并可与PC机进行音/视频通话。测试结果表明,基于新模型的V2IP电话具有无线安全接入、音视频传输质量高、可移动性强等优点,并且在稳定性、便携性和可扩展性方面表现良好。 基于多面体表示的向量化收益评估方法 循环变换可提高程序性能,但对其向量化后可能会导致代码性能损失,并不一定会得到预期性能提升。针对该问题,结合目标体系结构特征,在Open64中实现一个基于多面体表示指导循环变换的向量化收益评估模型。该模型可以有效分析各种循环变换方案的代价,选择向量化收益最大的方案组合作为最终的向量化方案。对SPEC测试集的swim等5个程序进行测试,结果表明,收益评估结果与实测向量化加速比相近,可避免盲目优化。 MBR膜污染的智能模拟预测方法研究 针对MBR膜污染因子较为复杂且各因子之间相互交叉,首先用主元分析法实现输入变量的降维和去相关,求出影响膜污染最为明显的三大因素:混合液悬浮固体、总阻力和操作压力,接着用BP神经网络建立这三大参数与表征膜污染程度大小的膜通量之间关系的MBR智能仿真系统模型,同时针对BP网络自身的缺陷,引进遗传算法,采用GA优化BP网络权值和阈值,最终建立基于GABP神经网络的MBR膜污染预测模型;网络训练时使用的数据是在不同操作条件下,采用孔径为0.2μm的聚偏氟乙烯微滤膜处理生活污水时得到的膜通量实验数据,最后用训练好的GA-BP神经网络对膜通量进行预测;研究结果表明,与传统BP算法相比,GA-BP神经网络算法能更快实现收敛,提高运算速度以及膜通量预测的准确度。 基于Petri网模型的装备使用保障性仿真与优化 在分析现有建模与仿真方法的基础上,采用Petri网与Matlab相结合的方法,对装备使用保障过程进行建模与仿真,实现对装备使用保障要素中保障设备和保障人员的优化。 基于Apriori算法的“证-症-方-药”关联模型的研究与设计 "论文旨在发现中医诊治中的多维关联关系。介绍了关联规则算法的基本原理,并将关联规则发现算法引入中医诊治关系数据挖掘中。结合Apriori算法设计了中医治疗中""证-症-方-药""之间关联关系的挖掘模型。设计的模型能从海量数据中挖掘出专家治疗疾病的宝贵经验,为临床诊治和科学研究提供依据。" PR状态方程二元相互作用参数的拟合及对柴油中氢气溶解度的预测 通过Aspen Hysys模拟计算了温度(323~623)K和压力(4~10)MPa条件下,氢气在0~#柴油、直馏柴油、催化柴油和焦化柴油中的溶解度。计算结果对比实验数据,得到氢气在4种柴油中溶解度的平均误差分别为17.49%、11.48%、20.09%和14.00%。因二元相互作用参数与柴油性质以及温度之间存在着一定的关联,为了得到更加准确的溶解度数据,提出了计算PR状态方程中二元相互作用参数的关联式,并用Matlab进行关联式参数的拟合和氢气溶解度的计算,得到溶解度数据的平均误差分别为8.12%、7.51%、5.73%和6.10%,有效地提高了数据的准确性。因此,提出的二元相互作用参数的计算关联式可以为更加准确地预测氢气在柴油中的溶解度提供有效的方法。 基于局部频谱特征与贝叶斯决策的脚步声识别 针对脚步声识别系统中背景声音与噪声影响脚步声特征的提取而导致识别率明显下降的问题,根据脚步声相对背景声音在时间与频率分布上具有一定局部性的特点,提出一种声音局部频谱特征提取方法。该方法所提取的特征反映了声音主要频率成分的局部分布及其随时间的变化规律,而且对白噪声与高斯噪声的干扰有较强的鲁棒性,并且在声音采集过程中,由于与采集设备距离等因素的变化所导致的声音强度变化无关。识别过程采用贝叶斯决策理论实现步声识别。实验结果表明,该算法识别精度高于现有算法,而且对不同背景声音与环境噪声的鲁棒性明显高于现有算法。 某火箭炮高低控制目标自动瞄准优化仿真研究 某火箭炮高低控制目标自动瞄准主要依靠高低随动系统完成,对自动瞄准进行优化可以提高调炮的速度与精度,但难点在于受工况条件多变的影响,同时考虑到试验成本等因素,无法对现有实际装备元器件进行调整优化,故采用虚拟样机仿真计算的方法实现自动瞄准优化,利用ADAMS和EASY5软件分别建立了高低随动装置多体动力学模型、液压系统模型以及伺服控制模型,以软件接口方式实现机电液联合仿真,通过与实验对比进行模型准确度校核,并调整液压系统和控制系统的相关参数,优化仿真自动瞄准调炮过程。仿真结果表明:调整控制和液压系统参数,可以实现提高自动瞄准调炮的速度与精度的目的。对高低随动系统建立的虚拟样机模型是准确可信的,对提高自动瞄准调炮的速度精度是可行的,对液压和控制系统的优化是有效的。 基于互斥权限约束的角色挖掘优化方法 现有自底向上的角色工程方法挖掘规模庞大,挖掘结果存在冗余,且不能反映系统功能的安全需求。为优化角色结果,针对角色优化中的互斥约束问题,结合枚举角色挖掘,提出一种基于互斥权限约束的角色挖掘优化方法。利用用户聚类元组及互斥约束优化角色挖掘过程,通过角色职责分离对安全约束的合理性进行分析,采用矩阵分析法调整已挖掘权限的矩阵单元值,挖掘优化角色以覆盖所有权限。实验结果表明,通过权限覆盖分析法辅助挖掘的优化角色结果能够保证挖掘过程的完整性;与枚举挖掘法相比,该方法能够保证信息系统的安全性,降低角色结果的冗余度。 一种应用于RFID数据传输的自适应均衡方法 在射频识别(Radio Frequency IDentification,RFID)系统中,传输信号在高速远距离传输过程中,受传输环境和突发状况等影响,导致传输信号产生畸变和衰减,解调后的基带信号会产生码间干扰,影响了信号传输质量,并且限制了传输的速度。文中提出了一种适用于RFID系统基带数据传输的自适应FFE-DFE均衡的方法。该方法实现复杂度低,不需要很复杂的电路设计,算法计算速度快。实验结果表明,自适应FFE-DFE均衡方法有效改善了均衡效果,减小了误码率,消除了信号中的码间干扰和噪声,数据传输距离大大延长。 融合广告主行为的拍卖词实时触发 搜索引擎触发广告的过程中,需要实时计算拍卖词(Bidword)和用户查询(Query)的相关性,广告语境下的Term动态赋权方式和短语商业价值评估成为相关性计算必须考虑的问题。为此引入广告主行为,结合连续词袋模型(CBOW),提出了一种广告语境下的短语相关计算方法 ADPCB。首先通过CBOW模型获得短语中每个Term的向量;然后分析广告主行为,构建关于短语的全局赋权树,对短语结构进行分析得到Term的动态权重;最后将Term权重和向量线性组合产生短语的向量表示,用于Bidword和Query的相关性度量。对10 000对带有标签的Query和Bidword(正负比例1∶1)利用Word2vec进行实验,ADPCB比结合CBOW模型的TF-IDF效果更好;而在准确率达到0.70时,ADPCB比潜在狄利克雷分布(LDA)、BM25和TF-IDF获得了更高的召回率。结果表明ADPCB提高了触发Bidword和Query的相关性,同时可以量化短语中Term的商业价值属性,减少低商业价值Query的广告触发数量,可应用于实时计算的场景。 基于局部语义聚类的语义重叠社区发现算法 语义社会网络是一种包含信息节点及社会关系构成的新型复杂网络,因此以节点邻接关系为挖掘对象的传统社会网络社区发现算法无法有效处理语义社会网络重叠社区发现问题.针对这一问题,提出基于局部语义聚类的语义社会网络重叠社区发现算法,该算法:1)以LDA(latent Dirichlet allocation)模型为语义信息模型,利用Gibbs取样法建立节点语义信息到语义空间的量化映射;2)以节点间语义坐标的相对熵作为节点语义相似度的度量,建立节点相似度矩阵;3)根据社会网络的局部小世界特性,提出语义社会网络的局部社区结构S-fitness模型,并根据S-fitness模型建立了局部语义聚类算法(local semantic clusterm,LSC);4)提出可度量语义社区发现结果的语义模块度模型,并通过实验分析,验证了算法及语义模块度模型的有效性及可行性. 基于MPEG-2的视频水印算法 提出一种基于MPEG-2的视频水印方案,利用分组调制技术,将影视作品的版权信息嵌入到低频DCT系数中,以此来保护版权。实验结果表明,该算法生成的视频质量较高,同时算法在抵抗旋转、剪切、缩放、投影攻击方面具有较强的鲁棒性。 基于LabVIEW高温摩擦磨损试验机测试系统 高温摩擦磨损试验机主要用于测试摩擦副材料在高温工况下的摩擦磨损性能.采用LabVIEW软件,通过调用动态链接库驱动数据采集卡,设计开发了用于高温摩擦磨损试验机的虚拟仪器测试系统.测试系统包括摩擦力、温度、载荷、转速等数据采集,数据存储,数据处理、图形显示及生成实验报告等功能.在高温摩擦磨损试验机上,利用该测试系统测量并记录摩擦系数,观察所采集的数据以及多次重复性试验.实验结果表明该测试系统具有较高的稳定性、准确性及可靠性. 硅苯、1-硅萘及9-硅蒽的[4+4]二聚反应的比较研究 采用密度泛函理论(DFT)在B3LYP/6-31G(d)水平上,研究硅苯、1-硅萘及9-硅蒽的[4+4]二聚反应的微观机理和势能剖面,考察了反应的取代基效应和溶剂效应。计算结果表明,所研究反应均为协同反应,且2个C-Si键同步形成。随反应物分子芳香环从小到大的增加,[4+4]反应在热力学和动力学上均变得越来越有利。硅苯中硅原子上具有吸电子性质的CCl3及具有给电子性质的NH2取代基,在热力学和动力学上均有利于反应。苯溶剂对所研究反应的势能剖面影响较小。 并发加权μ-演算的一致性内插 并发加权?-演算(Concurrent Weighted?-calculus,CWC)是对Kim.G.Larsen所提出的并发加权逻辑的强有力的扩充,通过加入不动点算子,增强表达能力,实现对复杂模块化系统的有效建模。对CWC进行了研究,给出了CWC的语法并阐述了CWC的标记加权转移语义。?-演算与自动机理论密不可分,引入了轮替树自动机用于处理CWC,阐述了轮替树自动机与CWC之间的联系,构建了一种特定的用于CWC的轮替树自动机模型。一致性内插定理是Craig内插定理的加强和扩展,为了探究CWC上的一致性内插定理,根据Andrew M.Pitts提出的方法,利用互模拟量词寻找一致性插值。给出了互模拟量词在标记加权转移系统上的语义,并研究了互模拟量词和CWC上一致性内插定理之间的关系。在此过程中利用?展开(unravelling),由?展开树的一系列特性,结合轮替树自动机,证明了一致性内插定理在CWC上成立。 基于β系数的序贯测试优化方法 "为降低大型航空电子设备故障诊断费用,研究测试性优化设计中的测试排序问题。以相关性模型为基础,采用""并行工程""的思想,以平均测试费用最小为设计目标,提出一种基于β系数的测试点优选和故障树生成算法。以哈夫曼编码为启发式函数估计测试代价,在搜索策略上对AO*算法提出改进,在保证平均测试费用不超过最优解的(1+β)倍的同时,有效减少回溯次数,提高算法的效率。通过对比其它算法实例,给出生成诊断树的具体过程,验证了该方法的可行性。" 均值漂移目标跟踪的两级窗宽更新算法 目标尺度发生较大变化时,固定窗宽的均值漂移(Mean shift)目标跟踪算法不能进行有效跟踪。为此,提出一种两级更新的自适应窗宽计算方法。采用Mean shift跟踪算法对目标中心进行初次定位,并确定窗宽的大小,设置感兴趣区域,结合已建立的背景模型,在感兴趣区域内利用背景减除技术二次确定目标的中心及窗宽大小,通过比较2次目标区域与目标模型之间的Bhattacharyya系数,选择系数较大的区域作为最终跟踪窗口。实验结果表明,该方法能够对尺度变化明显的运动目标自适应确定跟踪窗宽,并减小传统Mean shift跟踪方法背景目标颜色对目标特征提取的影响。 基于OWL本体和SWRL规则的导弹智能故障诊断研究 针对复杂航空装备诊断知识缺乏、诊断效率低和知识共享性差等问题,以某型红外弹为例,提出一种基于OWL本体和SWRL规则的导弹智能故障诊断方法;首先以导弹FMECA结果作为知识源,通过基于ATML语法的OWL逻辑描述语言建立导弹本体模型,完成故障模式和故障原因本体之间的映射;其次采用语义网络规则语言SWRL描述知识库规则,建立本体知识单元之间类、属性和实例的对应关系,最后通过Racer推理机对导弹知识库进行故障诊断推理,获取故障诊断优先级顺序;推理结果表明,该方法能够解决复杂航空装备专家诊断系统中的知识表示困难、缺乏自动语义推理、重用共享性差等问题,获得最优的故障诊断路径的同时减少了故障排查步骤,从而实现了故障原因的快速定位,提高了复杂航空装备专家诊断系统的诊断效率和可靠性。 基于BasicExcel的读写Excel方法研究 分析在Visual Studio 2005下利用C++语言读取Microsoft Office Excel文件内容的几种典型方法的优缺点。当读写不含公式、图表Excel文件中的大量数据时可以采用读写Excel非常快的BasicExcel方法,本文详细给出一种修改Ba-sicExcel类的加载函数、Sheet页操作函数、读写单元格函数、另存为函数的方法,使该类能够很好地支持中文平台。 基于用户满意度的任务调度策略 为了满足云环境中用户任务调度的不同需求,提出一种改进粒子群算法的任务调度策略。将用户对时间和费用的期望值作为动态适应度函数的加权值,同时在粒子群算法中引入遗传算法的交叉和变异操作,不仅避免了算法陷入局部最优还保持解的多样性,最终求出满足用户需求的任务调度。仿真实验结果表明,该策略能够减低任务的完成时间和执行费用,提高云计算服务质量,具有良好的实用性。 面向CBIR应用的向量量化近邻搜索策略 为解决硬向量量化方法编码效率低的问题,提出一种用于向量量化加速的近邻搜索策略,其设计思想是空间上近邻的输入向量可能具有相同的编码。在对输入向量进行编码时,计算当前输入向量与其邻居向量的欧氏距离,利用三角不等式关系快速推断当前输入向量与码值之间欧氏距离的下边界,如果当前输入向量与前一输入向量对应码值之间的欧氏距离不大于下边界,则可以跳过输入向量与所有码值之间的欧氏距离计算,提高运算效率。图像检索实验结果表明,与随机kd树、分层k均值树两种加速向量量化方法相比,该方法可以获得更高的检索查准率和编码效率。 数字化变电站动态组播测试研究 分析了基于数字化变电站内交换机、监控主机、测控装置、保护装置、合并单元的IGMP Snooping和GMRP动态组播实际应用环境,描述了模型中各模块的功能,比较了两种动态组播模型的应用环境和优缺点。制作了基于WinPcap的动态组播软件测试包,向交换机发送模拟的IGMP Snooping和GMRP操作报文,可以对IGMP Snooping和GMRP两种协议进行测试,并且给出了各自的测试用例。测试结果对于提高数字化电力信息以太网络的安全可靠运行有重要参考意义。说明使用WinPcap来进行IGMP Snooping和GMRP报文收发可以较好地测试数字化变电站的动态组播性能。 短停留时间下的神华上湾煤直接液化恒温阶段反应动力学研究 以中国神华煤制油化工有限公司上海研究院0.01 t/d神华煤直接液化工艺连续装置实验数据为基础,建立适合神华煤直接液化反应恒温阶段在短停留时间下的集总动力学模型,模型在保证液化反应升温阶段和恒温阶段是一个连续整体的前提下,使用BFGS变尺度法优化计算得到了恒温阶段模型参数。结果表明,液化反应在短停留时间时的恒温阶段动力学模型预测的组分收率的计算值与实验值平均相对偏差、平均绝对偏差分别为5.23%、0.90%,吻合良好,该模型可以较好地反映神华煤直接液化恒温阶段在短停留时间的反应过程。 关于涂-邓猜想的一点注记 为多种密码学构造性质良好的布尔函数一直是对称密码学研究中的一个难点问题。最近,涂自然和邓映蒲基于一个二元组合猜想的正确性,构造了两类具有最优代数免疫度的布尔函数,其中第一类函数是具有最优代数免疫度的Bent函数,另一类是平衡且具有最优代数免疫度的高非线性度函数。涂-邓猜想引起了国内外密码学者的高度关注。现通过分析涂-邓猜想中参数t满足wt(t)=3情形时的二元Hamming重量的特性,给出涂-邓猜想在wt(t)=3情形下的证明,并以推论的形式推出wt(t)=k-3的证明。 非结构环境理解综述 主要从特征提取信息和所使用传感器的角度分析了非结构环境理解的研究现状,从地形分类和障碍物检测两个方面对目前的研究工作进行了分析和比较,指出了目前这些工作面临的难题和今后的研究方向。 铸球体内温度场特性的数值研究 针对工程实际中金属铸球体非均匀受热问题,建立了其热传导数学模型,采用有限体积法进行了数值仿真研究,建立和求解了定解问题的偏微分方程,预测了传热过程稳定所需时间,得到了边界条件下球体内部任意点和各个平面的温度分布规律。数值仿真与数理分析的结果相吻合,验证了仿真方案的准确性和可靠性。球体内温度场的确定,为工程计算热应力,减少铸球体等工件断裂提供了理论依据。数值仿真方法可以很好地解决一般模型和边界条件下的稳态和非稳态温度场问题。 采用高斯混合模型及树结构的立体匹配算法 针对传统立体匹配算法无法同时为图像边缘和低纹理区域提供一个合适大小的聚合窗口而导致匹配精度较低的难题,提出一种结合高斯混合模型及最小生成树结构的立体匹配算法。通过图像初始视差、像素颜色及距离信息将图像分为初始若干区域及待分割候选像素;基于高斯混合模型并行迭代更新各区域参数,得到最终的分割;在各分割上建立最小生成树计算聚合值求取视差;通过邻域内的有效视差修正误匹配点,获取精度较高的稠密视差图。与其他算法相比,该算法能有效降低误匹配率,尤其在深度不连续区域的匹配效果显著改善。 关于交换半环上一类矩阵的研究 对半环上可逆矩阵的概念进行推广,给出了e-可逆矩阵的定义。通过探讨可逆矩阵与e-可逆矩阵之间的内在联系,给出了交换半环上e-可逆矩阵的等价刻画。同时,对交换半环上e-可逆矩阵的全体关于矩阵乘法构成的半群进行研究,给出了此类矩阵半群的分解定理,并证明了此类矩阵半群均存在极大子群,且所有极大子群的并是Clifford半群。 飞机电缆屏蔽层环路阻抗信号降噪方法研究 在飞机电缆屏蔽层接地可靠性检测过程中,需要使用磁耦合器获取电缆屏蔽层环路的电压和电流信号,采集得到的电压电流信号非常微弱,由于信号采集环境和采集仪器的原因,不可避免的存在一些与分析信号无关的噪声成分,如果对于噪声不加以处理而直接分析,会直接影响到仪器最后的检测精度。首先针对飞机电缆屏蔽层环路阻抗测试系统利用Simulink对其建立了仿真模型,得到了仿真后的电压电流信号,利用各自的降噪方法研究了经验模态分解小波阀值降噪方法,最后通过仿真表明,采用经验模态分解的小波阀值降噪方法在处理低信噪比的信号时有较好的效果。 多式联运路径优化模型中的贝叶斯极大熵权重自学习方法研究 在多式联运路径优化模型中,权重赋值是复杂的多目标决策问题。提出一种主客观相结合的综合权重确定方法,先进行主观赋值,再结合贝叶斯网络和极大熵准则进行自学习。通过贝叶斯网络将各目标属性及影响因素相关联,再结合极大熵准则对权重进行自学习来输出各目标权重。通过该方法得到的权重结合了主观判定并通过自学习来减少人为因素偏差,提高了权重的客观准确性,为多式联运中的多目标决策提供技术支持。 自适应复杂网络中的病毒传播模型 提出了一个基于自适应复杂网络的病毒传播模型。模型中,易感节点为了不被感染,能够有意识地避开与感染节点的连接,此过程一方面使得网络结构发生了变化,另一方面网络结构的变化又反过来对病毒传播过程造成了影响。着重考查了模型中个体的躲避行为对病毒传播效果的影响,结果显示,在个体躲避行为的驱动下,系统的最终染病节点数会发生振荡,并且在一定的参数范围内系统出现了双稳状态。 基于多投影的多视点自动立体三维显示系统 多视点自动立体显示有望成为今后主流的三维显示技术,它是一种无需借助任何辅助观察设备的多视点、多观察区、高分辨率、显示效果逼真的三维显示方式。阐述了基于多投影的多视点自动立体显示系统的设计原理,详细地描述了系统的软硬件构架,建立了基于多投影仪和水平光学各向异性显示结构的自动立体显示样机,开发了投影仪阵列自动校准系统,提高了投影仪的校准精度,避免了因投影仪数目多而导致的繁琐的校准过程。实验结果能够给观众带来逼真的三维视觉体验。 多群多层协同进化算法的约束优化求解及应用 针对基于可行性规则求解约束优化问题易陷入局部、master-slave协同进化模型同层种群间没有信息交流的情况,提出多群多层协同进化算法(MSMHCO)。算法在信息交流上,同层采用种群单向信息交流,不同层采用顶层指导底层的信息交流;在进化方式上采用后一层在前一层的基础上的进化方式;在搜索方式上融合全局并行搜索、局部串行搜索、混沌映射的遍历性和模拟退火算法的突跳功能。典型函数测试表明,MSMHCO算法和同类算法相比,收敛速度更快,求解精度更高。丁烯烷化过程的约束优化实例也进一步证明了MSMHCO算法的有效性。 分布估计算法求解集装箱装载问题 集装箱装载是一个空间优化分解的布局问题,其约束条件多,属于典型的NP完全问题,求解难度大。在考虑实际应用中的约束条件下,使用三空间分割的布局方法对剩余空间进行分解,并采用空间合并原则将闲置空间与可用空间进行合并达到充分利用,并结合分布估计算法(EDA)求解多约束装箱问题。分布估计算法采用统计学习的方法建立一个描述解分布的概率模型,再对概率模型进行随机采样产生新的种群,如此反复进行,实现种群的进化,最终获取最优解。实验仿真结果表明该算法应用于实际空间规划设计中具有重要的实际意义。 基于模拟退火的自适应粒子群优化算法的改进策略 针对PSO算法在求解问题的优化问题中易陷入局部收敛且收敛速度较慢等缺陷,引入一种初始化改进策略,并将模拟退火算法与PSO算法相结合,提出了一种全新的算法。该算法将寻优过程分为两个阶段:为了提高算法的执行速度,前期使用标准PSO算法进行寻优,后期运用模拟退火思想对PSO中的参数进行优化搜索最优解。最后将该算法应用于八个经典的单峰/多峰函数中。模拟结果表明,该算法有效地避免了早熟收敛现象,并提高了收敛速度,从而提高了PSO算法解决全局优化的性能。 一种基于熵和偏差的加权概念格的本体合并方法 对两个及以上的相关领域本体进行合并,使用基于形式概念分析方法可以方便有效地合并本体。但是它并没有按照用户对一些属性的特殊需求和喜好而进行本体的合并。针对这个问题提出了一种基于熵和偏差的加权概念格的本体合并方法来满足上述需求,同时通过实验给出了方法中的阈值D(B)的量化公式。 基于云平台的Portal集成方法研究与实现 随着开源云平台技术的发展,管理云平台的方式和手段越来越多,如何方便使用云平台下的各种软件成为一个重要的研究课题。通过对当前开源云平台和开源Portal的分析,介绍当前开源Portal集成系统的方法以及主流开源云平台的接口;在此基础上,提出一个应用Portal实现开源云平台下的软件资源整合的通用方法。最后,应用LiferayPortal的资源聚集功能,实现了Hadoop云平台功能在Web端口的集成。该方法不仅为用户提供一个统一的基于Web方式的云平台软件和资源的访问接口,还可以使用户能够统一访问管理云平台下的软件。 基于生命周期分析信息安全管理体系 信息安全管理正成为当前全球的热门话题,建立健全信息安全管理体系对企业的安全管理工作和企业的发展意义重大。信息技术在加速企业发展的同时,也给企业带来了各种各样的威胁。文中在跟踪现有的信息安全管理实际状况的基础上,分析各项威胁对信息系统造成的影响,并讨论基于生命周期建立一套信息安全管理体系所经历的四个阶段的主要内容及其作用。确保信息的完整性、可用性和保密性,从而保持业务运作的持续性和组织的竞争优势。 一种保证NFV可靠性的最优备份拓扑生成方法 网络功能虚拟化(NFV)将服务功能链(SFC)映射到底层网络时,与传统的虚拟网络一样,会存在可靠性问题。针对NFV环境中的单链路故障,在考虑SFC拓扑设计和映射的基础上添加备份拓扑提高可靠性,再进一步简化备份拓扑,减少资源消耗。按照服务路径是否可分离,提出了两种最优备份拓扑的生成算法。仿真结果表明,最优备份拓扑在提高可靠性的基础上能够有效地减少备份带宽资源的消耗,提高资源利用率。 基于Mantis的某型直升机视景系统设计与实现 对直升机视景仿真系统的构建进行了分析,针对其对场景细节要求高,需多种特效库支持的特点,首次提出了一种基于Mantis的直升机视景系统的解决方案;该方案利用TerraVista制作精细的地形数据库,经转换后可采用大地形调度技术进行渲染;利用Creator制作三维模型库;采用内容定制文件配置场景中的实体和特效;采用Mantis Client和Mantis Server实现视景的渲染,最后通过基于通用图像生产协议的网络通信控制场景中的目标与特效;实际仿真结果显示,该直升机视景系统的仿真效果形象逼真,满足了实时性需求。 基于个体优化的自适应小生境遗传算法 针对遗传算法在处理复杂多峰函数优化问题时易于早熟和局部搜索能力差等问题,提出一种基于个体优化的自适应小生境遗传算法。在自适应小生境的基础上,利用进化过程中相邻个体的信息产生的试探点标记的算法进化方向,缩短邻域搜索的区间,提高算法的局部搜索能力。对复杂多峰问题进行的优化实验结果证明,该算法能快速可靠地收敛到全局最优解,其收敛速度和解精度均优于简单遗传算法和其他小生境算法。 适用于SBAS和Galileo卫星导航接收机的Viterbi译码器实现 设计并实现了一种适用于SBAS和Galileo卫星导航系统的(2,1,7)卷积码的Viterbi译码器.由于卫星导航系统中的数据率不高,采用串行结构实现Viterbi译码器,并且多通道复用同一译码器,以节省电路面积.此外,采用改进的加比选单元并通过寄存器交换法对幸存路径进行管理,以进一步优化电路结构.为了减少RAM的使用,利用同址更新技术将路径度量累加值和幸存路径存储至RAM.译码电路通过FPGA验证,采用SMIC65nm工艺库进行综合,该译码器逻辑电路的面积为4 738μm2. 煤矿井下复杂电磁环境中人员定位算法研究 煤矿井下电磁环境复杂多变。为精确定位井下煤矿人员位置信息,提高煤矿事故逃生和搜救效率,文章提出一种基于粒子群三维加权求精定位算法,利用粒子群快速收敛优势,找出三维空间中人员节点与相邻锚节点之间最小误差以提高定位精度,并且当人员节点实际位置趋于锚节点时理论上定位误差趋近于零。仿真实验证明,新算法通过粒子群迭代求精可以有效解决因节点密度分布不均造成的较大定位偏差,受煤矿井下信号波动影响较小,定位精度较高。 基于鲁棒估计的最大前缀RFID防碰撞算法 针对射频识别(RFID)系统中标签数量未知的情况,采用传统ALOHA算法进行标签估计,在标签数量较大而初始帧长度较小造成估计误差较大时,初始帧长度为固定值,通过改变响应标签数量的方式,达到准确估计标签的目的。研究标签鲁棒估计算法和随机前缀查找树(PRQT)防碰撞算法,在此基础上提出基于鲁棒估计的自适应最大前缀查找树(PMQT)防碰撞算法。理论分析和仿真结果表明,该算法系统效率可达50%以上。PMQT算法比PRQT算系统效率提高18%~30%,对标签估计偏差具有较高的鲁棒性。 基于缩放延迟微分方程的交通流仿真 研究基于缩放延迟微分系统的的多车道交通流量数值仿真。为了模拟多车道宏观动态变化的道路交通流量问题,采用了微分动力学系统的原理,建立了基于缩放延迟微分方程的道路交通流量模型,并给出了模型的数值求解算法。给出不同密度下多车道车辆仿真结果,讨论了缩放延迟模型权重参数和缩放因子对系统稳定点的影响;将缩放延迟模型与常延时模型的数值结果进行了对比。仿真结果表明,当车流密度处于高密度时,缩放延迟模型能更好模拟交通流量的实际变化情况,对多车道交通流量的真实模拟具有更好的参考价值。 基于网格服务的图像检索研究与实现 文章介绍了网格、OGSA和Globus网格平台,研究基于Globus网格平台实现图像检索网格服务的技术,提出具有图像搜集服务、图像索引服务和图像查询服务的图像检索网格框架模型,并实现基于网格服务的图像检索系统原型,为图像检索提供了一种全新的、切实可行的解决方案。 一种语句级细粒度情感倾向性分析算法研究 针对中文语句的细粒度情感倾向分析问题,构建一个细粒度情感词库。对所含词语进行权重值的计算,并结合中文语句的句式、情感词修饰方法等特点,提出一种基于词库权重、句式权重、修饰权重相结合的语句级细粒度情感倾向性分析算法。实验结果表明,该算法可以准确计算出评论语句的情感倾向值,为进一步研究语句级细粒度情感倾向分类提供了重要的参考依据。 1种研究有机蒸气穿透陶瓷膜的恒压间歇分子动力学方法 用分子模拟研究有机分子在陶瓷膜中的扩散和吸附,以了解不同分子在膜表面和膜孔吸附和穿透的扩散行为。通常采用非平衡分子模拟方法,如巨正则系综蒙特卡洛方法或双系综分子动力学。Materials Studio(MS)软件只能用于微正则或正则系综的平衡分子动力学模拟(EMD)。为使其能计算非平衡分子动力学(EMD)计算,开发出1种恒压间歇分子动力学法(IBMD)。利用它去研究丙酮/氮气混合气穿透氧化铝膜的扩散和吸附行为,并和EMD比较。IBMD计算结果表明,温度升高,丙酮和氮气分子吸附和扩散速率加快;且不同孔径陶瓷膜呈现不同的吸附机理。证明IBMD法可行。 基于谱回归的核稀疏表示分类方法 针对传统核稀疏表示分类方法在高维数据集上分类精度较低且计算复杂度较高的问题,提出基于谱回归的核稀疏表示分类方法。该方法先采用谱回归分析得到用于特征提取的转换矩阵,并通过转换矩阵对样本数据进行特征提取,再通过核方法将其投影到高维特征空间使其更加具有可分性,并最终在高维特征空间中使用稀疏表示方法对人脸图像加以识别。通过将谱回归方法与核稀疏表示分类方法结合,有效利用了数据集的流形结构和类别信息,较好地解决了高维人脸图像核稀疏表示分类问题。在标准人脸图像数据集上的实验结果表明,该方法不仅提高了识别率,而且减少了算法时间,可以有效应用于高维人脸图像数据的分类问题。 区域经济发展潜力预测模型仿真研究 区域经济潜力受到实体过多、经济结构动态变动大的影响,变动比例具有较强的非线性,不同的影响指标间存在信息冗余和信息干扰,导致传统的经济潜力发展预测方法获取的预测结果受到这种突变性的影响,存在局部最优的问题,造成较大的误差。提出一种决策域观念的区域经济发展潜力预测模型。采用传统的支持向量机解决区域经济影响指标的非线性特征,获取原始的经济预测数据,在决策过程中,引入决策域方法构建训练经济相关数据集的决策域,再对决策域进行剪枝处理,把决策域转化为标准决策数据,依据这些标准数据对新的区域经济影响指标进行分类,最终获取完整的区域经济预测数据。实验结果说明,改进模型同其它预测模型相比,具备更高的区域经济预测准确率,能够快速准确的预测出相关区域经济发展的潜力趋势,具有重要的应用价值。 机场地面飞机自动监视车载装置的设计与研究 为了有效地降低机场特种车辆与地面飞机存在的严重危险(如碰撞、气流冲击和损坏等),采用广播式自动相关监视(ADS-B)和地面飞机的ADS-B报文解析和筛选算法、监视信息(位置、航向和速度)解码算法、安全区域数据库、触摸屏图形显示算法等关键技术,设计了一个主要具有自动监视地面飞机、动态显示地面飞机安全区域图形功能的机场特种车辆车载装置,为驾驶员提供可视化图形的辅助和指示。经试验和测试表明,自动监视结果清晰、准确、有效。 多路并行传输中数据调度算法的优化 针对异构无线网络环境中,基于流控制传输协议(SCTP)的多路并行传输协议(CMT-SCTP)存在接收缓存阻塞和路径负载失衡等问题,提出一种改进的轮询数据调度算法。该算法根据每条路径上的发送队列信息和拥塞状况对网络状况进行估计,并按照各路径上的网络状况分配相应的传输任务量,缩短数据包在接收端缓冲区的平均排队时延,减少接收端乱序数据包的数量。仿真结果表明,改进的轮询数据调度算法能有效提升CMT-SCTP在异构无线网络环境中的传输效率,有效缓解接收缓存的阻塞,且对不同的网络场景具有很好的适应性。 红外与可见光图像特征点边缘描述与匹配算法 针对红外与可见光图像中特征点匹配的难题,提出一种基于形状上下文的特征点邻域边缘描述与匹配算法.首先采用基于曲率尺度空间的角点检测算法进行特征点提取,并将特征点所在曲线的法线作为主方向,避免了图像的旋转代价;然后搜索相同边缘上最近的特征点,通过计算这2个特征点邻域的边缘的像素点分布直方图构造一个120维的特征点描述符,并进行归一化;最后采用最近邻算法实现特征点匹配.实验结果表明,该算法能够有效地实现对红外与可见光图像特征点的精确匹配. 基于非线性特征提取的模拟电路状态识别研究 针对常用的线性特征提取方法只能处理线性数据的局限性,提出通过核方法将线性特征提取方法扩展到非线性方面;首先提取模拟电路的幅频响应;然后针对信号特征存在冗余性及高维性,采用核Fisher判别分析法(KFD)对电压特征进行提取;选择SVM作为状态监测器,考虑到SVM的参数设置对识别率有较大影响,所以采用PSO对SVM进行优化;实验结果表明,采用本方法的状态识别率达到70%,高于其他两种方法;说明运用KFD进行特征降维以及采用PSO对SVM进行优化能明显提高状态监测的识别率,体现了本方法良好的模拟电路早期状态监测能力。 基于特征级和决策级融合的人脸吸引力评价方法 在个性化的人脸吸引力的研究中,由于特征缺失和对于大众审美的影响因素考虑不足,导致预测个人偏好无法到达很高的预测精度。为了提高预测精度,提出了一个基于特征级和决策级信息融合的个性化人脸吸引力预测框架。首先,将代表不同人脸美丽特征的客观特性融合到一起,利用特征选择算法挑选出具有代表性的人脸吸引力特征,并利用不同的信息融合策略将人脸局部、全局特征融合起来;然后,将传统的人脸特征与通过深度网络自动提取的特征融合起来。同时,提出多种融合策略进行对比,将代表着大众审美偏好的评分信息与代表个人偏好的个性化评分信息进行决策级融合,最终实现个性化的人脸吸引力预测评分。实验结果表明,相比现有针对个性化人脸吸引力评价研究的算法,所提的多层次融合方法在预测精度方面有显著的提升,能够达到Pearson相关系数0. 9以上。该方法可用于个性化推荐、人脸美化等领域。 模糊辨识在隧道纵向式通风控制中的应用 纵向式通风是利用射流风机的推力将新鲜空气从隧道一端送入,使污染物从另一端排出,以此保证隧道内的环境质量。但由此产生的能耗使得隧道运营成本巨大,所以需要一种合适的控制策略来控制射流风机的开启台数,使得在隧道内污染物浓度不超过规定值的前提下,开启的风机数量最少,从而达到节能的目的。将模糊辨识应用到隧道通风控制中,基于模糊C均值聚类和递推最小二乘法的原理,采用T-S模型模糊辨识方法对隧道通风系统进行了辨识;采用已辨识系统来预测隧道下一采样时刻的污染物浓度;优化开启的风机台数并将其作为控制量。仿真结果表明了该方法的有效性。 基于属性拓展的数据挖掘预处理技术研究 目前的数据挖掘技术基本上依据的是原始数据库中的信息,数据预处理技术要维护原始数据库的信息基本不变,只是进行简单的数据标准化、数据平整、异常点发现、缺失数据修补、数据离散等基本预处理工作,不能从根本上拓展原始数据库中的信息。同时,为保密起见,兴起的隐私保护数据挖掘技术对原始数据库中的敏感数据进行处理,隐藏了一些基本信息,进一步弱化了原始数据库中的信息含量。基于属性拓展的数据挖掘预处理技术,从原始数据库出发,通过属性拓展,拓展基础数据库所蕴含的信息,使数据挖掘能产生更深的隐藏关联规则。 基于BP神经网络的纸币面向识别方法 纸币面向识别是纸币识别的基础,传统的纸币面向识别方法是人工提取特征,对于污损严重的纸币图像识别效率不高。针对传统方法的缺点,提出一种针对纸币图像的预处理方法。使用基于改进的BP神经网络的纸币面向识别方法,采用对纸币图像分块取平均值的方法提取特征,用量化共轭梯度法进行神经网络的训练,并且在TMS320DM648上进行实现。实验结果表明,这种方法完成纸币图像预处理和面向识别的时间不超过25 ms,准确率高于99%,具有计算量小、识别结果正确率高等优点。 基于正则抽取的竹种数据结构化方法研究 研究旨在通过基于规则的信息抽取技术解决竹类种质资源(简称竹种)数据的自动提取和结构化存储问题,为快速构建竹种数据库提出一种基于正则抽取模型的竹种数据结构化方法。该方法以竹种数据库表结构为抽取模板,以数据表属性名称为规则触发词,利用正则表达式构建抽取规则,构建正则抽取模型。以中国植物志在线版为实验对象,通过网页解析和字段抽取两步实现了竹种数据的自动抽取与结构化,实验抽取竹种信息五百多条,取数据表前八个字段进行抽样统计分析,抽取竹种有效字段信息准确率高达89%以上。实验结果表明,基于正则抽取的竹种数据结构化方法是可行有效的,并采用Java语言开发了竹种信息抽取系统,实现了该方法。 一种频率域解混叠的CCD图像超分辨重建方法 在不增大光学系统的焦距,也不缩小CCD器件阵元的宽度的情况下,为了获得高分辨率的图像,对多幅具有互补信息的低分辨率图像进行研究。首先,把多幅具有互补信息的低分辨率图像通过傅里叶变换到频率域;接着,对频率域中高分辨与低分辨率图像之间关系进行建模,进而得到它们之间的关系;然后,通过对模型求解,得到高分辨率图像的频率值;最后,进行傅里叶反变换即可得到高分辨率图像。实验结果表明,该重建方法获得的高分辨率影像在视觉上比用任意一帧影像经过双线性插值获得的影像要清晰得多,与实际摄取高一倍的分辨率影像几乎达到一样。对bridge图像的恢复峰值信噪比可以达到34.767 3 dB。该方法是切实可行的,且恢复图像边缘视觉效果好。 基于特征值的智能故障诊断技术研究 文章研究了基于特征值的智能故障诊断技术,具体阐述了特征值的提取方法,以及特征参数的建立方法及定义,并描述了智能故障诊断系统模型结构与开发策略。 基于节点协作的无线传感器网络寿命延长的研究 无线传感器网中,由于节点具有有限的传输范围及电池能量,所以最大化网络的生命周期受到越来越多的关注。研究邻域传感器网络,其定义为不同授权许可下,且物理上位于同一个区域或互相接近的多无线传感器网络。构造一个线性规划框架用于描述邻域传感器之间的协作,并且与非协作网络进行比较。研究表明,如果邻域传感器网络彼此协作处理中继数据包,与非协作情况相比,网络的寿命得到延长,并且协作降低了由此引起分离分区的概率。 基于判别式受限玻尔兹曼机的医学图像分类法 随着计算机技术的发展,越来越多的医学图像分析技术应运而生。利用数据挖掘方法对医学图像做分析是目前研究的热点之一,该方法首先从医学图像中提取统计特征,在此基础上进一步挖掘,这种方法对所提取的特征有很强的依赖性而且受到经验等主观因素的影响。针对乳腺X光图像,采用一种可以从图像中自动学习特征并利用学习到的特征对图像进行分类的医学图像分析新方法——判别式受限玻尔兹曼机(Discriminative Restricted Boltzmann Machine,DRBM)。DRBM是一种无向判别模型,它可以自动地从图像中学习特征。在乳腺X光图像标准数据集上的实验结果表明,DRBM对医学图像的分类准确率明显高于其它基于统计特征提取的医学图像分类方法。 基于PCA鸟群算法的SVM参数优化及应用 为提高支持向量机的分类性能和寻优速率,研究群体仿生智能算法在参数优化过程中的特点,提出一种基于主成分分析的鸟群算法。通过模拟鸟群的觅食、警觉、迁徙等生物行为,结合主成分分析消除数据之间线性冗余的特点,有效增强模型的泛化能力,降低参数的寻优时间,改善识别精度。采用该算法解决语音识别的参数寻优问题,将其仿真结果与其它算法进行比较,比较结果表明,该算法比标准的鸟群算法和粒子群算法有更快的收敛速度和更高的识别准确率。 基于多分类器集成的维吾尔文联机手写字母识别 多分类器组合能够在一定程度上弥补单个分类器的缺陷,因此它在模式识别中得到了广泛应用。深入调研国内外联机手写识别技术的研究动态,结合维吾尔文字母的独特书写风格,研究了基于多分类器集成的维吾尔语联机手写字母识别。利用5种不同的特征提取方法构造了5个独立的维吾尔语字母分类识别器,采用了等权投票和不等权投票等两种策略将5种维吾尔语字母分类识别器进行了有效组合。其中,单分类器采用了基于动态时间弯折(DTW)匹配距离的最近邻分类方法。实验结果表明,提出的集成策略的识别率明显高于单分类器的识别率,而且为特征的综合集成提供了多种有效途径。 基于DHKE的二维码技术 二维码技术的出现方便了大众,但由于其制作过程开放,导致许多二维码成为木马、病毒等的藏身之处.这样的二维码一旦被用户扫描,就会将危害传播给扫描者.然而当前的二维码技术却无法对二维码的来源进行识别,因此本文通过分析QR码的编码方式和工作原理,总结了当前常见的二维码存在的安全隐患,并提出了基于DHKE的二维码技术.实验证明,基于DHKE的二维码技术可以识别二维码的来源,有效地阻止用户扫描一些非法二维码,提高了二维码技术的安全性. 基于用户聚类和移动上下文的矩阵分解推荐算法研究 随着移动互联网技术的快速发展,越来越多的用户通过移动设备获取移动信息和服务,导致信息过载问题日益凸出。针对目前上下文感知推荐算法中存在的数据稀疏性差、上下文信息融入不够、用户相似性度量被忽略等问题,提出一种基于用户聚类和移动上下文的矩阵分解推荐算法。该算法通过利用k-means对用户聚类找到偏好相似的用户簇,求出每簇中并对用户所处上下文之间的相似度并对其进行排序,由此找出与目标用户偏好和上下文均相似的用户集合,借助该集合改进传统矩阵分解模型损失函数,并以此为基准进行评分预测和推荐。仿真实验结果表明,所提算法可有效提高预测评分的准确度。 基于国家标准的三维扫描人体尺寸提取技术 针对人体点云模型特征点和尺寸自动提取的难点问题,提出基于国家标准统计数据的人体关键尺寸提取技术。采用国家标准GB10000-1988《中国成年人人体尺寸》所提供的基础数据划分特征点的搜索域,首先,在搜索域内将坐标值和点到直线投影距离比较相结合来提取人体特征点;然后通过改进的凸壳法计算特征平面轮廓周长进行围度尺寸测量,再利用z坐标差值和两点的距离实现长度尺寸计算。实验表明,该方法受人体体型的影响小,尺寸测量鲁棒性好、计算速度快、精度高。 图形算法融合处理体系结构设计与实现 为构建面向不同图形处理算法应用的统一实现平台,提出一种面向硬件实现的多种算法融合处理体系结构.该结构将通路控制、参数控制、复用控制、状态检测等方式与数学运算库有机结合,采取串行结构将多种图形处理算法进行统一实现;在此基础上,将纹理映射算法和深度图像三维变换算法进行融合,实现了面向FPGA的设计.最后在FPGA平台上进行了验证与资源分析,取得了良好的预期效果. 混合快速细菌觅食算法求解非线性方程 对于非线性方程组的求解,传统方法有很多,如牛顿法、梯度下降法等,但这些算法存在要求方程组连续可微、初值的选取是否合适等缺点,根据以上缺点将求解的问题转化为优化的问题,提出了新的交叉优化算法,充分利用细菌觅食算法局部搜索能力和粒子群算法的全局搜索能力,充分发挥了这两个算法各自优点。数值实验表明,新的算法可以弥补粒子群算法局部搜索能力弱和细菌觅食算法的全局搜索能力的不足,是求解非线性方程的有效方法。 动态分级中心引力约束优化算法及工程应用 结合非固定多段罚函数处理约束条件,提出一种动态分级中心引力优化算法用于求解约束优化问题。该算法利用佳点集初始化个体以保证种群的多样性。在每次迭代过程中将种群分为两个子种群,分别用于全局搜索和局部搜索,根据搜索阶段动态调整子种群个体数目。对几个标准的测试问题和工程优化问题进行数值实验,结果表明该算法能处理不同的约束优化问题。 天然气三甘醇脱水系统吸收塔模拟计算研究 采用Aspen Plus对某海上油田处理量为220×10~4m~3/d的天然气三甘醇脱水装置工艺流程进行模拟优化,对天然气脱水装置中主要操作单元吸收塔的工艺参数进行研究。结果表明:本文利用Aspen Plus建立的天然气TEG脱水系统计算模型可靠。随着TEG循环量上升天然气干气含水量下降明显;塔板数增加能有效的降低TEG循环使用量,塔板数和TEG循环使用量之间存在寻优关系。在本装置天然气脱水过程中塔板数为9的条件下最佳TEG循环量为1m~3/hr,天然气干气含水量从687.71mg/m~3降低到35.1mg/m~3。操作压力和原料气进料温度也对干气含水量有一定影响。 基于动态心电信号的实时身份识别算法 心电图(ECG)信号因其具备易于监测、个体唯一性等特点在生物识别领域受到广泛关注。针对身份识别的准确性和实时性问题,给出一种快速鲁棒的、适用于微型化嵌入式平台的心电信号身份识别算法。首先,利用动态阈值法提取稳定波形用于快速生成心电模板样本和测试样本;然后,基于优化动态时间弯曲(DTW)法进行差异度计算得到识别结果;其次,考虑心电信号为非稳态时变信号,为保证模板数据与人体体征状况的一致性,对心电模板库进行动态更新管理以进一步提高识别准确性与鲁棒性。对MIT-BIH心律失常数据库和自建心电数据库的分析结果表明:所述算法的识别成功率最高达到98.6%;在安卓移动端,动态阈值与优化DTW法一次运算平均时间分别约为59.5 ms和26.0 ms,实时性能显著提高。 一种高效多授权中心云访问控制方案 针对已有云计算多授权访问控制方案中用户端负担过重的问题,提出一种基于属性加密的多授权中心访问控制方案HE-MA-ACS。在层次化授权结构的基础上,引入外包解密思想,将用户访问的大部分解密计算开销外包至云服务端,实现细粒度的属性撤销,并且用户端不需要参与属性的撤销操作。对方案的正确性、安全性、计算和存储性能进行了分析,证明了该方案在用户端存储开销、访问通信开销、解密时间及属性撤销时计算开销上的优越性。该方案有效地降低了用户端的负担,提高了解密效率。 视频中关键人体姿态的识别 视频理解是当前计算机视觉领域中的研究热点.提高监控视频的计算效率和人体姿态的识别精度仍然是挑战,本文提出一种识别视频关键帧中人体姿态的方法.首先通过计算视频中帧间的覆盖率和失真率,提取关键帧;然后抽取关键帧中人体姿态轮廓的多种特征,建立多特征融合的姿态描述算子;在自采集和公用数据上构建标准姿态的特征库,用于训练基于支持向量机的多类分类器,以实现人体姿态的识别.实验表明,本文方法实现了11种人体运动姿态的识别,在识别效率和精度上具有令人满意的结果. 改进协同进化算法优化液压集成块管路布置 液压集成阀块管路优化属于带约束组合优化问题,为解决现有蚁群协同进化算法存在的收敛速度慢和寻优路径震荡的问题,结合液压集成阀块的结构特点提出一种基于改进协同进化算法的液压集成阀块布局的多孔道布孔方法。首先在解决管路协同布置的基础上对现有多蚁群协同进化算法的信息素更新公式和启发信息规则中启发信息取值策略进行调整,然后通过实例仿真验证该算法的可行性,并且由结果可知改进前后适应值变化速率可提高。对比调整前在液压集成阀块管路优化方面中能够得到较满意的结果。 混沌粒子群算法的烧结碳耗BP神经网络模型 有效地计算和预测烧结碳耗,是有针对性地优化烧结生产以降低烧结碳耗的关键前提。文章首先提出了烧结过程碳耗指标——综合焦比并给出合理可行的烧结过程碳耗指标计算模型;再次,结合机理进行分析和灰色关联度分析方法,确定了影响碳耗的主要因素;最后,建立了基于混沌局部搜索粒子群算法的烧结碳耗BP神经网络预测模型(CPSO-BPNN),用带混沌局部搜索的粒子群算法对烧结碳耗BP神经网络预测模型的初始网络权值、阈值进行寻优,以克服BP算法参数寻优时陷入局部极小的缺点。仿真结果表明,CPSO-BPNN可有效地对烧结碳耗进行预测,为优化烧结生产过程,降低烧结碳耗奠定了基础。 基于GPU的散斑三维重建系统 散斑相关算法可以用来估计场景的深度信息,但因易受到噪声干扰且计算量大而难以应用在基于普通计算机的三维重建系统中。采取零均值归一化互相关函数(ZNCC)作为相关算法的匹配代价函数,对传统的ZNCC快速计算方法进行修改并将其应用于计算机的通用图形处理器(GPU),实现了实时的场景三维重建效果。对比实验表明,在精度一致的前提下,提出的GPU计算方法的速度是CPU算法的39倍。 碘对比剂外渗皮肤反应不同治疗方案的对照研究 目的:比较不同治疗方案对碘对比剂皮肤外渗反应的治疗效果。方法:自2010年1月至2012年1月,对84例碘对比剂皮肤外渗反应病例随机使用硫酸镁敷剂+冷敷、磺胺嘧啶银敷剂+冷敷和单纯冷敷治疗。分别在反应后第1、2、3、7天评估皮肤颜色、肢体肿胀程度、局部循环以及患肢功能状况。比较不同治疗方案的治疗效果。结果:45例外渗反应在1天后、18例在2天后、11例在3天后基本痊愈,仅1例出现骨筋膜室综合征,经切开治疗后痊愈,病程超过7天。其中磺胺嘧啶银+冷敷组痊愈最快(χ2a-b=32.56,Pa-b=0.001,χ2b-c=41.02,Pb-c<0.001)。出现反应后1~3天内磺胺嘧啶银组皮肤颜色恢复显著快于其他两组(χ2皮肤a-b=23.10,P皮肤a-b=0.006;χ2皮肤b-c=24.87,P皮肤b-c=0.003);反应后7天,三组在各项评分方面无显著差异(P>0.05)。结论:绝大部分碘对比剂皮肤外渗反应在1天内均有显著好转,7天内基本可痊愈;外渗反应可引起骨筋膜室综合征,宜早诊断并手术治疗;磺胺嘧啶银+冷敷治疗效果更佳。 建材装备制造企业数字化管理平台设计与实现 针对建材装备制造企业产品制造过程中的信息化管理问题,在分析企业制造过程信息业务流程的基础上,构建了基于制作流、物料流和资金流的信息化管理三大主线,提出项目主导下的多层次视图进度监控模型和基于内控指标的资金流多级预警模型,并针对数字化管理平台下多主体制造过程的信息协同,给出了基于数据传输中间件的数据集成和基于权限与重构的流程重组等关键技术和实现方法。开发了针对建材装备制造企业的数字化管理平台并进行了工程应用,验证了方法的可行性。 基于粗糙集的自适应网络入侵检测方法 在分析入侵检测方法的基础上,将粗糙集理论引入入侵检测方法,提出一种改进的基于粗糙集的自适应网络入侵检测方法。通过对入侵数据权值离散化预处理,属性知识约简,规则提取与过滤,提高网络入侵数据的检测率。与基于BP-神经网络的方法,基于专家系统的(ES)的方法,以及普通的基础粗糙集的入侵检测方法进行实验对比,通过实验数据,证明该方法的有效性。 一种基于加权领域本体的语义检索方法 提出了新方法WOSR,以对已经被本体概念标注的领域信息资源进行语义检索。WOSR方法首先建立领域本体,再采用均等概率分布方法为概念赋权,然后通过概念的权重求出概念相似度,最后计算用户检索请求和信息资源之间的语义相似度,并根据相似度的大小排序输出检索结果。实验结果表明,WOSR方法比其他经典方法的检索效果更好。 不确定周期约束下项目调度算法 为了能让企业通过良好的项目调度的方法缩短产品的项目周期,提出了对不确定周期约束项目调度的求解方法。对现实生产生活中存在的不确定因素进行分析,指出不确定项目周期和不确定资源这2个不确定因素。在此基础上建立了总体模型。提出了一种基于模糊理论的对不确定周期约束下项目调度问题的求解方法。该方法以遗传算法为基础,通过与模拟退火算法的结合可以很好地克服遗传算法自身的缺点,从而得到能更好地反应现实需求的结果。对算法进行了实例验证,结果表明了该方法的准确性、有效性和可行性。 面向多优化目标的有限状态机状态分配 针对有限状态机状态分配问题,提出一种不仅考虑面积,同时也考虑功耗的算法.借鉴接力跑算法的基本思想提出了全新的粗略搜索方法、聚焦搜索方法、指引操作和传递操作.为了克服局部最优和快速收敛的问题,算法中分成粗略搜索和聚焦搜索,粗略搜索采用旋转和非邻交换方法大幅度修改解,而聚焦搜索采用相邻交换方法小幅度修改解;指引操作利用概率计算来引导优化取得更佳解,传递操作则通过组合最优解和当前解产生新的解以克服局部最优解问题.实验结果表明,文中算法在面积、功耗和CPU时间三方面性能指标都获得了理想的结果. 部分耗尽PD CMOS/SOI器件SEU模型分析 通过计算机模拟分析CMOS/SOI器件中单粒子效应的影响,采用二维模拟软件MEDICE,建立了器件发生单粒子效应时内部电荷的分布模型.利用电荷分布模型建立了CMOS/SOI器件在入射不同LET值时的离子与器件中瞬态电流的关系曲线;并建立了离子入射点的不同位置与瞬态电流的关系曲线.从理论上提供了一种分析器件SEU的手段. 基于云模型的课堂教学质量数据挖掘 研究课堂教学质量准确评价问题。课堂教学质量评价是一个多层次、多目标优问题,系统非线性较强。传统线性评估方法不能反映课堂教学质量非线性变化关系,教学质量评价误差大。为提高课堂教学质量评价准确,提出一种基于云模型的课堂教学质量数据挖掘方法。采用支持向量机对课堂教学质量与评价指标间的非线性关系进行逼近,采用遗传算法进行支持向量机参数优化,并采用云模型对遗传算法进行改进,提高全局搜索能力,防止获得局部最优支持向量机参数。仿真结果表明,算法提高了课堂教学质量评价精度,能够有效发现课堂教学质量问题。 低时延网盘同步系统的设计与实现 随着云存储技术的迅速发展,越来越多的用户选择用网盘来存储个人信息.但受ADSL技术的限制,网络上传速度往往要远低于下载的速度,严重制约了网盘同步文件到服务器端的性能,影响网盘用户的体验.针对该问题,提出了低时延网盘同步系统(L2NDUS).该系统自动将网盘服务器端的数据切割成一定大小的数据块,并通过SHA-1算法为每块数据计算指纹.在客户端同步文件到服务器之前,系统先比对本地文件的数据块指纹是否已经在服务器端的指纹库中存在,如果存在则不上传该数据块,从而达到降低系统同步时延的目标.测试实验表明,随着本地同步次数的增加,系统同步时延显著下降.特别是在移动设备的通讯录同步应用中,与传统系统相比L2NDUS系统可以算短80%的时延. 基于立方体染色的排课表模型 根据立方体染色思想,建立排课表模型,引入关联锁原理,将排课表约束条件转化为立方体点线面关系,增强该模型的收敛性,从而更好地解决排课问题。分析结果表明,在教师、课程、学生等信息已知的情况下,该模型可给出教学资源消耗的最小值,达到合理利用教学资源的目的。 基于描述子与几何约束的直线段匹配 提出了基于描述子与几何约束的直线段匹配方法。采用LSD(line segment detection)算法提取直线特征并用LBD(line band descriptor)描述子表示直线,通过计算2幅影像中直线描述子之间的距离得到N(N>3)对描述子距离最小的匹配直线对;估计影像间的变换模型参数,以变换模型约束下直线段中点间的距离和直线段间的距离作为相似性测度实现直线段匹配。与现有的直线段匹配算法进行对比,比对结果表明,对于真实的遥感影像及仿射变换、旋转、噪声、光照变化等仿真遥感影像,该方法均得到了更多的匹配直线段对,且耗时更少、匹配准确率高。 多模态医学图像融合超声检查系统的设计与实现 超声检查系统所呈图像中缺乏三维结构信息,且商业的医学图像分析软件价格昂贵。为此,开发一款综合病灶部位的三维模型和二维超声图像各自特征以及对应空间关系的检查系统。基于开源的医学图像处理软件、商用的电磁定位系统和超声成像系统,在局域网环境下,遵循Open IGTLink协议进行数据共享的超声检查系统。该系统主要由超声图像信息共享模块、超声图像显示模块、超声探头实时跟踪模块、2D/3D配准融合模块组成。实验结果表明,该系统可初步应用于临床教学和临床辅助诊断等领域,具有价格低廉、扩展性强、维护方便等优势。 自适应混沌粒子群算法对极限学习机参数的优化 针对极限学习机(ELM)在处理非线性数据时效果不理想,并且ELM的参数随机化不利于模型泛化的特点,提出了一种改进的极限学习机算法。结合自适应混沌粒子群(ACPSO)算法对ELM的参数进行优化,以增强算法的稳定性,提高ELM对基因表达数据分类的精度。在UCI基因数据集上进行仿真实验,实验结果表明,与探测粒子群-极限学习机(DPSO-ELM)、粒子群-极限学习机(PSO-ELM)等算法相比,自适应混沌粒子群-极限学习机(ACPSOELM)算法具有较好的稳定性、可靠性,且能有效提高基因分类精度。 一种新的基于双线性配对的无线传感网络密钥协商方案 "针对无线传感器网络密钥协商中安全性不足的问题,提出了一种适合无线传感器网络的密钥协商方案KASBP。该方案首先运用基于双线性配对的运算预置网络系统参数并通过计算获得节点的相关参数;然后节点向邻居节点广播,并利用Diffie-Hellman密钥交换技术,使节点间能够共享密钥。理论分析结果表明,KASBP方案的执行效率不仅优先于LZC和Shim-Woo的密钥协议方案,同时也符合""已知密钥安全""""完美前向安全""""密钥泄露安全""""未知共享密钥安全""""密钥支配安全""等安全需求。" 基于逻辑距离判定的最简规则提取算法 针对目前以属性约简为设计目标的算法增大了最终规则的冗余度,提出以属性值(逻辑值)为基本单位,从整个决策表的不可分辩关系出发,先由逻辑距离确定每个属性值的分辩强度,再以其强度为依据采用择强、覆盖算法对表进行多值逻辑化简,通过实例证明所得规则最简. 商业银行SECaaS架构设计与实现 目前,商业银行应用系统安全功能与应用系统紧密耦合,普遍存在安全功能无法复用、难以实施统一安全策略、难以有效应对新型安全威胁的问题。在商业银行信息安全架构实践基础上,运用面向服务架构SOA技术,提出安全即服务SECaaS(Security as a service)架构,将安全功能从应用中解耦,实现安全功能的组件化、标准化、智能化和参数化。将安全功能以安全服务的形式为应用系统提供完备、透明、高效、可靠的安全支撑,不仅有效保障商业银行信息系统的安全稳定运行,也前瞻性地将信息安全由传统的支撑信息化建设转变为业务发展的助力者。 Windows下两种API钩挂技术的研究与实现 详细阐述了两种API钩挂技术IAT(importaddresstable)Hook和InlineHook的基本原理,给出了各自的实现方法。通过实验,指出IAT Hook在文件操作监控时存在的不稳定现象,比如explorer.exe错误。提出用基于Inline Hook的Detours技术弥补IAT Hook的缺陷,并给出了实现方法。实验结果表明,该方法能有效实现稳定可靠的API钩挂I,nline Hook是一种比较好的API钩挂技术。 视觉机器人对于快速道路检测问题的研究 针对复杂环境下视觉机器人道路检测算法的抗干扰性差、速度慢的缺点,为抑制噪声的干扰,提高算法的运行速度,提出了一种基于边缘和区域相结合的道路检测算法。算法先采用Canny算子求出图像的边缘,再根据道路的色彩信息进行自适应的区域分割,然后结合图像边缘信息和区域分割信息确定出道路的边界区域,最后对该区域的边缘图像进行Hough变换检测道路。实验结果表明,边缘提取的检测算法有效地提高了算法的抗噪性能和运行速度,具有更好的道路检测效果。 基于实例的强分类器快速集成方法 针对集成分类器由于基分类器过弱,需要牺牲大量训练时间才能取得高精度的问题,提出一种基于实例的强分类器快速集成方法——FSE。首先通过基分类器评价方法剔除不合格分类器,再对分类器进行精确度和差异性排序,从而得到一组精度最高、差异性最大的分类器;然后通过FSE集成算法打破已有的样本分布,重新采样使分类器更多地关注难学习的样本,并以此决定各分类器的权重并集成。实验通过与集成分类器Boosting在UCI数据库和真实数据集上进行比对,Boosting构造的集成分类器的识别精度最高分别能达到90.2%和90.4%,而使用FSE方法的集成分类器精度分别能达到95.6%和93.9%;而且两者在达到相同精度时,使用FSE方法的集成分类器分别缩短了75%和80%的训练时间。实验结果表明,FSE集成模型能有效提高识别精度、缩短训练时间。 基于邻域分布局部线性嵌入的粗集支持向量机 针对粗集支持向量机面对高维特征值的训练样本分类效率低的问题,采用邻域点间的分布矩阵用于改进局部线性嵌入的权值矩阵重建,在粗集支持向量机上引入该邻域分布局部线性嵌入算法,对输入训练集进行数据降维操作。对三个数据集分别采用传统支持向量机、粗集支持向量机和基于邻域分布局部线性嵌入的粗集支持向量机进行数据分类测试,结果表明改进的支持向量机对于高维特征值的训练样本,可有效提高分类准确率及计算效率。 面向工控系统的安全可信技术 针对工控系统面临的主要安全问题进行较为深入的分析,结合自主可控技术、可信计算技术、冗余容错技术,设计自主可信工控计算机、三模冗余可信执行装置,提出一种可信联锁互锁方法,探索一种实现工控系统安全的途径。实验结果表明,该系统能够完成对操作站关键安全属性的可信度量,能够确保执行装置每一步动作的安全,系统开销可控制在接受范围内。 基于均值偏移和区域映射的视差图优化算法 针对传统匹配算法得到的初始视差图不精确的问题,提出一种基于均值偏移和区域映射的视差图优化算法。该算法首先采用均值偏移算法对视差图进行区域分割,提取由误匹配所导致的黑洞区域;将左原始图像的分割区域映射到视差图中,从而对视差图进行每个区域内的平面拟合,去除误匹配点和像素跳跃点;结合标定数据得到三维场景的深度信息和三维坐标,最终实现三维重建。通过对图割算法及四种经典匹配算法进行实验,结果显示本算法能显著提高视差图的精度,降低低纹理区域和遮挡区域的误匹配率,且改善了重建效果。 WSN中基于最小延时的数据汇集树构建与传输调度算法 针对现有的无线传感器网络数据汇集算法延时较大的不足,对最小延时数据汇集树和传输调度问题进行了研究。提出一种基于度约束的汇集树构建算法(DCAT)。该算法按照BFS方式遍历图,当遍历到每个节点时,通过确定哪些节点与汇点更近来确定潜在母节点集合。然后,选择图中度数最小的潜在母节点作为当前被遍历节点的母节点。此外,为了在给定的汇集树上进行高效的数据汇集,还提出两种新的基于贪婪的TDMA传输调度算法:WIRES-G和DCAT-Greedy。利用随机生成的不同规模的传感器网络,参照当前最新算法,对所提方法的性能进行了全面评估。结果表明,与当前最优算法相比,将所提调度算法与所提汇集树构建算法结合起来,可显著降低数据汇集的延时。 改进的人工鱼群算法及其在无线定位中的应用 针对基本鱼群算法收敛速度慢和在算法运行后期搜索盲目性较大等问题,给出了一种改进的鱼群算法。该算法采用可变视野,在搜索的后期使用随机步长,并给出了局部最优人工鱼移动策略,较好地平衡了全局搜索和局部搜索能力;在假设目标函数分布未知的情况下,规范了人工鱼的各种行为,给出了明确的收敛条件。最后,结合蜂窝网中以功率值作为测量参数的无线定位问题,给出一种基于人工鱼群算法的分布式定位方法。仿真实验表明,该方法实现简单,全局搜索能力强,满足了定位的有效性和实时性。 飞机备用恒频交流电源动态特性仿真研究 在飞机备用电源动态性能的优化中,为了在飞行应急状态下为机载重要设备提供不间断电源,保证安全工作,提出了准确计算备用电源系统数学模型并建立仿真模型,验证系统加减载特性。以某主流机型备用恒频交流电源为研究对象,计算电源模块和控制模块各个环节的数学模型,在MATLAB/SIMULINK环境下,按照数学模型和系统负载控制原理搭建仿真模型,运行仿真飞机备用交流电源不同工作负载状态,实现系统的动态仿真。将仿真结果与相应标准进行对比,得出结论,上述电源加减载特性良好。 基于机器视觉的抓握状态模型及其适用性 为了实现手部功能障碍患者在抓握康复训练中手部状态的数字化,提出采用抓握状态模型对手部状态进行识别,并通过实验分析模型的适用性与鲁棒性。首先,提出一种手部抓握状态模型,抓握对象,手部抓握类型以及手部抓握状态判定的流程。然后,采用Leap Motion对5名受试者抓握ARAT(Action Research Arm Test)标准物时的动作进行跟踪,分析抓握状态模型的适用性。最后,通过实验中抓握状态模型参数的离散度分析抓握对象尺寸、手部差异和抓握角度对抓握状态模型稳定性的影响。实验结果表明:实验中抓握状态模型参数的平均相对标准偏差为0.637,且该抓握状态模型具有良好的适用性和鲁棒性。采用基于机器视觉的抓握状态模型对手部状态识别基本满足对手部运动跟踪精度高、处理速度快等要求。 Ka频段飞行器测控与通信系统设计 "传统基于S频段的统一载波测控通信系统受工作频率低、占用频带窄的特点限制,无法满足现代及未来飞行器测控与通信系统对于高速数传、通信带宽、安装空间、克服""黑障""及抗干扰性等方面日益增长的需求,提出一种Ka频段直接序列扩频体制的飞行器测控与通信系统以解决上述问题;利用Ka频段频带宽、空间选择性好、减小""黑障""影响等特点,同时采用直接序列扩频通信体制实现遥测、外测和遥控等不同功能点频统一和信道统一,简化系统结构、减小设备体积;介绍了系统架构及主要设备组成,阐述了基带数据综合设备、Ka频段一体化终端设备等单机的详细设计及天线的选择,并给出了地面测试系统方案;该系统具备体积小、频带宽、扩展性好等特点,可满足未来飞行器测控与通信系统的设计与应用需求。" 基于多ISP接入的网关架构与实现 研究校园网建设过程中基于多ISP接入的网关架构,应用多种路由协议、QoS策略、智能DNS和NAT技术,实现合理分配接入带宽,充分利用多条上网链路,降低网络使用成本,突破ISP运营商之间网络互联的速度制约,提高内部站点对外服务质量,增强网络安全。 基于属性数据的系统调用过滤方法 "针对现有系统调用过滤方法的局限性,对如何有效准确地精简系统调用日志进行研究,分析系统调用日志中涉及网络攻击的重要系统调用信息,提出一种基于属性数据的系统调用过滤方法。通过追踪和分析系统调用的属性数据,引入系统调用依赖规则,在确保准确性的前提下,对系统调用日志进行合理有效地精简、过滤;在此基础上,实现一个名为""系统调用分离器""的过滤工具。通过实验验证了该方法及工具的有效性和适用性。" 基于自抗扰理论的磁力轴承控制系统研究 为了实现磁力轴承控制的抗干扰特性,根据磁力轴承数学模型和自抗扰控制理论,设计了自抗扰控制系统;该系统由微分跟踪器、扩张状态观察器、非线性状态误差反馈和反馈补偿装置四部分组成,并给出系统结构和离散域算法;根据实际样机参数,利用Simulink构建了基于自抗扰的控制系统模型,并针对系统的阶跃响应、转子起浮、非周期性和周期性干扰进行特性仿真和性能分析;仿真实验表明,基于自抗扰控制系统的转子在预期外部扰动下的最大偏移量只有0.026mm,恢复时间0.07s,具有响应速度快、抗干扰能力强等特点,能够满足磁力轴承的高性能的要求。 PCM混合主存系统的写感知主存管理算法 相变存储器(phase change memory,PCM)凭借字节可寻址,读取速度快(纳秒级),高存储密度,低能耗等优点,在目前基于DRAM(dynamic random access memory)的主存扩展达到瓶颈的情形下,已经成为最具前途的主存存储介质之一,但是PCM有高写延迟,寿命有限等缺陷,因此出现了DRAM/PCM混合主存架构。提出了一种以减少PCM写和保持命中率为目标的混合主存管理算法——写感知的CLOCK算法(CLOCK with a write-aware strategy,CLOCKW)。已有研究主要基于写临近信息(recency of writes,RW)来预测页面写热度,CLOCKW引入内在写距离(inter-write-distance,IWD)概念,并结合写临近信息来预测页面写热度,从而把写密集页面放置在DRAM。此外,CLOCKW通过记录有限的历史写操作信息,将新置换进的页面放在合适的存储介质,避免不必要的页面迁移。最后,基于CLOCK算法的CLOCKW满足虚拟主存管理的低代价要求。实验显示,CLOCKW在保持命中率前提下,可以有效减少PCM写次数。 一种改进的镜像射线跟踪方法 镜像法通常只能运用在简单的传播环境中,为在复杂的环境中获得高精度的预测结果,分析测试射线法和镜像法的特点,提出一种新的射线跟踪方法。运用测试射线法快速地找出从源点到场点的粗略路径,利用镜像技术进行路径修正。测试结果表明,该方法具有较高的计算精度。 基于拟关键路径的二车间综合调度算法 针对如何将复杂产品工序有效地分配到具有相同设备资源的二车间加工的问题,提出了基于拟关键路径法的二车间综合调度算法。为了让二车间负载平衡并进行充分的并行处理,尽早结束产品加工,该算法按拟关键路径法(ACPM)对工序排序,再采取二车间加工结束时间接近的预调度策略进行调度。为了减少二车间工序的迁移次数,该算法将入度不小于2的工序放入其紧前工序分配较多的车间;将入度小于2且其紧后工序的入度不小于2的工序分配到能让其尽早结束的车间;对于其他唯一紧前紧后工序与其叶子节点所形成的工序串按预调度策略进行整串调度。实例表明,该算法可以在二次复杂度内较优地实现具有相同设备资源的二车间分布式综合调度。 一种新型覆盖连通率计算方法 针对在能量受限的无线传感器网络中传感器节点在部署时必须满足一定覆盖率和连通率的问题,提出一个基于正方形区域的新型覆盖率、连通率计算方法,该方法能够描述网络覆盖率、连通率、部署节点的数量、节点感应(通信半径)和网络区域大小之间的关系,计算出在满足一定覆盖率、连通率所需要部署的节点的数量。模拟实验结果表明该理论值和模拟结果之间的误差较小。 城市短时交通流预测仿真研究 应用灰色系统和神经网络研究城市短时交通流预测问题。针对目前交通流预测方法难以处理城市短时交通流实时变化以及高度非线性特征,导致实际预测精度差的缺陷,提出了一种基于灰色系统和神经网络的组合模型,利用灰色模型对实际监测到的数据进行拟合、预测。得到预测值和预测残差。将预测残差输入到神经网络模型进行残差的学习、仿真和预测,残差预测值和GM(1,1)模型预测值的和值作为最终预测结果。运用组合模型方法对贵阳喷水池路段交通流量进行预测,实验结果证明了组合方法的有效性、可行性。 一种基于Petri网的Web服务组合安全性动态检测技术 Web服务组合安全性检测对提高Web服务组合在复杂网络环境下的安全性具有重要意义。针对Web服务组合安全检测存在的问题,提出了一种Web服务组合安全性动态检测框架,并对其中的服务组合流程建模技术、安全模型以及安全检测算法等关键技术进行了详细分析,最后通过实例进一步说明该框架能够实现安全性检测的基本功能。 基于领域本体的语义查询扩展 在信息检索研究领域,资源与查询词的匹配决定信息检索质量。现有检索方法的检索结果存在过多不相关信息,不能很好满足用户需求。针对传统信息检索存在的问题与当前语义查询扩展方法的特点,本文在分析各种语义查询扩展方法及其相关研究的基础上,提出一种改进的基于领域本体的语义查询扩展方法。该方法论通过本体模型和概念相似度的计算对检索信息进行检索意图树的构建并扩展;然后在资源本体中以最短路径的方式搜索资源。实验结果表明,本文方法相较其他查询扩展方法能得到更好的检索结果。 变系数时间分数阶子扩散方程的数值解 对于变系数的时间分数阶子扩散方程,提出了一种数值方法,该方法在时间方向使用由Lagrange插值函数所得的递推公式,在空间方向,利用二次样条插值函数做为基函数,构成了最优紧二次样条配置法。理论分析和数值例子证明了该方法在配置点处具有超收敛性。 可认证的多方量子秘密共享协议 利用Bell态的特性,提出一个可认证多方量子秘密共享协议.在协议中,秘密消息分发者通过局域幺正操作将两比特的经典秘密消息编码到量子载体中,并利用量子纠缠将其分割传输给n个代理人.每个代理人对手中的粒子进行Bell测量,以获得各自的子秘密.在消息重构过程中,当且仅当这n个代理人协作才能恢复出共享的秘密消息.同时,结合经典Hash函数对分发者和代理人的身份进行认证,实现双向认证的功能.最后,对协议的安全性和认证性进行了详细的讨论,表明所提方案在理论上是安全的.此外,本方案的实现只涉及到Bell态的制备和测量,这在现有的技术条件下是可行的. 基于GPU的动态地形实时绘制技术的研究与实现 为了有效解决高逼真动态地形的实时绘制问题,提出了一种基于现代可编程GPU顶点纹理获取(vertex texture fetch,VTF)特性的动态地形实时绘制技术。在研究相关动态地形绘制算法及现代GPU着色器模型的基础上,对基于可编程GPU实现动态地形的技术支持和性能优势进行了分析。最后,以人在松软的地面行走为实例,设计并实现了一个基于GPU顶点纹理获取的动态地形绘制系统。实验结果表明了该技术方案的可行性和有效性。 认知用户基于频谱特征的动态频谱接入算法 认知无线电频谱接入技术的关键是指导认知用户如何选择合适的空闲信道以及如何在认知用户间实现频谱共享。在公共控制信道较难获得的情况下,基于部分可观测Markov决策过程(POMDP)的频谱预测算法,可以显著地提高系统的吞吐量。认知系统如果不加区分地使用授权频谱将可能导致所选择的频谱空洞不能满足认知用户需求。针对认知用户对不同信道容量的需求,引用适量选择原则,并运用融合接入策略,研究认知无线网络动态频谱接入过程。另外,通过大量仿真对认知用户的吞吐量和系统碰撞率进行分析,结果表明融合接入策略可以有效地提高系统的吞吐量及系统碰撞率。 一种基于哈希函数及能量均衡的事件查询算法 在无线传感器网络中对于无固定位置的事件及查询是个重要的研究课题。结合高效及最大化网络生命周期,提出了一种基于哈希函数及能量均衡的事件查询算法。在该算法中,一个传感器节点只需要关心自己通信范围内的邻居节点,不需要知道整个网络的状况,算法具有冗余数据少、查询能耗小、网络生命周期长、实现简单等特点。借助OMNET++网络模拟器进行仿真实验,与经典路由算法比较,结果表明本算法能快速高效地进行事件查询,同时最小化及均衡能量消耗,延长了网络生命周期。 RocketTC:一个基于FPGA的高性能网络流量分类架构 基于深包检测技术的流量分类方法可以达到95%以上的识别率和准确率.然而,由于计算复杂性高、存储消耗大等原因,主流软件方法只能提供百兆(线速率)处理能力,而且不能处理大量流并发的情况.文中提出一个基于深包检测技术的芯片级流量分类架构RocketTC,通过对应用层协议特征、匹配引擎和流管理策略进行优化,使其支持万兆级数据吞吐率.RocketTC具有两个核心模块:基于FPGA的流管理器和动态可重构的分类引擎阵列,前者实现万兆吞吐率下的流表管理,后者快速检测流量特征并支持动态协议特征更新特性.文中提出的分类方法使用轻量级DPI方法,通过缩小检测范围和特征长度进一步减少计算复杂度和存储消耗.我们使用Xilinx Virtex-5FPGA对上述设计进行实现与在线流量测试,结果表明RocketTC可以对92种网络协议进行识别,准确率达到97%,而且稳定提供20Gbps线速处理能力. 劣质数据库上查询优化策略 随着数据时代的发展,劣质数据越来越普遍存在于数据库中,严重影响了数据的质量,既降低了数据的价值又降低了数据管理系统的效率,这给数据管理带来了新的挑战.经过长期的数据质量研究工作,目前,已经有很多管理劣质数据的数据模型面世,实体数据模型就是其中一种,模型以每条元组表示一个现实世界中的实体,允许劣质数据的存在,并有效给出了衡量数据质量的方法,并且可根据用户对结果质量的需求给出查询结果.鉴于该模型的特点,在选择或连接等查询操作执行过程中可能产生很多已经不满足最后质量要求的中间结果,如果在中间结果中将这些最终无用的结果滤掉的话可以增进查询效率,这是区别于关系数据模型的特性,本文就如何在加入这中间结果数据过滤后有效进行查询优化的过程展开研究并加实验以论证. 基于样本空间分布密度的初始聚类中心优化K-均值算法 针对传统K-均值聚类算法对初始聚类中心敏感、现有初始聚类中心优化算法缺乏客观性,提出一种基于样本空间分布密度的初始聚类中心优化K-均值算法。该算法利用数据集样本的空间分布信息定义数据对象的密度,并根据整个数据集的空间信息定义了数据对象的邻域;在此基础上选择位于数据集样本密集区且相距较远的数据对象作为初始聚类中心,实现K-均值聚类。UCI机器学习数据库数据集以及随机生成的带有噪声点的人工模拟数据集的实验测试证明,本算法不仅具有很好的聚类效果,而且运行时间短,对噪声数据有很强的抗干扰性能。基于样本空间分布密度的初始聚类中心优化K-均值算法优于传统K-均值聚类算法和已有的相关K-均值初始中心优化算法。 基于结构化网格自动划分的汽车发动机强度分析 使用三维设计软件CATIA设计了汽车发动机的复杂结构,然后在网格划分软件HARPOON中将设计的发动机零件自动划分为以结构化六面体网格为主的有限元网格,最后在大型非线性有限元软件ABAQUS中,分析了发动机在静力和动力作用下的应力分布。计算结果表明,采用结构化六面体网格,单元数量少,计算速度快,结果可靠;而采用四面体网格,单元数量大,对于同样配置的计算机,无法进行四面体网格的模型的计算。网格尺寸增加后,单元数量减少,计算机可以计算四面体网格模型,但计算结果与六面体网格偏差比较大。 带有征税算子的改进蚁群优化方法 针对蚁群算法存在停滞现象的缺点,借鉴人类社会税收机制的作用,提出了能够强化其全局搜索能力的征税算子。征税算子通过抑止信息素差异急剧膨胀,以提高所得解的全局性。并对征税算子的参数设置以及收敛性问题进行讨论研究,最后将添加征税算子的蚁群算法与传统蚁群算法分别应用于旅行商问题(TSP)进行仿真实验。仿真结果表明,征税算子具有优良的全局优化性能,可抑制算法过早收敛于次优解,有效防止了停滞现象。 基于TD-SCDMA的虚拟外场测试平台 目前在TD外场测试过程中,存在着设备操作不便、无线环境复杂、测试情景不能再现等诸多难题。为解决这些问题,通过对2G及3G相关理论的研究,给出适合TD网络的业务模型和传输模型。在此基础上提出一种利用软硬件仿真TD网络外场测试环境,在实验室环境中构建虚拟外场测试平台的方法。对该平台的结构和工作原理进行分析论述,利用该平台可使大部分外场测试能方便地在实验室中进行,提高了测试效率。 基于小波和PCA的自适应颜色空间彩色图像去噪 在彩色图像去噪任务中,传统的颜色空间通道之间存在很强的互相关性,使去噪后图像出现颜色突变,影响图像去噪效果。针对该问题,提出一种降低通道之间相关性的颜色空间构造方法。该方法以待去噪图像在小波变换域中系数的聚集特征为依据,利用主成分分析方法确定系数聚集的主方向、次主方向。由主方向和次主方向的基向量确定自适应的颜色空间,在该颜色空间中实现图像去噪。实验结果表明,相比传统的颜色空间,所构造的颜色空间去噪无论在视觉效果、峰值性噪比和稀疏特征保真度上,均取得了更好的去噪效果。 利用匹配关系图的Web服务发现方法 为减少在具体的服务簇中服务请求与Web服务的匹配次数,提高服务发现的效率,提出一种利用匹配关系图的Web服务发现方法 (RGSD)。利用功能属性的匹配条件,定义服务簇中Web服务的匹配关系,对其进行图形化建模,生成服务簇的匹配关系图。当定位到具体的服务簇进行服务查找时,利用匹配关系图能同时匹配多个满足需求的Web服务,减少服务查找的次数。实验结果表明,该方法在保持较高查准率的同时,有效提高了服务发现的效率。 信息安全风险控制的PROMETHEE决策方法研究 "在成本效益分析的基础上,引入""级别高于关系""的PROMETHEE多属性偏好指数,提出了基于PROMETHEE方法的信息安全风险控制模型。该模型利用决策者给出的偏好,设置偏好函数、准则值和准则权重,从方案优劣程度入手,计算备选方案的""优势流""和""劣势流"",得到方案集的部分或完全排序,并基于此对安全控制措施的备选方案进行有效地筛选。还对该模型的灵敏度进行了分析和验证,最后结合实例分析了该风险控制模型的有效性。" 基于主色检测与灰度传播的彩色图像灰度化方法 针对已有图像灰度化方法不能同时体现原始图像的全局和局部对比度,且不能保持灰度像素的灰度值等问题,提出一种基于主色检测与灰度传播的彩色图像灰度化方法.首先提出一种基于直方图峰值的主色检测方法,用于提取输入图像中具有代表性的少量主要颜色特征;然后采用基于梯度域的主色灰度化方法将图像主色映射到灰度空间,最大程度地在灰度空间保持主色在彩色空间的视觉对比度;再利用基于局部线性嵌入的灰度传播方法,将主色灰度值和原有灰度像素的灰度值扩散到与其颜色相似的像素上;最后检查图像中是否存在没有被灰度化的彩色像素,如果存在,则使用基于梯度域的局部灰度化方法将其灰度化,得到最终的灰度图像.大量实验结果表明,该方法将全局和局部对比度保持有机结合,得到的灰度图像较好地保持了彩色图像中色彩之间的差异性,并且保持了灰度像素的灰度值. 半监督局部判别分析 针对无监督学习及有监督学习算法的缺点,提出一种半监督局部判别分析的线性降维算法。数据在没有足够的训练样本时,局部结构比全局结构更重要。算法在每一个局部区域利用有标签数据推导出数据的局部判别结构,无标签数据和有标签数据推导出数据的内在几何结构。在ORL和Yale人脸数据库上的实验结果表明该算法是有效的。 网络流场:理论和方法 "随着互联网的迅猛发展,网络运营部门和网络管理部门迫切需要分析网络的运行状况,以保证网络的可用性、稳定性和安全性。然而,目前对网络运行状况的分析难度正逐渐增大,用户数量的快速增长,网络带宽的不断增加,网络流量的频繁变化以及新应用日趋复杂等,均给网络流量分析带来了巨大的挑战。因此,为了解决目前网络分析技术面临的难题和挑战,提出了一种网络流量分析的新型方法——网络流场理论和方法。网络流场不仅关注如数据包及网络流等""硬性""指标,而且更关注网络中的流量分布和发展趋势,因此能反映出网络流的分布以及节点之间的关系,从而反映网络的社会属性。网络流场以全新的视角对网络进行剖析,并进行定性和定量的分析,不仅能分析网络流量的基本信息,而且可以挖掘网络中的隐含信息,如时序关系、状态转换关系、私有网络拓扑、关键节点和关键路径等。实验结果表明,网络流场可以取得很好的分析效果,网络流场理论既可以有效地为现有的网络管理及网络分析提供更高层次的研究架构和研究模型,指导网络管理、分析、测量及安全保障等领域的研究和实践,又有利于网络的发展和技术的进步。" 基于多叉树确定K值的动态K-means聚类算法 K-means聚类算法是基于划分的经典聚类算法之一,因其简洁、高效得到了广泛的应用。K-means算法具有容易实现、时间和空间复杂度较小的优点。但该算法的初始聚类数K通常不能通过有效的手段事先确定,其初始聚类中心往往是随机选取的,易收敛于局部最优解,造成聚类结果的不准确。基于多叉树确定K值的动态K-means聚类算法是对传统算法的改进,力求在迭代过程中动态分裂合并簇来确定最合理的聚类数,并且能在一定程度上解决聚类结果收敛于局部最优解的问题。文中还探索了相应的数据模型以支持所改进算法的研究,并从横向与纵向两方面与二分K-means算法作了对比实验。实验结果表明,改进后的K-means算法不依赖于全局数据集,更适用于分布式平台运算;算法相对效率随着数据集规模的增大,特别是在洪量数据集下具有明显的优势。 基于Landtech手抄器的软件设计及应用 以Landtech手持数据终端作为硬件平台,C语言作为开发语言,介绍手抄器软件的开发环境和开发过程,并结合一个具体的软件开发实例,详细探讨软件开发中一般会遇到的几个问题,包括数据通信的实现、数据存储的实现和软件用户界面的搭建。 一种基于L2,1范数的PCA维数约简算法 传统PCA存在对异常值和特征噪声敏感等问题,基于L2,1范数的PCA算法改进了这些缺点。现有的基于L2,1范数的PCA算法是通过降低矩阵的秩来实现维数约简,而秩的计算复杂。针对这一问题,提出一种新的维数约简算法。该算法提出利用迹范数代替矩阵的秩来简化L2,1-PCA的计算,提高算法效率;对于算法的求解提出了基于拉格朗日乘子的方法并将算法应用扩展Yale B人脸数据集进行图像去噪。可视化的实验结果表明所提出的算法有效。 C/E网系统中交叠式序列的计数 "在CCS中,Milner把系统中的两个事件处于并发定义为""它们可以按任意顺序发生""。这个关于并发的定义被称之为""交叠式并发""。然而在网论中,Petri把并发定义为""非序"",并指出并发是冲突的精确对偶。Petri关于并发的定义被公认为""真并发""。为了研究这两种并发概念的联系和差异,以C/E系统为模型,分别从这两种不同定义的视角出发,讨论了并发的表现和实质,并对网系统中存在的不同并发组合情况给出了求交叠式序列(根据交叠式并发概念而得出)个数的一组计算公式。" 一种新的字符图像倾斜矫正与分割算法 针对传统的倾斜矫正与分割算法对不同类型的字符图像处理效果各异的问题,本文提出了一种改进的算法,加入了新的倾斜角度确定准则、阈值动态调整以及区域后处理,不仅解决了传统方法易受噪声和复杂背景干扰、可操作性差的问题,而且也有效地实现了字符图像的倾斜矫正与分割。实验结果表明,尽管新算法在像素点判断次数上多于最大类间方差算法,但分割效果明显优于最大类间方差和文献[7]的阈值分割算法。同时,本算法较传统算法更加具有鲁棒性,运行时间可以满足实时性的要求。 m序列频谱免疫度的研究 频谱免疫度是度量周期序列抵抗离散傅里叶频谱攻击的重要指标。周期序列的频谱免疫度越大,抵抗离散傅里叶频谱攻击的能力越强。通过搜索m序列的零化子,计算其频谱重量,提出猜想:n级m序列的零化子最低频谱重量是n+1,而该m序列的补序列恰恰是其一个最低频重零化子。研究了m序列零化子及其补序列的性质,从理论上证明了该猜想。分析了m序列补序列的零化子性质,得出结论:n级m序列的频谱免疫度为n;说明了m序列难以抵抗离散傅里叶频谱攻击。 快速局部遮挡人脸检测算法研究 针对Adaboost人脸检测算法在分类器训练过程中耗时较多的问题,对Adaboost算法进行了详细分析,提出了加快寻找每一轮最佳弱分类器的四点均值法。该方法对每个特征,计算所有训练样本对应的特征值,并将其从小到大排序,求相邻的4个特征值的平均值,该平均值作为阈值,计算错误率,找出最佳弱分类器。减少特征量,修改弱分类器权重,加快收敛速度,使用不同遮挡部位的人脸样本训练分类器,实现了局部遮挡人脸的检测。实验结果表明,该方法明显提高了训练速度,缩短训练时间,并能较准确地检测局部遮挡人脸。 基于像素二值化法的连通域标记 基于像素二值化算法是目前比较成熟的算法,采用了二值化的填充方法即形态滤波,从而得到目标物。区分目标物体的方法是基于连通域标记,根据连通域的标记,可以得到完整的多个目标物。连通域的标记的目的就是为识别物体进行准备,也就是说在基于视频的交通事件的检测中,二值化和连通域是基础。 面向二维工程CAD图的拓扑完整性校验算法 拓扑信息是工程CAD图的核心,其完整性直接影响着工程设计的效率和质量.针对二维异构工程CAD图的拓扑完整性认证问题,提出一种基于半脆弱数字水印技术的通用拓扑校验算法.首先根据对象间的连接关系构造拓扑图,并对各对象进行统一编码,实现异构图纸拓扑关系的统一描述;然后根据拓扑图建立对应的拉普拉斯矩阵,利用拉普拉斯谱计算各对象的拓扑特征值;最后将拓扑特征值嵌入到各对象的几何不变量中.该算法通过比较提取的特征值序列与对象当前的拓扑特征值来验证其拓扑完整性.理论分析和实验结果表明,文中算法对于全局和局部相似性变换、拷贝、镜像和文件格式转换等非恶意操作具有较强的鲁棒性,同时对于各种恶意拓扑攻击具有精确的定位能力,可以广泛应用于各种工程CAD领域. 改进的基于颜色和SIFT特征的图像检索方法 针对全局灰度直方图缺少空间分布信息和SIFT特征匹配方法准确性不足的问题,提出基于分块的提取图像颜色矩方法,并改进了SIFT特征度量算法.然后将这两种改进算法相结合,并应用于图像检索系统中.实验结果表明,本文提出的算法在图像检索中取得了较好的效果. 图书馆电子资源利用率均衡调度优化研究仿真 "对图书馆电子资源利用率均衡调度优化,可提高图书馆的电子资源建设水平和高校的教学和科研质量。由于图书馆电子资源数量和信息巨大,容易产生大量的""资源能力碎片"",而传统方法进行图书馆电子资源利用率均衡调度时,需要消耗大量时间消除这些碎片,降低了资源调度的效率。提出一种基于效益均衡的图书馆电子资源利用率均衡调度优化方法。上述方法先依据图书馆内的新用户和切换用户申请资源预留的到达速率,动态地调整预留资源的大小,在此基础上比较资源预留收益和预留对本地任务执行所造成的负面影响,确定资源预留,将图书馆电子资源预留机制与计算经济相结合,完成对图书馆电子资源利用率均衡调度,弥补了当前方法易产生大量""资源能力碎片""的弊端,完成图书馆电子资源利用率均衡调度优化,仿真结果表明,所提方法预留精准度高,可以有效地预留图书馆电子资源能力的最佳限额。" 基于腔体滤波器的可调谐微波开关的设计 为了满足无人机数据链路测试中信号转换的需求,提出一种可调谐的S波段分波道微波转换开关设计方案,其中采用开关控制电路对输入微波信号进行分波道选择,两路加载集总电容的三阶方杆梳状腔体滤波器对微波信号进行选频、滤波,同时可通过调节调谐螺钉实现两波道中心谐振频点同频或异频,调谐中心频率范围可达20 MHz,自由度较高;微波开关经参数仿真结果满足设计要求,研制完成的微波开关经过实际测试和应用验证,各项技术指标满足使用要求,同时该微波转换开关具有大功率、低损耗、高隔离度等优点,性能稳定、自由度高、易于实现,可满足无人机数据链测试诊断的信号转接要求。 基于三维模型的二维文档图像提取与识别 针对OCR(optical character recognition)不能识别三维文本模型的问题,提出从三维文本模型信息中提取图像数据重构二维图像再进行识别的方法。对三维模型的点云数据进行归一化处理并进行基于视点的投影,通过提取网格信息的方式计算生成二维图像的分辨率,将提取的二维图像数据进行整理计算并输出,实现图像的重构。实验结果表明,该方法对各种版面类型的文本模型均能提取对应的二维图像,且该图像文字识别率较高。 基于泊松方程的孔洞修补算法 传统网格生长法对孔洞数量庞大且孔洞类型复杂的三维网格模型修复效果不佳。针对该问题,将泊松方程应用于三角网格模型的孔洞修补。利用原始模型信息建立泊松方程,对输入模型曲面进行全局拟合,根据孔洞信息裁剪拟合得到的预测曲面并与原始孔洞模型缝合,通过孔洞边界区域法向量信息调整修补曲面的三角面片方向,达到特征增强的目的。实验结果表明,该算法对于结构复杂的多孔洞三维模型修补效果较好,对噪声鲁棒性强,在保留模型原始信息的同时能够准确还原孔洞区域特征。 社交网络中基于分类属性的好友推荐 社交网络经常通过掌握的用户信息来对其进行好友推荐。这种好友推荐带来了技术挑战,现有的好友推荐技术并不能有效解决该问题。为了应对这种技术挑战,拟提出基于分类属性的好友推荐算法。通过机器学习的手段,分析出不同类型的属性对用户行为的贡献度不同,将其进行分类处理。基于该分类,提出的算法可以在掌握用户基本资料以及近期行为的基础上,搜索出与之相关性更强的好友或能够引发其兴趣点的商品,用来快速、准确、全面地得到用户与其好友之间亲疏程度排序及分类的结果。实验结果证明了所提出方法的有效性及高效率。 自组织聚类的P2P语义路由算法 为解决结构化P2P语义路由过程效率低的问题,通过分析哈希函数,归纳出目的节点、传统语义路由中继节点序列、聚类邻居节点集三者之间的逻辑关联特性,提出一种自组织聚类的P2P语义路由算法,在提高路由效率的同时,保证P2P网络的对等性、自组织性及规模可缩放性。 基于分形维度的叶片图像识别方法 为使树叶识别达到更好的效果,对分形维度作为纹理特征的方法进行了研究,提出把分形维度应用于叶片识别领域,将其作为叶片纹理特征的提取方法,来表示叶片图像的纹理复杂程度及其自相似性。使用了26种叶片(390幅图像)的图像库进行实验,提取了包括分形维度在内的9项特征进行训练测试,达到了91.54%的正确率。实验结果表明,提出的方法优于传统的叶片识别方法,证实了该方法的有效性。 多主体协同电力负荷预测模型 提出了基于多主体(Multi-Agents System,MAS)协同的电力负荷预测模型,将不同的预测模型封装在不同的Agent中,利用各Agent之间的通信、合作机制来共同完成预测问题的求解,以近似日模型、回归模型、神经网络模型和规则修订模型为基础,进行协同预测。并通过实验证明了协同预测模型不仅能达到较好的预测精度,同时预测结果相对稳定,对提高实际负荷预测精度具有很现实的意义。 基于特征向量的遥感影像自动分类研究 "为了实现高分辨率遥感影像自动分类及进一步提高非监督分类的精度和效率,提出了一种训练样本自动选取的面向对象自动分类方法。首先利用均值漂移算法对遥感影像进行分割,获取同质性分割单元;然后对分割对象进行多特征(光谱特征、纹理特征和形状特征)提取,基于特征向量的几何距离进行训练样本自动选择,进而利用支持向量机分类器得到分类结果。实验研究表明,提出的面向对象自动分类算法不但可以利用影像对象丰富的特征信息,而且较好地避免了""椒盐现象"",使自动分类的精度和效率得到较大提升。" 基于SaaS的IT资产管理系统研究 对SaaS服务模式及IT资产管理的现状进行了一定的研究,然后将SaaS应用模式结合IT资产管理得到基于SaaS的IT资产管理系统。对系统架构、功能、安全方案和商业模式进行探讨,提出了实现SaaS模式下的IT资产管理系统的系统方案,该方案的目标是实现SaaS第三级成熟度模型,考虑用基于Rabin密码体制的一次性口令身份认证方案,并且部署在软件服务平台上。 一种多目标置换流水车间调度问题的优化算法 针对最大完工时间最小和总流经时间最小的多目标置换流水车间调度问题(permutation flow shop scheduling problem,PFSP),提出一种粒子群优化算法与变邻域搜索算法结合的混合粒子群优化(hybrid particle swarm optimization algorithm,HPSO)算法,并使算法在集中搜索和分散搜索之间达到合理的平衡.在该混合算法中,采用NEH启发式算法进行种群初始化,以提高初始解质量;运用随机键表示法设计基于升序排列规则(ranked-order-value,ROV),将连续PSO算法应用于置换流水车间调度问题;引入外部档案集存贮Pareto解,并采用强支配关系和聚集距离相结合的混合策略保证解集的分布性;采用Sigma法和基于聚集距离的轮盘赌法进行全局最优解的选择;提出变邻域搜索算法,对外部集中的Pareto解作进一步地局部搜索.最后,运用提出的混合算法求解Taillard基准测试集,并将测试结果与SPEA2算法进行比较,验证该调度算法的有效性. 三维欧氏距离变换快速算法 为了提高三维距离变换在实际应用中的计算效率,提出一种三维快速距离变换算法.首先将三维图像降维为多张二维图像,为每张二维图像设置2个标记数组,并根据标记数组运用围线扫描方法依次计算出每一像素在二维图像上的距离变换;然后依据二维结果计算出所有像素在三维图像中的距离变换.实验结果表明,文中算法实现简单,比已有的边界剥离算法及基于Voronoi图的算法在时间和空间消耗上均有较大的提高,有更好的实用性. 基于Kinect传感器和ORB特征的视觉SLAM算法设计与实现 介绍了一个基于嵌入式平台和Kinect传感器的同时定位与地图创建算法的设计与实现。Kinect传感器包括一个可见光彩色摄像头和一个利用结构光测量深度的红外CMOS摄像头。算法利用ORB算子作为环境特征点的描述信息,并利用基于边沿的最近邻修复方法对深度图像进行修正以获得完整的深度信息。在此基础上,利用LSH方法进行特征点的匹配。实验结果表明,基于ORB特征的视觉SLAM算法具有较好的实用性和良好的定位精度,可以广泛应用于室内机器人的自主导航任务。 构建中值图以快速生成高质量的三维模型骨架 针对已有的曲线骨架提取方法获得的曲线骨架不太简洁,且关节点过多,难以有效反映模型拓扑结构的问题,提出一种曲线骨架提取方法.首先运用经典的集合覆盖问题模型对中值面进行优化处理,减少模型细节的干扰,形成中值图,以更简洁且规整地表达模型;然后以收缩的方式根据中值图生成曲线骨架,得到有效地反映模型拓扑结构的简洁的骨架形态.由于中值图的数据规模远小于中值面,文中方法的计算效率很高.实验结果表明,相比于已有方法,该方法提高了曲线骨架的生成质量,且计算速度有明显提高,甚至可提高3个数量级. 基于量子精英蛙的最小属性自适应合作型协同约简算法 属性约简是粗糙集理论研究的重要内容之一,现已证明求决策表的最小属性约简是一个典型NP-Hard问题.提出一种基于量子精英蛙的最小属性自适应合作型协同约简算法.该算法首先将进化蛙群编码为多状态量子染色体形式,利用量子精英蛙快速引导进化蛙群进入最优化区域寻优,有效增强进化蛙群的收敛速度和全局搜索能力.然后构建一种自适应合作型协同进化的最小属性约简模型,融合蛙群最优执行经验和分配信任度自适应分割属性约简集,并以模因组内最优精英蛙优化各自选择的属性子集,提高属性约简的协同性和高效性,快速找到全局最小属性约简集.实验研究表明提出的算法在搜索最小属性约简解时具有较高的执行效率和精度. 基于15 GHz的室外频段信道测量与分析 现代通信技术的一个重要特点是计算机技术与通信技术的紧密结合。随着无线移动通信的快速发展,传统移动蜂窝频段的频谱资源面临严重短缺的局面,开展着眼于高频段的开发与利用已经刻不容缓。为此,应用基于PN序列的时域测量系统,在15 GHz频段的室外环境下,以波束宽度为10°、增益为25 d Bi的标准增益喇叭天线和增益为5 d Bi的垂直极化的双锥全向天线为研究对象进行了直视场景的信道测量;测量数据的处理采用基于floating intercept模型的滑动相关算法,应用最小二乘法建立了距离与路径损耗之间的相互关系,并计算获得了室外环境下路径损耗指数和阴影衰落,由此分析了传播信号的大尺度特性。实验测量结果表明,15 GHz频段在室外环境下的良好传播特性,在未来5G通信中具有较为显著的应用前景。 基于Lucene的本地搜索引擎研究与实现 为了改善计算机传统搜索在效率和返回结果上的不足,基于Lucene全文检索高效、准确的特点,采用非结构化文档结构化的思想,提出了文档内容自然分片索引的方法,实现了一个针对本地资源的个性化搜索引擎。 聚类集成技术在地铁站点类型研究中的应用 合理的地铁站点分类对站点管理和交通规划有重要的意义.针对现有的站点聚类分析研究中均采用单一的聚类方法取得的效果不够理想,鲁棒性不高等问题,提出了基于聚类集成方法的地铁站点类型研究方法,并提出了相应的稳定性判别指标.该方法结合福州地铁1号线工作日客流数据,首先运用层次聚类、k均值聚类和模糊C均值聚类三种方法产生基聚类;其次通过基于共协关系矩阵的集成方法实现对基聚类的集成.实验结果表明,聚类集成相较于其他三种方法在数据集划分上更加稳定.最终将福州地铁1号线站点分为超高流量站点、高流量站点、中流量站点以及低流量站点4类. 以太网动态仿真实验系统设计 TCP/IP协议簇是网络数据通信中使用的主要协议。网络协议仿真系统为教学提供了一个良好的实验平台,然而目前所用的协议仿真实验系统都是离散的、静态的,即单独模拟一个协议,且协议中的数据表示是静态的,不能随着输入数据的变化而动态变化。为了帮助学生更好地理解以太网中数据通信过程,有必要开发出一个既能使协议之间相互协作,又能动态实现数据的变化,使实验效果逼真实际的仿真实验系统。文中主要描述了以太网动态仿真实验系统混合体系结构的设计和实现,满足了系统的设计需要,提高了系统效率。 基于虚拟仪器的导弹测发控系统设计与实现 针对传统导弹测发控系统系统构成复杂、集成测试困难的特点,采用PXI总线技术和虚拟仪器技术,开发了一套基于PXI总线的导弹测发控系统通用平台,对系统的硬件组成及测试原理、软件开发及设计的关键技术等进行了重点论述;阐述了在Labwindows/CVI环境下多线程的实现、信号处理和数据库设计与实现技术;采用虚拟仪器的测发控系统,可视化强,具有智能化、通用化的特点,测试数据与测试实时性均满足测试需求。 仿真软件的被动测试与主动测试互补的研究 "仿真软件的被动测试和主动测试在实践中都有一些缺点,针对这些缺点提出了被动测试与主动测试互补的具体方法。通过一定的被动机制提前获取实际生产的历史数据,运用技巧提高历史数据测试的有效性。提出的""正推法""、""逆推法""均有效地克服了单纯主动测试和单纯被动测试的缺点,正推法与逆推法的混合在实践中更具灵活性。被动与主动测试的互补混合测试的BUG结果数据对于被测仿真软件数学模型参数的修正等方面有较大作用。互补混合的具体过程细节应与整个仿真软件开发过程互相协调。" 大规模雷达喇叭阵列控制系统 研制一种应用于毫米波制导仿真实验的大规模雷达喇叭阵列控制系统并进行实现;针对采用的三元组天线阵列结构,对上位机和下位机进行软件实现,对由RS485收发板和TTL控制板组成的阵列控制系统进行设计并进行利用FPGA进行实现,对整个控制系统进行软硬件协同仿真;最终对阵列控制系统采用Xilinx公司Virtex-4系列的XC4VSX55-10FFG1148C器件进行综合,差分传输逻辑和TTL控制逻辑分别占用4353LUTs和5565 LUTs试验证明,该系统在硬件规模和性能上都满足设计要求,具有很好的应用前景。 排球对手臂冲击的三维瞬态惯量互耦特性仿真 在排球对臂冲击建模的研究中,由于排球的侧旋球是一种最有杀伤力的打法,排球对臂冲击惯量分析的难点在于瞬态模型建立。由于排球从不同的角度落地时,球体等效质心不同,且球的等效冲击惯量差异很大。传统的建模方法对瞬间强冲击惯量和瞬态互耦特性的随变因子处理及耗时,很难准确分析球的瞬时变化情况。提出一种排球对手臂冲击的三维瞬态惯量互耦特性分析模型。对排球对臂冲击过程的三维瞬态强冲击惯量互耦特性进行分析,以x轴旋度,y轴旋度,z轴旋度,冲击角度,冲击速度,球体质量,摩擦系数,球体x轴倾角,球体y轴倾角,球体z轴倾角等10个参数作为自变因子,构建三维互耦特性分析模型,通过球体质量与冲击角度,冲击速度三个参量计算等效质心的瞬态强冲击惯量,以所有参数构建强冲击互耦模型,用瞬态强冲击惯量作用于互耦模型,分析出等效冲击指数和误差指数。仿真结果表明,上述方法对于排球对手臂的冲击有较好的仿真效果。 采用随机Petri网的嵌入式机载软件可靠性检测 针对嵌入式机载软件设计中存在的典型缺陷问题,结合嵌入式机载软件任务调度特性,提出采用随机Petri网对嵌入式机载软件设计进行仿真验证的可靠性检测方法,以提高嵌入式机载软件设计的可靠性。该方法采用随机Petri网对嵌入式机载软件系统行为建模,并给出典型缺陷的检测策略和判定准则,然后通过对Petri网模型进行仿真验证,检测系统是否存在此类设计缺陷;并给出了软件设计的运行流程的仿真验证算法,以支持对相应设计的可靠性检测。通过与其他可靠性检测方法的比较,表明了该方法的有效性。 基于广义互相关时延估计算法的性能分析 广义互相关(GCC)算法是目前进行时延估计(TDE)的常用算法,文章首先对广义互相关时延估计算法中几种加权函数进行了分类论述,进而对部分加权函数进行仿真,最后通过综合比较揭示了它们各自的优缺点。 基于角色访问控制的协同办公系统设计与实现 介绍了基于角色访问控制模型(RBAC),给出了RBAC96中用户、权限、角色、会话集及角色的层次、授权等之间的关系。基于RBAC96设计并实现了协同办公系统,给出了该系统的功能模块、技术路线和实现方法。重点论述了本系统实现过程中的权限列表和角色列表的创建方法、协同办公中的访问控制特点及实现方法、权限控制子系统应用层开发流程等关键问题,提出了权限控制子系统服务器端三层B/S结构设计方法,解决了协同办公中的访问控制问题。实现协同办公系统所采用的方法和技术路线具有通用性。 数字化校园中用户网络行为大数据的分析与监控 针对日益发展的数字化校园中不规范的用户网络行为,创新提出了一种基于用户网络通信大数据分析和监控的系统设计与实现。本文首先分析了监控技术的方向;其次从数字化校园建设出发介绍了在校园局域网中产生的大数据监控系统的架构思想;然后详细描述了架构中大数据采集、大数据分析、大数据处理及存储的方法和算法。其中大数据存储和处理主要采用Hadoop分布式处理平台完成设计(包括HDFS文件和Hbase数据库);最后通过实验验证了该算法真实可行。本文的技术创新点在大数据分析算法中采用矩阵模式合并不同的文件数据,规避出错率,提高数据处理效率。 一种基于CAN总线的电梯主控系统 为了升级现有电梯控制系统,给出了一种以LPC2294为主控芯片的电梯主控系统的设计。软件上以抢占式多任务实时操作系统μC/OS为平台,实现了电梯主控系统中的输入采集、调度分配、CAN通信、菜单输出等任务;硬件建立在LPC2294基础上,实现了与变频器互联互通、编码器脉冲反馈的采集、井道开关量的输入输出等。 星载感应式磁力仪地面检测系统及数据预处理软件设计 星载感应式磁力仪载荷可获取空间低频交流磁场,地面检测系统及数据预处理软件是设计开发的重要调试工具。首先介绍了感应式磁力仪的工作原理、探测数据产品及相应的研究意义。然后针对载荷设备外部接口设计了地面检测系统,实现了载荷与上位机的通信功能,并采用Visual C++调用Matlab的方法设计了一套具有实时科学数据显示的数据预处理软件,实现了在保持科学数据完整接收的同时以动态刷新方式显示不同方向和频段波形图的功能。该方法编程简单,集成功能全面,已经历了卫星多阶段的测试和试验。运行结果表明,该系统能够对仪器工作状态进行实时监视和控制,具有易维护和可拓展的特点,为星载仪器后续阶段的研制和应用提供了测试条件。 基于启发式搜索的IP数据流分类方法的研究 基于应用层载荷特征的IP流分类技术的准确性较高,但是,当特征库庞大时遍历匹配特征库需要消耗大量的时间.鉴于此,提出一种将应用层载荷特征和启发式搜索相结合的IP数据流分类方法.通过从各种应用产生的数据包之间提取共同特征并以此共同特征建立启发式规则,根据启发式规则将特征库划分为多个特征子集,在数据包匹配过程中只需要根据启发式规则搜索匹配特定的特征子集,从而大大减少了对无关特征的匹配过程,使待匹配的特征子集具有更强的针对性、使得时间性能得到提高.对于部分应用采用以DNS为引导的方法来对数据包进行分类,该方法部分消除了基于载荷无法对加密数据进行识别的弊端.本文用C语言实现了该算法,并与开源软件l7-filter算法进行了对比实验.实验结果表明:在离线状态下,本文提出的方法的分类速度是l7-filter分类速度的6-10倍,总体识别准确性达到98%以上. 一种基于无线传感器的扩展型中间件框架 传感器中间件主要提供一种编程抽象,为应用程序的开发提供便利,并能尽量消除应用程序到底层设备间的间隙。目前的中间件大多是基于分布式的,但是,大多数分布式系统中间件主要应用于相对成熟的研究领域。本文首先讨论常见的分布式系统解决方案应用于无线传感网络时遇到的问题,接着讨论总结目前常见的中间件的实现方法,最后以健康监测为例,提出一种更契合WSN应用需要的扩展型中间件框架。 利用傅里叶谐波分析法的时序数据周期迭代辨识算法 针对现有的时序数据其周期辨识算法存在着辨识精度低及计算成本高的问题,在傅里叶谐波分析法的基础上,提出了一种具有基频迭代机制的周期辨识算法。首先,利用方差分析法从原始序列中析出其周期长度的整型估算值;然后,以任意小的频率间隔在估算值区间内进行傅里叶谐波的迭代拟合;最后,基于最小拟合残差和的准则来确定最优的周期成分。实验表明,该算法不仅具有良好的计算效能,而且还能精确地辨识出与序列样本长度无关的周期成分。 结合文本信息量和聚类的文本裁剪算法 针对KNN文本分类时间效率低的缺点,提出一种结合文本信息量和聚类的文本裁剪算法。考虑到训练文本中存在类别相关性弱的文本,计算每条文本包含的信息量并排序,对向量空间模型利用聚类删除噪声文本,结合文本的重要性在各类别中筛选出代表文本构建新的样本空间,在新样本空间上进行分类。实验结果表明,该方法能合理有效地裁剪文本集,提高分类效率。 Hydra:高能效的异构服务器融合方法 考虑当前数据中心服务器面临日常工作负载强度从0%~90%的大幅波动,但很多时间服务器处于低利用率或者空闲状态.即使在空闲状态,典型服务器仍然需要消耗50%左右峰值电力来维持其活动状态.然而简单地关闭空闲服务器的策略很难实施,因为不可预测的用户请求和突发事件可能导致频繁开关机,进而产生严重的性能和可靠性降级.提出了一种新颖异构服务器级融合的节能解决方案Hydra.Hydra提出了一种新架构,该架构把多台异构(功率/性能/成本)特性的服务器整合成虚拟服务器,并根据负载变化进行自动切换.为此Hydra提供了2个关键机制:1)Hydra监听机制,监控传入的负载强度来决定何时进行服务器切换;2)Hydra切换机制,能够融合各服务器的空闲和忙碌时间段,为系统级深度睡眠创造机会;Hydra是基于2个概念设计的:1)在轻负载条件下,优化能源利用效率;2)保证响应时间上界.最后实验证明,Hydra降低服务器70%的平均能耗.同时通过成本模型,发现Hydra能够提升18%的每TCO(total cost of ownership)美元的性能. 面向复杂虚拟试验支撑平台的网关设计 面向复杂虚拟试验的支撑平台通常需要和异构网络的其他中间件平台进行互连,从而解决异构中间件之间能够实时交互的问题;分析目前主要高级分布式仿真中间件平台的协议机制,包括高层体系架构(HLA)和试验与训练使能体系结构(TENA),设计了网关应用的通用性架构;根据网关的结构设计,开发了VTSE和HLA的网关范例;经测试表明,该网关应用有效的实现了VTSE和HLA之间的互连,较好地满足了互连通信的实时性,并且具有很好的可移植性。 基于子空间辨识的模型预测控制器经济性能评估方法 目前模型预测控制器(MPC)的经济性能评估(EPA)方法多依赖于过程解析的稳态模型,为了更方便有效的对MPC经济性能进行评估,本文提出一种基于子空间辨识的模型预测控制器经济性能评估方法,仅利用过程操作数据即可实现对控制器的经济性能评估,避免了对过程解析稳态模型的依赖性。利用已知过程操作数据,通过子空间辨识求取子空间矩阵,进而得到各输入输出变量间的稳态关系及LQG控制策略下各输入输出变量方差与加权系数的关系并将其直接应用于控制器经济性能优化。在Woodberry塔上的仿真实验验证了该方法的可行性和有效性。 便携式安全仪表系统功能测试仪的研制 安全仪表系统的周期性测试是确定其运行状况及安全完整性等级的重要措施;结合安全仪表系统测试需求,提出了一种安全仪表系统功能测试仪设计方法,该方法采用微处理器构建的嵌入式系统完成信号采集、数据分析与处理,实现了模拟现场设备发送故障信号和检测SIS安全动作响应;在此基础上研制了基于ARM9和Linux的便携式安全仪表系统功能测试仪,给出了测试仪的软硬件设计;实验表明,该装置能够准确模拟故障信号发生,最大引用误差小于0.5%,较好地完成功能测试工作,具有较强实用价值。 基于集合经验模式分解的火灾时间序列预测 采用集合经验模式分解(EEMD)和多变量相空间重构技术,结合非线性支持向量回归(SVR)模型,提出一种火灾次数时间序列组合预测方法。根据EEMD将非平稳的火灾时间序列分解为一系列不同尺度的固有模态分量,利用多变量相空间重构技术对分解的各个分量进行相空间重构,构建其训练数据,对重构的训练数据建立各分量的非线性支持向量回归预测模型,使用SVR集成预测方法对火灾时间序列进行预测。仿真结果表明,与单变量相空间重构方法以及SVR方法相比,该方法具有较高的预测精度。 事件挖掘综述 事件挖掘就是从大量的、不完全的、人们事先不知道的,但同时又是潜在有用的信息中提取有用信息并概括为事件的过程。由于事件挖掘相对于话题检测与跟踪、信息检索、信息抽取等自然语言处理具备许多共性,并且具备预测事件发展的优越性,因此逐渐成为当前事件识别领域的研究热点。本文介绍事件挖掘相关研究的历史发展与应用背景,全新定义事件挖掘的概念与任务,并详细介绍事件挖掘任务相关的各种关键算法,尤其是语义链算法。指出事件挖掘的重要意义及其未来的发展趋势。 侧铣加工中铣刀形状和运动路径的求解 研究五轴联动数控机床侧铣加工中铣刀的形状确定和运动路径的规划问题.利用旋转曲面铣刀和设计曲面呈相切关系的事实,将一个铣刀的刚体运动描述为一个带约束的优化问题并给出求解方法.该方法以用户交互给出的一个线段作为铣刀轴的初始位置,通过计算铣刀的最优刚体运动生成一个轴线段的运动序列,并再通过对旋转曲面的经线做最小二乘拟合得到一个旋转曲面铣刀.实验结果表明,文中方法得到的刀具的运动生成的加工曲面与设计曲面具有较小的逼近误差,从而提供了一种铣刀运动路径优化算法的初始化方法,对提高已有路径优化算法的效率和结果的质量有重要作用. 基于特征选择的HIV-1蛋白酶剪切位点预测 研究HIV-1蛋白酶的剪切特异性是研制蛋白酶抑制剂类药物的基础。针对HIV-1蛋白酶剪切位点预测改进一种新型的过滤器方法,去除特征集中的冗余特征,简化分类器结构。通过融合三种特征获得完备的特征表达并对支持向量机(SVM)进行参数优化从而提高预测性能。结果表明该方法的预测性能优于当前基于特征提取的研究成果,这表明特征选择结合特征融合并且进行SVM参数优化可以有效提高HIV-1蛋白酶剪切位点预测效果,能够为未来开发HIV-1蛋白酶抑制剂提供有用的帮助。 基于孪生网络和重排序的行人重识别 针对非重叠多摄像头下的行人重识别(Re-ID)易受到光照、姿势及遮挡等影响和实验过程中存在图像错误匹配的情况,提出一种基于孪生网络和重排序的行人重识别方法。首先,给定一对行人训练图像,孪生网络可以同时学习一个具有辨别力的卷积神经网络(CNN)特征和相似性度量,并预测两个输入图像的行人身份以及判断它们是否属于同一个行人;然后,通过k互近邻方法来降低图像错误匹配的情况;最后,将欧氏距离和杰卡德距离加权来对排序表进行重排序。在数据集Market1501和CUHK03上进行多次实验,实验结果显示在Market1501上Single Query情况下在图库中第一次就成功匹配的概率(Rank1)达到83.44%,平均精度均值(mAP)为68.75%,在CUHK03上singleshot情况下Rank1达到85.56%,mAP为88.32%,明显高于传统的基于特征表示和度量学习的方法。 基于XML技术的个人健康档案 随着信息技术的不断发展,医疗卫生行业已逐步实现了信息化,HIS、LIS、PACS等系统也已进入较为成熟的发展阶段。然而随着人们对健康问题进一步的重视,传统的健康信息管理模式已经不能满足人们的需求,个人健康档案PHR(Personal Health Record)这一概念也由此被提出。个人健康档案是一种以拥有人为中心,综合管理个人健康信息的方案,它记录了个人日常生活中的各种健康状态,包括各种病史,用药情况,每次体检情况以及自检情况等。通过这种方式,医疗资源可以被有效地集中起来,这对预防医学的发展无疑也是有益的。在设计个人健康档案的存储标准时,XML是一种较为合适的选择,它的可读性、扩展性、平台无关性恰好解决了健康信息多来源、非结构化等问题。HL7 CDA标准同样选择了XML,这也使得我们在设计符合中国国情的健康档案时有了很好的参考标准。 基于模糊聚类的车辆跟驰隶属度函数确定方法 为了精确获得车辆跟驰模糊推理系统的隶属度函数,避免因采用专家法而使模糊推理结果的误差增大,提出采用模糊聚类分析的方法,考虑车辆跟驰数据内部的关联性,并根据高斯函数中参数的统计学意义进行车辆跟驰模糊集的划分和隶属度函数的确定。利用NGSIM数据,将后车速度、前后车相对速度、前后车间距作为输入变量,后车加速度作为输出变量建立车辆跟驰模糊推理系统,对提出的基于模糊聚类的车辆跟驰隶属度函数确定方法进行评价。结果表明,提出的新方法能真实反映数据本身的特征和驾驶员的心理生理特性,其推理结果与真实数据误差较小,可为车辆跟驰模糊推理系统的建立提供参考。 基于SVR模型的自适应区域池化物体检测方法 针对物体检测容易受外形、视觉等可变性影响的问题,提出一种利用支持向量回归(SVR)模型的区域池化检测方法,即自适应区域池化方法,该方法适用于分割区域,能自动发现不同的实例和图像块。生成区域方案,每种样本的方案都由不同颜色的边界框表示;利用区域池化法提取特征,解析区域结构;分类数据采用非极大值抑制法得到检测结果。实验结果验证了提出方法的有效性,与其它同类方法相比,该方法对物体检测的性能明显提升,其中平均召回率达到了90.8%,加入CNN特征,性能提升幅度更大。 环境空气SO2和NO2浓度的GAANN预测模型研究 空气中污染物浓度的预测是一个复杂的非线性问题。国内外的研究表明神经网络能够比回归模型更好地预报空气污染物。设计并实现了将用于选择最优预报因子的遗传算法和神经网络算法相结合的GA_ANN空气质量预测模型,利用某市2003~2006年的数据建立神经网络空气质量预测模型,对该市2007年全年SO2和NO2的预测实验表明,GA_ANN模型比单纯的神经网络模型具有更高的预报精度。 面向商品评分预测的隐变量模型构建与推理 用户偏好是决定用户对商品评分的隐含变量,以构建包含用户偏好的隐变量模型、描述评分数据中相关属性间任意形式依赖关系及其不确定性为主要目标,以贝叶斯网作为各属性间依赖关系及其不确定性表示的基本框架,由商品评分数据构建不含隐变量的商品评分模型,提出基于半团结构向其中插入描述用户偏好的隐变量的方法,从而构建包含用户偏好的隐变量模型,并给出基于EM算法的隐变量模型参数估计方法,进而提出隐变量模型的概率推理算法和相应的商品评分预测方法.建立在MovieLens和Book-Crossing数据上的实验结果表明,本文提出的隐变量模型构建和相应的评分预测方法是有效的. 基于空间距离自适应权重度量的粗糙K-means算法 粗糙K-means算法中下近似和边界区域权重系数的设置对算法的聚类效果有着重要的影响。传统的粗糙K-means算法及很多改进的粗糙K-means算法对所有类簇的下近似和边界区域设置固定的权重,忽视了簇内数据对象分布差异性的影响。针对这个问题,根据下近似和边界区域的数据对象相对于类簇中心的空间分布情况,提出一种新的基于空间距离自适应权重度量的粗糙K-means算法。该算法在每次迭代过程中,根据每个类簇的下近似和边界区域的数据对象相对于类簇中心的平均距离,综合度量下近似和边界区域对于类簇中心迭代计算的不同重要程度,动态地计算下近似和边界区域的相对权重系数。通过实例验证及实验仿真证明了所提算法的有效性。 Creator三维校园建模方法的研究与实现 介绍了三维校园建模的技术路线,数据的采集和处理方法,重点研究了纹理数据处理的内容和各类实体的建模方法和技巧。充分挖掘Creator的关键技术和数据库优化功能,力求以最优化的模型表达复杂的建模对象。最后得出了满足预期仿真效果,运行流畅的三维校园场景模型。 基于小波分析的RAIM算法卫星故障检测研究 作为一种传统的卫星故障诊断方法,RAIM在卫星故障监测中发挥着重要作用;文中基于奇偶矢量RAIM算法,提出一种基于小波分析的RAIM算法的卫星故障检测方法;首先利用Haar小波分解,对卫星故障信号进行小波变换处理,提取故障信号特征,通过在不同尺度的奇异性变化找到信号突变点,然后将检测结果反馈给RAIM算法进行故障检测,对不同条件下的卫星故障进行故障检测性能进行分析;仿真实验表明,该算法提高了系统故障检测的准确性,降低了误警率。 一种抵御HTTP洪水攻击的方法 HTTP洪水攻击在消耗网站服务器资源的同时威胁到信息安全。提出一种抵御方法,通过URL重写使Web日志记录客户端的CookieId和SessionId,用于识别请求者;定时分析Web日志,根据请求时间特征来识别傀儡主机;用自定义HTTP模块对请求进行预处理,拦截傀儡主机的请求。该方法成本低、便于实施,实践证明了其有效性。 求解非线性方程组的元胞自动机方法及其全局收敛性证明 为了求得非线性方程组所有精确解,根据元胞自动机的特点构造了求解非线性方程组的全局收敛算法。在该算法中,将非线性方程组解的理论搜索空间划分为离散搜索空间,将离散搜索空间定义为元胞空间;离散搜索空间的每个点就是一个元胞,而一个元胞对应着非线性方程组的一个试探解;元胞的状态由其空间位置及位置修正量构成。将元胞空间划分为若干个非空子集,所有元胞的状态从一个非空子集转移到另一个非空子集的状态演化过程实现了元胞空间对理论搜索空间的搜索。在元胞状态演化过程中,元胞从一个状态转移到另一个状态的状态转移概率可以计算出来;元胞演化过程中的每个状态对应于有限Markov链上的一个状态。利用可归约随机矩阵的稳定性条件证明了该算法具有全局收敛性。仿真实例表明该算法是高效的。 自适应的Web攻击异常检测方法 针对传统建模容易引入不可信样本的问题,提出了一种自适应建立基于Web攻击异常检测模型的方法。依据样本中Request-URL的结构特征对样本集进行分类,并利用样本的各属性来构造样本分类子集的离散性函数,其中离散程度值将作为识别正常行为集的依据;在此基础上,使用改进的隐马尔可夫模型(HMM)算法对正常行为样本集进行建模,并利用HMM合并的方法实现检测模型的动态更新。实验结果表明,所提方法建立的模型能够有效地识别出Web攻击请求,并降低检测的误报率。 基于自适应跟踪窗尺度的人脸探测 作为一种有效的迭代算法,Mean-shift具有良好的特性,在目标跟踪、图像平滑和其他计算机视觉领域得到了广泛应用。鉴于标准Mean-shift算法缺乏尺度自适应机制,而Camshift算法每次探测前需要人工选定人脸区域样本才能进行准确的探测,提出了一种用于视频中人脸探测的自适应跟踪窗算法。该算法在跟踪框内采用光照补偿和肤色分割来校正跟踪窗尺度和位置。实验表明,该算法不但具有良好的实时性,而且能较好地减少传统算法中的定位误差,更加准确地探测出视频中的人脸。 人工免疫在电子商务推荐中的应用 Internet的迅速发展使得电子商务中信息超载现象越发严重,如何从商品信息的海洋中快速准确的挑选出需要的商品已成为电子商务网站急需解决的问题,个性化推荐为解决该问题提供了可能。为了实现准确的推荐,本文提出了使用人工免疫技术对用户进行聚类,然后采用协同过滤技术进行推荐,结果表明,准确率可以达到80%以上。 基于WiFi和GSM的无线监控系统设计与实现 针对无线监控的现实需求,设计了一种在STM32F4微处理器平台上的无线远程监控系统;该系统主要由传感器模块、主控模块、无线传输模块和监控终端模块4个部分组成,具有传感器驱动、自动报警和远程监控等功能;文中着重给出了系统的整体方案详细说明、各个模块的原理和性能参数、系统的软件设计等;经过调试与测试,系统不仅实现了预期的功能,而且具有良好的实际应用前景。 基于浮动阈值分类器组合的多标签分类算法 针对目标可以同时属于多个类别的多标签分类问题,提出了一种基于浮动阈值分类器组合的多标签分类算法。首先,分析探讨了基于浮动阈值分类器的Ada Boost算法(Ada Boost.FT)的原理及错误率估计,证明了该算法能克服固定分段阈值分类器对分类边界附近点分类不稳定的缺点从而提高分类准确率;然后,采用二分类(BR)方法将该单标签学习算法应用于多标签分类问题,得到基于浮动阈值分类器组合的多标签分类方法,即多标签Ada Boost.FT。实验结果表明,所提算法的平均分类精度在Emotions数据集上比Ada Boost.MH、ML-k NN、Rank SVM这3种算法分别提高约4%、8%、11%;在Scene、Yeast数据集上仅比Rank SVM低约3%、1%。由实验分析可知,在不同类别标记之间基本没有关联关系或标签数目较少的数据集上,该算法均能得到较好的分类效果。 VxWorks环境下基于Intel 82559双网卡冗余切换技术的实现 针对Vxworks环境下单网卡通信可靠性不高的情况,在分析了PCI总线型网络适配器驱动装载机制及双网卡冗余热切换技术原理的基础上,详述了VxWorks环境下基于Intel 82559双网卡冗余热切换技术的实现方法,并给出了相应的BSP配置和冗余切换的实现代码;应用结果表明,双网卡冗余热切换技术的通信可靠性高,切换时间能达到ms级。 基于图模型的足球视频语义建模方法 "视频低层特征和高层语义之间存在的""语义鸿沟""是视频分析与检索应用研究的一个技术瓶颈问题。通过深入分析,提出了足球视频语义内容的时空关联特性,尝试引入图模型方法来建模这种语义关联,提出了视频语义图(VSG)的语义建模方法和基于DFS的视频语义图匹配算法,并分析了算法的复杂性。实验结果显示,该方法能够有效解决视频语义内容建模和分析匹配问题。" 基于NCSLab 3D的虚拟远程实验系统设计与实现 针对当前某些高校自动化专业实验教学设备资源不足与利用率低的问题,基于网络化控制系统实验室架构,将三维虚拟现实技术、远程控制技术等多项技术结合,以双容水箱为对象,通过三维建模与渲染、数学建模、系统集成等步骤,设计并实现一套虚拟远程实验系统。双容水箱实验结果表明,该系统能实现实验教学设备共享,使学生具有强烈的沉浸感与现场感,并且虚拟和远程实验结果可以进行相互验证,个性化的监控界面能提供更好的用户体验。 基于变更相似性的跨语言克隆检测方法 为了吸引更多开发者或是支持不同的平台,开源项目组织或商业公司倾向于采用多种语言实现他们的项目。在这些多语言项目中,存在大量跨语言的克隆代码,跨语言的克隆检测成为维护此类项目的重要部分。但是,现有的工具大多只能检测同一种语言的克隆,无法有效检测如Java和C#之间存在的跨语言克隆。为此,本文提出一种基于代码变更相似性的克隆检测方法,该工具能够检测出Java和C#代码中存在的跨语言克隆。在开源项目ANTLR和Fp ML上进行实验评估,结果表明该工具能够有效检测出跨语言克隆代码。 基于CMOE准则的盲自适应RLS检测器 针对直接递归最小二乘(RLS)算法存在的检测数值不稳定和收敛速度较慢等问题,将约束最小输出能量(CMOE)准则与直接RLS算法结合,提出一种基于CMOE准则的盲自适应RLS多用户检测算法。将该算法与直接RLS算法进行动、静态环境下输出信干比(SIR)、剩余输出能量(EOE)和误码率(BER)等方面的仿真,对比得出该算法具有更好的动态跟踪能力,更快的收敛速度和更高的稳定性。 面向流水线型模式的MANET嵌入式可靠性分析研究 随着大型离散制造业的竞争日益激烈,提高生产效率变得越来越重要。实施MES(Manufacturing ExecutionSystem)是提高竞争力的一个比较好的解决方案,而网络通信畅通是实施MES的关键基础。针对大型离散制造业车间的行业特点和实际环境,引入了移动自组织网络(MANET,Mobile Ad hoc Network)作为通信的网络。由于MA-NET是不依赖固定基础设施、无中心控制、动态拓扑的动态无线通讯网络,研究基于实际环境的MANET的可靠性对于网络的部署和调整就显得非常有现实意义。基于实际制造业车间环境,给出了面向作业型制造业生产模式的MANAET可靠性分析模型(ERMWT,Embedded Reliability Model on Working Table)。 Wiki远程支援终端系统的设计与实现 舰员作为远程支援系统的主要使用对象,是整个系统的使用核心。舰员远程支援系统由于远离大陆,离线支援是非常重要的部分。详细描述了完整的Wiki支援系统的设计需求、设计方案和系统组成,并给出了关键技术的实现代码。 基于多DSP的无人机飞行控制软件设计 结合正在参与的某型固定翼飞机控制系统的设计,分析在多CPU飞控机上飞行控制软件的设计,飞行控制计算机是以3块DSP2812作为控制单元,一块主CPU两块从CPU,两块从CPU各自分担一部分工作,减轻了主CPU的负担,相关DSP之间通过双口RAM进行数据交换,在μC/OS嵌入式实时操作系统上完成对飞行控制软件的设计,本设计实现了3块CPU协同运转和飞控软件的模块化,可靠性高,便于维护和功能的扩展,具有实际的应用价值。 基于图像处理的飞行器航迹显示方法 飞行器航迹的显示大多借助第三方软件开发实现,这些软件并非无偿使用,且存在信息安全方面的隐患。因此,介绍了一种可以自主开发实现的飞行器航迹显示方法。此方法基于普通JPG格式地图文件,借助可视化开发技术和图形图像处理技术,通过计算机编程语言,按照设计的算法实现了对地图的平移,缩放操作和飞行器航迹的实时显示,最终实现结果能够满足实时仿真的需要。 求解0-1背包问题的混沌二进制乌鸦算法 针对离散空间的最优化问题,提出了二进制乌鸦算法,并在初始解中利用Chebyshev映射产生两种混沌序列优化乌鸦的初始解,保证个体的初始位置在整个搜索空间均匀分布;然后,为快速有效地求解0-1背包问题,引入贪心修复与优化策略处理非正常编码个体,得到基于混沌理论的二进制乌鸦算法(chaotic binary crow search algorithm,CBCSA)。仿真实验表明,CBCSA具有良好的全局寻优能力和收敛速度,能快速求得最优解,且混沌序列的第一映射方式比第二映射方式性能更佳。 非线性筛选因子和加权的体育成绩建模与预测 为了提高体育成绩的预测精度,提出一种基于非线性筛选因子和加权的体育成绩预测模型(LSSVM-New)。首先采用最小二乘支持向量机根据交叉验证均方根误差最小原则对体育成绩影响因子进行非线性筛选,得到主要影响因子;然后对主要影响因子赋予不同大小的权值,反映其对体育成绩预测结果的作用程度;最后采用最小二乘支持向量机建立最优体育成绩预测模型,并应用于1000米跑成绩预测。仿真结果表明,相对于其他体育预测模型,LSSVM-New提高了体育成绩的预测精度,为体育成绩预测提供了一种新的研究思路。 使用超声波的智能手机手势识别 现今智能手机发展迅猛,人们生活质量得到大幅提高。为了更有效地利用智能手机资源,提高用户体验,提出了一种使用超声波的智能手机手势识别系统(AGRS系统)。该系统使用移动设备自带的扬声器发射20 kHz的超声波信号,使用话筒接收反射信号。AGRS系统可通过陀螺仪辅助判断当前手机摆放状态。系统使用虚警率以降低手势误识别率。AGRS利用声波的Doppler效应提取特征值,用FFT算法处理声波信号,最后选择适合的分类器对手势进行识别。实验结果证明AGRS系统手势识别率超过95%。 基于BP神经网络在专家系统知识获取上的应用 提出电力线路故障诊断专家系统中基于BP神经网络知识获取的方法,利用神经网络的自学习功能,解决知识更新的问题,并在一定程度上弥补了专家系统在知识获取上的不足。 目视检测损伤检出概率BP神经网络预测模型 为了获得更可靠的检出概率,提高目视检测结果准确性,保证航空维修安全,根据检测环境影响因素实验结果,选取照明条件、检测距离、检测角度、损伤深度作为检测结果影响因素,采用反向传播(BP)神经网络,以4个影响因素作为输入层神经元,检出概率作为输出层神经元,通过网络训练对样本数据进行仿真,建立检出概率预测模型,通过比较预测和实验数据对模型进行检验。结果表明,模型预测与实验所得检出概率值均方百分比误差为4.79%,模型预测结果是有效可行的。根据目视检测损伤检出概率BP神经网络预测模型可以选择符合要求的检测条件。 基于SAN的大容量实时视频存储/回放系统的设计 基于SAN构建了一个大容量实时视频存储/回放系统。在硬件方面利用SAN的优秀性能满足了大容量视频数据高速传输和集中存储的要求,在软件方面采用并行处理策略同时控制32路视频数据的实时存储,并且对接收队列进行了优化,此外还可以提供事后单路视频回放以及32路视频全景同步回放。 基于最小扭曲变换的正面人脸图像合成 二维正面人脸图像在视频监控、人脸识别等领域有重要的实际意义,为此提出一种利用多幅非正面人脸图像自动合成正面人脸图像的算法.从多幅非正面人脸图像中选择扭曲形变最小的像素进行合成,并使用加权平均来平滑合成图像,从而使得合成的正面人脸图像尽可能自然.实验结果表明,该算法所合成的正面人脸图像可以十分有效地逼近真实正面人脸图像,在人脸识别中也可以有效地保持人脸图像的身份特征不变. 基于QoS的Web服务选择方法研究新进展 随着服务计算、云计算技术的迅速发展,具有相同或相似功能但服务质量(Qo S)不同的可用服务已大规模部署在互联网上。如何从这些海量Web服务中为服务组合选择令人满意的服务成为一个重要的研究问题,其选择方法是影响组合服务的Qo S和服务组合性能高低的关键因素。为了解决该问题,研究人员开发了许多服务选择方法以帮助用户选择合适的服务。针对近五年基于Qo S的Web服务选择方法的新进展进行了综述,归纳了包括运用Skyline计算、协同过滤、优化算法、多属性决策支持理论和混合方法等一系列典型的服务选择方法,讨论了各方法的优势和局限性;根据当前研究现状,探讨了该领域的发展趋势及未来研究方向和挑战。 面向用户行驶计划的电动汽车充电调度策略 充电站(桩)尚未普及以及电动汽车有限的行驶里程,使得大多数汽车用户关于是否选择电动汽车犹豫不决。为了减少用户对于电动汽车有限电池容量的担心,并且降低因频繁充电以及偏离原定行驶路线绕路进行充电所增加的电动汽车使用费用,提出一种基于匹配理论面向用户行驶计划的电动汽车充电调度方案TPCS。首先,分别根据电动汽车用户的行驶计划和对各充电站的电量需求构建电动汽车用户与充电站的偏好表;然后,建立电动汽车用户与充电站之间的多对一匹配模型;最后,以系统总效用为优化目标进行充电站接口分配。数值仿真结果显示,与随机分配(RCS)算法和仅考虑电动汽车效用分配(OEVS)算法相比,TPCS算法将系统总效用较RCS算法最多提高了39.3%,较OEVS算法最多提高了5%;而在电动汽车充电需求轻负载时,TPCS算法始终保证用户满意度在90%以上,高于RCS算法。所提算法能够有效地提高系统总效用和用户满意度,同时降低计算复杂度。 基于距离和DF-RLS的时间序列异常检测 为能同时检测时间序列中的附加异常和革新异常,改进自回归模型,提出距离因子递推最小二乘(DF-RLS)线性预测算法。在此基础上,给出一种基于距离和DF-RLS的联合异常检测方法——DDR-OD。实验结果表明,与当前其他时间序列异常检测方法相比,DDR-OD的检测效果较优。 基于CBR的装备故障诊断技术研究 针对传统装备故障诊断理论对故障案例信息利用率不高的问题,研究了基于CBR的装备故障诊断方法。首先介绍了CBR的基本原理,分析了CBR的基本流程,然后研究了CBR各个阶段的实现策略,最后利用Visual C++、Matlab 7.0和MySQL关系型数据库构建了一个基于CBR的故障诊断专家系统,对CBR在维修信息知识化中的作用进行了验证。 高斯扰动粒子群算法的数据库查询优化 针对量子粒子群算法存在的不足,将变异算子引入其中,提出一种高斯变异量子粒子群算法(GM-QPSO),并将其应用于数据库查询优化中.首先建立数据库查询优化数学模型,然后采用量子粒子代表一个可行的数据库查询方案,然后通过量子粒子之间的信息交流,找到数据库查询最优解,最后在Matlab 2012上进行了仿真实验.仿真结果表明,GM-QPSO克服了量子粒子群算法存在的不足,不仅提高了数据库查询速度,而且获得了更加理想的查询优化方案. 基于新型免疫遗传算法的高校排课仿真研究 研究高校排课问题,关系到高校教学质量的提高和教学资源的充分利用,随着高校教学的深入改革和高校的扩招,优化排课的高效解决就变得更加迫切。通过深入分析高校排课问题,建立了排课问题的数学优化模型,构建了它的基本求解过程。针对高校排课问题的特点,引入遗传算法来加以解决,设计了多种改进方案,包括:新的二进制编码方案、初始种群生成方案、适应度函数设计方案、免疫策略、自适应交叉概率和自适应变异概率设计方案。仿真结果表明新型算法能满足高校排课问题的多重约束条件,能更有效地解决高校排课问题。 江苏省地震应急速报信息系统研究 "利用3S技术,在融合多源异构数据的基础上,构建了震害背景信息和灾区震害信息的专题数据库,研制出了震害空间分布图快速、灵活的出图方法。在.NET平台下,采用ArcEngine和ComGIS设计并研发了江苏省地震应急速报信息快速提取和出图系统,实现了12322防震减灾公益短信平台灾情的可视化表达,并改进了""地震应急指挥辅助决策技术系统""专题信息的快速提取和现有成图方法,使出图速度提高了3~10倍。" 智能化计算机安全监控信息网络技术研究 为了保障计算机以及使用者信息的安全性、隐秘性,并且提高计算机的使用生命周期,需要对计算机进行安全智能监控;但采用当前的计算机安全监控技术对计算机安全进行监控时,没有设置具体的安全监控指标,无法计算出计算机安全监控的非安全因素权重,存在计算机网络可能自动泄密,无法智能监控以及监控数据误差大的问题;为此,将信息网络技术应用于计算机安全智能监控中,提出了一种基于LINUX的计算机安全智能监控方法;该方法先将计算机非安全因素进行分类,其中包括计算机网络配置,自带系统和网络病毒;然后利用Altera EPM7128S芯片对计算机安全智能监控进行硬件构造,采用CPLD结构根据计算机非安全因素分类结果对计算机安全智能监控软件部分进行设计,软件设计中依据计算机安全智能监控失真衰减的抑制方法,实现计算机远程安全智能监控,最后根据Delphi法来建立计算机安全智能监控网络环境总体运行情况的评估指标体系,对大规模无法定量分析的计算机安全监控因素做出概率估计,以概率估计结果为依据对计算机安全智能监控的风险进行评估,从而实现对计算机安全的智能化监控;实验仿真证明,所提方法提高了计算机安全智能监控的全面性和高效性,减少了计算机安全智能监控数据传输的丢包率。 先进安全操作管理系统在锦州石化公司的应用 本文针对石油化工企业生产的工艺特点和操作情况,采用先进安全操作管理方法建立操作支持系统,有效地提高了生产平稳率,使操作人员实现了安全、高效的操作,同时大大减少了无效报警、降低了对装置的生产扰动,提高了装置安全等级。 基于动态流量的多拓扑链路权值优化算法 针对网络流量动态变化和不同流量对拥塞控制和传输代价的要求不同的现象,提出一种基于小生境粒子群的多拓扑路由子层链路权值优化算法。该算法以适应网络流量动态变化为目标,设置了以时段划分的业务量矩阵和适应流量动态变化要求的权重因子。优化目标函数从拥塞代价影响和传输代价影响两方面进行了改进,并利用小生境粒子群算法对目标函数进行寻优,以解决一般优化算法存在的容易陷入局部最优的问题。实验结果表明,算法能够在网络中实现负载均衡。 基于约束树形图结构外观模型的人体姿态估计 人体姿态估计是计算机视觉领域中的一个关键问题,可用于行人检测、人体活动分析、人机交互以及视频监控等方面。针对基于树形图形结构模型的人体姿态估计算法中人体部件外观模型容易受到背景干扰的问题,提出了基于先验分割和外观转换的外观模型的人体姿态估计算法以改进人体的外观模型。根据PS模型,使用人体检测器和前景加亮进行预处理,确定人体的大致位置和大小,同时移除背景上的杂斑,基于先验分割和外观转换机制来估计人体部件的外观模型。实验表明在不同的图像数据库中,该算法在使用人体检测器和前景加亮算法减少部件搜索空间的同时,提高了人体姿态估计的准确性。 建筑工程计算机辅助招投标系统研究与设计 基于实践,详细分析建筑工程招投标的流程及其安全性需求,设计并实现一种安全高效的建筑工程计算机辅助招投标系统。针对该系统的安全保密要求,提出和解决系统的安全体系设计问题,保证招标信息在传输和存储过程中的完整性和机密性。此外,针对在Delphi平台上开发此系统时遇到的若干特殊功能需求,提供其解决思路与解决方案。 运动目标检测中的环境感知与自适应研究 在复杂环境下,任何环境的改变都会对运动目标检测的准确性产生影响。因此提出广义高斯混合模型与背景减除法相结合的算法对运动目标进行检测。该模型可以灵活地感知环境,自适应地处理视频背景模型中背景的环境变化,如光线渐变、背景扰动、阴影和噪声等,而且当光线突变时可以迅速感知并重新建模。此外为了满足实时性,采取每隔3帧进行一次背景更新的策略。实验结果证明本算法在满足实时性的同时,能准确检测出运动目标。 数字预失真系统对各种误差的敏感度分析 大多数数字预失真(DPD)系统都是在各种理想假设条件下进行的理论验证和算法仿真。在真实的硬件系统中由于受到各种误差分量的影响,仿真环境下所得的补偿效果与性能指标有时很难在实际系统中复现。针对DPD系统中常见的几种误差分量进行分析,根据其数字域体现建立基带误差模型及数字域DPD仿真系统。通过大量细致的仿真实验,归纳和分析了各种误差分量对DPD系统的影响,最终为硬件系统各主要部件设计指标的提出提供了依据并同时降低了后期系统调试的工作量。 基于MIPS指令集的超标量和超长指令字混合架构处理器设计 针对嵌入式和移动设备对处理器高性能低功耗日趋强烈的要求,提出一种基于MIPS指令集的顺序超标量和超长指令字混合架构处理器设计方案,便于以同构多核架构代替目前业界普遍采用的CPU与DSP异构结构,降低功耗面积,同时以VLIW模式获得较好的DSP性能。在PD(processor designer)平台下以LISA语言建立处理器的周期精度软件模拟器,通用性能和DSP性能分别由dhrystone、coremark基准测试程序及EEMBC的telecom测试程序进行验证。测试结果表明,该设计以较低的硬件开销通过混合架构获得较高的数字信号处理性能,在高性能低功耗的处理器应用场景中拥有良好的适用性。 多目标学习的图像滤波电路函数级进化方法 针对图像滤波的可硬件化和细节保留中存在的问题,提出一种多目标学习的图像滤波电路函数级进化设计方法.首先建立平均绝对误差最小和高误差点数量最少的多目标滤波学习模型,以函数级基因表达式为进化电路个体的表征;采用离线进化模式搜索近似目标的最优进化电路个体,并对该个体的基因表达式进行VHDL转换,再将转换的VHDL移植于可编程逻辑器件上实现滤波电路.与多种滤波方法在边缘保留、峰值信噪比-均方误差的比较结果表明,电路在细节和边缘保留上有较大的提高,视觉效果更好. 基于ASM描述共享网络的实时任务可执行概率设计 利用抽象状态机(ASM),形式化描述了共享网络中实时任务接纳控制机制,提出了实时任务可执行概率设计过程的ASM模型,利用Spec Explorer给出了分析该模型的方法步骤。该模型不仅有助于验证其设计,还有利于实现实时任务接纳控制机制,并为利用ASM模型方法设计实现其他控制机制提供了范例。 基于LSC图像分割的LBP立体匹配算法 在传统的全局立体匹配方法中,基于像素点的置信传播存在计算量大、单个像素点容易导致误差等缺点。为此,在图像分割处理方法的基础上,提出基于简单线性迭代聚类图像分割的循环置信度传播(LBP)立体匹配算法。运用LSC算法对图像进行分割,并利用一组平面模型进行建模,使每个分割区域至少对应一个视差平面标签。引入自适应匹配代价计算视差,获取可靠匹配像素点,通过最小二乘法平面拟合进行视差平面估计,并运用LBP算法优化视差平面标签。实验结果表明,与GC+occ、MultiCamGC等算法相比,该算法具有较高的匹配精度,能够处理低纹理区域和遮挡区域。 利用异或运算和编码约束的降维LDP人脸识别方法 为了有效表示面部特征,在局部方向模式(LDP)的基础上,提出降维局部方向模式(RDLDP);首先,修改LDP编码模式约束以完成模式的重构,通过对LDP码进行异或运算来计算每个块的单一码;然后,将所得编码图像划分为生成直方图,连接所有区域的直方图块以形成最终描述符;最后,计算特征向量间的卡方相异性度量值,并使用最近邻分类器完成最终的人脸识别;实验采用了3个公开的标准数据库FERET、扩展YALE-B和ORL,提出的改进方法在3个数据集上的最高识别率分别可高达96.97%、96.10%、97.61%,该结果验证了提出方法的有效性。与其他基于局部描述符的先进方法相比,提出方法在准确度和错误识别率等方面更优。 LB-AGR路由协议在水声网络试验床上的优化与测试 针对当前水声网络研究大多基于网络仿真的现状,本文在青海湖真实的水下实验床上对LB-AGR路由协议进行实现、测试、分析与优化。针对测试过程中出现的节点层级计数至无穷以及Sink节点状态死锁等问题,通过设置计时器、改进节点状态判别等措施进行设计优化,并对优化后的协议重新测试。测试结果表明,优化后的LB-AGR路由协议能较好地解决层级计数至无穷以及Sink节点状态死锁问题,且能快速、稳定地完成水下数据的多跳传输。 医学影像三维可视化系统的设计与实现 "研究医疗诊断图像。针对计算机断层扫描图像CT和核磁共振图像MR I只能提供人体内部的二维图像,医生们只能凭经验由多幅二维图像去估计病灶的大小和形状,""构思""病灶与其周围组织的三维几何关系,给诊断带来了困难。为了使手术医疗诊断和治疗规划更准确。提出了设计和实现一个交互性的医学图像三维重建和可视化系统。首先给出了医学图像可视化算法原理;然后进行了三维重建可视化系统设计。最后以VC和VTK为开发工具设计程序,实现了CT文件的读取、图像数据的显示和基于MC算法和MS算法的三维模型的重建。仿真结果表明:既可以作为单独三维可视化系统用于医疗诊断,也可以针对不同手术的需要进行功能扩展,证明诊断图像显示更精确,为手术提供了科学手段。" 基于Voronoi图的线段最近对查询 最近对查询是空间数据库中的重要查询之一。已有的关于最近对查询的研究基本集中在点对象上,对空间对象无法抽象为点的对象则研究较少。提出基于平面线段的最近对查询,即找出两个平面线段集中距离最近的线段对。提出基于Voronoi图的线段最近对查询算法,该方法构造两个线段集的Voronoi图,利用Voronoi图的最近邻近特性和局域动态特性找到互为最近邻的线段对,从中找到结果,以缩减大量的计算代价。对线段集中增加线段和删除线段的情况做了相应的处理。实验证明,该算法具有较高的查询效率。 基于互信息博弈的侧信道攻击安全风险评估 侧信道攻击的攻防过程可以视为互信息博弈过程,博弈的双方分别为密码设备设计者(防御方)和攻击者。防御方的博弈目标是通过制定相关的防御策略,减少由侧信道泄漏所引发的局部风险和全局风险;对攻击方而言,其博弈目标正好与之相反。从制定安全策略、降低安全风险的角度出发,将互信息博弈理论引入密码芯片设计者(防御方)和攻击者的决策过程,考察攻防策略的选择对安全风险的影响,并结合互信息的量化方法,给出了Nash均衡条件下攻防双方的优化策略选择方法及Nash均衡下攻防双方的互信息收益。 二元再生码在分布式存储系统的应用 分布式存储系统以其高效的可扩展性和高可用性成为存储大数据的主要系统.为了提高可靠性,需要在分布式存储系统中引入冗余.因此如何最优化存储空间、最小化修复带宽和最小化计算复杂度是衡量冗余存储系统效率的关键问题.再生码存储是一类可以达到存储空间与网络修复带宽最佳折中的存储方法,但现有的再生码的构造方法有大量有限域的乘法运算,其高昂的计算复杂度成为用于分布式存储系统中的主要瓶颈.实验结果表明,在保留再生码优势的前提下,采用移位和异或运算取代有限域的乘法运算可以大幅度地降低计算复杂度.创新之处在于提出了二元再生码(binary regenerating codes,BRGC),并给出了构造二元再生码的两类最佳再生码,即最小带宽二元再生码和最小存储二元再生码的方法.通过评估和对比主流的RS码和基于矩阵乘法的再生码,发现BRGC在计算复杂度方面有着明显的优势,在实际海量数据的分布式存储系统中具备更好的应用价值.BRGC在修复和解码性能均优于柯西(Cauchy Reed-Solomon)码. 普适环境下的基于信任度的动态模糊访问控制模型 普适计算是国际公认的未来计算主流模式之一,本文针对其安全性问题,提出了普适环境下的基于信任度的动态模糊访问控制模型TDFACM,该模型将传统的访问控制模型与区间值模糊计算理论结合,通过对主体信任度评估进行授权决策,并给出了加权模糊值推理方法.该模型能更好的解决普适环境下访问控制模型的实用性与安全性. DiffServ体系的组播实现机制 广域网中IntServ体系需要DiffServ体系的支持来完成组播的应用。然而,即使利用隧道机制,骨干网中一点对多点的组播应用难以较好地得到DiffServ体系的支持。首先分析IntServ体系的组播机制,并讨论DiffServ协议体系中组播机制的实现问题,然后指出DiffServ体系不能较好地支持组播机制的根源在于边界路由器和域内核心路由器,而与域间骨干路由器无关。最后给出解决DiffServ体系实现组播机制的改进方法。 一种求解动态背包问题的离散粒子群优化算法 动态背包问题(DKP)是一类经典的动态优化问题,可以用来描述许多实际的问题。迄今为止,针对动态背包问题的研究主要集中在遗传算法上,而对粒子群优化算法的研究较少。在离散粒子群优化模型的基础上,引入环境变化的探测以及环境变化后的响应机制,提出一种求解动态背包问题的离散粒子群优化算法(DSDPSO)。将该算法和现有经典的自适应原对偶遗传算法(APDGA)在两个动态背包问题上进行了对比实验,结果表明,DSDPSO算法在环境变化后能迅速地找到最优解并稳定下来,更适合于求解动态背包问题。 对“改进遍历矩阵和像素值扩散的图像加密算法”的密码分析 最近提出了一个基于混沌的改进遍历矩阵和像素值扩散的图像加密算法,该加密算法首先将Logistic混沌映射构造一个遍历矩阵用于在图像空域迭代置换,然后再采用一个新的混沌序列对像素值进行扩散。通过对该加密算法的分析,找出了该算法存在的安全漏洞,从而提出了选择明文/已知明文的攻击方法,通过选择特殊的明文图像及其对应的密文图像,可在未知密钥的条件下对同样大小的密文图像进行破解。仿真实验结果表明这种攻击方法非常有效。 航空飞行员直觉模糊空战战术决策研究 在现代空战中,双方战机态势时刻在发生变化,机会转瞬即逝,飞行员如果能及时准确的判断双方态势,就能通过机动动作获得更好的占位优势。直觉模糊集是处理模糊问题的拓展,通过结合直觉模糊推理规则和超视距空战的基本战术动作建立了空战战术推理方法。针对空战战机稍纵即逝的特点,通过选取五种合适的直觉模糊控制参数和隶属度函数,确定了整个直觉模糊空战战术决策网络。最后,选取了实例进行仿真实验,实验结果验证了空战决策系统的正确性与可行性。 作战事件跟踪描述建模及验证方法研究 为了对体系结构设计中的作战事件跟踪描述进行建模分析,提出了用扩展时序图模型对作战事件跟踪描述进行建模的方法。定义时序图的生命线为时间消息,研究了时序图模型与Petri模型之间的同构关系,以此为依据给出了时序图转换为Petri网的算法。在此基础上,研究了扩展时序图和对象Petri网之间的转换算法,并处理了扩展时序图中的自环消息特例。最后,结合防空作战过程给出该方法的一个应用案例。 叠前深度逆时偏移成像的并行算法研究 逆时偏移(RTM)成像波场逆推具有计算量大和运算速度慢的问题。为此,根据叠前深度RTM算法的特点,运用稳定条件、边界条件、成像条件3个要件并基于消息传递接口,提出全波波动方程叠前深度RTM成像并行算法。与传统柯西霍夫叠前深度偏移算法进行对比的结果表明,该算法能够较好地对地震数据进行偏移成像,成像效果优于传统算法并且并行速度较快。 基于改进SIS算法和顺序RANSAC的车道线检测方法研究 为了降低车道线识别算法在车道线存在阴影遮挡、路面出现泛白现象等不同道路环境下的误检率,提出了一种基于改进简单图像统计(Simple Image Statistics,SIS)阈值算法和改进的顺序随机抽样一致性(Random Sample Consensus,RANSAC)的车道线检测算法;首先,在图像预处理阶段采用改进的SIS阈值算法进行二值化;然后构建车道线模型,并简化车道线模型为双曲线模型;之后采用改进的顺序RANSAC算法拟合车道线;最后根据两边的车道线模型进行模型配对,通过选取最多支持数据点的组合确定车道线;分别采用加州理工学院的车道数据集和实际采集的城市快速路视频对所提出方法进行了实验验证,实验结果表明,该算法误检率低,为2.32%,鲁棒性高,能在复杂环境下快速、准确识别车道线。 基于精确欧氏局部敏感哈希的协同过滤推荐算法 针对推荐系统中用户评分数据的海量高维与稀疏性,以及直接利用传统相似性度量方法来获取近邻的计算量大、结果不准等对推荐质量的影响,提出基于精确欧氏局部敏感哈希(E2LSH)的协同过滤推荐算法。首先利用精确欧氏局部敏感哈希算法对用户评分数据进行降维处理并构建索引,以快速获取目标用户的近邻用户;然后利用加权策略来预测用户评分,进而完成协同过滤推荐。实验结果表明,该算法能有效解决用户数据的海量高维与稀疏性问题,且运行效率高,具有较好的推荐质量。 动平台下双目视觉定位标定算法研究 研究空中目标定位优化问题,对于动平台下的双目视觉定位精度差。通过固定位置的两部相机拍摄图像的视差,获取目标位置信息,算法简单、精确。对静平台下相机标定参数进行调整,用新的旋转矩阵和平移向量取代静平台下的这些数据,降低动平台带来的扰动,同时解决了相机转动后需要重新标定才能获得相机内外参数的问题。最后仿真结果验证了改进后的双目视觉定位标定算法达到在平台转动情况下定位精确、算法简单、有效,为空间电影票定位优化设计提供了参考。 代数拼接曲面的参数调整 针对代数曲面拼接中存在直接通过符号计算方法得到的拼接曲面有时不能满足实际拼接需求的问题,提出2种对二次曲面的拼接曲面进行调整的方法:1)计算拼接曲面之前,在构造的方程中加入参数,然后利用结式能够对含有参数的代数方程进行消元的性质得到带有参数的过渡曲面,调整参数的值改变从一个曲面过渡到另一个曲面的速度使得曲面形状改变;2)在计算出结式后,通过增加补偿参数或函数使得曲面的形状改变.文中还从理论上分析并证明了这2种方法可以保持原来拼接曲面光滑连续性的阶数.实验结果表明,文中方法具有很强的通用性且易于实现. 不确定移动对象概率Skyline集的查询更新 Skyline查询的研究已从传统的静态Skyline操作延伸到动态的、不确定数据集上的Skyline查询和计算上。研究了移动环境下,查询点位置固定、目标点处于运动状态并且位置不确定情况下的连续概率Skyline计算问题。这个过程中,移动对象与查询对象之间的距离随时间不断变化。移动对象由于其运动状态导致位置无法精确定位,因此移动对象之间的支配关系只能采用概率形式表示,且随时间不断变化。给出了移动对象间的支配概率的定义,以及移动对象Skyline概率的定义,并定义了触发事件来记录对象支配概率发生变化的时刻,实现概率Skyline计算的连续跟踪和动态更新。提出了基于事件触发的连续概率Skyline查询算法(event triggered continuous probabilistic Skyline query for uncertain moving object,U-ECPS),对移动环境下的Skyline集进行连续查询和更新。大量的实验结果验证了U-ECPS算法的有效性。 基于稀疏字典的听觉显著性计算 听觉注意显著性计算模型是研究听觉注意模型的基本问题,显著性计算中选择合适的特征是关键,本文从特征选择的角度提出了一种基于稀疏字典学习的听觉显著性计算模型.该模型首先通过K-SVD字典学习算法学习各种声学信号的特征,然后对字典集进行归类整合,以选取的特征字典为基础,采用OMP算法对信号进行稀疏表示,并直接将稀疏系数按帧合并得到声学信号的听觉显著图.仿真结果表明该听觉显著性计算模型在特征选择上更符合声学信号的自然属性,基于基础特征字典的显著图可以突出噪声中具有结构特征的声信号,基于特定信号特征字典的显著图可以实现对特定声信号的选择性关注. 基于交叉突变算子的人工蜂群算法及其应用 人工蜂群(artificial bee colony,ABC)算法在解决多峰函数优化问题时经常会陷入局部最优,使得算法过早停滞,而在解决单峰问题时往往出现收敛速度过慢的问题。针对上述不足,为了进一步提高算法的优化性能,提出了一种基于交叉突变的人工蜂群(intersect mutation ABC,IMABC)算法。IMABC算法将整个蜂群依据其适应度值优劣进行划分,引入种群划分参数,对不同种群中的个体运用交叉突变算子,有效地平衡了种群的局部开采与全局探测能力,避免早熟收敛和提高收敛速度。从对基本函数的测试上可以看出,IMABC相对于GABC、IABC、ABC/best等改进的ABC算法,优化能力有了较大的提高。最后,将IMABC用于优化K-means算法,验证了该方法具有一定的实用性。 模糊描述逻辑知识库查询蕴涵的判定方法 大规模领域本体的快速发展对语义Web领域的数据访问提出了更高的要求,而基本的本体推理服务已不能满足数据密集型应用中处理复杂查询(主要是合取查询)的迫切需要.为此,大量的研究工作集中在本体和描述逻辑知识库合取查询算法的设计实现上,并开发出了很多知识库存储和查询的实用工具.近来模糊本体和模糊描述逻辑的研究,特别是它们在处理语义Web中模糊信息方面,得到了广泛关注.文中重点研究了模糊#0这一族极富表达能力的描述逻辑知识库的合取查询问题,提出了相应的基于推演表的算法,证明了算法对于f-#0123的真子逻辑的可靠性、完备性和可终止性.证明了算法对于f-#0123是可靠的,并分析了导致算法不可终止的原因.对于该问题的数据复杂度,证明了当查询中不存在传递角色时其严格的CONP上限.对于联合复杂度,证明了算法关于知识库和查询大小的CO3NEXPTIME时间复杂度上限. 基于分解的三维Otsu运动车辆检测方法 在户外交通环境下,采用传统目标检测方法容易产生大量噪声,传统三维最大类间方差(Otsu)方法能消除噪声,但是不能满足实时性。为此,提出一种基于分解的三维Otsu运动车辆检测方法。通过隔帧对称差分法得到2个差分图像,对这2个差分图像采用基于分解的三维Otsu法进行阈值分割,对这2个二值图像在使用数学形态学滤波后,求交集得到运动车辆目标。实验结果表明,该方法在视频帧出现不规则抖动的情况下,能实时和精确地检测出运动车辆。 iSCSI Target研究与性能测试 为了实现廉价、高效的iSCSI Target,分析了iSCSI协议的核心内容。在此基础上,对iSCSI Target的数据传输率和CPU利用率等方面的性能进行了详细的测试。分析了CPU利用率、数据块大小、Initiator台数和其它一些因素对Target性能的影响,并且验证了所得的结论,提出了一个改进iSCSI Target性能的假设。实验结果表明,影响Target性能的因素有很多,需要综合考虑来提高Target性能。 对称逻辑公式在L3*逻辑度量空间中的分布 在三值逻辑系统L3*中引入了对称三值R0函数的概念,在此基础上给出了对称逻辑公式和准对称逻辑公式的定义.研究了在逻辑等价意义下对称逻辑公式的性质,给出了L3*和经典逻辑系统L中对称逻辑公式之间的关系及其计数问题,证明了n元对称逻辑公式占全体n元逻辑公式的比例随n的增大而趋向于零,且全体对称逻辑公式的真度之集却在[0,1]中稠密.然而全体对称逻辑公式之集是逻辑度量空间中的无处稠密集. 基于遗传加差分算法的云计算任务调度 随着云计算的不断发展,任务调度问题成了研究的难点.如何快速处理用户的任务请求,使得云计算中资源达到负载均衡,使任务的完成时间及成本达到相对最优,通过对比分析了已有任务调度算法存在的问题提出遗传加差分算法的云计算任务调度策略,采用种群更新的方式提高算法的鲁棒性、二级变异策略提高种群多样性,加快算法的收敛,加入差分算子提高算法的局部寻优能力.通过cloudsim仿真实验并对比遗传算法、差分算法、minmin算法证明了本算法的在负载均衡度,时间和成本上有效性. RFID系统防碰撞算法 无线射频识别(RFID)系统经过多年的发展已经成为人们日常生产、生活中重要的一部分了。在RFID系统的研究中,防碰撞(Anti-collision)是一个重要的问题,并且会在很大程度上影响RFID系统的性能。针对三种不同的碰撞类型对防碰撞算法进行了分类,其中有些算法已经成为了工业界的标准。另外,对RFID系统中一些特殊应用中的防碰撞算法进行了介绍。通过完整的综述,希望读者能够了解防碰撞算法进展,掌握防碰撞算法未来的研究方向。 边远岛气压温湿集成测量系统设计 气压、空气温湿度是海洋气象的重要观测内容;介绍了采用新型压力传感器MS5534C和温湿度传感器SHT15构成的集成测量系统在边远海岛气象监测中的一个应用实例,描述了这两个传感器的基本特性及其与微控制器C8051F120的硬件接口设计和软件控制方法;给出了RS-485总线接口电路设计、软件设计及其集成方法;系统经检定表明,气压的最大误差是-0.29hPa,温度的最大误差是-0.19℃,湿度的最大误差是-3%,优于项目的设计指标;实际应用证明,该系统具有精度高、功耗低、维护方便和可靠性高的特点。 积木3D模型智能搭建系统主要算法的研究与设计 由于人工使用插座式积木搭建大型场景模型时会出现无法提前计算用料、单凭经验搭建费时费力等难题,应企业需求而开发的积木智能搭建系统解决了从模型的3D文件导入、模型调整、切片分层、栅格化,到输出积木搭建方案的全智能化。其中对该系统开发所涉及的矢量图栅格化、积木铺设、模型粘连性检验等问题,提出了相应的算法,并探讨了设计的细节。这些算法是整个系统的核心,系统的实际应用表明,这些算法在保证模型正确搭建的前提下,能有效地提高系统的运算速度。 全局优化的一类新的F-C函数 针对求解全局优化问题,有很多种求解方法。文中提出了一种快速求解一般无约束最优化问题的辅助函数方法,即F-C函数方法。该方法与填充函数法和跨越函数法相比较,既有相同点又有不同点。F-C函数法最大的优点就是在极小化F-C函数阶段中只需要进行一次局部极小化算法就能得到比当前极小值更低的目标函数局部极小点。文中在无Lipschitz连续的条件下,给出了一类新的求解全局优化问题的F-C函数。文中讨论了该F-C函数的优良性质并对该函数设计了相应的算法。最后,通过数值试验表明该F-C函数方法具有有效性和可行性。 基于Android平台的手机终端公交查询系统设计 首先对手机终端公交查询系统的设计背景做介绍。然后对系统的总体框架进行设计,包括网络系统架构和软件系统架构。之后根据总体框架,设计3个功能模块,并规划数据库的结构。最后重点对公交线路数据更新和公交线路信息查询两个业务处理流程进行详细设计。 基于SURF的增强现实标识物跟踪注册 针对基于标识物的增强现实跟踪注册方法对复杂环境的适应能力和鲁棒性的不足,提出一种用SURF实现标识物跟踪注册的改进算法。用SURF对平面标识物的特征点进行检测与描述,采用K-means算法对检测出的标识物特征点集合进行聚类分析得到其聚类中心,结合对视频图像中标识物的阈值分割与连通域分析,以聚类中心构建标识物的SURF特征点坐标系,通过矩阵变换实现标识物的跟踪注册。实验结果表明,该算法的增强现实系统具备较好的鲁棒性、稳定性和实时性。 面向用户查询意图的句子相似度分层计算 为进一步提高句子相似度算法的准确性并提升其在复杂语境中的适用性,综合编辑距离、关键词及同义词语义方法,设计出面向用户查询意图的句子相似度分层算法。在充分分析实验数据用途的基础上,研究数据的特征分布,借助自然标注将句子相似度计算建模为多层次优化问题。经仿真实验证实该算法是有效的,F值可达到0.6019。 基于SSD的机群文件系统元数据存储系统 随着数据量的增加和元数据操作性能需求的提高,传统基于磁盘(HDD)存储架构的机群文件系统元数据存储系统由于HDD成为性能瓶颈而无法满足需求.将SSD应用到元数据存储中,设计实现了一个基于SSD+HDD的异构元数据存储系统Hybrid MDSL.针对SSD的I/O特性设计了基于追加写的元数据存储组织,并通过基于访问热度的数据迁移机制提高SSD空间利用率.测试结果表明,Hybrid MDSL明显提高了元数据I/O的性能. Web入侵检测中一种改良的事件聚类分析方法 为提升Web入侵检测中聚类分析的效率和质量,提出了一种事件聚类分析方法。给出了Web日志数据的预处理办法,之后对数据模型进行定义,在分析过程中,先通过决策树预分类降低样本数据的规模,提升聚类效率,再通过替换访问用户为访问事件,引入路径离散度,改良了路径相似度的计算方法,提升聚类质量。 新一代天气雷达基数据文件格式自动识别方法研究 多样的基数据文件格式一直是困扰新一代天气雷达资料应用的一个问题。为了解决这一问题,本文分析我国新一代天气雷达的各种不同的基数据文件格式,提出一种自动识别的方法。通过对文件二进制信息的自动分析,区分是否是基数据文件,识别基数据文件的类型。本文方法流程简单、识别准确、扩展容易,可应用于雷达数据读取模块之前,使软件以较小代价兼容多种雷达基数据文件。 一种多层架构中基于统计学习的性能预测方法 随着大规模企业应用复杂度的增加,对多层架构系统性能分析和预测变得越来越重要。在实现TPC-App基准的基础上,提出了一种基于统计学习的预测模型,有效地回答在多层系统架构中性能如何随着负载压力的变化而变化的问题。从线性回归方程次幂、性能指标特性、集群结点数和训练数据所占比例等四个方面分析预测模型的精确度。实验结果表明该方法为性能预测和能力规划提供一种实用高效的解决方案。 基于拍卖的订单接受与加工调度分散决策问题 在不完全信息的分散决策场景下,针对加工能力有限但需要承诺交货期的单件订单接受与加工调度问题,以优化分散系统全局收益为目标,设计了一个多轮升价拍卖机制。该机制采用基于供求关系的自适应定价策略,动态调整拍卖方向,并以明确的经济收益为目标进行竞胜标、投标占优决策。数值实验结果显示,与先来先服务的加工策略相比,该拍卖机制能显著提高制造商收益和分散系统总收益,分散系统总收益平均达到完全信息下系统总收益的92%以上。 新型MFCC和波动模型相结合的二层环境声音识别 对生态环境中各种不同的声音进行快速准确的识别有重要的现实意义,但是因其具有较高背景噪声加大了识别的难度。提出一种具有良好抗噪能力和较高识别性能的两层音频识别技术。选择经过改进的新型的MFCC参数以及波动模型作为生态环境声音的特征集合。利用这种新型的MFCC系数构造音频信号的高斯分布模型,并且计算未知音频信号与样本音频信号的高斯分布模型之间的Kullback-Leibler距离,随后计算它们的波动模型之间的欧几里德距离。根据计算出的Kullback-Leibler距离和欧几里德距离实现两层音频识别系统。实验结果表明两层音频识别技术即使在噪声的影响下也能保持较高的识别率。 基于Web2.0的综合搜索引擎 Web 2.0的出现使网络中的信息量呈井喷局势,给搜索引擎带来了新的挑战,目前的搜索引擎已经不能满足大多数用户的需求。针对这种情况,首先分析了当前搜索引擎的现状和优缺点,其次针对新的情况下的用户需求作出分析,参考和利用了当前Web 2.0的一些先进技术,提出一个基于Web 2.0社区的综合搜索引擎。 轴辐式城市物流网络演化模型与算法 实践表明大多数城市的物流网络拓扑结构呈轴辐式结构。为揭示城市物流网络演化规律,将城市物流网络演化过程进行数学抽象,建立以网络总成本最小为目标的物流节点动态选址MINLP(混合整数非线性规划)模型。根据模型的特点,设计带精英策略的自适应遗传算法,并与基本遗传算法和GAMS进行对比。算例分析结果表明,随着城市各区域物流需求与成本的变化,城市物流网络呈现单枢纽到双枢纽,再到多枢纽,最后形成复杂轴辐网络的演化规律。所构建的模型能在一定程度上模拟城市物流网络的演化过程,所设计的算法具有较高的运算效率。 水平阻尼作为干扰的平台式惯导统一控制方程 研究惯导系统统一控制的优化设计。传统的统一控制采用一体化设计方案,通用性不强。为简捷高效地实现惯导计算机的程序编排,提出了将水平阻尼作为干扰项的平台式惯导统一控制。通过改变系统框图结构,将无阻尼惯导系统和阻尼网络人为分离,水平阻尼网络的输出可视为干扰项。系统控制方程始终采用无阻尼条件下的表达式,惯导系统控制和阻尼网络可以实现模块化设计,使控制的实现形式和推导过程大为简化。给出了惯导计算机的运算流程,并分别在静、动基座条件下,对无阻尼、内水平阻尼和外水平阻尼状态的惯导系统做了仿真。结果表明新的统一控制模型有效体现了惯导系统的参数变化,且设计简便,具有更好的实用性。 Hadoop中处理海量小文件的方法 针对Hadoop中提供底层存储的HDFS对处理海量小文件效率低下、严重影响性能的问题.设计了一种小文件合并、索引和提取方案,并与原始的HDFS以及HAR文件归档方案进行对比,通过一系列实验表明,本文的方案能有效减少Namenode内存占用,提高HDFS的I/O性能. 含缺失成分的矩阵的广义低秩逼近及其在图像处理中的应用 针对在许多实际应用中数据以矩阵形式而非向量形式存在的问题,重点讨论含缺失成分的矩阵低秩逼近问题的广义版本,即如何对一组含缺失成分的矩阵进行低秩逼近.首先构造一个最优化问题来表达原始的广义低秩逼近问题,该最优化问题最小化输入矩阵组中已知成分的总重构误差;然后提出了一种迭代优化算法来求解上述的最优化问题;最后给出详细的算法分析.大量的模拟实验与真实图像实验结果表明,文中算法具有较好的性能. 小波多尺度分割算法在细胞图像上的应用 关于数字图像优化分割问题,由于医学病理研究需要准确测量细胞面积大小。针对细胞图像结构特点,以及临床医学形态参数测量精度要求,对传统边缘检测算法进行了改进,采用先行自适应平滑滤波的方法,提出了一种基于3阶B样条双小波多尺度边缘检测的技术方案,利用小波的边缘检测算法对典型稀疏细胞进行边缘检测,之后综合利用图像形态学、阀值分割技术等分割出感兴趣细胞,最后采用形态学方法进行测量仿真,结果得到细胞形态参数,并对测量值与实际值进行比较,结果显示绝对误差小,满足临床要求。 时滞多变量过程信息融合解耦控制 针对工业过程中时滞多变量线性系统各回路间存在的强耦合问题,提出一种基于信息融合估计的解耦控制方法.通过融合主通道和相应耦合通道的期望输出软约束信息和控制能量软约束信息,估计出二次性能指标下的最优自适应解耦控制律.进一步对无限预见期望输出信息进行一步预见等效处理,获得计算更简单的近似最优解耦控制律.实例仿真结果表明了该解耦控制器具有计算量小、解耦程度可调以及控制品质好等优点. 基于配准分割的Graph Cuts自动分割算法在肝脏图像中的研究 临床上的肝脏疾病诊断是由医生对肝体影像进行手动分割,获得肝体的准确解剖信息对肝功能进行有效的评价,但手动分割肝脏影像是一项繁琐、费时且不可重现的工作。为了辅助医生对肝脏疾病诊断,论文提出基于配准的GraphCuts自动分割算法,对人体肝脏CT图像进行自动分割。首先使用配准分割算法,对肝脏图像进行有效的分割,然后使用权重投票将所有的配准分割结果融合为一幅预分割图像,最后利用水平集符号距离函数通过预分割图像标记前背景像素,实现Graph Cuts算法对肝脏的自动分割。实验结果表明,论文的自动分割算法精度较高,在一定程度上能够为临床的精确诊断提供科学的理论依据。 四维CT肺图像多层次时空一致性配准方法 四维CT肺图像提供了肺部动态信息,可广泛用于肺部疾病诊断和精确放射治疗。提出一种针对四维CT肺图像的多层次时空一致性配准方法。该方法首先从最大吸入相位的图像中提取控制点,利用结构张量跟踪算法对控制点进行跟踪;构建多层时空一致性优化模型,对控制点进行调整,从整图配准角度提高控制点配准精度;每次调整后根据配准的一致性误差,添加新的控制点,进一步降低配准的一致性误差,并对新的控制点集重新进行优化调整。该模型在空间上对形变进行约束,保持形变扭曲的平滑性;在时间上对控制点轨迹进行约束,保持控制点的轨迹平滑性;通过一致性约束,降低了正向和反向形变函数的一致性误差。在测试数据集上的实验结果表明,该方法可以得到平滑、稳定、合理的形变结果,可以用于估计肺部运动模型。 基于三维模型的行人徘徊行为检测算法 针对不同应用场景给出了不同的徘徊定义,并提出一种基于三维模型的行人徘徊行为检测算法。该方法利用融合前景检测和行人检测的方法获取目标,并利用摄像机标定信息和图像信息建立目标的三维模型。针对传统算法无法获取目标真实轨迹的问题,利用三维模型对分块目标跟踪方法进行改进,以提取目标的真实运动轨迹。根据不同的应用场景对目标轨迹进行分析,判断目标是否有徘徊行为。实验结果表明,该方法能够获得较为准确的目标三维模型,得到目标的真实运动轨迹,从而鲁棒地、快速地检测目标的徘徊行为。 改进的多光谱遥感影像超分辨率重构算法 针对现有遥感影像重构算法数据资源有限、配准精度低等问题,结合遥感影像的光谱特征,提出一种改进的多光谱遥感影像超分辨率重构算法。提取场景结构特征作为重构的正则化约束条件,保持重构结果中的高频信息。利用波段间的交叉相关,获得场景的结构特征信息。通过迭代反投影算法对单波段影像进行重构,将其合成为全色高分辨率遥感影像。仿真实验结果表明,该算法的重构效果较优。 基于多天线系统的TOA特征值估计融合方法 TOA的测量精度对移动台定位精度有极大的影响。然而,现有的信号特征值估计由于多径传播以及非视距环境等因素,其测量误差一直无法得到有效的降低。通过利用多天线系统提供的多个TOA估计信息,采用信号处理以及数据融合等多种方式,大幅提高了信号特征值估计精度,并进一步提高了移动台定位精度。 基于开口度和扭转角的吊钩形变检测算法 针对传统人工吊钩检测中测量工具复杂、测量精度低、效率低、准确性差等问题,提出一种综合点云分割、圆柱中轴提取以及模型对称面提取这3种算法设计实现吊钩形变检测算法。通过重新定义吊钩开口度,采用上述3种算法的结合,提取开口度的2个参照点,并通过点云分割以及对称面提取的结合,获取吊钩的扭转角。实验结果证明,相较于传统人工检测,提出的算法检测时间短,测量精度高,使用工具少,可追溯性强。 密度K-means算法在认知重评脑功能连接中的应用 为考察大脑在处理加工不同效价的情绪图片时其脑功能区域的联系与差异,提出一种能更精确地提取出相对激活较弱的功能连接区域的方法.首先提出一种基于密度思想的K-means算法并应用于脑功能连接分析,提取具有功能连接的脑组织结构模式;然后引入聚合指数指标客观评判激活脑区定位的准确度,并与独立成分分析方法的处理结果进行对比;最后从体素的激活强度和激活脑区的定位精度等方面入手,论证了基于密度思想的K-means算法在脑功能连接分析上的优势.实验结果表明,情绪刺激加工的过程中,脑区较为明显的激活区主要分布在前额叶、扣带回及下丘脑附近,为后续临床观察及诊断提供了一种较为可靠的方法和思路. 基于STD标准的ATS软件平台 基于测试和信号定义(STD)标准给出的形式化定义,对自动测试系统(ATS)软件平台进行静态与动态建模。通过绘制类图的方式明确接口之间的关系,改进STD中的信号状态转换图,设计该软件平台的时序关系。实验结果表明,该平台通过信号组件之间的Sync接口与Gate接口可灵活、准确地实现信号触发与同步功能。 基于张量分解的数字图像取证 提出一种基于张量分解的数字图像盲检测方法,从全局处理角度对JPEG压缩数字图像进行真伪盲检测。对于来自某一相机拍摄的一批参考图像组成的张量,利用张量分解的方法,从分解残差中分析提取图像特征,通过支持向量机分类器鉴别待检测图像是否直接来自该数码相机。实验结果表明,该方法对数字图像的来源鉴定具有较高准确性和较强的鲁棒性。 面向网络语言基于微博语料的新词发现方法 为对微博语料中的中文新词进行有效的识别发现,针对微博语料的文本特性,提出一种基于词语互信息模型和外部统计量的新词发现方法。采用互信息统计模型基于候选词内部最小搭配单元向右邻元扩展统计的方法,建立候选词集;针对统计特性、语料特征,进行低频筛选,引入外部统计量的概念进行过滤。该统计方法解决了基于互信息统计模型用于新词发现时只能统计两组成元素的局限性,规避了影响新词发现研究准确性能的N元重叠问题,过滤方法对于包含大量短语句的微博语料用着良好作用,通过实例与对比验证了该方法的有效性。 支持可变功耗的多通道串行链路管理机制 互连网络是并行计算机、存储系统和各种数据中心的重要组成部分.随着网络带宽和系统规模的增加,互连网络需要的功耗也随之提升,而且在全系统中所占比例已不容忽视.提出了一种高速串行链路管理机制,根据网络中数据流量的变化,动态调整链路中使用的通道数量.但是即使链路空闲也不会关闭该链路中的所有通道,因此在降低功耗时不会改变网络的连接关系和拓扑结构.实验结果表明,这种机制可以大大增加互连的灵活性,在提供高带宽的同时降低互连网络在空闲时的功耗,缺点是启动延迟会稍有增加. 基于时间标度律的大规模物流基地的行为动力学特征 通过对某大规模物流基地产品出库的间隔分布进行分析,发现物流基地的各个仓库的钢材产品出库均具有阵发特征,出库时间间隔服从幂律分布,且幂指数约为2.5,与Barabasi和Vazquez所总结的两大类幂指数不同。对造成物流系统的阵发特征的机理进行分析,发现阵发特征可以采用群体行为过程中任务的优先级来解释,而优先级主要由节假日与内部作业调度造成。通过对活跃个体的出库行为进行研究,发现物流系统中的个体行为不具有阵发特征。 ROHC算法在LWIP上的仿真与实现 首先分析多种数据报头压缩算法,根据系统的需求深入研究ROHC数据报头压缩算法,以提高数据报头压缩率、减少数据报头传输为目的,对算法中的状态转移机制、W_LSB编码进行了细致的论述,以高误码率、高延迟的无线网络环境为前提,提出在Lw IP上实现上述算法的一系列方法。最终将ROHC算法以及上层传输软件结合到已有的无线传输系统中,测试表明ROHC算法能有效的减少数据传输量提高数据传输质量。 基于凸组合和Bar-Shalom-Campo的航迹融合算法研究 "在""当前""统计模型的基础上建立了匀加速运动模型,设定了目标的运动过程,考虑到实际应用的局限,利用自适应扩展卡尔曼滤波(AEKF)对目标的运动状态进行估计。为得到更加精确可靠的信息,利用凸组合融合算法和Bar-Shalom-Campo融合算法对目标航迹进行融合估计,并利用这三种方法对设定目标的运动情况进行仿真估计,给出仿真结果。" MapReduce环境下支持大规模文本检索的概念索引 随着信息化技术飞速发展,爆炸性数据的增长以及数据的多样化给大数据检索带来了挑战。MapReduce作为一种并行处理框架,在大数据处理上具有明显优势。为此,结合概念格的相关知识,采用形式概念分析发现文档之间的关系并用格进行表示,提出一种新型的支持大规模文本检索的形式概念索引结构,给出基于MapReduce框架建立概念索引的相关算法。通过与Lucene索引进行比较,验证了所提索引的有效性。实验结果表明,将文档之间关系采用概念格表示并建立概念索引,能够提高大规模文本检索的性能。 MPI_ALLTOALL实现算法的改进与性能分析 通过分析全互换通信中4种算法的性能,提出了一种改进算法。该改进算法递归倍增的创建子进程,通过增加通信进程数目来减少通信次数。对比分析改进算法与成对互换算法的通信次数,改进算法的通信次数是成对互换算法的一半。实验机群是在版本为MPICH2-1.0.8并行环境下测试,结果表明,消息类型为长消息通信且通信进程数为2的幂次方时,该改进算法比成对互换算法的性能更优。 高可信度加权的多分类器融合行为识别模型 为了提高基于智能移动设备的人体日常行为识别准确率,提出一种高可信度加权的多分类器融合行为识别模型(MCFM)。针对不同智能设备内置加速度传感器获取的三轴加速度信息,优选出与人体行为相关度高的特征集作为该模型的输入,将决策树、支持向量机以及反向传播(BP)神经网络三个基分类器通过高可信度加权投票算(HRWV)法训练出一个新的融合分类器。实验结果表明,所提出的分类器融合模型能有效提高行为识别的准确率,对静止、散步、跑步、上楼及下楼五种日常行为的平均识别准确率达到94.88%。 基于轻量级CA无线传感器网络双向认证方案 无线传感器网络(Wireless Sensor Network,WSN))认证协议,多是基于秘密密码算法或传统证书公钥密码算法,前者需要在传感器节点中预置大量信息,后者又过于复杂,很难在资源受限的WSN中取得理想效果,针对该问题结合轻量级CA(Lite Certificate Authority,LCA)公钥机制,设计WSN移动用户与LCA及与传感器节点的双向认证协议.分析表明,LCA简化了传统基于证书CA公钥机制的复杂性,产生公钥轻量化、公钥验证轻量化、无需证书管理.系统初始化完成后,用户与传感器节点及传感器节点间的双向认证无需LCA参与,简单高效,通信量少,可抵御无线环境下易于实施的多种攻击,适用于资源受限的WSN. 软件安全性研究综述 软件是安全性关键的软件密集型系统(比如综合航电系统)的一个重要安全因子,软件安全性已逐渐成为软件工程和安全工程交叉领域的研究热点之一。对软件安全性的内涵与外延进行了剖析,给出了软件安全性定义。讨论了软件安全性的度量模型。着重从软件工程的视角对软件安全性的开发过程、设计方案、评估方法与认证技术等现状进行了综述,并探讨了软件安全性的研究方向。 车载自组织网络在智能交通中的应用研究综述 对基于车载网络的智能交通技术进行了全面的总结和分析。首先,通过分析智能交通系统的需求和车辆自组织网络的特点,指出将车载网络应用于智能交通系统面临的问题和挑战。然后,对现有的基于车载网络的智能交通应用进行了分类:安全性相关、效率相关和资讯娱乐3个大类,以及进一步按照技术方法进行详细分类。按照这个分类,对研究现状进行了深入分析,比较了不同方法的利弊。基于对现有工作的分析,总结出了存在的问题和不足,并对未来的研究工作发展进行了展望。 基于优化初始种群的自动组卷算法 针对遗传算法和改进遗传算法初始种群的不确定性,提出一种优化初始种群的遗传算法。该算法首先进行局部寻优,将局部较优解按题型的不同随机组合,组成遗传算法的初始种群,然后通过遗传交叉和单点变异得到一个较优解,最后将算法应用到《数据库系统》课程的自动组卷。结果表明,该方法有很好的组卷效率,能较好满足组卷的要求。 变风量空调系统负荷预测的建模与应用 研究变风量空调负荷准确预测问题,由于变风量空调系统可根据负荷的需求动态改变送风量,变风量空调大系统稳态优化控制策略可以使系统节能高效运行,为准确预测负荷,优化系统,首先分析了影响负荷预测的主要因素,对温度、相对湿度的预测模型进行改进,提出了一种自适应扰动粒子群算法的BP神经网络的空调负荷预测模型,加快粒子群算法的收敛速度,提高了空调负荷的预测精度。通过仿真比较,验证了模型在空调负荷预测中的有效性。 基于供应商选择的大规模网络供应能力研究 在产品供不应求的市场环境下,为了最大限度地满足市场需求,核心企业需要对供应链中的供应商组合进行选择,实现整个供应链网络的最大供应能力。为了有效地解决组合方案规模庞大、计算困难的问题,采用了嵌套的混合遗传算法对此问题进行优化,提出了一种计算大规模网络最大流的新算法。算例结果显示,该方法能够正确选择供应商组合,并求解网络的最大供应能力。 分组自适应多叉树RFID防碰撞算法研究 提出了一种基于分组自适应多叉树的RFID防碰撞算法,该算法将标签分为多组并按分组处理标签,减少同一时刻同时响应的标签的数目,从而减少碰撞发生的概率,对同一分组的标签,按照碰撞因子自动选择动态二叉树或动态四叉树搜索,同时采用后退策略。仿真结果表明,该算法在平均查询次数及传输数据量上较其他算法都有较大提高. 用于网页目录构建的文本聚类算法 为了提高网页目录的构建效率、增加其灵活性,提出了一种改进的文本聚类算法。改进的CBC算法用于快速确定文本的聚类中心,根据网页目录的特点,该算法增加了层次聚类方法,以形成文本类别的层次结构,考虑到网页文本的快速增长,采用增量方式对新网页进行聚类。把该算法应用于网页文本集,产生了有意义的聚类结果,对比K-Means算法,获得了更高的精度,并具有较高的时间性能,实验结果表明了该算法的有效性。 一个用于12位40-MS/s低功耗流水线ADC的MDAC电路设计 文中设计了一个用于12位40MHz采样率低功耗流水线ADC的MDAC电路.通过对运放的分时复用,使得一个电路模块实现了两级MDAC功能,达到降低整个ADC功耗的目的.通过对MDAC结构的改进,使得该模块可以达到12bit精度的要求.通过优化辅助运放的带宽,使得高增益运放能够快速稳定.本设计在TSMC0.35μmmixsignal3.3V工艺下实现,在40MHz采样频率下,以奈奎斯特采样频率满幅(Vpp=2V)信号输入,其SINAD为73dB,ENOB为11.90bit,SFDR为89dB.整个电路消耗的动态功耗为9mW. 一种新的多示例学习方法及其林木学分类应用 通过设计一种全新的包与包之间的相似性度量方法,即混合型Hausdorff距离,改进了Cita-tion-KNN这一经典多示例算法;并通过针对林木自身特殊的成像特点,分析了林木类图像处理的难点,并利用基于小波域变换的处理技术,提出了专门的林木图像特征生成方法,使改进后的算法可以有效实现对林木种类的识别,进而成功将多示例学习引入了林木分类领域。实验证明:新算法不仅对林木分类领域问题的实现效果最佳,同时对公认数据集的测试也取得了良好的结果,与目前主流算法高度可比。 用DTW算法构建细胞色素c的进化树 构建生物的细胞色素c的进化树对蛋白质一级结构的种属差异的研究十分重要。本文通过一维映射,将蛋白质一级序列转化为时间序列,采用DTW算法来计算2个时间序列之间的DTW距离,用以量度序列之间的相似度,给出比较蛋白质序列相似性的度量新算法,用以分析不同物种的细胞色素c蛋白一级序列的相似性,构建序列进化树,得到较好的结果。本方法较其它方法简单快速,为研究生物序列进化关系提供新的手段。 装备领域中语义桌面上的个人主观本体研究 "随着计算机资源的爆炸性增长,传统的基于目录的资源管理方式已经不能很好满足用户的实际需求。语义桌面基于语义检索,提高了计算机资源查找的效率,并能挖掘出存在语义联系的不同资源。基于装备领域中各业务参谋分管业务的不同,提出建立满足业务需求和适合个人习惯的个人主观本体,实现基于语义桌面的语义检索功能。应用语义网和本体的相关知识,提出了装备领域的语义桌面体系结构,采用""骨架法""建立了适合语义桌面的个人主观本体,在此基础上实现语义桌面检索功能,大大提高了资源的检索效率。" 水下机器人最优逃生线路规划研究与仿真 水下机器人最优逃生路线规划方法在海洋资源探测方面发挥巨大作用;水下环境较为复杂,机器人在逃生过程中规划逃生路线需要考虑复杂的水下环境,建立过多的约束条件;传统的机器人路径规划模型应用到水下时,会导致建模较慢,规划耗时;为了避免上述缺陷,提出基于贪婪遗传算法的水下机器人最优逃生线路规划方法;将自适应遗传算法与贪婪算法相结合,针对水下机器人最优逃生路线规划问题求解,获取最合理的逃生线路,保证水下机器人的安全运行;实验结果表明,利用改进算法进行水下机器人最优逃生路线规划,能够在大量的逃生路线中选取满足逃生约束条件的最优路线,缩短逃生时间,保证逃生效率,最终保证水下机器人的安全逃生。 一种基于动态插桩的JavaScript反事实执行方法 目前,静态分析技术已被广泛用于JavaScript程序的安全性分析。但是由于JavaScript支持通过eval等方法在运行时动态生成代码,仅靠静态分析难以取得动态生成代码。一种可行的解决方法是通过动态运行目标程序取得动态生成代码,再对其进行静态分析。然而,动态运行目标程序只能覆盖有限的执行路径,会遗漏其他执行路径中的动态生成代码。针对这一问题,基于动态插桩实现了一个反事实执行方法。该方法通过修改JavaScript引擎,在其语法解析阶段动态插入反事实执行体,使条件不成立的分支路径和当前执行路径均能够得到执行。通过该插桩方式,即使嵌套调用eval等方法,也能在其动态生成代码中完成插桩。同时,还实现了一种按需undo方法,以消除反事实执行体中赋值操作带来的影响,且能够避免冗余操作。实验结果表明,实现的方法能够有效地扩大动态分析中执行路径的覆盖面。 面向异构参数化特征模型检索的本体映射方法 针对异构参数化特征模型难以检索重用的问题,建立了基于本体的特征表示模式,提出一种新的特征本体映射方法,将产品模型特征信息进行统一的语义描述,建立基于本体的特征模型库以有效地支持异构参数化特征模型的检索和重用。本体映射在系统特征本体和公共特征本体之间进行,基于相似性评价指标进行的特征概念匹配能够实现一对一、一对多和多对一的映射,并利用本体的推理能力和语义网规则描述语言对匹配结果进行检查,以保证本体映射的准确性。编程实现了本体映射算法,通过实例检验了方法的可行性和有效性。 一种基于蛋白质交互网络链接预测的新方法 当前可用的生物数据在不断地迅速增长,仍有很多生物信息如蛋白质交互信息(protein-protein interac-tion,PPI)还未被发现,而这些潜在的或未知的信息对生物过程的研究是至关重要的。近年来,对未知生物信息的挖掘和研究吸引了很多人的关注。通过实验检测方法来发现这些信息是非常耗时耗力的,所以链接预测成为一种新的挖掘这些信息的指导方法。基于蛋白质交互网络并融合了基因表达数据信息,从拓扑和基因表达两个方面的信息来构建PPI权值网络,提出了一种在权值网络中基于相似度比较的链接预测的新方法来预测PPI网络中未知的交互信息。使用MIPS数据库评估了实验结果,表明了该算法有很好的准确率和良好的性能。 抖动半调图像跳黑块无损压缩算法 提出了一种有序抖动半调图像跳黑块无损压缩方法。通过分析抖动半调图像特性,对半调图进行分块及块间异或预处理,使其转变为较大面积黑色区域中夹杂着零星白点的二值图像,接着运用跳黑块编码法对其无损压缩。在跳黑块编码中,对只有一个白像素的非黑块采用特定的短码字编码;对其余类型的非黑块采用直接编码。实验结果表明,改进的跳黑块编码能在一定程度上对非黑块进行有效压缩,且新算法不但具有较高的压缩效率,时间、空间复杂度也较低。 全球最大X射线激光器可助力新药研发在德国投入使用 [正文]全球最大的X射线激光器European XFEL(欧洲X射线自由电子激光)2017年9月1日在汉堡大都市区正式投入使用。共有11个欧洲国家参与研发了这一造价达12.2亿欧元的激光器。据介绍,European XFEL是世界上最大的激光设施:每秒可发射多达27000个脉冲, 基于熵和协相关度的直觉模糊多属性决策方法 针对决策信息为直觉模糊集且属性权重完全未知的多属性决策问题,提出了一种基于直觉模糊熵和协相关度的决策方法。对于直觉模糊集的直觉性和模糊性,从公理化定义出发,给出了一种改进的直觉模糊熵的定义。然后基于所有属性总不确定信息量最小化准则,利用提出的直觉模糊熵建立非线性规划模型,从而得到属性权重公式。接着,由统计学中变量间相关系数的构造思想,提出直觉模糊集协相关度的概念,并探讨了与相关系数类似的性质,且进一步得出各对象与理想对象加权的协相关度公式。最后给出了一种新的多属性决策途径,并将所提方法成功应用于教授评选的实例中,通过计算各个教师的协相关度确定最佳候选人,实现最优决策。该方法操作合理,算法易于实现,计算结果可靠,可用于多种决策问题。 N(2,2,0)代数的(∈,∈∨q(λ,μ))-模糊素理想 在N(2,2,0)代数中引入了素理想的概念;利用模糊集理论,提出了点态化(∈,∈∨úq(λ,μ))-模糊素理想和广义模糊素理想的概念,讨论了两者之间的关系;得到了(∈,∈∨q(λ,μ))-模糊素理想的一些等价刻画及其相关性质;研究了(∈,∈∨q(λ,μ))-模糊素理想同态像与同态原像的基本性质。 关于宽带雷达成像目标跟踪识别仿真研究 超宽带雷达成像技术不断发展,成像结果也越来越准确和精细,但是雷达体制本身对目标造成的影响会严重影响雷达的分辨率,影响成像结果。如何消除硬件本身对回波的影响,提高超宽带成像系统的分辨率,将是一个值得不断探索的问题。为了提高分辨率,将反卷积算法引进超宽带雷达成像系统。首先介绍反卷积算法的原理,通过仿真验证了其理论上的可行性。然后设置实验,应用超宽带时域雷达系统探测目标,采集目标回波信号,经反卷积算法处理回波信号,得到了探测目标的冲激响应,对比原始目标图像和经反卷积算法的雷达图像,验证了反卷积算法在实际中的可行性,提高了雷达图像的分辨率。 OVALS海洋资料同化系统并行计算研究 海洋数值预报技术的发展与高性能计算密切相关。为提高OVALS海洋资料同化系统的时效性,本文实现了OVALS系统的并行化。在温盐资料同化模块并行化过程中,本文提出了层优先处理器划分算法,并研究了基于该算法的并行I/O、全局通讯等实现方法;在高度计资料同化模块并行化过程中,设计实现了基于预处理的非规则区域分解算法,较好地实现了OVALS并行计算负载平衡。数值实验表明,OVALS并行系统在36并行规模下取得了17.45的并行加速比。 一种PMC模型下的系统级故障诊断烟花算法 为了快速和有效地诊断出大规模多处理器系统中的故障结点,首次将烟花算法应用于系统级故障诊断中.充分利用烟花算法具有很好的全局搜索能力和局部搜索能力的自调节机制特点,结合PMC模型的故障诊断模式特点设计约束方程,提出新的适应度函数,并优化了变异算子以及选择策略,得到系统级故障诊断优化算法.仿真实验表明该算法具有很好的稳定性和收敛性,并证明了算法的有效性. 无Hash或冗余函数数字签密方案的分析与改进 对不使用Hash或冗余函数的数字签密方案进行分析,指出柏骏方案和于永方案均可被消息接收者伪造签名攻击,给出两种攻击方案,指出李方伟方案无前向安全和公开验证消息机密性。提出一个新的无Hash或冗余函数的数字签密方案,方案具有前向安全性和公开验证消息机密性,并进行了正确性和安全性分析。接收者无法进行伪造签名攻击,与已有方案比较,降低了算法复杂度。 一种边缘点特征图像配准算法 为解决图像的精确配准问题,提出了结合LoG算法的特征点的提取方法,并将尺度不变特征算法(SIFT)应用到图像的特征描述中.首先利用LoG算法计算边缘点,对边缘点的梯度值进行排序,选择梯度较大的点作为特征点;然后采用SIFT计算特征点的特征向量,利用最小距离算法找到两幅图像的匹配点对;最后利用最相关点和次相关点比例的方法排除错误的点对.实验结果证明,算法对具有光照、角度不同的两组图像能够实现精确的配准,准确率超过90%. 基于蚁群算法的移动机器人路径规划 研究移动机器人在已知静态环境下路径规划问题,在避障环境下寻求最优路径。针对蚁群算法搜索时间长、易陷入局部最优等缺陷,导致实时处理困难,且路径准确度低、可跟踪性差不能直接用于机器人。为解决上述问题,首先提取环境的平面几何信息,建立了简单有效地搜索模型。可通过引入终点距离与方向的启发函数、阶梯式伪随机的结点转移规则,引导蚁群有目的的进行搜索;改进信息素更新策略,利用一种奖惩机制以增强蚁群对尽可能好的解的识别能力。并考虑障碍物对路径的影响,运用人工势场法对全局最优路径的结点进行平滑。进行仿真的结果表明,提高了路径的安全性和可跟踪性。证明了改进方法可以有效地找出最优可行路径。 关于战场无人机航迹规划方法研究 无人机执行任务时对各因素的敏感程度会随战场环境不同而变化,要求航迹能够根据任务需求进行调整。如何使规划航迹与任务需求相匹配是一个难点问题。针对上述问题,根据物理规划理论提出了一种面向任务需求的无人机航迹规划方法。首先利用物理规划思想,建立航迹规划模型。其次,构建面向任务的航迹评价指标体系,包括构建航迹评价指标体系、设计具有可比性的代价函数以及引入反映任务需求的偏好因子,为航迹搜索提供基础。最后,利用改进的遗传算法实现航迹搜索,可获得不同任务需求下的规划航迹。仿真结果表明,上述方法能够满足不同任务需求,具有一定的可行性。 4种分类算法参数选择及分类特点研究 对分类算法的描述通常缺少定量的分析与研究,本文以K-近邻、支持向量机和决策树为研究对象,定量分析算法参数、数据噪音、节点数量对分类精度和运行时间的影响。首先研究这几种算法及参数作用,选定最优参数,分析不同噪音对分类精度的影响,然后分析节点数量对分类精度影响及运行时间变化。通过Scikit-learn模块对讨论内容进行仿真实验,实验结果清楚地展示了分类算法在不同参数条件下分类特点,为实际数据分类研究提供指导。 电力线通信系统中基于OFDM/OQAM的时频结合消噪算法 针对电力线通信(PLC)系统中存在严重影响传输性能的脉冲噪声,传统的消噪算法大都不能有效抑制脉冲噪声的问题,提出一种时频结合的消噪算法。首先,通过选择合适的门限对时域接收信号中峰值较大的脉冲噪声进行检测和置零处理;然后,在频域根据已判决的符号来重构时域尚未消除完的峰值较小的脉冲噪声,并通过迭代来提高噪声重构的准确性;最后,从频域接收信号中减去重构的脉冲噪声。在电力线多径信道下进行仿真实验,相比传统的时域消噪和频域消噪算法,所提算法在误比特率为0.01时可以分别实现2 d B和0.5 d B的性能提升,而随着误比特率的降低,它们之间的性能差距将会更大。仿真结果表明,所提出的时频结合消噪算法能够提高电力线通信系统对脉冲噪声的抵抗能力。 一种计算机制全息图快速运算算法 计算机制全息图的计算速度影响了全息三维显示技术的实用化。鉴于此,提出了一种计算机制全息图快速计算方法。通过分析发现传统全息图存在大量冗余信息,采用空间冗余光波去除方法,利用人眼跟踪技术实时确定子全息图范围,并将二步算法思想用于子全息图计算,计算行列贡献分量。由于仅计算子全息图,将大范围冗余光波数据忽略,大大减少了全息图计算量,同时二步算法的引入去除了大量冗余计算,全息图的运算速度明显提高。实验证明,这种算法是行之有效的,且计算速度比二步算法提高了10倍左右。 面向公安监管业务领域的构件库设计 为了实现将面向公安监管业务领域的看守所、拘留所、收容所、戒毒所和安康医院等几个独立的信息管理系统构建成统一的公安行政监管综合信息平台,实现对整体监管信息资源的开发挖掘,采用服务划分的方法对行政监管综合信息平台的各子系统之间功能复用性进行了探究,对构件的分类以及刻面描述方法进行了详细论述,并在此基础上设计了构件库系统体系结构,基于该构件库实现了看守所管理系统。采用该技术方案可以减少综合信息平台的开发周期,降低开发难度,提高软件的质量。 电力LTE异频组网系统应用研究 截止目前,电力系统已经在一些地区开展了LTE专网系统试点工程建设工作。试点工程应用的LTE系统包括LTE230系统和LTE1800系统,主要采用单频组网的建设模式,而工作在不同频段的LTE系统受频率等特性影响,适应不同的应用场景,不能完全满足电力系统的应用需求。为充分发挥不同系统的优势,开展了LTE230系统和LTE1800系统的异频组网系统应用研究。考虑到电力系统有限的专用频段,为提高频谱利用率,给出了电力LTE系统双工模式选择建议和理由。在综合分析LTE230和LTE1800系统优缺点的基础上,提出了基于两种LTE系统的异频组网架构,研究了在此架构下基于蜂窝网络之间的负载均衡和基于D2D直连通信的业务分流方法,并对异构系统的天线融合方法进行了简要介绍。此外,结合电力系统的应用需求、LTE系统特性和异构网络研究现状,对两种LTE系统的异频组网方案在电力系统中的应用所存在的难点问题进行了探讨。 一种新的基于Agent的体系结构 Agent体系结构是由理论研究向系统实现的关键一步。首先,在Agent特性分析和形式化描述的基础上,阐述了Agent的层次概念框架。然后,研究SubSumption,BDI和GRATE等典型结构特点,比较了反应式和慎思式两种体系结构,反应式Agent和慎思式Agent体系结构各有优劣。确立采用分层式混合结构的设计思想,并结合Agent的特性,从信息流和控制流的角度来刻画更为复杂的层次结构。在此基础上,设计了一种带序列池(POOL)的二维混合体系结构,并对其实现机制进行了深入研究。在不增加系统复杂性的前提下,提高系统的效率和能力。 真空热试验通用软件平台工作模式与关键技术 为了改善试验测控软件在通用化、模块化设计、控制算法、试验过程信息化管理等方面的诸多不足,研制航天器热试验通用软件平台;该平台融合了计算机技术、虚拟仪器技术和网络技术,不仅实现从试验准备、试验工况运行、试验数据显示到实验结果分析等工作的自动化,而且应用多项关键技术使该平台成为一个有机整体并具备广泛的通用性、灵活的配置能力、便捷的人机接口;文中重点介绍了该平台的4中工作模式,分别为单机模式、分布式模式、云计算模式、集中控制模式;通过工作模式的介绍说明该平台如何在各种规模真空热试验设备上应用;热试验通用软件平台能够提高热试验的自动化程度、提高热试验的可靠性、有利于热试验技术经验的积累。 基于社会力群智能优化算法的云计算资源调度 为了提高云计算资源的调度效率,提出了一种基于社会力群智能优化算法的云计算资源调度方法。首先将云计算资源调度任务完成时间最短作为社会力群智能优化算法的目标函数,然后通过模拟人群疏散过程中的自组织、拥挤退避行为对最优调度方案进行搜索,最后采用仿真实验对算法性能进行测试。结果表明,相对于其它云计算资源调度方法,该方法可以更快地找到最优云计算资源调度方案,使云计算资源负载更加均衡,提高了云计算资源的利用率。 LT_Trust:一种混合策略下的信任管理模型 基于反馈机制的信任和信誉管理模型已经在社会网络和电子商务系统等大规模复杂性环境中取得了越来越多的关注.在大规模的复杂性网络环境中,信任管理模型除了要处理网络中节点之间的信任关系过于稀疏的问题和’冷启动’问题之外,同时还要可以有效地抵御各种类型的恶意节点的攻击.针对当前信任管理中存在的问题,提出了多维信任评价反馈模型和基于服务质量方差的局部信任值计算模型,同时在信誉值的计算方法上,提出了基于相似度权重的信任值传播模型和线性阈值激活模型.针对这两种模型得到的节点信誉值,提出一种混合策略下的信誉值使用模型.最后通过Eopinion网络上的仿真实验表明,LT_Trust无论在面对攻击时的鲁棒性方面还是运行时间方面,都远优于ServiceTrust. 基于小波变换的运动模糊图像融合研究 "由于拍摄目标和成像设备之间的相对运动,往往造成获取的图像模糊.为了消除运动模糊和提高图像恢复质量,利用双线性插值和方向微分算子,采用""先粗后细""的方法,即先从退化图像中粗略确定运动模糊方向范围,然后高精度确定其具体数值.这种方法具有计算量小,精度高,稳定性好,适用范围广的优点.由于模糊图像的像素点之间的高度相关性,采用差分和自相关技术精确确定模糊尺度.由运动模糊方向和模糊尺度构造点扩展函数,然后利用不同的滤波方法得到恢复图像,采用不同的图像融合方法对恢复图像进行融合实验.实验结果表明,基于小波变换的运动模糊图像的融合方法得到的融合图像取得了较好的客观评价和主观视觉效果,具有一定的实用价值." 事件驱动下的重点海域监测站部署算法研究 由于海域水声环境及监测目标随时间动态变化,导致常规传感器静态部署方法不再适用,为此,提出了一种在事件驱动下的监测站动态部署模型,能够根据监测环境以及通信质量的变化来调整监测站的位置。针对该模型提出了一个具有严格单调性的局部搜索求解算法,并通过增加冗余参数的办法对算法进一步改进,能够使监测站在保持已有监测覆盖水平的同时,仍然可以继续搜寻新的监测目标,从而提升整体的监测效能。最后,通过Matlab仿真实验对算法进行了验证。 基于PCM的分布式文件系统写缓存机制 分布式文件系统在写操作结束前所需的元数据和数据写回需要大量的时间开销,现有的写缓存机制无法解决易丢失更新、使用寿命短和无法适应元数据访问特点等问题.提出基于PCM的写缓存机制,在主机中使用PCM构建写缓存,并针对分布式文件系统写操作和PCM的特性,设计了基于PCM缓存的写流程和自适应缓存写回策略,使得应用在将元数据和数据写入PCM缓存后就能安全返回,并使用自适应的写回线程池将PCM缓存中元数据和数据写回,提高了分布式文件系统的写速度,避免了元数据服务器和磁盘成为影响分布式文件系统I/O性能的瓶颈.在分布式文件系统pNFS的基础上,实现了原型系统,使用标准测试工具filebench和postmark和多个通用测试数据集进行了测试,验证了基于PCM的写缓存机制能使得pNFS提高7%-1倍的I/O性能和1倍以上的事务处理速度. 兵马俑阵列关注度曲线运动建模与虚拟展示 针对秦始皇兵马俑主题文化虚拟展示问题进行了深入研究,提出关注度曲线运动建模方法和过程量化算法。该方法先根据数学统计获得关注度值并建立视觉关注度曲线;再利用关注度值矩阵、关注度曲线向量和牛顿运动定律,构建被表示文物阵列的矩阵;最后设计实现了视点运动过程中对象模型的过程量化算法。结果表明,所提出的建模方法和过程量化算法符合人眼视觉特点,计算简便且实现效果良好,能够有效应用于文物阵列的虚拟展示。 基于机器人效用函数的多机器人系统任务分配 针对现有的多机器人系统任务分配方法只是采用算法进行寻优,而没有将任务分配结果加以量化的缺陷,提出了一种基于机器人效用函数的多机器人系统任务分配新方法。该方法首先定义机器人效用函数,并说明其可解;接着给出了最佳任务分配方案的定义,并证明其存在性和惟一性;最后通过实例对本方法的有效性进行了验证。 基于短语和依存句法结构的中文语义角色标注 提出一种基于短语和依存句法结构的中文语义角色标注(SRL)方法。联合短语句法特征和依存句法特征,对句法树进行剪枝,过滤句法树上不可能担当语义角色的组块短语单元和关系结点,对担当语义角色的组块或节点进行角色类别标注。基于正确句法树和正确谓词的识别结果表明,该方法的SRL性能F1值为73.53%,优于目前国内外的同类系统。 最小化扭曲的抗检测隐写算法 为提高秘密数据传输的安全性,提出一种最小化扭曲的图像隐写算法。根据图像平滑特性及具体隐写嵌入操作对特性的影响为载体像素分配扭曲值,以表征在该像素上进行特定嵌入操作对图像总体统计特性的影响程度,再利用STC码选择使图像整体扭曲函数最小的嵌入位置和嵌入方式进行信息嵌入。仿真实验结果表明,该算法具有良好的抗检测性。 基于圆锥曲线的XML数字签名应用研究 为实现高校教务管理系统中对学生成绩的数字签名,将基于环Zn上的圆锥曲线ElGamal型数字签名方案应用于XML数字签名领域,设计和实现了一个学生成绩XML数字签名系统。介绍了系统的数据流程、功能模块、关键算法以及新的XML签名文档结构,并对系统的安全性和运行效率等性能进行了分析和对比。实验结果表明,该系统达到了预期的功能,安全性和效率得到了进一步提高,圆锥曲线密码体制能够应用于XML数字签名领域。 改进最小二乘支持向量机算法及其在故障诊断中的应用 针对人为因素对故障诊断的干扰,提出了一种基于二叉树结构的遗传算法改进可变惩罚因子的最小二乘支持向量分类机(BTGAVPF-LSSVCM)故障诊断方法。首先为减少支持向量机惩罚因子选取受研究人员经验的影响,建立可变惩罚因子的支持向量分类机(VPF-SVCM),并证明了算法的对偶问题;其次,针对支持向量机不易求解的问题,利用最小二乘法求解VPF-SVM,提出VPF-LSSVCM算法,并推导其计算公式;然后,利用遗传算法搜索VPFLSSVCM核参数,提出GAVPF-LSSVCM算法;最后,根据故障诊断实际问题,构建二叉树结构的GAVPF-LSSVCM算法。通过数值仿真实验结果表明,相比支持向量机穷举法,所提出的BTGAVPF-LSSVCM算法诊断精度提高了近14.3%。 动态搜索空间的粒子群算法 为进一步缓解粒子群优化算法在后期收敛速度慢、早熟等问题,提出了一种挂载式的、依赖自适应阈值和已知全局最优解的压缩搜索空间策略。在此基础上对粒子重新分配初始位置、调整速度权值来提升算法的后期探索能力。实验表明,在使用相同的权重和学习因子策略时,比之原粒子群优化算法具有较好的表现,在对量子粒子群算法进行嵌入时依然具有一定效果。该策略可以有效避免早熟问题,提升算法在后期的寻优效果,具有较好的鲁棒性。 基于子空间阈值追踪的矩阵修补算法 低秩矩阵修补是机器学习和数据分析中的核心问题,被广泛应用于协同过滤、降维处理、多任务学习和模式识别等领域。针对ADMiRA算法存在收敛速度慢、易陷入局部最优等缺陷,通过在SP算法的每次迭代过程中引入SVP算法,提出一种基于子空间阈值追踪的矩阵修补算法。其利用SVP算法快速收敛的特性,提升了SP算法的收敛速度,且能得到更优的解。仿真实验验证了所提算法的性能。 一种高效的P2PSIP认证与密钥协商机制 针对P2PSIP分布式无中心的特点,提出一种基于无证书公钥密码技术的认证与密钥协商机制,并对其正确性、安全性和性能进行了分析讨论。该方案满足普遍认可的密钥协商安全需求,而且没有使用双线性对运算,能有效降低节点的计算开销。与已有方案相比,该方案在保持较高安全性的同时具有更高的运行效率。 一种Spark GraphX框架下的关键词抽取方法 TextRank算法根据文本词语的位置关系构造图,应用图排序的算法计算出词语的权重,在计算过程中需要进行大量的迭代运算,在数据规模较大的时候,计算时间尤为可观.针对此问题,提出了一种基于Spark GraphX的关键词抽取方法,利用Spark GarpX所提供的分布式计算的图框架,将文本图数据分布式存储在不同的节点上,高效地实现了文本关键词的抽取.实验表明,本文中提出的基于Spark GraphX的关键词抽取方法,不仅计算时间短,抽取的关键词与人工标注的结果非常接近,具有一定的合理性. 基于MOOCs的个性化学习评价系统的设计 MOOCs即大规模网络开放课程(Massive Online Open Course)的简称,是近年来兴起的备受瞩目的一个新型课程模式。在分析现有的MOOCs基本运行模式后,有关学生学习评价这一环节没有涉及,而学习评价是教学活动中不可或缺的一个环节,它可以对教学过程起到调节、督促、反馈的重要作用。传统的评价机制已经不能满足教育改革的需要,因此高校的评价机制也应该与时俱进,发挥好指挥棒的作用。针对这一缺陷,提出将学习效果评估与MOOCs相结合的新型运行模式,在此基础上给出了系统运行流程和成绩计算算法。该系统的实现可以使课程发起教师了解学习者的学习情况,从而更有效地达到学习目标。另外证明运用该学习评价系统对学生的学习效果具有一定的促进作用。 一种基于移动P2P改进的Gossip算法 随着移动智能终端设备的普及,移动对等网络的研究不断走向深入。经典的Gossip算法虽然可以用于移动对等网络中的数据分发,但不能很好地适应移动网络的要求,尤其是对扰动的适应性。因此,为了实现拓扑控制信息的有效传播并保持节点资源列表的副本一致性,提出了一种基于特定拓扑结构改进的Gossip算法,其通过动态调节邻居节点数据分发概率来实现同k-派系内所有节点的资源列表更新。模拟实验表明,采用此算法的数据分发效率较经典Gossip算法有明显改善,在保证网络负载较低的同时达到了泛洪数据分发策略的效率。 优化初始聚类中心的改进k-means算法 传统k-means算法随机选取初始聚类中心使聚类结果不稳定,诸多优化算法的时间复杂度较高,为了提高聚类稳定性并降低时间复杂度,提出了基于个体轮廓系数自适应地选取优秀样本以确定初始聚类中心的改进k-means算法。该算法多次调用传统k-means算法聚类,根据k个类中心的个体轮廓系数以及各样本与类中心的距离,自适应地选取优秀样本,求其均值作为初始聚类中心。在多个UCI数据集上的实验表明,该算法聚类时间短,具有较高的轮廓系数和准确率。 基于时空变异函数的Kriging插值及实现 Kriging(克里金)算法通常用于对空间变量进行插值,但不能直接应用于时空变量,它需要进行时空扩展。以月平均气温数据为例,运用时空Kriging方法结合R统计语言进行时空插值研究及其实现。通过时序分解去除气温数据中季节变化项,在分别得到空间变异函数和时间变异函数的基础上构建一类积和式时空变异函数来描述变量的时空相关结构,并给出基于R语言的具体实现步骤。将普通Kriging方法进行时空扩展,应用于气温数据的时空插值中。验证结果表明,基于时空变异函数的Kriging方法能提供较高精度的插值效果,这为时空变量的插值预测提供了有效的途径。 实时企业服务总线的研究与设计 针对现有企业服务总线在不同类型业务流竞争有限带宽资源时产生系统拥塞的问题,提出一种实时企业服务总线模型。对JBI标准进行了改进,增加了业务优先级和业务时间约束的语义,并加入流量控制和带宽分配组件。系统运行时能根据不同类型业务流带宽需求,按照业务类型优先级从高到低动态分配服务总线的业务流量带宽。仿真实验结果表明,该方法可以保证高优先级业务的带宽需求,减少因业务拥塞导致的服务质量下降,并进而提升系统整体的吞吐能力。 网络诈骗信息垂直搜索引擎的设计与实现 针对国内网络诈骗中犯罪人与受害人的信息不对称问题,基于主题信息采集与信息过滤技术,构建一个基于垂直搜索引擎技术的网络诈骗信息检索与舆情预警服务平台IFI-TSE(Internet Fraud Information Topic Search Engine)。测试结果表明,相对传统的通用搜索引擎,在检索诈骗信息方面该平台的平均检索准确率达到了比较高的水平。同时个性化检索可以为用户提供一定程度的预警信息,不仅可以为公共用户提供高效的网络诈骗数据检索,而且还可以通过统计分析网络诈骗大数据挖掘其包含的诈骗行为过程,为社会安全管理部门提供网络诈骗舆情预警报告。 基于NB-IoT的儿童防丢系统的设计 中国每年有很多儿童走丢,一旦儿童丢失找回来的几率微乎其微。基于此,设计一种基于窄带物联网(Narrow Band Internet of Things,NB-IoT)的儿童防丢系统,采用NB-IoT替代传统的蓝牙、Wi Fi、GPRS等方式,具有功耗小、覆盖广、穿透强、信号好等优点;采用北斗定位模块替代传统的GPS,具有无盲区、定位准等优点。利用NB-IoT与基站建立连接,将北斗卫星导航系统输出的定位信息通过NB-IoT传输至基站。用户可通过移动客户端随时查看儿童的位置信息,帮助家长快速定位并找回儿童,降低儿童丢失的几率。 H.264/AVC标准中帧内预测宏块模式选择的优化 为了减小H.264的编码算法复杂度,提出了一种快速的帧内模式选择算法。根据中心8×8块边界信息判定宏块预测类型,利用改进的pan算法对选定了预测类型的宏块进行模式筛选,采取RDO准则选出最佳的预测模式。实验结果表明:对全I帧序列,与JM10.2中全模式遍历算法相比较,该算法编码时间平均减少了大约70,而码率和峰值信噪比基本不变。与pan算法相比,编码时间减少最大超过40。因此,该算法更便于实时应用。 基于可控滤波器和空间频率的图像融合算法 对像素级图像融合进行研究,提出一种基于可控滤波器和空间频率的多聚焦图像融合算法。利用可控滤波器处理源图像,得到源图像在不同方向上的解析图像,计算并比较方向解析图像的局部空间频率,得到源图像的方向解析空间频率谱,通过融合规则选取源图像中的像素构成融合图像。实验结果表明,与传统融合算法相比较,该算法在主观评价与客观质量评价方面都具有一定优势。 基于统计推理的社区发现模型综述 社区有助于揭示复杂网络结构和个体间的关系。研究人员从不同视角提出很多社区发现方法,用来识别团内紧密、团间稀疏的网络结构。自2006年以来,提出了一些基于统计推理的社区发现方法,它们可识别实际网络中更多的潜在结构,并以其可靠的理论基础和优越的结构识别能力成为当前的主流。该类方法的主要目标是建立符合实际网络的生成模型以拟合观测网络,将社区发现问题转化为贝叶斯推理问题。首先给出社区发现中生成模型的相关定义;其次按照模型中社区组成元素将已有统计推理模型分为节点社区推理模型和链接社区推理模型,并深入探讨各种模型的设计思想及实现算法;再次,总结各模型适用的网络类型及规模、发现的社区结构、算法复杂度等,给出一种选择已有基于统计推理的社区发现模型的方法,并利用基准数据集对已有典型统计推理模型进行验证及分析;最后探讨了基于统计推理模型的社区发现存在的主要问题和未来发展的方向。 在新安江模型研究与应用上的改进SCEM-UA算法 研究水文建模优化问题,用传统方法建模精度较差,所以采用SCEM-UA算法对协方差方法和接受率方法进行优化建模,引入了可以有效保证样品多样性和可以增强算法全局搜索能力的比例因子和新的接受率方法。将改进SCEM-UA算法用于新安江模型的参数率定上,有效提高了收敛效率、计算速度与估测精度。试验结果表明,采用改进SCEM-UA算法的新安江模型,平均模型参数运算效率提高率为42.67%,估测的径流与实测值相比其相对误差绝对值均小于16%,有着良好的应用前景。 基于QPSO算法的模糊流水车间调度问题 实际生产过程中由于各种客观因素的影响,流水车间调度问题往往具有模糊不确定性。介绍了模糊流水车间调度问题,在此基础上提出了一种收敛速度快、全局性能好的量子微粒群算法来解决该问题。通过仿真实例对该算法进行了验证。结果表明,在求解模糊流水车间调度问题时,量子微粒群算法有很好的效果。