diff --git a/YIG/1309.2213v1.Induced_magneto_transport_properties_at_palladium_yttrium_iron_garnet_interface.pdf.json b/YIG/1309.2213v1.Induced_magneto_transport_properties_at_palladium_yttrium_iron_garnet_interface.pdf.json new file mode 100644 index 0000000000000000000000000000000000000000..5cdfc09e4a0e99505058d275be5b9ecc25294bb5 --- /dev/null +++ b/YIG/1309.2213v1.Induced_magneto_transport_properties_at_palladium_yttrium_iron_garnet_interface.pdf.json @@ -0,0 +1 @@ +[ { "title": "2010.04357v1.Einstein_Podolsky_Rosen_entanglement_and_asymmetric_steering_between_distant_macroscopic_mechanical_and_magnonic_systems.pdf", "content": "arXiv:2010.04357v1 [quant-ph] 9 Oct 2020Einstein-Podolsky-Rosen entanglement and asymmetric ste ering between distant\nmacroscopic mechanical and magnonic systems\nHuatang Tan1,∗and Jie Li2,3,†\n1Department of Physics, Huazhong Normal University, Wuhan 4 30079, China\n2Zhejiang Province Key Laboratory of Quantum Technology and D evice,\nDepartment of Physics and State Key Laboratory of Modern Opt ical\nInstrumentation, Zhejiang University, Hangzhou 310027, Ch ina\n3Kavli Institute of Nanoscience, Department of Quantum Nano science,\nDelft University of Technology, 2628CJ Delft, The Netherla nds\nWe propose a deterministic scheme for establishing hybrid E instein-Podolsky-Rosen (EPR) en-\ntanglement channel between a macroscopic mechanical oscil lator and a magnon mode in a distant\nyttrium-iron-garnet (YIG) sphere across about ten gigaher tz of frequency difference. The system\nconsists of a driven electromechanical cavity which is unid irectionally coupled to a distant electro-\nmagnonical cavity inside which a YIG sphere is placed. We find that far beyond the sideband-\nresolved regime in the electromechanical subsystem, stati onary phonon-magnon EPR entanglement\ncan be achieved. This is realized by utilizing the output fiel d of the electromechanical cavity being\nan intermediary which distributes the electromechanical e ntanglement to the magnons, thus estab-\nlishing a remote phonon-magnon entanglement. The EPR entan glement is strong enough such that\nphonon-magnon quantum steering can be attainable in an asym metric manner. This long-distance\nmacroscopic hybrid EPR entanglement and steering enable po tential applications not only in fun-\ndamental tests of quantum mechanics at the macro scale, but a lso in quantum networking and\none-sided device-independent quantum cryptography based on magnonics and electromechanics.\nPACS numbers:\nIntroduction. –Long-distance entanglement has at-\ntracted extensive attention owing to its potential appli-\ncations to the fundamental test of quantum mechanics\n[1], quantum networking [2, 3], and quantum-enhanced\nmetrology [4]. In such quantum tasks, hybrid quantum\nsystems, composed of distinct physical components with\ncomplementary functionalities, possess multitasking ca-\npabilities and thus may be better suited than others for\nspecific tasks [5]. It has been proved that light mediation\nis an effective approach to achieving the entanglement\nbetween two remote systems that never interact directly\n[6–8]. For example, hybrid EPR entanglement between\ndistant macroscopic mechanical and atomic systems has\nbeen realized very recently via unidirectional light cou-\npling [8]. Inaddition, strongcoherentcouplingbetween a\nmechanical membrane and atomic spins has been demon-\nstrated by two cascade light-mediated coupling processes\n[9].\nOn the other hand, the realization of nonclassical ef-\nfects of macroscopic objects is an ongoing effort in quan-\ntum science [10], due to their great use for, e.g., testing\nthe validity of quantum mechanics [11, 12] and probing\ndecoherence theories [13, 14] at large mass scales. In\ncavity optomechanics and electromechanics, which in-\nvolve the hybrid coupling of massive mechanical res-\nonators to electromagnetic field [15, 16], recent experi-\nments have succeeded in preparing a variety of quantum\nstates of macroscopic mechanical oscillators [17–25], in-\n∗Electronic address: tht@mail.ccnu.edu.cn\n†Electronic address: J.Li-17@tudelft.nlcluding quantumsqueezingand entanglementofmechan-\nical oscillators [17–20], nonclassical correlations between\nphotons and phonons [21, 22], single-phonon Fock states\n[24], andoptomechanicalBell nonlocality[25], etc. These\nmacroscopicquantumstatescanalsobeuseful inthepro-\ncessing and communication of quantum information [26]\nand ultrahigh precision measurement beyond the stan-\ndard quantum limit [27]. In addition, hybrid interfacesof\nmechanical oscillators with other systems such as atomic\nensembles[8,9], nitrogenvacancycenters[28], andsuper-\nconducting devices [29] have already been realized [30].\nApart fromoptomechanicaland electromechanicalsys-\ntems, hybrid systems based on magnons in macroscopic\nmagnetic materials have increasingly become a new and\npromisingplatformforstudyingmacroscopicquantumef-\nfects, attributed to magnon’s great frequency tunability,\nvery low damping loss, and excellent coupling capabil-\nity to microwave or optical photons, phonons and qubits\n[31]. Experiments have realized strong cavity-magnon\ncoupling [32–35] in YIG spheres and other related in-\nteresting phenomena, such as magnon gradient memory\n[36], exceptional point [37], bistability [38] and nonre-\nciprocityandunidirectionalinvisibilityincavitymagnon-\nics [39]. Moreover,entanglement-basedsingle-shotdetec-\ntion of a single magnonwith a superconducting qubit has\nbeen realized [40]. Recent schemes for achieving quan-\ntum phenomena of magnons, including squeezing and\nentanglement, quantum steering, magnon blockade, and\nmagnon-mediatedmicrowaveentanglement, havealready\nbeen proposed [41–48].\nHere we consider a microwave-mediated phonon-\nmagnon interface and focus on how to deterministi-2\n/s66/s49 /s40 /s41/s105/s110 \n/s97 /s116\n/s32 \n/s49 /s40 /s41/s111/s117/s116\n/s97 /s116/s120\n/s32 \n/s49 /s97 /s32 \n/s50 /s97 \n/s32 \n/s98 /s112 \n/s32 \n/s109 /s112 \n/s89/s73/s71\nFIG. 1: Schematic diagram. A driven electromechanical cav-\nity (a1) is unidirectionally coupled to an electromagnonical\ncavity (a2) where a YIG sphere in a uniform magnetic field\nis placed. The probing cavities pbandpmare used to read\nout and detect the phonon-magnon entangled state. The two-\ncavity configuration ( a2, pm) of the microwave optomagnonic\nsystem can be a cross-shape cavity [49], and the YIG sphere\nis glued on the end of a cantilever.\ncally establish hybrid EPR entanglement channel be-\ntween a macroscopic mechanical oscillator and a dis-\ntantYIG sphere across about ten gigahertz of frequency\ndifference. The system consists of two unidirectionally-\ncoupledelectromechanicalandelectromagnonicalcavities\ninside which a mechanical oscillator and a YIG sphere\nare placed, respectively. We find that far beyond the\nelectromechanical sideband-resolved regime, strong sta-\ntionary phonon-magnon EPR entanglement and steering\ncan be achieved, as a result of electromechanical output\nphoton-phonon entanglement distributed via the unidi-\nrectional cavity coupling. Further, the one-way steering\nfrom phonons to magnons is established and adjustable\nover a wide range of feasible parameters. The entan-\nglement and steering are robust against the frequency\ndismatch between the two cavities, unidirectional cavity-\ncoupling loss, and environmental temperature.\nModel.–Weconsideradrivenelectromechanicalcavity\nthat is unidirectionally coupled to an electromagnonical\ncavity, as shown in Fig.1. For the electromechanical cav-\nity, the cavity resonance is modulated by the motion of\nthe mechanical oscillator, giving rise to the electrome-\nchanical coupling. Inside the electromagnonical cavity,\na ferrimagnetic YIG sphere with a diameter about hun-\ndreds of micrometers is placed. The YIG sphere is also\nputinauniformbiasmagneticfield, givingrisetomagne-\ntostatic modes of spin waves in the sphere. The cavities\npb,m, with their output fields sent to measurement ap-\nparatuses, are used to read out the phonon and magnon\nstates, respectively, and the two cavities ( a2, pm) can\nbe a cross-shape cavity coupled to the YIG sphere glued\non the end of a cantilever [49]. The magnons, which\ncharacterize quanta of the uniform magnetostatic mode\n(i.e., Kittel mode [50]) in the YIG sphere, are coupled\nto the cavity mode via magnetic dipole interaction. In\nthe rotating frame with respect to the frequency ωdof\nthe drive with amplitude Ed, the system’s HamiltonianˆH=ˆHab+ˆHamreads (/planckover2pi1= 1)\nˆHab=δ1ˆa†\n1ˆa1+ωbˆb†ˆb+˜gabˆa†\n1ˆa1(ˆb+ˆb†)−i(E∗\ndˆa1−Edˆa†\n1),\n(1a)\nˆHam= ∆2ˆa†\n2ˆa2+∆mˆm†ˆm+gam(ˆa†\n2ˆm+ˆa2ˆm†),(1b)\nwhere the bosonic annihilation operatorsˆ aj, ˆmandˆbde-\nnote the cavity, magnon, and mechanical modes with fre-\nquencies ωj,ωmandωb, respectively. Thedetunings δ1=\nω1−ωd, ∆2=ω2−ωdand ∆ m=ωm−ωd. The magnon\nfrequency ωm=βHB, whereβis the gyromagnetic ra-\ntio andHBis the strength of the uniform bias magnetic\nfield. ˜gabrepresents the single-photon electromechani-\ncal coupling, and gamdenotes the magnetic-dipole cou-\npling between the cavity and magnons, gam∝√\nNwith\nNbeing the number of spins. In the recent experiment\n[33], a strong coupling, gam∼47 MHz, much larger than\nthe cavity and magnon linewidths about 2 .7 MHz and\n1.1 MHz, has been achieved, whereas the electromechan-\nicalcoupling ˜ gabistypicallyweak, but it canbe enhanced\nby using a strong cavity drive. Ultrastrong electrome-\nchanical coupling in the linear regime has been reported\n[51]. Under a strong drive, Eq.(1) can be linearized by\nreplacing the operators by ˆ o→ ∝an}bracketle{tˆo∝an}bracketri}htss+ ˆo(o=aj,b,m),\nwhere∝an}bracketle{tˆo∝an}bracketri}htssdenotes the steady-state amplitudes of the\nmodes, leading to the linearized Hamiltonian\nˆHlin\nab= ∆1ˆa†\n1ˆa1+ωbˆb†ˆb+gab(ˆa1+ˆa†\n1)(ˆb+ˆb†),(2a)\nˆHlin\nam= ∆2ˆa†\n2ˆa2+∆mˆm†ˆm+gam(ˆa†\n2ˆm+ˆa2ˆm†),(2b)\nwhere ∆ 1=δ1+2˜gabRe[∝an}bracketle{tˆb∝an}bracketri}htss] andgab= ˜gab∝an}bracketle{tˆa1∝an}bracketri}htss, with\n∝an}bracketle{tˆa1∝an}bracketri}htss=2Ed\nκ1+2i∆1,∝an}bracketle{tˆb∝an}bracketri}htss=−2i˜gab|∝an}bracketle{tˆa1∝an}bracketri}htss|2\nγb+2iωb,(3)\nandκ1andγbare the damping rates of the cavity ˆ a1and\nmechanical mode, respectively.\nThe unidirectional coupling between the two cavity\nfields can be described as follows: the output field ˆ aout\n1(t)\nis used as the input field ˆ ain\n2(t) to drive the cavity ˆ a2but\nnot vice versa, i.e.,\nˆain\n2(t) =√ηˆaout\n1(t)+/radicalbig\n1−ηˆain\nη(t), (4)\nwhere the transmission loss is taken into account, with\nthe coupling efficiency η, and the output field ˆ aout\n1(t) =√κ1ˆa1(t)+ˆain\n1(t). Thethermalnoiseoperatorsˆ ain\nl(t)(l=\n1,η) satisfy the nonzero correlations ∝an}bracketle{tˆain\nl(t)ˆain†\nl′(t′)∝an}bracketri}ht=\n(¯nth\nl+1)δll′δ(t−t′) and∝an}bracketle{tˆain†\nl(t)ˆain\nl′(t′)∝an}bracketri}ht= ¯nth\nlδll′δ(t−t′),\nwhere ¯nth\n1= (e/planckover2pi1ω1\nkBT1−1)−1/bracketleftig\n¯nth\nη= (e/planckover2pi1ω2\nkBT2−1)−1/bracketrightig\nis\nthe equilibrium mean thermal photon number at envi-\nronmental temperature T1(T2) andkBthe Boltzmann\nconstant. By using Eqs.(2) and including the dissipa-\ntions and input noises of the system, the equations of3\nmotion are derived as\nd\ndtˆa1=−(κ1\n2+i∆1)ˆa1−igab(ˆb+ˆb†)−√κ1ˆain\n1(t),\n(5a)\nd\ndtˆa2=−(κ2\n2+i∆2)ˆa1−igamˆm−√ηκ1κ2ˆa1\n−√ηκ2ˆain\n1(t)−/radicalbig\n(1−η)κ2ˆain\nη(t), (5b)\nd\ndtˆb=−(γb\n2+iωb)ˆa1−igab(ˆa1+ˆa†\n1)−√γbˆbin(t),(5c)\nd\ndtˆm=−(γm\n2+i∆m)ˆm−igamˆa2−√γmˆmin(t),(5d)\nwhereκ2andγmare the damping rates of the cavity\nˆa2and magnon mode, respectively. The noise operators\nˆbin(t) and ˆmin(t) are independent and satisfy the same\ncorrelations as ˆ ain\n1,η(t), with the mean thermal excitation\nnumbers ¯ nth\nb,mat temperature Tb,m.\nWhen starting from Gaussian states, the system gov-\nerned by the linearized Eq.(5) evolves still in Gaussian,\nwhose state is completely determined by the covariance\nmatrixσjj′=∝an}bracketle{tµjµj′+µj′µj∝an}bracketri}ht/2− ∝an}bracketle{tµj∝an}bracketri}ht∝an}bracketle{tµj′∝an}bracketri}ht, whereµ=\n(ˆx1,ˆp1,ˆx2,ˆp2,ˆxb,ˆpb,ˆxm,ˆpm) with the quadrature opera-\ntors defined by ˆ x= (ˆo+ ˆo†)/√\n2 and ˆp=−i(ˆo−ˆo†)/√\n2\n(o=a1,a2,b,m). The covariance matrix ˆ σsatisfies\n˙σ=Aσ+σAT+D, (6)\nwhere the drift matrix\nA=\nA10Aab0\nA12A20Aam\nAab0Ab0\n0Aam0Am\n. (7)\nHereAx={1,2}=−/parenleftbigκx−2∆x\n2∆xκx/parenrightbig\n/2,Ay={b,m}=\n−/parenleftig\nγy−2∆y\n2∆yγy/parenrightig\n/2 with ∆ b≡ωb,Aab=−/parenleftbig0 0\n0 2Gab/parenrightbig\n,\nAam=/parenleftbig0gam\n−gam0/parenrightbig\n, andA12=−√ηκ1κ2I. The diffu-\nsion matrix\nD=/parenleftbigD1D12\nD12D2/parenrightbig\n⊕/parenleftbigDb0\n0Dm/parenrightbig\n, (8)\nwithD1=κ1(¯nth\n1+1/2)I,D2=κ2/bracketleftbig\nη(¯nth\n1+1/2)+(1−\nη)(¯nth\nη+1/2)/bracketrightbig\nI,D12=√ηκ1κ2I/2, andDs=γs(¯nth\ns+\n1/2)I(s=b,m).\nWe are interested in the quantum correlations in the\nsteady states which can be solved by setting the left-\nhand side of Eq.(6) to be zero. Note that the stability of\nthe present master-slave cascade system is merely deter-\nmined by the stability of the electromechanical subsys-\ntem, since the master subsystem is not influenced by the\nsalvesubsystemandthelatteronlyinvolveslinearmixing\nof the cavity and magnon modes. The stability is there-\nfore guaranteed when all the eigenvalues of the drift ma-\ntrix of the electromechanical subsystem ˜Aab≡/parenleftbigA1Aab\nAabAb/parenrightbig\nhave negative real parts.\nPhonon-magnon entanglement and steering. –\nThe phonon-magnon entanglement can be witnessed\n0.40.60.81.0\n0.250.300.350.400.450.50\n0.60.70.80.91.0\n0.500.550.60\n0\u0000\u0001\u00020.700.75\nFIG. 2: Density plots of the mechanical-magnon entangle-\nmentEbmand steering Sm|bversusthe cavity dissipation\nratesκ=κ1,2, the drive-magnon detuning∆ m, and the drive-\ncavity detuning ∆ 1,2. In (a) and (c), ∆ 1=−∆2=ωb, and\ngab= 0.5gam= 0.5ωb; in (b) and (d), κ= 10ωb, ∆m=−ωb,\nandgab= 0.5gam= 0.5ωb. We take η=1 and the other pa-\nrameters are provided in the text. In the plots, the steering\nSb|mis absent and not plotted, and the blank areas mean\nthe absence of the entanglement and steering (similarly her e-\ninafter).\nwhen [52]\nEbm=4V(ˆxθmm+fxˆxθb\nb)V(ˆpθmm−fyˆpθb\nb)\n(1+fxfy)2<1,(9)\nwhereV(ˆo) denotes the variance of the operator ˆ o, and\nthe angles θb,mandfx,yare used to minimize the vari-\nances, with fxfy>0. A tighter criterion is [53]\nSm|b= 4Vinf(ˆxθm\nm)Vinf(ˆpθm\nm)<1, (10)\nwhereVinf(ˆxθmm)≡V(ˆxθmm+fxˆxθb\nb) andVinf(ˆpθmm)≡\nV(ˆpθmm−fyˆpθb\nb) represent the inferred variances of the\nmagnon mode, conditioned on the measurements of the\nmechanical position and momentum, with the optimal\ngainsfo=V(ˆoθmm)−∝an}bracketle{tˆoθmmˆoθb\nb∝an}bracketri}ht/V(ˆoθb\nb) (o=x,y). Eq.(10)\nshows that the Heisenberg uncertainty is seemingly vi-\nolated, embodying the original EPR paradox [54, 55].\nMoreover, the conditional magnon squeezed states can\nbe generated when Eq.(10) is hold, and it therefore re-\nflectsthatthe magnonicstatescanbe steeredbymechan-\nics via the EPR entanglement and local measurements,\ncharacterizing quantum steering [56], a type of quantum\nnonlocality [57] and originally termed by Sch¨ odinger in\nresponse to the EPR paradox [58]. Similarly, the reverse\nsteering from the magnon to the phonon exists if\nSb|m= 4Vinf(ˆXθb\nb)Vinf(ˆYθb\nb)<1. (11)\nOne-way steering is present when either of Eqs.(10) and\n(11) is hold. One-way property of quantum steering4\n0.20.40.60.81.0\n0.50.60.70.80.91.0\n0.750.800.850.900.951.00\n0.20.40.60.81.0\n0.50.60.70.80.91.0\n0.60.70.80.91.0\nFIG. 3: Density plots of the entanglement Ebmand steering Sm|bandSb|mversusthe cavity dissipation rates k1andk2\n(a)-(c), and couplings gamandgab(d)-(f). We take gab= 0.5gam=ωmin (a)-(c), κ1=κ2= 10ωbin (d)-(f), ∆ m=−ωb,\n∆1=−∆2=ωb, and the other parameters are the same as in Fig.2.\n0.40.60.81.0\n0.60.70.80.91.0\nFIG. 4: Density plots of the entanglement Ebmand steering\nSm|bversustemperature Tand the cavity coupling efficiency\nη, withκ1=κ2= 10ωb, ∆1=−∆2=−∆m=ωb,gab=\n0.5gam= 0.5ωb, and the other parameters are the same as in\nFig.2. The steering Sb|mis absent and not plotted.\nmakes it intrinsically distinct from entanglement and it\nis useful for, e.g., one-sided device-independent quantum\ncryptography [59]. Note that the smaller values of Ebm,\nSm|b, andSb|mmean strongerentanglementandsteering.\nResults. –In Figs.2-3, the dependence of the steady-\nstate entanglement Ebmand steering Sm|bandSb|mon\nsome key parameters are plotted. We adopt experimen-\ntally feasible parameters ωb/2π= 10 MHz, ωm/2π= 10\nGHz,γb/2π= 100 Hz, γm/2π= 1.5 MHz, and T=\nT1,2=Tm,b= 30 mK [33, 38, 51]. We take the detun-\ning ∆ 1=ωbfor cooling the mechanical mode, except\nfor Figs.2 (b) and (d). We see that the EPR entan-\nglement and steerings between the mechanical oscillator\nand the YIG sphere can be achieved in the steady-state\nregime. The phonon-to-magnonsteering Sm|bshows sim-\nilar properties to the entanglement. By contrast, the re-\nverse steering Sb|mfrom the magnons to the phonons is\nabsent, mainly due to a much larger magnon damping\nrate than that of the mechanics, i.e., γm≫γb, and it\nis merely present for unbalanced cavity dissipation rates\nκ1andκ2or relatively large couplings gabandgam, asshown in Fig.3 (c) and (f). Moreover, the steering Sm|b\nis stronger than the reverse Sb|mwhen both of them are\npresent.\nSpecifically, as shown in Fig.2 the entanglement and\nsteeringbecomemaximalunderstrongcavitydissipation,\nκ1,2≫ {gab,gam,ωb}, far beyond the sideband-resolved\nregimeofthe electromechanicalsystem, and they arealso\noptimized when the detuning ∆ m=−ωb. This can be\nunderstoodasfollows: the phonon-magnonentanglement\nin fact originates from the photon-phonon entanglement\nbuilt up by the electromechanical coupling. As stud-\nied by one of us in Ref.[60], on the bad-cavity condi-\ntionκ1≫ωb, the stationary entanglement between the\nmechanical oscillator and the cavity output photons at\nfrequency ωd−ωbbecomes maximal and much stronger\nthan the intracavity-photon-phonon entanglement which\ndoes not yet exhibit quantum steering; via the unidirec-\ntional photon-photon coupling and photon-magnon cou-\npling, the output photon-phonon entanglement is then\npartially transferred into the phonon-magnon entangle-\nment. Since the transfer efficiency depends on the prod-\nuctκ1κ2and resonates also at frequency ωd−ωbfor the\nphotons and magnons in the second cavity, the phonon-\nmagnon entanglement is therefore maximal for the bad\ncavities and at the detuning ∆ m=−ωb.\nFigure 2 also shows that under the bad-cavity con-\ndition, the steady-state entanglement and steering are\nattainable in relatively wide ranges of the detunings\n∆1,2. They are present in the red-detuned regime of\nthe electromechanical subsystem (∆ 1>0) for the sta-\nbility consideration and become maximum at the insta-\nbility threshold ∆ 1≈0. We also see that exactly due to\nthe strong cavity coupling√κ1κ2, the entanglement and\nsteering can still be achieved even for largely different\ntwo cavity frequencies (i.e., ∆ 1∝ne}ationslash= ∆2), demonstrating\ntheir robustness against the frequency dismatch between5\nthe two cavities. In addition, as depicted in Fig.3 the\noptimal entanglement and steering are obtained for the\ncooperativity parameters Cb=g2\nab/κ1γb≈6×103and\nCm=g2\nam/κ2γm≈1, which can readily be realized with\ncurrent state-of-the-art experimental technology [38, 51].\nFig.4 reveals that the entanglement and steering are\nrobust against the inefficiency of the unidirectional cou-\npling and thermal fluctuations. They can survive up to\nT >100mK for a realistic coupling efficiency η= 0.5,\nand the entanglement is more robust than the steering,\nsince the latterembodies a strongerquantumcorrelation.\nWe note that in the current experiments in microwave\ndomain [6, 7], the cascade-cavity-coupling efficiency up\ntoη≈0.75 can be achieved. For the YIG sphere, the\ncooling to 10 mK ∼1 K by using a dilution refrigerator,\nmerely with small line broadening, has been achieved in\nthe experiment [33]. In addition, around the temper-\natureT1,2=Tb=Tm= 30 mK by using cryostat in\nthe experiments [33, 38, 51], the mean thermal magnon\nnumber ¯nth\nm≈0, while the mean thermal phonon number\n¯nth\nb≈60.\nDiscussion and Conclusion. – For the parame-\nters considered ωb/2π= 10 MHz, ωm/2π= 10 GHz,\n∆1=−∆2=−∆m=ωb, andκ1= 10ωb,\nto achieve the electromechanical coupling gab≡\n˜gab√Pdκ1//radicalbig\n/planckover2pi1ωc1(∆2\n1+κ2\n1/4) = 0.5ωb, the pumping\npowerPd= 1µW is required, given the single-photon\nelectromechanical coupling ˜ gab/2π≈150 Hz [51]. When\na 400-µm-diameter YIG sphere is considered, the num-\nber of spins in the sphere N≈7×1016, with the net\nspin density of the sphere ρs= 2.1×1027m−3, and the\nelectromagnonical coupling gam≡gm0√\nN=ωbcan be\nobtained for the single-spin coupling gm0/2π≈38 mHz\n[33]. In addition, for the given couplings gabandgam,\nthe mean magnon number |∝an}bracketle{tˆm∝an}bracketri}ht|2≈3.4×108≪2Nsfor\nthe spin number s=5\n2of the ground-state ferrum ion\nFe3+, which ensures the condition of low-lying excitation\nof the spin ensemble necessary for the present model.\nAs for the detection of the EPR entanglement and\nsteering, we adopt the well established method [61] by\ncoupling the mechanical and magnon modes to the sep-\narate probing fields ˆ pband ˆpm(see Fig.1). When theprobing cavity ˆ pbis driven by a weakred-detuned pulse\nand the cavity ˆ pmis resonantwith the magnonmode, the\nbeam-splitter-like Hamiltonian ˆHbp=gbp(ˆbˆp†\nb+ˆb†ˆpb) and\nˆHmp=gmp(ˆmˆp†\nm+ ˆm†ˆpm) are thus activated. Therefore,\nthe states of the mechanical and magnon modes can be\ntransferred onto the probes. By homodyning the outputs\nof the probes and measuring the variances of the quadra-\ntures, one can verify the entanglement and steering.\nIn conclusion, we present a deterministic scheme for\ncreating hybrid EPR entanglement channel between a\nmacroscopic mechanical oscillator and a magnon mode\nin a distant macroscopic YIG sphere. The entanglement\nis created in the electromechanicalcavityand distributed\nremotely to the electromagnonicalcavity by coupling the\noutput field of the former to the latter. Strong station-\nary phonon-magnon EPR entanglement and steering can\nbe achieved under realistic parameters far beyond the\nsideband-resolved regime. These features clearly distin-\nguish from the existing proposals [41, 48] where the en-\ntanglement is generated locally under the condition of\nresolved sidebands, and thus the present proposal man-\nifests its unique advantages. With the rapid progress\nin realizing the coupling between hybrid massive sys-\ntems [8, 9], our proposal is promising to be realized in\nthe near future. This distant hybrid EPR entanglement\nand steering between two truly massive objects may find\nits applications in the fundamental study of macroscopic\nquantum phenomena, as well as in quantum network-\ning and one-sided device-independent quantum cryptog-\nraphy. Further investigations would conclude quantum-\nstate exchangebetween a mechanicaloscillatorand a dis-\ntant YIG sphere.\nAcknowledgment\nThis work is supported by the National Natural Sci-\nence Foundation of China (No.11674120), the Funda-\nmental Research Funds for the Central Universities (No.\nCCNU18TS033), and the European Research Council\nProject (Grant No. ERC StG Strong-Q, 676842).\n[1] F. Fr¨ owis, P. Sekatski, W. D¨ ur, N. Gisin, and N. San-\ngouard, Rev. Mod. Phys. 90, 025004 (2018).\n[2] H. J. Kimble, Nature 453, 1023 (2008).\n[3] C. Simon, Nature Photonics 11, 678 (2017).\n[4] C. B. Møller et al., Nature 547, 191 (2017).\n[5] G. Kurizki, P. Bertet, Y. Kubo, K. Mølmer, D. Pet-\nrosyan, P. Rabl, and J. Schmiedmayer, PNAS 112, 3866\n(2015).\n[6] N. Roch, M. E. Schwartz, F. Motzoi, C. Macklin, R. Vi-\njay, A.W. Eddins, A. N. Korotkov, K. B. Whaley, M.\nSarovar, and I. Siddiqi, Phys. Rev. Lett. 112, 170501\n(2014).\n[7] A. Chantasri, M. E. Schwartz, N. Roch, I. Siddiqi, andA. N. Jordan, Phys. Rev. X 6, 041052 (2016).\n[8] R. A. Thomas, M. Parniak, C. Østfeldt, C. B. Møller,\nC. Bærentsen, Y. Tsaturyan, A. Schliesser, J. Ap-\npel, E. Zeuthen, and E. S. Polzik, Nat. Phys. (2020)\nhttps://doi.org/10.1038/s41567-020-1031-5.\n[9] T. M. Karg, B. Gouraud, C. T. Ngai, G.-L. Schmid, K.\nHammerer, and P. Treutlein, Science 369, 174 (2020).\n[10] F. Fr¨ owis, P. Sekatski, W. D¨ ur, N. Gisin, and N. San-\ngouard, Rev. Mod. Phys. 90, 025004 (2018).\n[11] I. Pikovski, M. R. Vanner, M. Aspelmeyer, M. S. Kim,\nand C. Brukner, Nat. Phys. 8, 393 (2012).\n[12] C. Pfister, J. Kaniewski, M. Tomamichel, A. Mantri, R.\nSchmucker, N. McMahon, G. Milburn, and S. Wehner,6\nNat. Commun. 7, 13022 (2016).\n[13] W. H. Zurek, Phys. Today 44, 36 (1991); W. H. Zurek,\nRev. Mod. Phys. 75, 715 (2003).\n[14] A. Bassi, K. Lochan, S. Satin, T. P. Singh, and H. Ul-\nbricht, Rev. Mod. Phys. 85, 471 (2013).\n[15] M. Poot and H. S. J. van der Zant, Phys. Rep. 511, 273\n(2012).\n[16] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt,\nRev. Mod. Phys. 86, 1391 (2014).\n[17] E. E. Wollman, C. U. Lei, A. J. Weinstein, J. Suh, A.\nKronwald, F. Marquardt, A. A. Clerk, and K. C. Schwab,\nScience349, 952 (2015).\n[18] J. M. Pirkkalainen, E. Damsk¨ agg, M. Brandt, F. Mas-\nsel, and M. A. Sillanp¨ a¨ a, Phys. Rev. Lett. 115, 243601\n(2015).\n[19] R. Riedinger, Andreas Wallucks, Igor Marinkovi´ c, C.\nL¨ oschnauer, M. Aspelmeyer, S. Hong, and S. Gr¨ oblacher,\nNature556, 473 (2018).\n[20] C. F. Ockeloen-Korppi, E. Damsk¨ agg, J.-M.\nPirkkalainen, M. Asjad, A. A. Clerk, F. Massel,\nM. J. Woolley, and M. A. Sillanp¨ a¨ a, Nature (London)\n556, 478 (2018).\n[21] T. A. Palomaki, J. D. Teufel, R. W. Simmonds, and K.\nW. Lehnert, Science 342, 710 (2013).\n[22] R. Riedinger, S. Hong, R. A. Norte, J. A. Slater, J.\nShang, A. G. Krause, V. Anant, M. Aspelmeyer, and\nS. Gr¨ oblacher, Nature 530, 313 (2016).\n[23] M. Ho, E. Oudot, J. Bancal, and N. Sangouard, Phys.\nRev. Lett. 121, 023602 (2018).\n[24] S. Hong, R. Riedinger, I. Marinkovic, A. Wallucks, S. G.\nHofer, R. A. Norte, M. Aspelmeyer, and S. Gr¨ oblacher,\nScience358, 203-206 (2017).\n[25] I. Marinkovic, A. Wallucks, R. Riedinger, S. Hong, M.\nAspelmeyer, and S. Gr¨ oblacher, Phys. Rev. Lett. 121,\n220404 (2018).\n[26] S. Rips and M. J. Hartmann, Phys. Rev. Lett. 110,\n120503 (2013).\n[27] C. M. Caves, K. S. Thorne, R. W. P. Drever, V. D. Sand-\nberg, and M. Zimmermann, Rev. Mod. Phys. 52, 341\n(1980).\n[28] O.Arcizet, V.Jacques, A.Siria, P.Poncharal, P.Vince nt,\nand S. Seidelin, Nature Phys. 7, 879 (2011).\n[29] A. D. ´OConnell, M. Hofheinz, M. Ansmann, R. C. Bial-\nczak, M. Lenander, E. Lucero, M. Neeley, D. Sank, H.\nWang, M. Weides, J. Wenner, J. M. Martinis, and A. N.\nCleland, Nature 464, 697 (2010).\n[30] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt,\nCavity Optomechanics , (Springer, Berlin 2014).\n[31] D. L. Quirion, Y. Tabuchi, A. Gloppe, K. Usami, and Y.\nNakamura, Applied Physics Express 12, 070101 (2019).\n[32] H.Huebl, C. W.Zollitsch, J. Lotze, F.Hocke, M. Greifen -\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nPhys. Rev. Lett. 111, 127003 (2013).\n[33] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y. Nakamura, Phys. Rev. Lett. 113, 083603\n(2014).\n[34] X. Zhang, N. Zhu, C. L. Zou, and H. X. Tang, Phys. Rev.Lett.117, 123605 (2016).\n[35] C. Braggio, G. Carugno, M. Guarise, A. Ortolan, and G.\nRuoso, Phys. Rev. Lett. 118, 107205 (2017).\n[36] X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang,\nand H. X. Tang, Nat. Commun. 6, 8914 (2015).\n[37] D. Zhang, X. Luo, Y. Wang, T. Li, and J. Q. You, Nat.\nCommun. 8,1368 (2017).\n[38] Y. P. Wang, G. Q. Zhang, D. Zhang, T. F. Li, C. M. Hu,\nand J. Q. You, Phys. Rev. Lett. 120057202 (2018).\n[39] Y. P. Wang, J. W. Rao, Y. Yang, P. C. Xu, Y. S. Gui,\nB. M. Yao, J. Q. You, and C. M. Hu, Phys. Rev. Lett.\n123, 127202 (2019).\n[40] D. L. Quirion, S. P. Wolski, Y. Tabuchi, S. Kono, K.\nUsami, and Y. Nakamura, Science 367, 425 (2020).\n[41] J. Li, S. Y. Zhu, and G. S. Agarwal, Phys. Rev. Lett.\n121, 203601 (2018).\n[42] J. Li, S. Y. Zhu, and G. S. Agarwal, Phys. Rev. A 99,\n021801 (R) (2019).\n[43] Z. Zhang, M. O. Scully, and G. S. Agarwal, Phys. Rev.\nResearch 1, 023021 (2019).\n[44] H. Tan, Phys. Rev. Research 1, 033161 (2019).\n[45] Z. Liu, H. Xiong, and Y. Wu, Phys. Rev. B 100, 134421\n(2019).\n[46] J. K. Xie, S. L. Ma, and F. L. Li, Phys. Rev. A 101,\n042331 (2020).\n[47] H. Y. Yuan, S. Zheng, Z. Ficek, Q. Y. He, and M. H.\nYung, Phys. Rev. Lett. 124, 053602 (2020).\n[48] M. Yu, H. Shen, and J. Li, Phys. Rev. Lett. 124, 213604\n(2020).\n[49] J. W. Rao et al., Nat. Commun. 10, 2934 (2019).\n[50] C. Kittel, Phys. Rev. 460, 155 (1948).\n[51] G. A. Peterson, S. Kotler, F. Lecocq, K. Cicak, X. Y.\nJin, R. W. Simmonds, J. Aumentado, J. D. Teufel, Phys.\nRev. Lett. 123, 247701 (2019).\n[52] V. Giovannetti, S. Mancini, D. Vitali, and P. Tombesi,\nPhys. Rev. A 67, 022320 (2003).\n[53] M. D. Reid, Phys. Rev. A 40, 913 (1989).\n[54] J. S. Bell, Physics 1, 195 (1964).\n[55] A. Einstein, B. Podolsky, and N. Rosen, Phys. Rev. 47,\n777 (1935).\n[56] M. D. Reid, P. D. Drummond, W. P. Bowen, E. G. Cav-\nalcanti, P. K. Lam, H. A. Bachor, U. L. Andersen, and\nG. Leuchs, Rev. Mod. Phys. 81, 1727 (2009).\n[57] H. M. Wiseman, S. J. Jones, and A. C. Doherty, Phys.\nRev. Lett. 98, 140402 (2007).\n[58] E. Schr¨ odinger, Proc. Cambridge Philos. Soc. 31, 553\n(1935).\n[59] C. Branciard, E. G. Cavalcanti, S. P. Walborn, V.\nScarani, and H. M. Wiseman, Phys. Rev. A 85,\n010301(R) (2012).\n[60] H. Tan, W. Deng, Q. Wu, and G. Li, Phys. Rev. A 95,\n053842 (2017).\n[61] D. Vitali, S. Gigan, A. Ferreira, H. R. B¨ ohm, P. Tombesi ,\nA. Guerreiro, V. Vedral, A. Zeilinger, and M. As-\npelmeyer, Phys. Rev. Lett. 98, 030405 (2007)." }, { "title": "2304.10760v4.Magnon_squeezing_by_two_tone_driving_of_a_qubit_in_cavity_magnon_qubit_systems.pdf", "content": "Magnon squeezing by two-tone driving of a qubit in cavity-magnon-qubit systems\nQi Guo∗,1, 2Jiong Cheng,1, 3Huatang Tan†,4and Jie Li‡1\n1Zhejiang Province Key Laboratory of Quantum Technology and Device,\nSchool of Physics and State Key Laboratory of Modern Optical Instrumentation, Zhejiang University, Hangzhou 310027, China\n2State Key Laboratory of Quantum Optics and Quantum Optics Devices,\nand College of Physics and Electronic Engineering, Shanxi University, Taiyuan, Shanxi 030006, People’s Republic of China\n3Department of Physics, School of Physical Science and Technology, Ningbo University, Ningbo, 315211, China\n4Department of Physics, Huazhong Normal University, Wuhan 430079, China\nWe propose a scheme for preparing magnon squeezed states in a hybrid cavity-magnon-qubit system. The\nsystem consists of a microwave cavity that simultaneously couples to a magnon mode of a macroscopic yttrium-\niron-garnet (YIG) sphere via the magnetic-dipole interaction and to a transmon-type superconducting qubit\nvia the electric-dipole interaction. By far detuning from the magnon-qubit system, the microwave cavity is\nadiabatically eliminated. The magnon mode and the qubit then get e ffectively coupled via the mediation of\nvirtual photons of the microwave cavity. We show that by driving the qubit with two microwave fields and by\nappropriately choosing the drive frequencies and strengths, magnonic parametric amplification can be realized,\nwhich leads to magnon quadrature squeezing with the noise below vacuum fluctuation. We provide optimal\nconditions for achieving magnon squeezing, and moderate squeezing can be obtained using currently available\nparameters. The generated squeezed states are of a magnon mode involving more than 1018spins and thus\nmacroscopic quantum states. The work may find promising applications in quantum information processing\nand high-precision measurements based on magnons and in the study of macroscopic quantum states.\nI. INTRODUCTION\nWith the increasing improvement of experimental technol-\nogy, the study of macroscopic quantum states has been attract-\ning more and more attention since the Schr ¨odinger’s cat state\nwas proposed [1]. In particular, cavity optomechanics (COM),\nexploring the interaction between electromagnetic fields and\nmechanical motion via radiation pressure, provides an ideal\nplatform to prepare macroscopic quantum states [2]. In the\npast decade, significant progress has been made in the field\nof COM in generating macroscopic quantum states of mas-\nsive mechanical oscillators. These include the realization of\nthe entangled states of a mechanical oscillator and an elec-\ntromagnetic field [3], the entangled states of two mechani-\ncal oscillators [4–6], the squeezed states [7] and superposition\nstates [8, 9] of mechanical motion, etc. In addition, nonclassi-\ncal states, e.g., superposition states [10], Fock states [11], cat\nstates [12] and entangled states [13, 14], of macroscopic me-\nchanical resonators can also be generated by coupling to and\ncontrolling the superconducting qubit.\nIn recent years, hybrid systems based on collective spin\nexcitations (magnons) in macroscopic ferromagnetic crystals,\nsuch as yttrium-iron-garnet (YIG), have become a new plat-\nform to explore macroscopic quantum phenomena and de-\nvelop novel quantum technologies [15–17]. It was first pro-\nposed in cavity magnomechanics [18–21] that macroscopic\nentangled states of magnons, photons and phonons can be\ncreated exploiting the dispersive magnetostrictive interac-\ntion [19]. Such nonlinear magnomechanical coupling can also\nbe used to entangle two magnon modes [22], two mechan-\n∗E-mail: qguo@sxu.edu.cn\n†E-mail: tht@mail.ccnu.edu.cn\n‡E-mail: jieli007@zju.edu.cnical modes [23], and generate squeezed states of magnons\nand phonons [24]. It can also be exploited to achieve\nEinstein-Podolsky-Rosen steering between magnons, photons\nand phonons [25, 26], and quantum ground states of mechani-\ncal vibration [27–29]. Apart from utilizing the nonlinear mag-\nnetostriction, many other mechanisms have been put forward\nin cavity magnonics to prepare macroscopic quantum states.\nSpecifically, the nonlinear magnon-photon interaction in cav-\nity optomagnonics is exploited to cool magnons [30], and\nprepare magnon Fock [31], cat [32] and path-entangled [33]\nstates, as well as the entangled states of magnons and opti-\ncal photons [34, 35]. Dissipative coupling between magnons\nand microwave photons is used to generate a magnon-photon\nBell state [36]. Anisotropy, together with conditional mea-\nsurements on microwave cavity photons, is utilized to prepare\na magnon cat state [37]. Kerr-type nonlinearities are adopted\nto entangle two magnon modes [38, 39] and achieve one-way\nquantum steering between ferrimagnetic microspheres [40].\nAnother approach is to use external quantum drives, e.g.,\nsingle-mode or two-mode squeezed vacuum fields, which are\nemployed to entangle two magnon modes [41, 42] and me-\nchanical modes [43], and control one-way quantum steer-\ning [44–46].\nThe e ffective coupling of magnons with superconducting\nqubits via the mediation of microwave cavity photons can\nalso provide necessary nonlinearity to prepare quantum states\nof magnons [15, 16, 47]. Due to the high controllability\nand scalability of the superconducting circuits, the study on\nthe hybrid cavity-magnon-superconducting-qubit system has\nbeen receiving increasing attention in recent years. Signif-\nicant experimental progress has been made in this system.\nSpecifically, strong coupling between a magnon and a super-\nconducting qubit and magnon-vacuum induced Rabi splitting\nwere demonstrated [48]. Shortly afterwards, the quanta of a\nmagnon mode in a millimeter-sized YIG sphere were resolved\nby using the magnon-qubit strong dispersive interaction [49].arXiv:2304.10760v4 [quant-ph] 29 Nov 20232\nWorking in the same dispersive regime, high-sensitivity de-\ntection of a single magnon in a YIG sphere with quantum ef-\nficiency of up to 0.71 was realized [50]. Very recently, the\nsuperposition state of a single magnon and vacuum was de-\nterministically generated [51]. These successful experimental\ndemonstrations have further stimulated the study on the quan-\ntum states in such a hybrid system. A series of theoretical\nproposals have been provided to explore quantum e ffects in\nthe system, such as magnon blockade [52–57], continuous-\nvariable [58, 59] and discrete-variable [60–64] magnon en-\ntanglement and steering, magnon cat states [65, 66], and so\non. All of these indicate that the magnon-qubit system is a\npromising system to prepare various magnonic quantum states\nvia manipulating the qubit.\nHere, we show how to generate magnon squeezed states\nin such a cavity-magnon-qubit system. To date, only a few\nprotocols have been o ffered in cavity magnonics to prepare\nmagnon squeezed states. They can be achieved by exploiting\nthe anisotropy or nonlinearities of the ferromagnet [67, 68],\nthe mechanism of the ponderomotive-like squeezing [69], the\nreservoir-engineering technique [70], or the squeezed external\ndrive fields [24, 71]. Our approach di ffers from all the above\nmechanisms and is realized via two-tone driving of the super-\nconducting qubit. It is akin to that used to produce squeezed\nlight by two-tone driving of an atom [72]. The system is oper-\nating in the regime where the microwave cavity is far detuned\nfrom the magnon-qubit system and can thus be adiabatically\neliminated. The qubit is simultaneously driven by two mi-\ncrowave fields. We show that by properly choosing the drive\nfrequencies and strengths, the e ffective parametric amplifica-\ntion Hamiltonian can be obtained for the magnon mode, which\nleads to a two-magnon process and thus the squeezing of the\nmagnon mode.\nThe paper is organized as follows. In Sec. II, we de-\nscribe the system and derive the e ffective Hamiltonian for\nthe magnon mode, which gives rise to magnon quadrature\nsqueezing. In Sec. III, we present the numerical results of the\nmagnon squeezing, check the validity of our derived approx-\nimate model, provide the optimal drive conditions, and ana-\nlyze the dissipation and thermal noise e ffects on the squeez-\ning. Lastly, we draw the conclusions in Sec. IV.\nII. THE SYSTEM AND EFFECTIVE HAMILTONIAN\nThe hybrid cavity-magnon-superconducting-qubit system,\nas depicted in Fig. 1(a), consists of a YIG sphere (e.g., with\nthe diameter of 1 mm [51]) and a transmon-type supercon-\nducting qubit that are placed inside a microwave cavity. The\nYIG sphere supports a magnon mode (collective motion of a\nlarge number of spins), which couples to the microwave cav-\nity via the magnetic-dipole interaction and the latter further\ncouples to the qubit via the electric-dipole interaction. The\nHamiltonian of this tripartite system reads ( ℏ=1)\nH=ω0a†a+1\n2ωqσz+ωmm†m\n+g1\u0010\naσ++a†σ−\u0011\n+g2\u0010\nam†+a†m\u0011\n, (1)\nYIG 1:2:\nxyzBQubitG\n,m q Z Z 1,QZ Z MZ0Z2Z'1G\u0010\n2G\u0010\nZ(a)\n(b)FIG. 1: (a) Schematic of the cavity-magnon-superconducting-qubit\nsystem. A microwave cavity couples to both a magnon mode of a\nmacroscopic YIG sphere, which is placed in a uniform bias mag-\nnetic field Bz(zdirection), and a superconducting qubit, which is\ndriven by two microwave fields. The magnon mode and the qubit get\neffectively coupled via the mediation of the microwave cavity. (b)\nFrequency spectrum of the system. The cavity with frequency ω0is\nfar-detuned from the magnon mode ( ωm) and the qubit ( ωq). The ef-\nfective qubit transition frequency ωQis resonant with the drive field\nat frequency ω1, but is detuned by δ1andδ2, respectively, from the\neffective magnon frequency ωMand the drive field at frequency ω2.\nwhere a(a†) and m(m†) are the annihilation (creation) oper-\nators of the microwave cavity and the magnon mode, respec-\ntively, and ω0andωmare their resonance frequencies. We\nlimit the subspace of the transmon-type qubit to the ground\nstate|g⟩and the first-excited state |e⟩, and the Pauli matrix\nσz=|e⟩⟨e|−|g⟩⟨g|, andσ−=|g⟩⟨e|andσ+=|e⟩⟨g|are\nthe ladder operators of the qubit with transition frequency ωq.\nThe coupling strengths g1andg2are of the cavity-qubit and\ncavity-magnon systems, respectively.\nFor simplicity, we consider the situation where the qubit\nand the magnon are resonant, ωq=ωm≡ω, and far-detuned\nfrom the microwave cavity, i.e., ∆ =ω0−ω≫g1,g2. This\nallows us to adiabatically eliminate the cavity mode and ob-\ntain the e ffective Jaynes-Cummings-type Hamiltonian of the\nmagnon-qubit system [15], which is given by\nHeff=1\n2ωQσz+ωMm†m+G\u0010\nσ+m+σ−m†\u0011\n, (2)\nwhereωQ=ω+g2\n1\n∆andωM=ω+g2\n2\n∆correspond to the\neffective frequencies of the qubit and the magnon mode, re-\nspectively (c.f. Fig. 1(b)), and G=g1g2\n∆denotes the e ffective\nmagnon-qubit coupling. Such an e ffective Hamiltonian has\nbeen adopted in the experiments [47–51].\nWe then apply two microwave fields to drive the qubit and3\nthe drive frequencies are ω1=ωQandω2, and the corre-\nsponding driving strengths are Ω1andΩ2. The Hamiltonian,\nin the interaction picture with respect to ω1\u00001\n2σz+m†m\u0001, can\nbe written as\nH1=−δ1m†m+\u0010\nGσ+m+ Ω 1σ++ Ω 2eiδ2tσ++H.c.\u0011\n,(3)\nwhereδ1=ω1−ωMandδ2=ω1−ω2. Without loss of\ngenerality, Ω1andΩ2are assumed to be real. To express the\nphysics more straightforwardly, we adopt the qubit represen-\ntation dressed by the drive field (of frequency ω1). By di-\nagonalizing the driving Hamiltonian V1= Ω 1(σ++σ−), the\ndressed states are expressed as\n|+⟩=1√\n2(|e⟩+|g⟩),\n|−⟩=1√\n2(|e⟩−|g⟩). (4)\nRewriting the Hamiltonian H1in terms of the dressed states,\nwe obtain\nH2=−δ1m†m+ Ω 1(σ++−σ−−)\n+1\n2h\u0010\nGm+ Ω 2eiδ2t\u0011\n(σ++−σ+−+σ−+−σ−−)\n+\u0010\nGm†+ Ω 2e−iδ2t\u0011\n(σ++−σ−++σ+−−σ−−)i\n,(5)\nwhere we define σjk=|j⟩⟨k|(j,k= +,−). Working in the\ninteraction picture with respect to −δ1m†m+ Ω 1(σ++−σ−−)\nand taking Ω1=−1\n2δ2, the Hamiltonian becomes\nH3=1\n2Gmh\n(σ++−σ−−)eiδ1t−σ+−e−i(δ2−δ1)t+σ−+ei(δ2+δ1)ti\n+1\n2Ω2h\n(σ++−σ−−)eiδ2t−σ+−+σ−+ei2δ2ti\n+H.c..(6)\nUnder the conditions of |δ2| ≫G\n2,Ω2\n2,|δ1|, we can take the\nrotating-wave approximation and obtain the following Hamil-\ntonian\nH4=1\n2G\u0010\nmeiδ1t+m†e−iδ1t\u0011\n(σ++−σ−−)−1\n2Ω2(σ+−+σ−+).(7)\nThe second term V2=−1\n2Ω2(σ+−+σ−+) corresponds to\nthe driving Hamiltonian associated with the second drive for\nthe qubit. By diagonalizing V2, we find that its eigenstates\n(|+⟩±|−⟩ )/√\n2 are exactly the bare qubit states |e⟩and|g⟩.\nTherefore, the Hamiltonian (7) can be expressed in the initial\nqubit-state basis{|e⟩,|g⟩}as\nH5=−1\n2Ω2σz+1\n2G\u0010\nmeiδ1t+m†e−iδ1t\u0011\n(σ++σ−). (8)\nThe Hamiltonian above in the interaction picture with respect\nto−1\n2Ω2σzthen becomes\nH6=1\n2Gmh\nσ+ei(δ1−Ω2)t+σ−ei(δ1+Ω 2)ti\n+H.c.. (9)\nNote that for|δ1|≪Ω2,δ1−Ω2<0 andδ1+Ω 2>0. Accord-\ning to the e ffective Hamiltonian theory [73], when the condi-\ntion|δ1±Ω2|≫G\n2is satisfied, the e ffective Hamiltonian is\ngiven by\nHeff=−iH6(t)Z\nH6(t′)dt′. (10)Substituting Eq. (9) into Eq. (10) and ignoring the fast oscilla-\ntion terms, we can obtain the following e ffective Hamiltonian\nH7=G2\n4\"1\nδ1−Ω2\u0010\nm†mσz+σ+σ−\u0011\n+1\nδ1+Ω 2\u0010\n−m†mσz+σ−σ+\u0011\n+1\nΩ2m2σzei2δ1t+1\nΩ2m†2σze−i2δ1t#\n. (11)\nFor the case of the qubit being initially prepared in the state\n|e⟩(similarly, for the ground state |g⟩), we obtain the para-\nmetric amplification Hamiltonian for the magnon mode in the\ninteraction picture, i.e.,\nH8=χm2ei \n2δ1−Ω2G2\n(δ2\n1−Ω2\n2)!\nt+m†2e−i \n2δ1−Ω2G2\n(δ2\n1−Ω2\n2)!\nt, (12)\nwhereχ=G2/(4Ω2). This Hamiltonian describes a two-\nmagnon process and can generate a magnon squeezed vac-\nuum state. The squeezing direction in the phase space rotates\ndue to the time dependence of the Hamiltonian. By appro-\npriately choosing the parameters to have δ1=Ω2G2\n2(δ2\n1−Ω2\n2), i.e.,\n2∆Ω 2=−g2\n1g2\n2/(g2\n1−g2\n2), the Hamiltonian (12) can be time-\nindependent, which yields the normal parametric amplifica-\ntion Hamiltonian of χ\u0000m2+m†2\u0001.\nIII. RESULTS OF MAGNON QUADRATURE SQUEEZING\nIn Sec. II, we prove analytically that our mechanism can\ngenerate squeezing of the magnon mode and the derivation is\nperformed without considering any dissipation of the system.\nIn this section, we present the numerical results of the magnon\nsqueezing by including dissipations of the system and using\nexperimentally feasible parameters. We calculate the magnon\nsqueezing by using the e ffective Hamiltonian (12), and com-\npare it with that obtained using the original (full) Hamilto-\nnian (3), where no approximation is made. This allows us to\ncheck the validity of our model and determine the parameter\nregime where the e ffective Hamiltonian is a good approxima-\ntion.\nThe squeezing denotes that the variance of the general\nquadrature of the magnon mode, X=cosθX1+sinθX2, is\nbelow that of the vacuum noise, where X1=(m+m†)/√\n2\nandX2=i(m†−m)/√\n2 are the magnon amplitude and phase\nquadratures. In fact, the minimum variance of the quadrature\nX, i.e., Vmin(X), can be obtained analytically using the time-\nindependent parametric amplification Hamiltonian (12) under\nprecisely chosen parameters. Here, to be generic, we calculate\nthe variance using the time-dependent Hamiltonian (12). The\ntime dependence leads to the time-dependent optimal squeez-\ning angleθopt, corresponding to the minimum variance and\nthus the maximum squeezing. However, Vmin(X) can still be\nachieved by computing the minimum eigenvalue of the co-\nvariance matrix (CM) σof the two magnon quadratures X1,2,\ni.e.,\nVmin(X)=min\beig[σ]\t. (13)4\nThe CMσis defined as\nσ= \nσ11σ12\nσ21σ22!\n, (14)\nwhereσjk=Tr[ρ(XjXk+XkXj)/2]−Tr[ρXj]Tr[ρXk] (j,k=\n1,2), andρ≡ρ(t) is the density matrix of the system at time\nt. The optimal squeezing angle θoptcan be obtained from the\nCMσ, which isθopt=1\n2arctan2σ12\nσ11−σ22−π\n2.\nIn Fig. 2(a), we plot the minimum variance Vmin(X) as a\nfunction of time t, where the solid (dashed) line corresponds\nto the result obtained using the full (e ffective) Hamiltonian (3)\n((12)). We use experimentally feasible parameters [48–51]:\nω0/2π=7.5 GHz,ω/2π=7.2 GHz, g1/2π=36 MHz,\ng2/2π=36.6 MHz (corresponding to G=g1g2\n∆=2π×4.4\nMHz), and Ω1=10Ω2=102G. We assume that the qubit\nis initially in the excited state |e⟩and the magnon mode is\nin the vacuum state, which is the case of low bath tempera-\nture, e.g., of tens of mK. Clearly, magnon squeezed states can\nbe achieved and the two results (using the Hamiltonians (3)\nand (12)) agree well with each other, indicating that our de-\nrived e ffective Hamiltonian is a very good approximation. In\nFig. 2(b), a smaller value of Ω2=5Gis used, which just satis-\nfies the condition|Ω2|≫G\n2for deriving the Hamiltonian (12).\nThe deviation of the two curves becomes larger especially for\nlonger evolution time. Nevertheless, the e ffective Hamilto-\nnian is still a good approximation once the conditions listed in\nSec. II are fulfilled.\nFigure 2 is obtained without considering any dissipation of\nthe system. Therefore, the variance Vmin(X)→0 when t→\n∞. In what follows, we analyze the e ffect of the magnon and\nqubit dissipations on the degree of the squeezing. We adopt\nthe Lindblad master equation [74, 75]\nd\ndtρ=−i[H,ρ]+κ(¯nm+1)Lmρ+κ¯nmLm†ρ\n+γ(¯nq+1)Lσ−ρ+γ¯nqLσ+ρ, (15)\nwhere\nLoρ=(oρo†−1\n2o†oρ−1\n2ρo†o) (16)\nrepresents the Lindblad term for an arbitrary operator o(o=\nm,m†,σ−,σ+).κ(γ) is the dissipation rate of the magnon\nmode (the qubit), and ¯ nm(¯nq) is the mean thermal occupation\nnumber, and ¯ nj≃[exp( ℏω/kBT)−1]−1(j=m,q) with Tbe-\ning the bath temperature. In Fig. 3(a), Vmin(X) is plotted with\nthe dissipation rates κ/2π=1 MHz and γ/2π=20 kHz and at\ntemperature T=10 mK [51] for two sets of drive conditions,\nwhich correspond to those used in Figs. 2(a) and 2(b), respec-\ntively. Compared with the no-dissipation case of Fig. 2, it is\nevident that the dissipations can significantly reduce the de-\ngree of the squeezing. Moreover, there is an optimal time for\nachieving the maximum squeezing, after which more noises\nenter the system through the dissipation channels and degrade\nthe squeezing. To vividly show the magnon squeezing, we\nplot the Wigner function of the magnon mode in Fig. 3(b),\ncorresponding to the point at t=300 ns in the orange curve\nof Fig. 3(a) and the minimum variance of 0 .31.\n(a)\n(b)FIG. 2: Minimum variance Vmin(X) of the magnon quadrature as a\nfunction of time tfor (a) Ω1=10Ω2=102G; (b)Ω1=10Ω2=50G.\nSee text for the other parameters.\nWe now analyze the optimal drive conditions for obtain-\ning the magnon squeezing. Summarizing the conditions used\nfor deriving the desired parametric amplification Hamiltonian\n(12), we have|δ2|=2Ω1≫Ω2\n2≫G\n4. Once the frequency of\nthe second drive is determined (i.e., δ2andΩ1=|δ2|\n2are fixed),\nit puts an upper limit on the driving strength Ω2to get the op-\ntimal squeezing. A smaller Ω2is preferred since the degree\nof squeezing is proportional to χ=G2\n4Ω2. However, Ω2cannot\nbe too small because of the lower limit of Ω2≫G\n2. This fur-\nther sets an upper limit on the maximum squeezing that can\nbe achieved in our protocol since χ≪G\n2. The presence of an\noptimal Ω2is confirmed by Fig. 4, in which we have evalu-\nated the degree of squeezing in units of dB, which is defined\nasS=−10log10[Vmin(X)/Vvac(X)], where Vvac(X)=1\n2corre-\nsponds to the vacuum fluctuation, and Vmin(X) is obtained at\nthe optimal time and at temperature T=10 mK. The dissipa-\ntion rates considered in Fig. 4 are the same as in Fig. 3.\nIn the inset of Fig. 4, we plot the degree of squeezing versus\nΩ1for a fixed Ω2=3G. It shows that there is also an opti-\nmal driving strength Ω1. This is because, on the one hand, the\ndriving strength must be strong enough to satisfy Ω1≫Ω2\n4;\nwhile on the other hand, it cannot be too strong as a large Ω1\ncorresponds to a large detuning |δ2|=2Ω1, which reduces the\ndrive e fficiency associated with the second drive and thus the\ndegree of squeezing. It should be noted that the drive frequen-5\n(a)\n(b)\nFIG. 3: (a) Minimum variance Vmin(X) of the magnon quadrature\nversus twithκ/2π=1 MHz and γ/2π=20 kHz for Ω1=10Ω2=\n102G(blue dashed line) and for Ω1=10Ω2=50G(orange solid\nline). (b) Wigner function of the magnon mode corresponding to the\norange line in (a) at t=300 ns. The other parameters are the same\nas in Fig. 2.\nciesω1,2are determined by ωQandΩ1, so according to Fig. 4,\nthe optimal drive frequencies can also be determined.\nThe squeezing is robust against dissipations of the system\nand bath temperature, as shown in Fig. 5. We plot in Fig. 5(a)\nthe degree of squeezing S(dB) versus two dissipation rates κ\nandγat low temperature T=10 mK. Clearly, the squeezing\nis present for a wide range of both κandγ. In Fig. 5(b), we\nplotSversus Tforκ/2π=1 MHz and γ/2π=20 kHz [51].\nIt shows that the squeezing is still present for the temperature\nup to∼330 mK.\nIV . CONCLUSIONS\nWe present a scheme for preparing magnon squeezed states\nin a hybrid cavity-magnon-qubit system. The qubit is simulta-\nneously driven by two microwave fields. By properly select-\ning the drive frequencies and strengths, an e ffective parametric\namplification Hamiltonian is obtained for the magnon mode,\nwhich yields magnon quadrature squeezing. We provide the\noptimal drive conditions and analyze the validity of the model.\nThe magnon squeezing is robust against dissipations and bath\ntemperature, and the numerical results indicate that moder-\nFIG. 4: The degree of squeezing S (dB) versus driving strength Ω2\nfor di fferent values of Ω1. The inset shows S (dB) versus Ω1for a\nfixed Ω2=3G. The other parameters are the same as in Fig. 3.\n(b)\n(a)\nFIG. 5: The degree of squeezing S(dB) versus (a) magnon and qubit\ndissipation rates κandγatT=10 mK; (b) temperature Tforκ/2π=\n1 MHz and γ/2π=20 kHz. We take Ω1=10GandΩ2=3G. The\nother parameters are the same as in Fig. 2.\nate squeezing can be achieved using fully realistic parameters\nfrom recent experiments [48–51]. The squeezed state, with\nthe noise below vacuum fluctuation, is of a magnon mode\nconsisting of more than 1018spins for a 1-mm-diameter-YIG\nsphere and thus represents a macroscopic quantum state. The\nwork may find potential applications in the study of macro-\nscopic quantum phenomena, as well as in high-precision mea-\nsurements based on magnons.6\nAcknowledgments\nWe thank Dr. Da Xu for useful discussion on the experi-\nmental feasibility. This work has been supported by NationalKey Research and Development Program of China (Grant No.\n2022YFA1405200) and National Natural Science Foundation\nof China (Grant Nos. 12274274, 12174140, and 92265202).\n[1] E. Schr ¨odinger, Die gegenw ¨artige Situation in der Quanten-\nmechanik, Naturwissenschaften 23, 823 (1935).\n[2] M. Aspelmeyer, T.J. Kippenberg, and F. Marquardt, Cavity op-\ntomechanics, Rev. Mod. Phys. 86, 1391 (2014)\n[3] T. A. Palomaki, J. D. Teufel, R. W. Simmonds, and K. W. Lehn-\nert, Entangling mechanical motion with microwave fields, Sci-\nence 342, 710 (2013).\n[4] R. Riedinger, A. Wallucks, I. Marinkovi ´c, C. L ¨oschnauer, M.\nAspelmeyer, S. Hong, and S. Gr ¨oblacher, Remote quantum en-\ntanglement between two micromechanical oscillators, Nature\n556, 473 (2018).\n[5] C. F. Ockeloen-Korppi, E. Damsk ¨agg, J.-M. Pirkkalainen, M.\nAsjad, A. A. Clerk, F. Massel, M. J. Woolley, and M. A. Sil-\nlanp¨a¨a, Stabilized entanglement of massive mechanical oscilla-\ntors, Nature 556, 478 (2018).\n[6] S. Kotler, Gabriel. A. Peterson, E. Shojaee, F. Lecocq, K. Ci-\ncak, A. Kwiatkowski, S. Geller, S. Glancy, E. Knill, R. W.\nSimmonds, J. Aumentado, and J. D. Teufel, Direct observation\nof deterministic macroscopic entanglement, Science 372, 622\n(2021)\n[7] E. E. Wollman, C. U. Lei, A. J. Weinstein, J. Suh, A. Kron-\nwald, F. Marquardt, A. A. Clerk, and K. C. Schwab, Quantum\nsqueezing of motion in a mechanical resonator, Science 349,\n952 (2015).\n[8] A. Wallucks, I. Marinkovi ´c, B. Hensen, R. Stockill, and S.\nGr¨oblacher, A quantum memory at telecom wavelengths, Nat.\nPhys. 16, 772 (2020).\n[9] N. Fiaschi, B. Hensen, A. Wallucks, R. Benevides, J. Li, and T.\nP. Mayer Alegre, and S. Gr ¨oblacher, Optomechanical quantum\nteleportation, Nat. Photon. 15, 817 (2021).\n[10] K. J. Satzinger et al. , Quantum control of surface acoustic-wave\nphonons, Nature 563, 661 (2018).\n[11] Y . Chu, P. Kharel, T. Yoon, L. Frunzio, P. T. Rakich, and R. J.\nSchoelkopf, Creation and control of multi-phonon Fock states\nin a bulk acoustic-wave resonator, Nature 563, 666 (2018).\n[12] M. Bild, M. Fadel, Y . Yang, U. von L ¨upke, P. Martin, A. Bruno,\nand Y . Chu, Schr ¨odinger cat states of a 16-microgram mechan-\nical oscillator, Science 380, 274 (2023).\n[13] U. von L ¨upke, I. C. Rodrigues, Y . Yang, M. Fadel, and Y . Chu,\nEngineering phonon-phonon interactions in multimode circuit\nquantum acousto-dynamics, arXiv:2303.00730.\n[14] E. Alex Wollack, A. Y . Cleland, R. G. Gruenke, Z. Wang,\nP. Arrangoiz-Arriola, and A. H. Safavi-Naeini, Quantum state\npreparation and tomography of entangled mechanical res-\nonators, Nature 604, 463 (2022).\n[15] D. Lachance-Quirion, Y . Tabuchi, A. Gloppe, K. Usami, and\nY . Nakamura, Hybrid quantum systems based on magnonics,\nAppl. Phys. Express 12, 070101 (2019).\n[16] H. Y . Yuan, Y . Cao, A. Kamra, R.A. Duine, and P. Yan, Quan-\ntum magnonics: When magnon spintronics meets quantum in-\nformation science, Phys. Rep. 965, 1,(2022).\n[17] Y . Li et al. , Hybrid magnonics: Physics, circuits, and applica-\ntions for coherent information processing, J. Appl. Phys. 128,\n130902 (2020).\n[18] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Cavity mag-nomechanics, Sci. Adv. 2, e1501286 (2016).\n[19] J. Li, S.-Y . Zhu, and G. S. Agarwal, Magnon-Photon-Phonon\nEntanglement in Cavity Magnomechanics, Phys. Rev. Lett. 121,\n203601 (2018).\n[20] C. A. Potts, E. Varga, V . A. S. V . Bittencourt, S. V . Kusminskiy,\nand J. P. Davis, Dynamical Backaction Magnomechanics, Phys.\nRev. X 11, 031053 (2021).\n[21] R.-C. Shen, J. Li, Z.-Y . Fan, Y .-P. Wang, and J. Q. You, Mechan-\nical bistability in kerr-modified cavity magnomechanics, Phys.\nRev. Lett. 129, 123601 (2022).\n[22] J. Li and S. Y . Zhu, Entangling two magnon modes via magne-\ntostrictive interaction, New J. Phys. 21, 085001 (2019).\n[23] H. Qian, Z.-Y . Fan, and J. Li, Entangling mechanical vibrations\nof two massive ferrimagnets by fully exploiting the nonlinearity\nof magnetostriction, Quantum Sci. Technol. 8, 015022 (2023).\n[24] J. Li, S.-Y . Zhu, and G.S. Agarwal, Squeezed states of magnons\nand phonons in cavity magnomechanics, Phys. Rev. A 99,\n021801, (2019).\n[25] H. T. Tan, Genuine photon-magnon-phonon Einstein-Podolsky-\nRosen steerable nonlocality in a continuously-monitored cav-\nity magnomechanical system, Phys. Rev. Research 1, 033161\n(2019).\n[26] Y .-T. Chen, L. Du, Y . Zhang, and J.-H. Wu, Perfect transfer\nof enhanced entanglement and asymmetric steering in a cavity\nmagnomechanical system, Phys. Rev. A 103, 053712 (2021).\n[27] M.-S. Ding, L. Zheng, and C. Li, Ground-state cooling of a\nmagnomechanical resonator induced by magnetic damping, J.\nOpt. Soc. Am. B 37, 627 (2020).\n[28] T. Lu, H. Zhang, Q. Zhang, and H. Jing, Exceptional-point-\nengineered cavity magnomechanics, Phys. Rev. A 103, 063708\n(2021).\n[29] M. Asjad, J. Li, S.-Y . Zhu, and J. Q. You, Magnon squeezing\nenhanced ground-state cooling in cavity magnomechanics, Fun-\ndamental Research 3, 3 (2023).\n[30] S. Sharma, Y . M. Blanter, and G. E. W. Bauer, Optical Cooling\nof Magnons, Phys. Rev. Lett. 121, 087205 (2018).\n[31] V . A. S. V . Bittencourt, V . Feulner, and S. V . Kusminskiy,\nMagnon heralding in cavity optomagnonics, Phys. Rev. A 100,\n013810 (2019).\n[32] F.-X. Sun, S.-S. Zheng, Y . Xiao, Q. H. Gong, Q. Y . He, and K.\nXia, Remote Generation of Magnon Schr ¨odinger Cat State via\nMagnon-Photon Entanglement, Phys. Rev. Lett. 127, 087203\n(2021).\n[33] W.-J. Wu, Y .-P. Wang, J.-Z. Wu, J. Li, and J. Q. You, Re-\nmote magnon entanglement between two massive ferrimagnetic\nspheres via cavity optomagnonics, Phys. Rev. A 104, 023711\n(2021).\n[34] J. Li, Y .-P. Wang, W.-J. Wu, S.-Y . Zhu, and J. Q. You, Quantum\nNetwork with Magnonic and Mechanical Nodes, PRX Quan-\ntum2, 040344 (2021).\n[35] H. Xie, Z. Shi, L. He, X. Chen, C. Liao, and X. Lin, Proposal for\na Bell test in cavity optomagnonics, Phys. Rev. A 105, 023701\n(2022).\n[36] H. Y . Yuan, P. Yan, S. Zheng, Q. Y . He, K. Xia, and M.-H.\nYung, Steady Bell State Generation via Magnon-Photon Cou-7\npling, Phys. Rev. Lett. 124, 053602 (2020).\n[37] S. Sharma, V . A. S. V . Bittencourt, A. D. Karenowska, and S.\nViola Kusminskiy, Spin cat states in ferromagnetic insulators,\nPhys. Rev. B 103, L100403 (2021).\n[38] Z. Zhang, M. O. Scully, and G. S. Agarwal, Quantum entangle-\nment between two magnon modes via Kerr nonlinearity driven\nfar from equilibrium, Phys. Rev. Research 1, 023021 (2019).\n[39] Z.-B. Yang, W.-J. Wu, J. Li, Y .-P. Wang, and J. Q. You, Steady-\nentangled-state generation via the cross-Kerr e ffect in a ferri-\nmagnetic crystal, Phys. Rev. A 106, 012419 (2022).\n[40] H. Zhan, L. Sun, and H. T. Tan, Chirality-induced one-way\nquantum steering between two waveguide-mediated ferrimag-\nnetic microspheres, Phys. Rev. B 106, 104432 (2022).\n[41] J. M. P. Nair and G. S. Agarwal, Deterministic quantum entan-\nglement between macroscopic ferrite samples, Appl. Phys. Lett.\n117, 084001 (2020).\n[42] M. Yu, S.-Y . Zhu, and J. Li, Macroscopic entanglement of\ntwo magnon modes via quantum correlated microwave fields,\nJ. Phys. B 53, 065402 (2020).\n[43] J. Li and S. Gr ¨oblacher, Entangling the vibrational modes of\ntwo massive ferromagnetic spheres using cavity magnomechan-\nics, Quantum Sci. Technol. 6, 024005 (2021).\n[44] Z.-B. Yang, X.-D. Liu, X.-Y . Yin, Y . Ming, H.-Y . Liu, and R.-\nC. Yang, Controlling Stationary One-Way Quantum Steering in\nCavity Magnonics, Phys. Rev. Appl. 15, 024042 (2021).\n[45] W. Zhong, D. Zhao, G. Cheng, and A.-X. Chen, One-way\nEinstein-Podolsky-Rosen steering of macroscopic magnons\nwith squeezed light, Opt. Commun. 497, 127138 (2021).\n[46] W. Zhang, T. Wang, X. Han, S. Zhang, and H.-F. Wang, Quan-\ntum entanglement and one-way steering in a cavity magnome-\nchanical system via a squeezed vacuum field, Opt. Express 30,\n10969 (2022).\n[47] Y . Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki, K.\nUsami, and Y . Nakamura, Quantum magnonics: The magnon\nmeets the superconducting qubit, C. R. Phys. 17, 729 (2016).\n[48] Y . Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki,\nK. Usami, and Y . Nakamura, Coherent coupling between a fer-\nromagnetic magnon and a superconducting qubit, Science 349,\n405 (2015).\n[49] D. Lachance-Quirion, Y . Tabuchi, S. Ishino, A. Noguchi, T.\nIshikawa, R. Yamazaki, and Y . Nakamura, Resolving quanta\nof collective spin excitations in a millimeter-sized ferromagnet,\nSci. Adv. 3, e1603150 (2017).\n[50] D. Lachance-Quirion, S. P. Wolski, Y . Tabuchi, S. Kono, K. Us-\nami, and Y . Nakamura, Entanglement-based single-shot detec-\ntion of a single magnon with a superconducting qubit, Science\n367, 425 (2020).\n[51] D. Xu, X. Gu, H. Li, Y . Weng, Y .-P. Wang, J. Li, H. Wang, S.\nY . Zhu, and J. Q. You, Quantum control of a single magnon in a\nmacroscopic spin system, Phys. Rev. Lett. 130, 193603 (2023).\n[52] Z.-X. Liu, H. Xiong, and Y . Wu, Magnon blockade in a hy-\nbrid ferromagnet-superconductor quantum system, Phys. Rev.\nB100, 134421 (2019).\n[53] J.-K. Xie, S.-L. Ma, and F.-L. Li, Quantum-interference en-\nhanced magnon blockade in an yttrium-iron-garnet sphere cou-\npled to superconducting circuits, Phys. Rev. A 101, 042331\n(2020).\n[54] C. Zhao, X. Li, S. Chao, R. Peng, C. Li, and L. Zhou, Simulta-\nneous blockade of a photon, phonon, and magnon induced by a\ntwo-level atom, Phys. Rev. A 101, 063838 (2020).\n[55] K. Wu, W.-X. Zhong, G.-L. Cheng, and A.-X. Chen, Phase-\ncontrolled multimagnon blockade and magnon-induced tunnel-\ning in a hybrid superconducting system, Phys. Rev. A 103,\n052411 (2021).[56] X. Li, X. Wang, Z. Wu, W.-X. Yang, and A.-X. Chen, Tunable\nmagnon antibunching in a hybrid ferromagnet-superconductor\nsystem with two qubits, Phys. Rev. B 104, 224434 (2021).\n[57] Y . Wang, W. Xiong, Z. Xu, G.-Q. Zhang, and J.-Q. You,\nDissipation-induced nonreciprocal magnon blockade in a\nmagnon-based hybrid system, Sci. China-Phys. Mech. Astron.\n65, 260314 (2022).\n[58] D. Kong, X. M. Hu, L. Hu, and J. Xu, Magnon-atom interac-\ntion via dispersive cavities: Magnon entanglement, Phys. Rev.\nB103, 224416 (2021).\n[59] D. Kong, J. Xu, Y . Tian, F. Wang, and X. M. Hu, Remote\nasymmetric Einstein-Podolsky-Rosen steering of magnons via\na single pathway of Bogoliubov dissipation, Phys. Rev. Res. 4,\n013084 (2022).\n[60] Y .-L. Ren, J.-K. Xie, X.-K. Li, S.-L. Ma, and F.-L. Li, Long-\nrange generation of a magnon-magnon entangled state, Phys.\nRev. B 105, 094422 (2022).\n[61] D.-W. Luo, X.-F. Qian, and T. Yu, Nonlocal magnon entangle-\nment generation in coupled hybrid cavity systems, Opt. Lett.\n46, 1073 (2021).\n[62] S. Qi and J. Jing, Generation of Bell and Greenberger-Horne-\nZeilinger states from a hybrid qubit-photon-magnon system,\nPhys. Rev. A 105, 022624 (2022).\n[63] S. Qi and J. Jing, Floquet generation of a magnonic NOON\nstate, Phys. Rev. A 107, 013702 (2023).\n[64] G.-Q. Zhang, W. Feng, W. Xiong, Q.-P. Su, and C.-P. Yang,\nGeneration of long-lived W states via reservoir engineering\nin dissipatively coupled systems, Phys. Rev. A 107, 012410\n(2023).\n[65] M. Kounalakis, G. E. W. Bauer, and Y . M. Blanter, Analog\nquantum control of magnonic cat states on a chip by a super-\nconducting qubit, Phys. Rev. Lett. 129, 037205 (2022).\n[66] S. He, X. Xin, F.-Y . Zhang, and C. Li, Generation of a\nSchr ¨odinger cat state in a hybrid ferromagnet-superconductor\nsystem, Phys. Rev. A 107, 023709 (2023).\n[67] A. Kamra and W. Belzig, Super-poissonian shot noise of\nsqueezed-magnon mediated spin transport, Phys. Rev. Lett.\n116, 146601 (2016).\n[68] M. Elyasi, Y . M. Blanter, and G. E. W. Bauer, Resources of non-\nlinear cavity magnonics for quantum information, Phys. Rev. B\n101, 054402 (2020).\n[69] J. Li, Y .-P. Wang, J. Q. You, and S.-Y . Zhu, Squeezing Mi-\ncrowaves by Magnetostriction, Nat. Sci. Rev. 10, nwac247\n(2023).\n[70] W. Zhang, D.-Y . Wang, C.-H. Bai, T. Wang, S. Zhang, and H.-\nF. Wang, Generation and transfer of squeezed states in a cavity\nmagnomechanical system by two-tone microwave fields, Opt.\nExpress 29, 11773, (2021).\n[71] H. Y . Yuan, S. Zheng, Q. Y . He, J. Xiao, and R. A. Duine, Un-\nconventional magnon excitation by o ff-resonant microwaves,\nPhys. Rev. B 103, 134409 (2021).\n[72] F. O. Prado, N. G. de Almeida, M. H. Y . Moussa, and C. J.\nVillas-Boas, Bilinear and quadratic Hamiltonians in two-mode\ncavity quantum electrodynamics, Phys. Rev. A 73, 043803\n(2006).\n[73] D. F. James and J. Jerke, E ffective Hamiltonian theory and\nits applications in quantum information, Can. J. Phys. 85, 625\n(2007).\n[74] H.-P. Breuer and F. Petruccione, The Theory of Open Quantum\nSystems (Oxford University Press, Oxford, 2002).\n[75] G. Lindblad, On the generators of quantum dynamical semi-\ngroups, Commun. Math. Phys. 48, 119-130 (1976)." }, { "title": "2211.14914v2.Entangled_atomic_ensemble_and_an_yttrium_iron_garnet_sphere_in_coupled_microwave_cavities.pdf", "content": "Entangled atomic ensemble and an yttrium-iron-garnet sphere in coupled microwave\ncavities\nDilawaiz,1Shahid Qamar,1, 2and Muhammad Irfan1, 2,∗\n1Department of Physics and Applied Mathematics,\nPakistan Institute of Engineering and Applied Sciences (PIEAS), Nilore 45650 , Islamabad, Pakistan.\n2Center for Mathematical Sciences, PIEAS, Nilore, Islamabad 45650 , Pakistan.\n(Dated: April 15, 2024)\nWe present a scheme to generate distant bipartite and tripartite entanglement between an atomic\nensemble and a yttrium iron garnet (YIG) sphere in coupled microwave cavities. We consider\natomic ensemble in a single-mode microwave cavity which is coupled with a second single-mode\ncavity having a YIG sphere. Our system, therefore, has five excitation modes namely cavity-1\nphotons, atomic ensemble, cavity-2 photons, a magnon and a phonon mode in the YIG sphere.\nWe show that significant bipartite entanglement exists between indirectly coupled subsystems in\nthe cavities, which is robust against temperature. Moreover, we present suitable parameters for a\nsignificant tripartite entanglement of ensemble, magnon, and phonon modes. We also demonstrate\nthe existence of tripartite entanglement between magnon and phonon modes of the YIG sphere\nwith indirectly coupled cavity photons. Interestingly, this distant tripartite entanglement is of the\nsame order as previously found for a single-cavity system. We show that cavity-cavity coupling\nstrength affects both the degree and transfer of quantum entanglement between various subsystems.\nTherefore, an appropriate cavity-cavity coupling optimizes the distant entanglement by increasing\nthe entanglement strength and its robustness against temperature.\nI. INTRODUCTION\nQuantum entanglement is recognized as the most fas-\ncinating aspect of quantum formalism [1]. It has ap-\nplications in quantum information processing, quantum\nnetworking, quantum dense coding, quantum-enhanced\nmetrology, and so on [2–5]. Therefore, its realization\nthrough physical resources used in information process-\ning and communication protocols necessitates a scale\nabove the subatomic level for the ease of experimental\nimplementation [6]. That is why there is growing at-\ntention toward the exploration of quantum mechanical\neffects at the macroscopic level. The advancement in mi-\ncro and nanofabrication in recent years provided novel\nplatforms to study macroscopic entanglement. Cavity\noptomechanics is one such system that received a lot of\nattention during the past decade [7, 8]. Among other\napplications [9, 10], cavity optomechanics enables quan-\ntum state transfer between different modes of electromag-\nnetic fields [11, 12] which has a central role in quantum\ninformation processing networks. Moreover, a possible\nplatform for quantum information processing is offered\nby atomic ensembles. They can serve as valuable mem-\nory nodes for quantum communication networks due to\ntheir longer coherence duration and collective amplifi-\ncation effect [13]. Another promising physical platform\nis yttrium iron garnet (YIG), a ferrimagnetic material,\ndue to its high spin density and low decay rates of col-\nlective spin excitations (i.e., Kittel mode [14]), resulting\nin the strong coupling between Kittel mode and cavity\nphotons [15–18].\n∗Corresponding author: m.irfanphy@gmail.comSince the initial experiments, many hybrid quantum\nsystems based on quantum magnonics have been stud-\nied for their possible applications in quantum technolo-\ngies [19–22]. Magnon Cavity QED is a relatively newer\nfield and a potential candidate for studying new fea-\ntures of strong-coupling QED. The observation of bi-\nstability and the single superconducting qubit coupling\nto the Kittel mode are interesting developments in this\nfield [23, 24]. Li et al. illustrated how to create tripartite\nentanglement in a system of microwave cavity photons\nentangled to the magnon and phonon modes of a YIG\nsphere in a magnomechanical cavity [25]. This study was\nfollowed by an investigation of magnon-magnon entangle-\nment between two YIG spheres in cavity magnomechan-\nics [26]. Later, Wu et al. investigated magnon-magnon\nentanglement between two YIG spheres in cavity opto-\nmagnonics [27]. Likewise, Ning and Yin theoretically\ndemonstrated the entanglement of magnon and super-\nconducting qubit utilizing a two-mode squeezed-vacuum\nmicrowave field in coupled cavities [28]. Wang et al. ex-\nplored nonreciprocal transmission and entanglement in\ntwo-cavity magnomechanical system [29]. That work\nwas succeeded by studying a long-range generation of\nmagnon-magnon entangled states via qubits [30].\nPotential schemes for distant entanglement between\ndisparate systems are increasingly considered for testing\nfundamental limits to quantum theory and possible ap-\nplications in quantum networks [31]. In an interesting\nstudy, Joshi et al. theoretically examined whether two\nspatially distant cavities connected by an optical fiber\nmay produce quantum entanglement between mechani-\ncal and optical modes [32]. Likewise, many researchers\ntheoretically explored other schemes for transferring en-\ntanglement at a distance which includes an array of three\noptomechanical cavities for the study of the entanglementarXiv:2211.14914v2 [quant-ph] 12 Apr 20242\nbetween different mechanical and optical modes [33] and\na doubly resonant cavity with a gain medium of cascad-\ning three-level atoms placed in it to investigate entangle-\nment transfer from two-mode fields to the two movable\nmirrors [34]. In a double cavity optomechanical system,\nLiao et al. quantified the entanglement of macroscopic\nmechanical resonators by the concurrence [35]. It was\nfollowed by a study of entanglement transfer from the\ninter-cavity photon-photon entanglement to an intracav-\nity photon-phonon via two macroscopic mechanical res-\nonators [36]. Recently, Bai et al. proposed a scheme\nof a two-cavity coupled optomechanical system with the\natomic ensemble and a movable mirror in distinct cavi-\nties, through which they showed ensemble-mirror entan-\nglement and entanglement transfer between different sub-\nsystems [37].\nIn the past, several cavity optomechanical sys-\ntems have been studied for entanglement with atomic\nmedium [37–40]. Recently, it is shown that atomic en-\nsemble can be entangled with magnon modes within\na single cavity [41, 42]. However, to the best of our\nknowledge, distant entanglement of atomic ensemble and\nYIG sphere in microwave cavities has not been reported\nyet. In this paper, we present a method for entangling\natomic ensemble to mechanical and Kittel modes in YIG\nsphere placed within coupled microwave cavities. In our\nstudy, we have considered an atomic ensemble containing\nN∼107[43, 44] atoms and YIG sphere with a typical\ndiameter of 250 µm [45], a promising platform to study\ndistant macroscopic entanglement. We show that signifi-\ncant bipartite and tripartite entanglement exists between\nthe magnon and phonon modes of YIG sphere placed in\ncavity-2 with the atomic ensemble and cavity-1 photons.\nIt is interesting to find that YIG sphere can be entangled\nto an indirectly coupled cavity field. We illustrate that\nthis distant entanglement can be controlled by varying\ncavity-cavity coupling strength. Since atomic ensembles\ncan serve as efficient memory nodes for quantum commu-\nnication networks, we therefor believe that the considered\nhybrid system has useful applications in quantum tech-\nnologies.\nII. SYSTEM MODEL AND HAMILTONIAN\nWe consider a hybrid coupled-cavity magnomechanical\nsystem which consists of two single-mode cavities with\nresonance frequency ωk(k= 1,2) encasing an atomic en-\nsemble and a YIG sphere as shown in Fig. 1. This coupled\nsystem has five excitation modes namely microwave elec-\ntromagnetic modes in cavity 1 and cavity 2, magnon and\nphonon modes in the YIG sphere, and atomic excitation\nin cavity 1.\nIn cavity 2, a YIG sphere is placed close to the max-\nimum magnetic field of the cavity mode and is simulta-\nneously acted upon by a bias magnetic field, thus estab-\nlishing the photon-magnon coupling. The external bias\nmagnetic field excites the magnon modes. The magnetic\nFIG. 1. Schematic representation of two single-mode cavities\ncoupled to each other with coupling strength Jincorporating\nan atomic ensemble of Ntwo-level atoms characterized by in-\ntrinsic frequency ωeplaced in the cavity 1 and a YIG sphere\nplaced in cavity 2. An external laser field drives the cavity at\nfrequency ωlwith strength Ω l. Correspondingly, a microwave\nmagnetic field at frequency ωlwith strength Ω ndrives the\nmagnon modes of the YIG sphere, enhancing the magnome-\nchanical coupling. YIG sphere is concurrently influenced by\nthe cavity’s magnetic field, bias magnetic field, and the drive\nmagnetic field, all orthogonal to each other at the site of the\nYIG sphere. The decay rates of cavity modes ( a1anda2),\natomic ensemble ( e), magnon mode ( n), and phonon mode\n(d) associated with the YIG sphere are given by κa,γe,κn,\nandγd, respectively.\nfield of the cavity mode interacts with Kittle mode via\nmagnetic dipole interaction, in which spins evenly pre-\ncess in the ferrimagnetic sphere. The bias field Band\nthe gyromagnetic ratio Γ control the magnon frequency,\ni.e.,ωn= ΓB. Varying magnetization in the YIG sphere\nresults in magnetostriction leading to the interplay of en-\nergy between magnon and phonon modes in it.\nIn cavity 1, an ensemble of Ntwo-level atoms with\ntransition frequency ωeinteracts with the cavity field.\nThe atoms constituting the ensemble are individually\ncharacterized by the spin-1/2 Pauli matrices σ+, σ−,\nandσz. Collective spin operators of atomic polariza-\ntion for the atomic ensemble are described as S+,−,z=PN\ni=1σ(i)\n+,−,z, and they follow the commutation relations\n[S+, S−] =Szand [ Sz, S±] =±2S±[37]. The oper-\nators S±andSzmay be represented in terms of the\nbosonic annihilation and creation operators eande†\nby using the Holstein-Primakoff transformation [46–48]:\nS+=e†√\nN−e†e≃√\nNe†,S−=√\nN−e†ee≃√\nNe,\nSz=e†e−N/2, where eande†follow the commuta-\ntion relation\u0002\ne, e†\u0003\n= 1. This transformation is valid\nonly when the population of atoms in the ground state is\nlarge compared to the atoms in the excited state, so that\nSz≃ ⟨Sz⟩ ≃ − N[43].\nTo simplify our analysis, we have considered both the3\nfrequency of the drive laser field and the drive magnetic\nfield to be ωl. The Hamiltonian describing the system\nunder rotating-wave approximation in a frame rotating\nwith the frequency of the drive fields ( ωl) is given by:\nH/ℏ=2X\nk=1∆ka†\nkak+ ∆ ee†e+ ∆ nn†n+ωd\n2\u0000\nx2+y2\u0001\n+gndn†nx+J\u0010\na†\n1a2+a1a†\n2\u0011\n+Gae\u0010\nea†\n1+e†a1\u0011\n+gna\u0010\na2n†+a†\n2n\u0011\n+iΩl\u0010\na†\n1−a1\u0011\n+iΩn\u0000\nn†−n\u0001\n. (1)\nIn Eq. (1), the energy associated with the cavity (1 and\n2), atomic excitation, and magnon modes is represented\nin the first three terms where ak\u0010\na†\nk\u0011\n,e\u0000\ne†\u0001\n, and n\u0000\nn†\u0001\nare the annihilation (creation) operators of the cavity,\ncollective atomic excitation, and magnon mode, respec-\ntively. Here, ∆ k(k= 1,2), ∆ e, and ∆ nare the detunings\nof cavity mode’s frequency ωk(k= 1,2), the intrinsic\nfrequency of two-level atoms in the atomic ensemble ωe,\nand magnon mode’s frequency ωnwith respect to the\ndrive field’s frequency ωl, i.e., ∆ k=ωk−ωl(k= 1,2),\n∆e=ωe−ωl, and ∆ n=ωn−ωl. Rotating-wave approx-\nimation holds when ωk(k= 1,2), ωn, ωe≫gna, κa, κn, γe\n(which is satisfied in cavity magnomechanics by experi-\nmentally feasible parameters) [49]. The fourth term in\nEq. (1) is the energy of the mechanical mode (phonon\nmode) of frequency ωdwith dimensionless position xand\nmomentum yoperators satisfying [ x, y] =i. The next\nfour terms describe the interaction of all coupled sub-\nsystems in the cavity system encompassing coupling of\nmagnon and phonon, cavity 1 and cavity 2, collective\natomic excitation and cavity 1, cavity 2 and magnon\nmodes with strength gnd,J,Gae, and gna, respectively.\nThe magnomechanical coupling strength gnd, resulting\nfrom magnetostrictive interaction, is typically weak, how-\never, it can be enhanced by the drive microwave field hav-\ning frequency ωlapplied at the site of YIG. The coupling\nrate of collective atomic excitation with cavity mode\nGae=g√\nNwhere gis the atom-cavity coupling strength\ndefined as g=νp\nω1/2ℏϵ0V, with νthe dipole moment\nof atomic transition, Vthe volume of the cavity, and ϵ0\nthe permittivity of free space. Regarding the eight-term\n(magnon-photon coupling), when the coherent energy ex-\nchange rate between light and matter is faster than their\ndecay rates, the coupling strength between magnon and\nphoton reaches the strong coupling regime, i.e., gna>\nκa, κn[14, 15, 17, 18, 49]. The second-last term describesa microwave field driving cavity 1 with Rabi frequency\nΩl=p\n2Pκa/ℏωlwhich depends on the input power P\nof the drive field and the decay rate κaof the cavity. Sim-\nilarly, we also consider a magnon-mode drive field (last\nterm in Eq. (1)) with Rabi frequency Ω n=√\n5\n4Γ√NsB0\nwith Γ the gyromagnetic ratio, Nsthe total number of\nspins, and B0the applied field’s amplitude. In case of\nYIG sphere, Γ /2π= 28 GHz/T, and Ns=ρVswith\nvolume Vsand spin density ρ= 4.22×1027m−3of the\nsphere [25]. We assume low-lying excitations while de-\nriving Ω n, i.e.,\nn†n\u000b\n≪2Nsς, where ς=5\n2is the spin\nnumber of the ground state Fe3+ion in YIG [25]. At\na temperature T, the equilibrium mean thermal photon\n[ak(k= 1,2)], magnon ( n), and phonon ( d) number is\ngiven by Zh(ωh) = [exp( ℏωh/kBT)−1]−1(h=ak, n, d),\nwhere kBis the Boltzmann constant.\nSince, we are interested to study steady-state quantum\nentanglement in the linear regime we therefore, use stan-\ndard input-output theory resulting in quantum Langevin\nequations (QLEs) where the effect of the input noise op-\nerator is added for each excitation mode:\n˙a1=−(κa+i∆1)a1−iGaee−iJa2+√\n2κaain\n1+ Ωl,\n˙a2=−(κa+i∆2)a2−iJa1−ignan+√\n2κaain\n2,\n˙e=−(γe+i∆e)e−iGaea1+p\n2γeein,\n˙n=−(i∆n+κn)n−ignaa2−igndnx+ Ωn+√\n2κnnin,\n˙x=ωdy,˙y=−ωdx−γdy−gndn†n+ξ, (2)\nwith zero-mean input noise operators ain\nk,ein,nin\nandξforkthcavity, atomic-excitation, magnon, and\nphonon modes, respectively. The parameters γeand\nγdare the atomic decay rate and the mechanical\ndamping rate, respectively. The input noise opera-\ntors under Markovian approximation, which is valid\nfor large mechanical quality factor, Q=ωd/γd≫1\nare characterized by the following non-vanishing cor-\nrelation functions that are δ−correlated in time do-\nmain [50]: ⟨ain\n1(τ)ain†\n1(τ′)⟩= [Za1(ωa1) + 1] δ(τ−τ′),\n⟨ain†\n1(τ)ain\n1(τ′)⟩=Za1(ωa1)δ(τ−τ′),⟨ain\n2(τ)ain†\n2(τ′t)⟩=\n[Za2(ωa2)+1] δ(τ−τ′),⟨ain†\n2(τ)ain\n2(τ′)⟩=Za2(ωa2)δ(τ−\nτ′),⟨ein(τ)ein†(τ′)⟩=δ(τ−τ′),⟨nin(τ)nin†(τ′)⟩=\n[Zn(ωn)+1]δ(τ−τ′),⟨nin†(τ)nin(τ′)⟩=Zn(ωn)δ(τ−τ′),\nand⟨ξ(τ)ξ(τ′) +ξ(τ′)ξ(τ)⟩/2≃γd[2Zd(ωd) + 1] δ(τ−τ′),\nwhere τandτ′denote two distinct times. It is important\nto note that the δ−correlated mechanical noise approxi-\nmation is only valid for a large mechanical quality factor.\nFor the case of low-quality factor, we have to solve for the\nexact correlation function of the noise operators.\nFrom the quantum Langevin Eq. (2), we obtain the expressions for the steady-state values of cavities, ensemble,4\nmagnon, and phonon mode operators given by:\n⟨a1⟩=Ωl(κa+i∆2)\u0010\nκn+i˜∆n\u0011\n(γe+i∆e) +g2\nnaΩl(γe+i∆e)−gnaΩnJ(γe+i∆e)\nS,\n⟨a2⟩=−iJ\u0010\nκn+i˜∆n\u0011\n⟨a1⟩ −ignaΩn\n(κa+i∆2)\u0010\nκn+i˜∆n\u0011\n+g2na,⟨e⟩=−iGae⟨a1⟩\n(γe+i∆e),⟨n⟩=Ωn−igna⟨a2⟩\ni˜∆n+κn,\n⟨x⟩=−\u0012gnd\nωd\u0013\n|⟨n⟩|2,⟨y⟩= 0,(3)\nwhere\nS= (κa+i∆1)(γe+i∆e)[(κa+i∆2)(κn+i˜∆n)+g2\nna]−G2\nae[(κa+i∆2) (κn+i˜∆n) +g2\nna] +J2(γe+i∆e)(κn+i˜∆n),\nand the effective magnon detuning ˜∆n= ∆ n+gnd⟨x⟩. The effective magnomechanical coupling rate is Gnd=\ni√\n2gnd⟨n⟩.\nTo analyze the steady-state entanglement of the sys-\ntem, we linearize the dynamics of the coupled cavity sys-\ntem. We assume that the cavity is intensely driven with\na very high input power, resulting in significant steady-\nstate amplitudes for the intracavity fields and magnon\nmodes, respectively, i.e., |⟨ak⟩| ≫ 1 (k= 1,2) [51] and\n|⟨n⟩| ≫ 1 [25]. For a proper choice of drive field’s ref-\nerence phase, ⟨ak⟩may be treated real [51]. Moreover,\nthe bosonic description of atomic polarization may only\nbe used when the single-atom excitation probability is\nnoticeably below 1. The conditions of large steady-state\namplitudes of intracavity fields and low excitation limit\nof atoms in the ensemble are simultaneously satisfied only\nwhen g2/\u0000\n∆2\ne+γ2\ne\u0001\n≪ |⟨a1⟩|−2≪1. This necessitates\na weak atom-cavity coupling [43]. Hence, in the strong\ndriving limit, we can neglect the second-order fluctua-\ntion terms, such that the operator P(P=ak, e, n, x, y )\ncan be written as P=⟨P⟩+δPwhere ⟨P⟩represents the\nsteady-state part while δPrepresents the zero-mean fluc-\ntuation associated with P. In the opposite limit where\nthe quantum effects of a single or few excitations are\nimportant [52, 53] or in studying fully nonlinear Hamil-tonian [54], the standard quantum master equation may\nbe used to study the dynamics of the system. Similarly,\na coupling with a non-equilibrium environment also re-\nquires exact quantum Langevin equations [55]. Next, we\ndefine quadrature fluctuations ( δU1(t),δW1(t),δU2(t),\nδW2(t),δu1(t),δw1(t),δx(t),δy(t),δu2(t),δw2(t)),\nwith δU1=\u0010\nδa1+δa†\n1\u0011\n/√\n2,δW1=i\u0010\nδa†\n1−δa1\u0011\n/√\n2,\nδU2= (δa2+δa†\n2)/√\n2,δW2=i(δa†\n2−δa2)/√\n2,δu1=\n(δn+δn†)/√\n2,δw1=i(δn†−δn)/√\n2,δu2= (δe+\nδe†)/√\n2 and δw2=i(δe†−δe)/√\n2, to work out a set of\nlinearized quantum Langevin equations\n˙r(t) =Ar(t) +o(t); (4)\nwith r(t) the fluctuation operator in the form of\nquadrature fluctuations: r(t)T= [δU1(t), δW 1(t), δU2(t),\nδW2(t), δu1(t), δw 1(t), δx(t), δy (t), δu2(t), δw 2(t)],\no(t) denotes the noise operators represented\nas: o(t)T= [√2κaUin\n1(t),√2κaWin\n1(t),√2κaUin\n2(t),√2κaWin\n2(t),√2κnuin\n1(t),√2κnwin\n1(t),0,\nξ(t),√2γeuin\n2(t),√2γewin\n2(t)], and Ais the drift matrix:\nA=\n−κa∆1 0 J 0 0 0 0 0 Gae\n−∆1−κa−J 0 0 0 0 0 −Gae0\n0 J−κa∆2 0 gna 0 0 0 0\n−J 0−∆2−κa−gna 0 0 0 0 0\n0 0 0 gna−κn˜∆n−Gnd 0 0 0\n0 0 −gna 0−˜∆n−κn 0 0 0 0\n0 0 0 0 0 0 0 ωd 0 0\n0 0 0 0 0 Gnd−ωd−γd0 0\n0Gae 0 0 0 0 0 0 −γe∆e\n−Gae0 0 0 0 0 0 0 −∆e−γe\n. (5)\nThe linearized quantum Langevin equations [see Eq. (4)]\ncorrespond to an effective linearized Hamiltonian, whichensures the Gaussian state of the system when it is\nstable. Thus, the linearized dynamics of the system5\nalong with the Gaussian nature of the noises lead to\nthe continuous-variable five-mode Gaussian state of the\nsteady-states corresponding to its quantum fluctuations.\nRouth-Hurwitz criterion is used to work out the stability\nconditions for our linearized system [56]. The system be-\ncomes stable and attains its steady-state only when real\nparts of all eigenvalues of the drift matrix ( A) are neg-\native. The steady-state Covariance Matrix (CM), which\ndescribes the variance within each subsystem and the co-\nvariance across several subsystems, is generated from the\nfollowing Lyapunov equation when the stability require-\nments are met [57]:\nAV+VAT=−D, (6)\nwhere D= diag [ κa(2Za+ 1), κa(2Za+ 1), κa(2Za+ 1),\nκa(2Za+ 1), κn(2Zn+ 1), κn(2Zn+ 1), 0, γd(2Zd+\n1), γe, γe]Tis the diffusion matrix, for the corresponding\ndecays originating from the noise correlations. To quan-\ntify bipartite entanglement among different subsystems\nof the coupled two-cavity system, we use logarithmic neg-\nativity ( EN) [58, 59]. We have a five-mode Gaussian state\ncharacterized by a covariance matrix Vwhich can be ex-\npressed in the form of a block matrix:\nV=\nVa1Va1a2Va1nVa1dVa1e\nVT\na1a2Va2Va2nVa2dVa2e\nVT\na1nVT\na2nVnVndVne\nVT\na1dVT\na2dVT\nndVdVde\nVT\na1eVT\na2eVT\nneVT\ndeVe\n, (7)\nwhere each block is a 2 ×2 matrix. Here, diagonal blocks\nrepresent the variance within each subsystem [(cavity 1)\nphoton, (cavity 2) photon, magnon, phonon, and ensem-\nble]. The correlations between any two distinct degrees of\nfreedom of the entire magnomechanical system are repre-\nsented by the off-diagonal blocks, which are covariances\nacross distinct subsystems [37]. Following Simon’s crite-\nrion [60] to judge the non-separability of the transposed\nmodes in the transposed submatrix derived from the co-\nvariance matrix V, we compute logarithmic negativity\nnumerically. The covariance matrix (10 ×10)Vis re-\nduced to a submatrix Vl(4×4) in order to evaluate the\ncovariance between the subsystems. For instance, the\nsubmatrix representing the covariance of cavity 1 and\ncavity 2 subsystems is determined by the first four rows\nand columns of V. We can represent Vlof cavity 1-cavity\n2 subsystems in the following way [37]:\nVl=\u0012Va1Va1a2\nVT\na1a2Va2\u0013\n, (8)\nwhere a1index the cavity-1 subsystem and a2index the\ncavity-2 subsystem. Similarly, the covariance of other\nsubsystems can be determined by considering their cor-\nresponding rows and columns in V. Then, transposed\ncovariance sub-matrix ˜Vlis obtained by partial trans-\nposition of Vlemploying ˜Vl=T1|2VlT1|2, where T1|2=\ndiag(1 ,−1,1,1) realizes partial transposition at the levelof covariance matrices [60]. Then, we compute the mini-\nmum symplectic eigenvalue ˜f−of the transposed CM ˜Vl\nusing ˜f−= min eig\f\f\fiΘ2˜Vl\f\f\fwith Θ 2=⊕2\nj=1iσyandσy\nthey-Pauli matrix [25]. If the smallest eigenvalue is less\nthan 1/2, the inseparability of the transposed modes is\nensured, i.e., the modes are entangled. ENis evaluated\nas [59]:\nEN≡maxh\n0,−ln 2˜f−i\n. (9)\nSimilarly, residual contangle Rmin\nτ[61], which is a con-\ntinuous variable analog of the tangle for discrete variable\ntripartite entanglement [62], is used for the quantification\nof tripartite entanglement, which is defined as [61]:\nRmin\nτ≡minh\nRo|nd\nτ,Rn|od\nτ,Rd|on\nτi\n, (10)\nwhere nstands for magnon whereas dstands for phonon\nmode, o=a1for cavity-magnon-phonon tripartite entan-\nglement, and o=efor magnon-phonon-ensemble tripar-\ntite entanglement. In Eq. (10) Rk|lm\nτis evaluated using\nRk|lm\nτ≡Ck|lm−Ck|l−Ck|m(k, l, m =o, n, d ),Ck|lm\nis the squared one-mode-vs-two-modes logarithmic nega-\ntivity Ek|lmandCk|lis the contangle of subsystems of k\nandl[25], defined as the squared logarithmic negativity\nEk|l[59]. To compute Ek|lmfollowing the definition of\nlogarithmic negativity given in Eq.(9), Θ 2=⊕2\nj=1iσyis\nreplaced by Θ 3=⊕3\nj=1iσyand the transposed covariance\nmatrix ˜Vis obtained by carrying out the partial trans-\nposition of covariance matrix V, i.e., ˜V=Tk|lmVTk|lm,\nwhere the partial transposition matrices [25] are: T1|23=\ndiag(1 ,−1,1,1,1,1),T2|13= diag(1 ,1,1,−1,1,1), and\nT3|12= diag(1 ,1,1,1,1,−1).\nIII. RESULTS AND DISCUSSION\nIn this section, we present the results of our numer-\nical simulations. We have adopted the following ex-\nperimentally feasible parameters for the system involv-\ning microwave cavities and YIG sphere in our simula-\ntions [25]: ωk/2π=ωn/2π= 10 GHz ( k= 1,2),ωd/2π=\n10 MHz, γd/2π= 102Hz,κa/2π=κn/2π= 1 MHz,\ngna/2π= 3.2 MHz, Gnd/2π= 4.8 MHz, and tempera-\ntureT= 10 mK. Correspondingly, the atom-cavity cou-\npling and atomic decay rate are considered of the order\nof megahertz, i.e, Gae/2π= 6 MHz and γe/2π= 1 MHz.\nFurther, the hopping rate Jbetween the cavities is also\nof the order of megahertz. It can be seen that for the\nabove-chosen parameters, our system is well within the\nlow-excitation regime of atomic ensemble, satisfying the\ncondition: g2/\u0000\n∆2\ne+γ2\ne\u0001\n≪1.\nFirst, we discuss the results of bipartite entanglement.\nWe have five different modes in the coupled-cavity sys-\ntem; therefore, entanglement can exist in any combina-\ntion of two modes. Interestingly, we observe promising6\nBipartite subsystems Symbol for entanglement\nCavity 1-magnon Ea1n\nN\nCavity 1-phonon Ea1d\nN\nCavity 2-magnon Ea2n\nN\nCavity 2-phonon Ea2d\nN\nMagnon-ensemble Ene\nN\nPhonon-ensemble Ede\nN\nMagnon-phonon End\nN\nTABLE I. Notation adopted for the representation of bipartite\nentanglement.\nresults for macroscopic distant entanglement, i.e., the en-\ntanglement of atomic ensemble and cavity-1 photons with\nphonon and magnon modes of the YIG sphere placed in\ncavity 2. We also illustrate entanglement transfer from\nphonon-ensemble ( de) and magnon-ensemble ( ne) sub-\nsystems to cavity 1 photon-phonon ( a1d) and cavity 1\nphoton-magnon subsystems ( a1n) when detuning param-\neters and cavity-cavity coupling strength are changed. In\nTable I, we have summarized the symbols we adopted in\nour simulations to represent the bipartite entanglement\nof different combinations of subsystems.\n−4−2024∆2/ωd(a)Ede\nN\n (b)Ene\nN\n−4−2024\n∆1/ωd−4−2024∆2/ωd(c)Ea1d\nN\n−4−2024\n∆1/ωd(d)Ea1n\nN\n0.000.040.070.11\n0.010.050.100.14\n0.000.040.080.12\n0.000.030.060.09\nFIG. 2. Density plot of bipartite entanglement (a) Ede\nN, (b)\nEne\nN, (c)Ea1d\nN, and (d) Ea1n\nNversus normalized cavity-1 detun-\ning ∆ 1/ωdand cavity-2 detuning ∆ 2/ωd. In (a)-(b) ∆ e=−ωd\nwhereas in (c)-(d) ∆ e=ωd. In all cases, ˜∆n= 0.9ωdand\nJ= 0.8ωd.\nIn Fig. 2, we present four different distant bipartite\nentanglements as a function of dimensionless detuning of\nthe cavity 1 (∆ 1/ωd) and cavity 2 (∆ 2/ωd). We have con-\nsidered magnon detuning ˜∆nto be 0 .9ωd(near-resonant\nwith blue sideband) while coupling between the two cav-\nities is J= 0.8ωd. Fig. 2(a)-(b) illustrates ensemble-\nphonon ( Ede\nN) and ensemble-magnon ( Ene\nN) entanglement\nfor ensemble detuning ∆ eto be−ωd(resonant with red\nsideband). Although the ensemble and YIG sphere are\nplaced in separate cavities, we find strong entanglement\nfor both Ede\nNandEne\nN.Ede\nNattains maximum value\naround ∆ 2≈ − 1.5ωdand ∆ 2≈0 corresponding to∆1≈ −2ωdand ∆ 1≈ −0.5ωd. It can be seen that Ene\nN\nis manifested primarily around ∆ 2≈ −ωdin the entire\nrange of ∆ 1/ωd. However, maximum Ene\nNexists around\n∆1≈ −2.5ωd. Similarly, we present cavity-1 photon-\nphonon ( Ea1d\nN) and cavity-1 photon-magnon ( Ea1n\nN) en-\ntanglement in Fig. 2(c)-(d) for ∆ e=ωd. Both sys-\ntems exhibit strong entanglement around ∆ 1≈ −ωdand\n∆1≈0. If we follow ∆ 1= ∆ 2line on the plane formed\nby ∆ 1and ∆ 2, we observe that there are two distinct\ndetuning regions for maximal ENon the density plots\nshowing Ea1d\nNandEa1n\nNcompared to a single joint region\nalong the ∆ 1=−∆2line.\nFor further analysis, we consider two cases. In the first\ncase, cavity 1 and cavity 2 have the same detuning fre-\nquency with respect to the frequency of the drive field,\ni.e., ∆ 1= ∆ 2= ∆ a, (symmetric detuning). If the first\ncavity is red-detuned or blue-detuned, the second cavity\nis also red-detuned or blue-detuned. In the second case,\ncavity 1 and cavity 2 have opposite detuning frequen-\ncies with respect to the frequency of the drive field, i.e.,\n∆1=−∆2=−∆a, (non-symmetric detuning). If the\nfirst cavity is red-detuned, the second is blue-detuned,\nand vice versa.\n0.60.81.01.21.4˜∆n/ωd(a)Ede\nN\n (b)Ede\nN\n−4−2024\n∆a/ωd−2−1012∆e/ωd(c)Ede\nN\n−4−2024\n∆a/ωd(d)Ede\nN\n0.010.050.080.12\n0.010.040.080.11\n0.000.040.070.11\n0.000.030.070.10\nFIG. 3. Density plot of Ede\nNversus normalized cavity detuning\n∆a/ωdand (a)-(b) magnon detuning ˜∆n/ωdat ∆ e=−ωd,\nand (c)-(d) ensemble detuning ∆ e/ωdat˜∆n= 0.9ωd. In\n(a) and (c) ∆ a/ωd= ∆ 1/ωd= ∆ 2/ωd. However, ∆ a/ωd=\n−∆1/ωd= ∆ 2/ωdin (c) and (d). The cavity-cavity coupling\nstrength is taken to be J=ωd.\nNext, we present phonon-ensemble entanglement ( Ede\nN)\nas a function of normalized cavity detuning (∆ a/ωd)\nagainst dimensionless magnon detuning ˜∆n/ωdin\nFig. 3(a)-(b) and ensemble detuning ∆ e/ωdin Fig. 3(c)-\n(d). In the left panel, we have symmetric cavity field\ndetuning while in the right panel, the detuning is non-\nsymmetric. It can be seen in Fig. 3 (a)-(b) that significant\nentanglement is present for the complete range of effec-\ntive magnon detuning. We consider 0 .6≤˜∆n/ωd≤1.4,\nwhere we get stronger entanglement. While Ede\nNis sig-\nnificant for the broad range of ˜∆n, it strongly depends\non the choice of cavity field detuning. There are two dis-7\ntinct regions of cavity detuning where we find maximum\nentanglement. One region is around cavity resonance for\nboth the symmetric and non-symmetric choices of detun-\ning, while the other region depends on the choice. For\nthe symmetric case, strong entanglement is also present\naround ∆ a≈ −1.75ωd. However, for the non-symmetric\ncase, this second region is around ∆ a≈ − ωd. The\nlower panel in Fig. 3 shows that Ede\nNis maximum around\n∆e≈ −ωd, while the choices of cavity detuning are ap-\nproximately the same as discussed above in the previous\ncase.\n0.60.81.01.21.4˜∆n/ωd(a)Ene\nN\n (b)Ene\nN\n−4−2024\n∆a/ωd−2−1012∆e/ωd(c)Ene\nN\n−4−2024\n∆a/ωd(d)Ene\nN\n0.000.030.060.09\n0.010.040.070.10\n0.000.030.070.10\n0.000.050.090.14\nFIG. 4. Density plot of Ene\nNversus normalized cavity detuning\n∆a/ωdand (a)-(b) magnon detuning ˜∆n/ωdat ∆ e=−ωd,\nand (c)-(d) ensemble detuning ∆ e/ωdat˜∆n= 0.9ωd. In\n(a) and (c) ∆ a/ωd= ∆ 1/ωd= ∆ 2/ωd. However, ∆ a/ωd=\n−∆1/ωd= ∆ 2/ωdin (b) and (d). The cavity-cavity coupling\nstrength is taken to be J= 0.8ωd.\nFig. 4 shows magnon-ensemble entanglement ( Ene\nN)\nas a function of normalized cavity detuning against di-\nmensionless magnon detuning (upper panel) and en-\nsemble detuning (lower panel). Ene\nNis optimal around\n∆a≈ −0.5ωd. Fig. 4(a)-(b) shows that Ene\nNis signif-\nicant for the whole range of ˜∆nwhile it is maximum\naround ∆ e≈ −ωdas shown in Fig. 4(c)-(d). In both\ncases, we note that entanglement exists for a wider pa-\nrameter space in symmetric detuning as compared to the\nnon-symmetric detuning choice. Similar to Ede\nN,Ene\nNis\nalso significant around ∆ e≈ −ωdand˜∆n≈0.9ωd. As\na result, we conclude that the bipartite entanglement of\nmodes involving the atomic ensemble and YIG sphere is\nmost remarkable when the magnon is near-resonant with\nthe anti-Stokes band while the ensemble is resonant with\nthe Stokes band.\nFig. 5 shows cavity-1 photon-phonon entanglement\nEa1d\nNand cavity-1 photon-magnon entanglement Ea1n\nN\nas a function of normalized cavity detuning and ensem-\nble detuning. The left panel is for symmetric detuning\nwhereas the right panel is for non-symmetric detuning.\nFor the symmetric case, we have significant Ea1d\nNand\nEa1n\nNaround ∆ a≈ −2ωdand ∆ a≈0 for a wide range\nof ∆ e[see Fig. 5(a) and (c)]. For the second case [see\n−2−1012∆e/ωd(a)Ea1d\nN\n(b)Ea1d\nN\n−4−2024\n∆a/ωd−2−1012∆e/ωd(c)Ea1n\nN\n−4−2024\n∆a/ωd(d)Ea1n\nN\n0.000.040.070.11\n0.010.040.080.11\n0.000.030.060.09\n0.000.030.050.08FIG. 5. Density plot of Ea1d\nNandEa1n\nNversus normalized\ncavity detuning ∆ a/ωdand ensemble detuning ∆ e/ωdatJ=\n0.8ωdand ˜∆n= 0.9ωd. In (a) and (c) ∆ a/ωd= ∆ 1/ωd=\n∆2/ωd. However, ∆ a/ωd=−∆1/ωd= ∆ 2/ωdin (b) and (d).\nFig. 5(b) and (d)], Ea1d\nNandEa1n\nNare significant around\nresonance frequency of both cavities. In contrast to Ede\nN\nandEne\nN, both Ea1d\nNandEa1n\nNare prominent when the\natomic ensemble is resonant with the anti-Stokes side-\nband, i.e, at ∆ e≈ωdand almost negligible at ∆ e=−ωd\n(the Stokes sideband) as depicted in Fig. 5.\nWe illustrate the dependence of cavity-1 photon-\nphonon entanglement ( Ea1d\nN) and cavity-1 photon-\nmagnon entanglement ( Ea1n\nN) on cavity-cavity coupling\nstrength Jand cavity detuning ∆ ain Fig. 6, where we\nchoose ˜∆n= 0.9ωdand ∆ e=ωd. As expected, the bi-\npartite entanglement of these subsystems is non-existent\nin the absence of cavity-cavity coupling. For the symmet-\nric cavity detuning [see Fig. 6(a) and (c)], entanglement\nfirst increases with increasing Jaround ∆ a≈ −0.5ωd;\nhowever, beyond a certain value, any further increase in\nJshifts the detuning region for optimal entanglement to\nthe right and left of ∆ a≈ −0.5ωd. However, for the\nnon-symmetric detuning [see Fig. 6(b) and (d)] the trend\nis quite different. Here, Ea1d\nNandEa1n\nNincrease with in-\ncreasing coupling strength Jtill a particular value. We\nnote that the dependence on Jvaries when different val-\nues of ∆ eand˜∆nare considered. For the given param-\neters, Ea1d\nNfirst increases as a function of Jreaching a\nlocal maximum at J≈0.65ωdat resonance followed by\na downtrend from J≈0.65ωdtoJ≈0.9ωd, after which\nit increases again up till J≈1.12ωdand decreases after-\nwards. On the other hand, Ea1n\nNattains maximum value\nfrom J≈0.5ωdtoJ≈0.75ωd, then it decreases grad-\nually up till J≈1.25ωdbefore dying out thereafter. It\nis important to note that there is a downtrend in Ea1d\nN\naround J= 0.9ωd, which gives a significant value for\nEa1n\nN. The reason lies in the entanglement transfer be-\ntween the different subsystems, which is further elabo-\nrated in the following analysis.\nTo study entanglement transfer, we set −∆1= ∆ 2=8\n0.00.40.81.21.62.0J/ωd(a)Ea1d\nN\n(b)Ea1d\nN\n−4−2024\n∆a/ωd0.00.40.81.21.62.0J/ωd(c)Ea1n\nN\n−4−2024\n∆a/ωd(d)Ea1n\nN\n0.000.050.090.14\n0.000.040.070.11\n0.000.050.100.15\n0.000.030.050.08\nFIG. 6. Density plot of Ea1d\nNandEa1n\nNversus normalized\ncavity detuning ∆ a/ωdand coupling strength J/ωdat˜∆n=\n0.9ωdand ∆ e=ωd. In (a) and (c) ∆ a/ωd= ∆ 1/ωd= ∆ 2/ωd.\nHowever, ∆ a/ωd=−∆1/ωd= ∆ 2/ωdin (b) and (d).\n∆ain our simulations. The role of Jin the degree and\ndynamics of entanglement transfer between different sub-\nsystems is further elaborated in Fig. 7. At smaller values\nof cavity-cavity coupling J, the cavity-2 modes are sig-\nnificantly entangled (See End\nN,Ea2d\nNandEa2n\nN) around\n∆2=−ωd. When the coupling is increased, the cavity-\n1 photon and cavity-2 photon interact with each other,\nresulting in a redistribution of cavity photon excitations\nwhich translates to the other excitation modes. For in-\nstance, at ∆ 2=−ωd,End\nNandEa2d\nNdecrease with in-\ncreasing cavity-cavity coupling while most of the other\nbipartite entanglements increase [See Appendix A for\ndetails]. This transfer not only decreases with increas-\ningJbut there is also a corresponding decrease in thestrength of Ea2d\nNandEa2n\nN. This decrease accounts for\nthe corresponding increase in Ede\nN,Ene\nN, and Ea1d\nN. An-\nother interesting feature is that at smaller J, maximum\nentanglement of Ea2d\nN,Ea2n\nN,Ede\nN, and Ene\nNsubsystems\nlie around the detuning region when cavity 1 is reso-\nnant with the anti-Stokes sideband while cavity 2 is res-\nonant with the Stokes sideband. However, the peaks\nofENcurves representing their entanglement gradually\nshift from ∆ a≈ −ωdtowards ∆ a≈0 as we move from\nJ= 0.4ωdtoJ= 1.4ωdand the region for the existence\nof entanglement also broadens. Since we have considered\n∆e=−ωdin Fig. 7, Ea1d\nNandEa1n\nNentanglement is quite\nweak in this parametric domain. Nonetheless, it is ap-\nparent that Ea1d\nNandEa1n\nNentanglement also increases\nwith increasing Jreaching a peak value followed by a\ndecreasing trend [See Appendix A for details].\nNext, we present the results of our numerical simu-\nlations demonstrating the critical temperature ( Tc) for\nEde\nN,Ene\nN,Ea1d\nN, and Ea1n\nNin Fig. 8. Entangled subsys-\ntems magnon-ensemble and phonon-ensemble exhibit the\nmost robust entanglement against temperature, which\ncan last up to 200 mK. On the other hand, cavity 1\nphoton-magnon entanglement can survive temperatures\nup to 180 mK. However, cavity 1 photon-phonon sub-\nsystem can sustain their entanglement at as high a tem-\nperature as 170 mK. Each curve in Fig. 8 is plotted for\nan optimized set of parameter values given in Table II.\nSubsystem ∆1 ∆2˜∆n ∆e J\nEa1n\nN −1.41ωd−0.68ωd0.65ωd−1.63ωd0.35ωd\nEa1d\nN −0.04ωd0.85ωd0.77ωd0.99ωd1.28ωd\nEne\nN 0.76ωd−0.52ωd0.77ωd−0.63ωd0.8ωd\nEde\nN 0.28ωd−0.84ωd0.6ωd−1.07ωd1.06ωd\nTABLE II. Optimized parameters for Ea1n\nN,Ea1d\nN,Ene\nN, and\nEde\nNused in Fig. 8.\nIt is important to find how the strength of cavity-cavity\ncoupling Jimpacts the robustness of distant entangle-\nment against temperature. In Fig. 9, we present density\nplots of Ede\nN,Ene\nN,Ea1d\nN, and Ea1n\nNas a function of tem-\nperature Tand cavity-cavity coupling J. We infer from\nFig. 9 that Tcfor the existence of entanglement varies\nwith J. The maximum value of Jcorresponding to max-\nimal Ede\nN[see Fig. 9(a)], Ene\nN[see Fig. 9(b)], Ea1d\nN[see\nFig. 9(c)], and Ea1n\nN[see Fig. 9(d)] is 1 .06ωd, 0.8ωd,\n1.28ωd, and 0 .35ωd, respectively. We observe that Tc\nis maximum, corresponding to Jfor which the degree of\nentanglement is maximal at T= 0. Hence, we can say Tc\ncan be increased through a proper choice of parameters.\nApart from the bipartite entanglement of different sub-\nsystems in coupled magnomechanical system, we show\nthat genuine tripartite entanglement can also be realized\nfor indirectly coupled subsystems. The same magnome-chanical system without cavity 1 was recently considered\nby Jie Li et al. [25] in which they showed that the tripar-\ntite magnon-phonon-photon entanglement exists when\n˜∆n≃0.9ωd(anti-Stokes sideband) and ∆ a≃ − ωd\n(Stokes sideband). In the coupled magnomechanical sys-\ntem, we consider magnon-phonon-ensemble ( nde) and\ncavity-1 photon-phonon-magnon ( a1dn) tripartite sub-\nsystems and plot the minimum of the residual contangle\nin Fig. 10 as a function of normalized detuning ∆ a/ωd.\nBoth these entanglements ndeanda1dnexist for a signif-\nicant range of cavity field detuning with maximum val-\nues near the resonant frequency. Interestingly, cavity-1\nphoton-phonon-magnon entanglement has approximately\nthe same degree of entanglement as found in single cavity\ncase [25].\nIn the coupled cavity scheme, future investigations may\nincorporate the inclusion of cross-Kerr non-linearity [63,9\n0.000.050.100.150.200.25EN(a)J= 0.4ωd (b)J= 0.6ωd (c)J= 0.8ωda2n\nde\nne\nnd\na2d\na1d\na1n\n−2−1 0 1 2\n∆a/ωd0.000.050.100.150.200.25EN(d)J= 1.0ωd\n−2−1 0 1 2\n∆a/ωd(e)J= 1.2ωd\n−2−1 0 1 2\n∆a/ωd(f)J= 1.4ωda2n\nde\nne\nnd\na2d\na1d\na1n\nFIG. 7. Line plot illustrating the effect of cavity-cavity coupling rate Jagainst cavity detuning ∆ a/ωd=−∆1/ωd= ∆ 2/ωdon\nbipartite entanglement of cavity 2 photon-magnon ( a2n), phonon-ensemble ( de), magnon-ensemble ( ne), magnon-phonon ( nd),\ncavity 2 photon-phonon ( a2d), cavity 1 photon-phonon ( a1d), and cavity 1 photon-magnon ( a1n) varied in regular intervals\nfrom J= 0.4ωdtoJ= 1.4ωdin (a)-(f) at ˜∆n= 0.9ωdand ∆ e=−ωd.\n0.00 0.05 0.10 0.15 0.20 0.25\nT(K)0.000.020.040.060.080.100.120.140.16ENEde\nN\nEne\nN\nEa1d\nN\nEa1n\nN\nFIG. 8. Line plots for Ede\nN,Ene\nN,Ea1d\nN, and Ea1n\nNas a func-\ntion of temperature each considered at the optimized value of\ncavity detuning ∆ 1and ∆ 2, effective magnon detuning ˜∆n,\nensemble detuning ∆ e, and cavity-cavity coupling rate Jas\nshown in Table II.\n64], exploration of entanglement dynamics in ultra-strong\ncoupling regime [65], study of Einstein-Podolsky-Rosen\n(EPR) steering [66], and the introduction of an opti-\ncal parametric amplifier(OPA) to widen the parametric\n0.00.51.01.52.02.5J/ωd(a)Ede\nN\n (b)Ene\nN\n0.000 0.125 0.250\nT(K)0.00.51.01.52.02.5J/ωd(c)Ea1d\nN\n0.000 0.125 0.250\nT(K)(d)Ea1n\nN\n0.000.050.090.14\n0.000.050.100.15\n0.000.040.090.13\n0.000.040.070.11FIG. 9. Density plot of (a) Ede\nN, (b) Ene\nN(c)Ea1d\nN, and (d)\nEa1n\nNas a function of temperature Tand normalized coupling\nrateJ/ωd. Other parameters are optimized as shown in Ta-\nble II.\nregime for entanglement [67]. Furthermore, the noise-\ninduced decoherence can be curtailed by purification and\nentanglement concentration in a practical long-distance\nquantum communication network [68, 69].10\n−2−1 0 1 2\n∆a/ωd0.000.010.020.030.040.05Rmin\nTnde\na1dn\nFIG. 10. Tripartite entanglement of cavity 1 photon-magnon-\nphonon ( a1dn) and ensemble-magnon-phonon ( nde) modes as\na function of ∆ a/ωd=−∆1/ωd= ∆ 2/ωdat ∆ e= 2ωd,\n˜∆n= 0.25ωdfora1dnand ∆ e=−0.5ωd,˜∆n= 0.65ωdfor\nndetripartite subsystems. Cavity-cavity coupling strength is\nJ=ωd.\nIV. CONCLUSION\nWe proposed a scheme to realize distant entanglement\nbetween various excitation modes of the YIG sphere,\natomic ensemble, and microwave modes of two coupled\ncavities housing an atomic ensemble and a YIG sphere.\nWe have shown that ensemble-phonon and ensemble-\nmagnon distant bipartite entanglements not only exist\nbut can sustain up to 200 mK temperature, for a proper\nchoice of experimentally feasible parameters. Similarly,\nthe entanglement of magnon and phonon modes with\ncavity-1 photons is also robust against a temperature\nof about 170 mK. Most importantly, we demonstrate\nthat two types of tripartite entanglement between differ-\nent distant modes are possible in the proposed system.\nThese include magnon-phonon-ensemble and cavity-1\nphoton-phonon-magnon entanglements. Interestingly,\nthe strength of cavity-1 photon-phonon-magnon tripar-\ntite entanglement is comparable to the originally pro-\nposed photon-phonon-magnon tripartite entanglement of\nthe same cavity modes. Hence, we conclude that both the\nbipartite and tripartite entanglements between indirectly\ncoupled systems are found to be substantial in our pro-\nposed setup. Moreover, cavity-cavity coupling strength\nplays a key role in the degree of entanglement as well as\nthe range of parameters in which it subsists. We believe\nthat the parametric regimes identified in our proposed\nsystem may prove useful for the experimental realization\nof distant entanglement, which is significant for process-\ning continuous variable quantum information in quantum\nmemory protocols.Appendix A: Entanglement Transfer\nHere, we further discuss the entanglement transfer phe-\nnomenon previously studied in Fig. 7. In Fig. 11, we plot\nbipartite entanglements as a function of cavity-cavity\ncoupling Jat ∆ a=−ωd. It can be seen, that when\nJ= 0, we only have entanglement between three modes\nof cavity-2 since cavity-1 is decoupled. When cavity-\ncavity coupling is turned on, the cavity fields interact\nwith each other. As a result the population of various\nmodes changes leading to the transfer of entanglement\nbetween different modes. Fig. 11 shows that initially End\nN\nandEa2n\nNdecreases with increase in Ea2d\nN,Ea1d\nN,Ene\nN, and\nEde\nN. A further increase in Jleads to a decreasing trend\ninEa2d\nN. On the other hand cavity 1 photon-magnon\n(Ea1n\nN) entanglement increases as a function of Jaround\n∆a≈ωd, reaching a maximum followed by a decaying\ntrend as shown in Fig. 12(b). Similarly, Fig. 12 (a) il-\nlustrates a similar trend for the cavity 1 photon-phonon,\nreaching a peak value near resonance followed by the de-\ncaying trend. Besides the increase in entanglement am-\nplitude, the domain of entanglement in detuning space\nalso increases.\n0.0 0.5 1.0 1.5 2.0\nJ/ωd0.000.050.100.150.20ENnd\na2n\na2d\na1d\na1n\nne\nde\nFIG. 11. Line plot of ENagainst cavity-cavity coupling rate\nJ. The rest of the conditions and parameters are the same as\nin Fig. 7 with ∆ a=−ωd.\n−2−1012\n∆a/ωd0.00.51.01.52.0J/ωd\n(a)\n−2−1012\n∆a/ωd(b)\n0.000.010.020.03\n0.0000.0060.0110.017\nFIG. 12. Density plot illustrating the effect of cavity-cavity\ncoupling rate Jand cavity detuning ∆ aon bipartite entan-\nglement of (a) cavity 1 photon-phonon ( a1d) and (b) cavity\n1 photon-magnon ( a1n). The rest of the conditions and pa-\nrameters are the same as in Fig. 7.11\n[1] R. Horodecki, P. Horodecki, M. Horodecki, and\nK. Horodecki, Quantum entanglement, Reviews of Mod-\nern Physics 81, 865 (2009).\n[2] F. Fr¨ owis, P. Sekatski, W. D¨ ur, N. Gisin, and N. San-\ngouard, Macroscopic quantum states: Measures, fragility,\nand implementations, Rev. Mod. Phys. 90, 025004\n(2018).\n[3] H. J. Kimble, The quantum internet, Nature 453, 1023\n(2008).\n[4] C. Simon, Towards a global quantum network, Nature\nPhoton 11, 678 (2017).\n[5] C. B. Møller, R. A. Thomas, G. Vasilakis, E. Zeuthen,\nY. Tsaturyan, M. Balabas, K. Jensen, A. Schliesser,\nK. Hammerer, and E. S. Polzik, Quantum back-action-\nevading measurement of motion in a negative mass ref-\nerence frame, Nature 547, 191 (2017).\n[6] M. Lukin, M. Fleischhauer, and A. Imamo˘ glu, Quan-\ntum Information Processing Based on Cavity QED with\nMesoscopic Systems, in Directions in Quantum Optics ,\nedited by H. J. Carmichael, R. J. Glauber, and M. O.\nScully (Springer Berlin Heidelberg, Berlin, Heidelberg,\n2001).\n[7] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt,\nCavity optomechanics, Rev. Mod. Phys. 86, 1391 (2014).\n[8] I. Favero and F. Marquardt, Focus on optomechanics,\nNew Journal of Physics 16, 085006 (2014).\n[9] I. Favero and K. Karrai, Optomechanics of deformable\noptical cavities, Nature Photonics 3, 201 (2009).\n[10] P. Meystre, A short walk through quantum optomechan-\nics, Annalen der Physik 525, 215 (2013).\n[11] Y.-D. Wang and A. A. Clerk, Using dark modes for high-\nfidelity optomechanical quantum state transfer, New\nJournal of Physics 14, 105010 (2012).\n[12] A. Mari and J. Eisert, Opto- and electro-mechanical en-\ntanglement improved by modulation, New Journal of\nPhysics 14, 075014 (2012).\n[13] N. Sangouard, C. Simon, H. de Riedmatten, and N. Gisin,\nQuantum repeaters based on atomic ensembles and linear\noptics, Rev. Mod. Phys. 83, 33 (2011).\n[14] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki,\nK. Usami, and Y. Nakamura, Hybridizing Ferromagnetic\nMagnons and Microwave Photons in the Quantum Limit,\nPhys. Rev. Lett. 113, 083603 (2014).\n[15] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen-\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nHigh Cooperativity in Coupled Microwave Resonator\nFerrimagnetic Insulator Hybrids, Phys. Rev. Lett. 111,\n127003 (2013).\n[16] L. Wang, Z. Lu, X. Zhao, W. Zhang, Y. Chen, Y. Tian,\nS. Yan, L. Bai, and M. Harder, Magnetization coupling in\na YIG/GGG structure, Phys. Rev. B 102, 144428 (2020).\n[17] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, High-Cooperativity Cav-\nity QED with Magnons at Microwave Frequencies, Phys.\nRev. Applied 2, 054002 (2014).\n[18] L. Bai, M. Harder, Y. Chen, X. Fan, J. Xiao, and C.-\nM. Hu, Spin Pumping in Electrodynamically Coupled\nMagnon-Photon Systems, Phys. Rev. Lett. 114, 227201\n(2015).\n[19] R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa,\nA. Noguchi, R. Yamazaki, K. Usami, and Y. Nakamura,Bidirectional conversion between microwave and light via\nferromagnetic magnons, Phys. Rev. B 93, 174427 (2016).\n[20] A. Osada, R. Hisatomi, A. Noguchi, Y. Tabuchi, R. Ya-\nmazaki, K. Usami, M. Sadgrove, R. Yalla, M. Nomura,\nand Y. Nakamura, Cavity Optomagnonics with Spin-\nOrbit Coupled Photons, Phys. Rev. Lett. 116, 223601\n(2016).\n[21] J. Haigh, A. Nunnenkamp, A. Ramsay, and A. Ferguson,\nTriple-Resonant Brillouin Light Scattering in Magneto-\nOptical Cavities, Phys. Rev. Lett. 117, 133602 (2016).\n[22] D. Lachance-Quirion, Y. Tabuchi, S. Ishino, A. Noguchi,\nT. Ishikawa, R. Yamazaki, and Y. Nakamura, Resolving\nquanta of collective spin excitations in a millimeter-sized\nferromagnet, Science Advances 3, e1603150 (2017).\n[23] Y.-P. Wang, G.-Q. Zhang, D. Zhang, T.-F. Li, C.-M.\nHu, and J. You, Bistability of Cavity Magnon Polaritons,\nPhys. Rev. Lett. 120, 057202 (2018).\n[24] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Coherent coupling\nbetween a ferromagnetic magnon and a superconducting\nqubit, Science 349, 405 (2015).\n[25] J. Li, S.-Y. Zhu, and G. Agarwal, Magnon-Photon-\nPhonon Entanglement in Cavity Magnomechanics, Phys.\nRev. Lett. 121, 203601 (2018).\n[26] J. Li and S.-Y. Zhu, Entangling two magnon modes via\nmagnetostrictive interaction, New J. Phys. 21, 085001\n(2019).\n[27] W.-J. Wu, Y.-P. Wang, J.-Z. Wu, J. Li, and J. Q. You,\nRemote magnon entanglement between two massive fer-\nrimagnetic spheres via cavity optomagnonics, Phys. Rev.\nA104, 023711 (2021).\n[28] C.-X. Ning and M. Yin, Entangling magnon and super-\nconducting qubit by using a two-mode squeezed-vacuum\nmicrowave field, J. Opt. Soc. Am. B 38, 3020 (2021).\n[29] N. Wang, Z.-B. Yang, S.-Y. li, Y.-L. Tong, and A.-D.\nZhu, Nonreciprocal transmission and asymmetric entan-\nglement induced by magnetostriction in a cavity mag-\nnomechanical system, Eur. Phys. J. Plus 137, 422 (2022).\n[30] Y.-l. Ren, J.-k. Xie, X.-k. Li, S.-l. Ma, and F.-l. Li, Long-\nrange generation of a magnon-magnon entangled state,\nPhys. Rev. B 105, 094422 (2022).\n[31] Y. Chen, Macroscopic quantum mechanics: theory and\nexperimental concepts of optomechanics, J. Phys. B: At.\nMol. Opt. Phys. 46, 104001 (2013).\n[32] C. Joshi, J. Larson, M. Jonson, E. Andersson, and\nP.¨Ohberg, Entanglement of distant optomechanical sys-\ntems, Phys. Rev. A 85, 033805 (2012).\n[33] U. Akram, W. Munro, K. Nemoto, and G. J. Milburn,\nPhoton-phonon entanglement in coupled optomechanical\narrays, Phys. Rev. A 86, 042306 (2012).\n[34] W. Ge, M. Al-Amri, H. Nha, and M. S. Zubairy, En-\ntanglement of movable mirrors in a correlated-emission\nlaser, Phys. Rev. A 88, 022338 (2013).\n[35] J.-Q. Liao, Q.-Q. Wu, and F. Nori, Entangling two\nmacroscopic mechanical mirrors in a two-cavity optome-\nchanical system, Phys. Rev. A 89, 014302 (2014).\n[36] A. A. Rehaily and S. Bougouffa, Entanglement Gener-\nation Between Two Mechanical Resonators in Two Op-\ntomechanical Cavities, International Journal of Theoret-\nical Physics 56, 1399 (2017).12\n[37] C.-H. Bai, D.-Y. Wang, H.-F. Wang, A.-D. Zhu, and\nS. Zhang, Robust entanglement between a movable mir-\nror and atomic ensemble and entanglement transfer in\ncoupled optomechanical system, Scientific Reports 6,\n33404 (2016).\n[38] G. Li, W. Nie, Y. Wu, Q. Liao, A. Chen, and Y. Lan,\nManipulating the steady-state entanglement via three-\nlevel atoms in a hybrid levitated optomechanical system,\nPhysical Review A 102, 063501 (2020).\n[39] H. Ian, Z. R. Gong, Y.-x. Liu, C. P. Sun, and F. Nori,\nCavity optomechanical coupling assisted by an atomic\ngas, Physical Review A 78, 013824 (2008).\n[40] L. Zhou, Y. Han, J. Jing, and W. Zhang, Entangle-\nment of nanomechanical oscillators and two-mode fields\ninduced by atomic coherence, Physical Review A 83,\n052117 (2011).\n[41] Z.-Y. Fan, H. Qian, X. Zuo, and J. Li, Entangling fer-\nrimagnetic magnons with an atomic ensemble via opto-\nmagnomechanics, Phys. Rev. A 108, 023501 (2023).\n[42] Y. Wu, J.-H. Liu, Y.-F. Yu, Z.-M. Zhang, and J.-D.\nWang, Entangling a magnon and an atomic ensemble me-\ndiated by an optical cavity, Phys. Rev. Appl. 20, 034043\n(2023).\n[43] C. Genes, D. Vitali, and P. Tombesi, Emergence of atom-\nlight-mirror entanglement inside an optical cavity, Phys.\nRev. A 77, 050307 (2008).\n[44] J. Hald, J. L. Sørensen, C. Schori, and E. S. Polzik, Spin\nSqueezed Atoms: A Macroscopic Entangled Ensemble\nCreated by Light, Phys. Rev. Lett. 83, 1319 (1999).\n[45] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Cavity\nmagnomechanics, Science Advances 2, e1501286 (2016).\n[46] S.-B. Zheng, Generation of atomic and field squeezing by\nadiabatic passage and symmetry breaking, Phys. Rev. A\n86, 013828 (2012).\n[47] T. Holstein and H. Primakoff, Field Dependence of the\nIntrinsic Domain Magnetization of a Ferromagnet, Phys.\nRev.58, 1098 (1940).\n[48] K. Hammerer, A. S. Sørensen, and E. S. Polzik, Quantum\ninterface between light and atomic ensembles, Rev. Mod.\nPhys. 82, 1041 (2010).\n[49] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Strongly\nCoupled Magnons and Cavity Microwave Photons, Phys.\nRev. Lett. 113, 156401 (2014).\n[50] A. A. Clerk, M. H. Devoret, S. M. Girvin, F. Marquardt,\nand R. J. Schoelkopf, Introduction to quantum noise,\nmeasurement, and amplification, Rev. Mod. Phys. 82,\n1155 (2010).\n[51] C. Genes, A. Mari, P. Tombesi, and D. Vitali, Robust en-\ntanglement of a micromechanical resonator with output\noptical fields, Phys. Rev. A 78, 032316 (2008).\n[52] A. Nunnenkamp, K. Børkje, and S. M. Girvin, Single-\nphoton optomechanics, Phys. Rev. Lett. 107, 063602\n(2011).\n[53] M. Ludwig, B. Kubala, and F. Marquardt, The optome-\nchanical instability in the quantum regime, New Journalof Physics 10, 095013 (2008).\n[54] S. Qvarfort, M. R. Vanner, P. F. Barker, and D. E. Br-\nuschi, Master-equation treatment of nonlinear optome-\nchanical systems with optical loss, Phys. Rev. A 104,\n013501 (2021).\n[55] M. Ludwig, K. Hammerer, and F. Marquardt, Entangle-\nment of mechanical oscillators coupled to a nonequilib-\nrium environment, Phys. Rev. A 82, 012333 (2010).\n[56] E. X. DeJesus and C. Kaufman, Routh-Hurwitz criterion\nin the examination of eigenvalues of a system of nonlinear\nordinary differential equations, Phys. Rev. A 35, 5288\n(1987).\n[57] P. C. Parks and V. Hahn, Stability Theory (Prentice Hall,\nNew York, 1993).\n[58] M. B. Plenio, Logarithmic Negativity: A Full Entangle-\nment Monotone That is not Convex, Physical Review\nLetters 95, 090503 (2005).\n[59] G. Vidal and R. F. Werner, Computable measure of en-\ntanglement, Phys. Rev. A 65, 032314 (2002).\n[60] R. Simon and P. Horodecki, Separability Criterion for\nContinuous Variable Systems, Phys. Rev. Lett. 84, 2726\n(2000).\n[61] G. Adesso and F. Illuminati, Continuous variable tan-\ngle, monogamy inequality, and entanglement sharing in\nGaussian states of continuous variable systems, New J.\nPhys. 8, 15 (2006).\n[62] V. Coffman, J. Kundu, and W. K. Wootters, Distributed\nentanglement, Physical Review A 61, 052306 (2000).\n[63] R.-C. Shen, J. Li, Z.-Y. Fan, Y.-P. Wang, and J. Q. You,\nMechanical bistability in Kerr-modified cavity magnome-\nchanics, Phys. Rev. Lett. 129, 123601 (2022).\n[64] Y.-B. Sheng, F.-G. Deng, and H.-Y. Zhou, Efficient\npolarization-entanglement purification based on para-\nmetric down-conversion sources with cross-Kerr nonlin-\nearity, Phys. Rev. A 77, 042308 (2008).\n[65] Y. S. Teo and J. Gong, Double Rabi model in the ultra-\nstrong coupling regime: entanglement and chaos beyond\nthe rotating wave approximation, J. Phys. B: At. Mol.\nOpt. Phys. 46, 235504 (2013).\n[66] H. Tan and J. Li, Einstein-Podolsky-Rosen entanglement\nand asymmetric steering between distant macroscopic\nmechanical and magnonic systems, Phys. Rev. Research\n3, 013192 (2021).\n[67] B. Hussain, S. Qamar, and M. Irfan, Entanglement en-\nhancement in cavity magnomechanics by an optical para-\nmetric amplifier, Phys. Rev. A 105, 063704 (2022).\n[68] C. H. Bennett, G. Brassard, S. Popescu, B. Schu-\nmacher, J. A. Smolin, and W. K. Wootters, Purifica-\ntion of Noisy Entanglement and Faithful Teleportation\nvia Noisy Channels, Phys. Rev. Lett. 76, 722 (1996).\n[69] T. Yamamoto, M. Koashi, and N. Imoto, Concentration\nand purification scheme for two partially entangled pho-\nton pairs, Phys. Rev. A 64, 012304 (2001)." }, { "title": "2006.09839v1.Magnon_Polarons_induced_by_a_magnetic_field_gradient.pdf", "content": "Magnon Polarons induced by a magnetic \feld gradient\nN. Vidal-Silva,1, 2,\u0003E. Aguilera,3A. Rold\u0013 an-Molina,4R. A. Duine,5, 6, 7and A. S. Nunez2, 3\n1Departamento de Ciencias F\u0013 \u0010sicas, Universidad de La Frontera, Casilla 54-D, 4811186 Temuco, Chile.\n2CEDENNA, Universidad de Santiago de Chile, Avda. Ecuador 3493, Santiago, Chile.\n3Departamento de F\u0013 \u0010sica, FCFM, Universidad de Chile, Santiago, Chile.\n4Universidad de Ays\u0013 en, Calle Obispo Vielmo 62, Coyhaique, Chile\n5Institute for Theoretical Physics, Utrecht University, 3584CC Utrecht, The Netherlands\n6Center for Quantum Spintronics, Department of Physics, Norwegian\nUniversity of Science and Technology, NO-7491 Trondheim, Norway\n7Department of Applied Physics, Eindhoven University of Technology,\nP.O. Box 513, 5600 MB Eindhoven, The Netherlands\nIn this work, we report the theoretical possibility of generating magnon polaron excitations\nthrough a space-varying magnetic \feld. The spatial dependence of the magnetic \feld in the Zeeman\ninteraction gives rise to a magnon-phonon coupling when a magnetic \feld gradient is applied, and\nsuch a coupling depends directly on the strength of the gradient. It is also predicted that the di-\nrection of the magnetic \feld gradient allows control over which phonon polarization couples to the\nmagnons in the material. Here we develop the calculations of the magnon-phonon coupling for an\narbitrary (anti)ferromagnet, which are later used to numerically study its consequences. These re-\nsults are compared to the ones obtained with the phenomenological magnetoelastic coupling in YIG,\nwhere we show that the magnon polaron bandgap seen in YIG can be also obtained with a magnetic\n\feld gradient of\u00180:1T/m which can be achieved with the current experimental techniques. Our\nresults propose a new way of controlling the magnetoelastic coupling in an arbitrary material and\nopen a new route to exploit the magnon-phonon interaction in magnonic and spintronic devices.\nI. INTRODUCTION\nIn the last years, the magnetoelastic coupling has\ngained much attention due to the potential applications\nit o\u000bers in the \feld of spintronics1{3, magnonics4,5, spin\ncaloritronics6and more recently, spin-mechatronics7.\nThe simultaneous excitation of spin and elastic waves\nmediated by the magnetoelastic coupling gives rise to\nthe so-called magnetoelastic waves8,9, which has been a\nfocus of study over the past decades10{12. However, due\nto recent progress in the synthesis and characterization\ntechniques of materials, the e\u000bects related to the mag-\nnetoelastic coupling have been experimentally addressed\nonly recently13{16.\nFrom a quantum mechanical point of view, both spin\nand elastic waves have a quantized form of their ele-\nmentary excitations, namely, magnons and phonons re-\nspectively. Due to their bosonic nature, both quasi-\nparticles obey the Bose-Einstein statistics. In the long\nwave-length limit, magnons are usually charactacterized\nby their quadratic dispersion relation, which posseses a\nband-gap proportional to the external magnetic \feld and\nthe magnetic anisotropy17. On the other hand, phonons\nhave a well-known linear dispersion at low energy and,\naccording to the symmetry of the material, have three\ndistinct vibrational modes18. In absence of magnetoe-\nlastic coupling, the dispersion relations of magnon and\nphonon might cross at some wave-vector k\u0003. However,\n\u0003Corresponding author: nicolas.vidal@ufrontera.clin the presence of magnetoelastic coupling, the interac-\ntion between magnons and phonons avoids the crossing\npoint atk\u0003, and instead form what is called an anti-\ncrossing point19{22. At this point, the interaction be-\ntween magnons and phonons is maximum and the re-\nlated eigenstates are a hybridization between magnons\nand phonons, called magnon polarons or magnetoelastic\nwaves22{24.\nMagnon polarons have recently been studied in the\ncontext of transport, topological and magnetic proper-\nties of, mainly, (anti)ferromagnetic insulators. For exam-\nple, anomalies in the Spin Seebeck25and Spin Peltier26\ne\u000bect have been attributed to the presence of magnon\npolarons. Local22and non-local27,28magnon polaron\nspin transport has been also measured in YIG \flms.\nMore recently, the topological nature of magnon po-\nlarons has been predicted14,29{31, as well as the con-\ntrol of its topology29,32. Antiferromagnets also present\nmagnon polarons, as reported in references33,34. Par-\nticularly, non-collinearity in antiferromagnets has been\npointed out as a source of magnon polaron excitations34.\nSpin pumping has been also enhanced due to the pres-\nence of magnon polarons35. Thus, in most of the ef-\nfects attributed to magnon polarons, the magnitude of\nits contribution depends essentially on the magnetoelas-\ntic parameter, which quanti\fes the strength of the inter-\naction. For instance, in reference 36, the non-reciprocity\nof the sound velocity in the Phonon Magnetochiral ef-\nfect is mediated by the cubic of a magnetoelastic con-\nstant. In the same way, the magnon lifetime due to\nthe phonon scattering is also proportional to the mag-\nnetoelastic constant21,37. The enhancement of magneti-arXiv:2006.09839v1 [cond-mat.mes-hall] 17 Jun 20202\nzation damping by phonon pumping has been reported\nto be proportional to the magnetoelastic constant too38.\nIn general, any physical quantity related to the action\nof magnon polarons depends on a magnetoelastic pa-\nrameter. Importantly, the strength and source of this\ninteraction are not unique: while there is an intrin-\nsic anisotropy-mediated magnetoelastic coupling, here-\nafter phenomenological magnetoelastic coupling, which\nstems from the spin-orbit coupling and dipole-dipole\ninteraction11,12, there are also another sources of mag-\nnetoelastic coupling as the dependence of the exchange\ninteraction on the lattice deformations19,21,37,39or the\nmodulation of the Dzyaloshinskii-Moriya interaction by\nshear strains14,15,36.\nIn this work, we study how a magnetoelastic coupling\ncan be induced by applying a magnetic \feld gradient on\na arbitrary magnetic lattice. We will show analytically\nand numerically that the coupling depends directly on\nthe magnitude and direction of the magnetic \feld gradi-\nent. This will be shown to imply that the experimental\ncontrol of the magnetic \feld's shape allows the tuning\nof the coupling strength and the possibility of selecting\nwhich phonon polarization couples to the magnons of the\nmaterial. The presented coupling could be applied, in\nprinciple, to any (anti)ferromagnetic lattice with a cross-\ning point between the dispersion relations of magnons\nand phonons.\nThe paper is organized as follows: in section II we start\nour study by describing the proposal with a toy model in\na uni-dimensional system. Despite this is a pretty sim-\nple model, it will allow us to establish the role that a\nmagnetic \feld gradient plays in the stability of a given\nsystem in the presence of an inhomogeneous magnetic\n\feld with the same periodicity of the lattice. Once we\nidentify the conditions that our system must have in or-\nder to be physically realizable, in sections II A and II B\nwe introduce the basic concepts on the quantization of\nthe magnetic and elastic systems in a superlattice, re-\nspectively, and also explore the analytical nature of the\nmagnon-phonon coupling due to a magnetic \feld gradient\nin section II C. Also, in section II D, we detail the numer-\nical algorithm we used to diagonalize the Hamiltonian of\nour system. Next, in section III we apply our results to a\nMagnonic Crystal, where we study the dispersion relation\nof magnon polarons and highlight the main properties of\nthe energy bands obtained with the proposed coupling\nmechanism. We also make a comparison between the\nphenomenological magnetoelastic coupling and the one\nwe propose. Finally, in section IV, we discuss and give\nsome conclusions for future works.\nB(r)Figure 1. Schematic representation of the unidimensional lat-\ntice with a space-varying magnetic \feld. The nonuniform\narrow represents a magnetic \feld gradient, which according\nto our proposal, exerts an external force on each magnetic\ndipole which deviates them from its equilibrium position so\nultimately excites simultaneously magnon and phonon modes,\ngenerating thus magnon polaron excitations.\nII. MODEL\nIn this section, we will describe the nature of magnons\nand phonons in an arbitrary lattice, as well as their cou-\npling due to the enforcement of a magnetic \feld gradient\nin the presence of a space-varying magnetic \feld. For\nsimplicity, we will assume a low-temperature regime such\nthat the magnetization's \ructuations are weak enough\nto keep the magnetic order with no thermal distur-\nbance. The idea of this section is to capture the physics\nbehind the magnetic \feld gradient-mediated magnon-\nphonon coupling considering an inhomogeneous magnetic\n\feld with the same periodicity of the lattice. This will\nallow us to understand the physical limitations of the\nproposal, and it will also pave our way to the next sec-\ntion, where we will overcome some of these limitations\nby changing the spatial-periodicity of the magnetic \feld.\nWe will also consider a ferromagnetic insulator to neglect\nthe electronic charge.\nAs mentioned before, we will begin by analysing a uni-\ndimensional magnetoelastic lattice with nearest neighbor\ndistancea0in a space-varying magnetic \feld B(r) with\nthe same periodicity of the lattice. For this \frst example,\nwe will consider that the system is dominated by a near-\nest neighbor elastic coupling, nearest-neighbor Heisen-\nberg exchange and Zeeman interaction, as depicted in\nFig 1. In this way, we consider a spin chain along the\nxaxis and parameterize the Hamiltonian of it in terms\nof the displacement ui=xi\u0000Xi, beingxiandXithe\nposition and equilibrium position of the site i, respec-\ntively; the phonon momentum pi, and the spin vectors3\nS, meaning that the Hamiltonian reads\nH=NX\ni=1\"\npi2\n2M+M! 02\n2\u0000\nui+1\u0000ui\u00012\n\u0000JSi\u0001Si+1\u0000\u0016BgB(xi)\u0001Si#\n, (1)\nwhereJis the Heisenberg exchange constant, Mis the\naverage mass of each site, !0is the natural frequency of\nthe elastic coupling between two neighboring sites, \u0016B\nthe Bohr magneton and gthe Land\u0013 e factor.\nWe can expand the magnetic \feld around its equilib-\nriumXiup to to \frst order in the displacement ui, in\nthe displaced position xi=Xi+ui:\nB\u000b(xi) =B\u000b(Xi) +@B\u000b\n@x\f\f\f\f\f\nx=Xiui+O(u2\ni) . (2)\nIt must be noticed that we have assumed that the mag-\nnetic \feld gradient is weak enough to do not a\u000bect the\nequilibrium position, such that Xiis independent of the\ngradient. Note that a magnetoelastic coupling has been\ninduced, as evidenced in the linear term of the expansion\nin displacement ui. This procedure will be used from now\non and it will be the base to show how a magnetic \feld\ngradient drives an induced magnetoelastic coupling. Eq.\n2 can be directly replaced on Hamiltonian 1 to obtain\nH=NX\ni=1\"\npi2\n2M+M! 02\n2\u0000\nui+1\u0000ui\u00012\u0000JSi\u0001Si+1\n\u0000\u0016BgB(Xi)\u0001Si\u0000\u0016BguiSi\u0001@B\n@x\f\f\f\f\f\nXi#\n, (3)\nwhere the induced magnetoelastic interaction becomes\nevident in the last term of the above expression when\ncoupling the elastic degrees of freedom fuigwith the\nmagnetic onesfSig.\nBefore proceeding onto studying the coupling of\nmagnons and phonons, it is essential to analyze the clas-\nsical equilibrium of the system. This study is crucial\nbecause a magnetic \feld gradient exerts a force on every\nmagnetic dipole, which could change the behavior of its\nequilibria. To study the equilibria of Hamiltonian 1, the\nspin variable will be written in term of its spherical an-\ngles\u001eiand\u0012iand it will be assumed that the magnetic\n\feld is given by B(x) = (Bx(x);0;0). The main idea is\nthen to write down the Hamiltonian 1 as a function of the\nvariablesf\u0012i;\u001ei;uigand after Fourier transform the re-\nsulting Hamiltonian, minimize it respect to the variables\nfuk;u\u0000k;\u0012k;\u0012\u0000kg. Major details about the equilibrium\nanalysis at this stage can be found in the Appendix A.\nThus, it can be proven that every eigenvalue of Eq. 1 is\npositive if and only if\"\nSg\u0016B@Bx\n@x#2\n<4SM! 02\"\n\u00002JS\n+ 2JScos(a0k)\u0011\n+\u0016BgBz#\nsin2 \nka0\n2!\n. (4)\nIt is essential to recall that an stable equilibrium is ob-\ntained if every eigenvalue of the Hessian is positive. In\nthis particular case, it must be noted that there exists a\nvalue ofksuch that inequality 4 is not satis\fed, mean-\ning that the system is not in the real ground state, and\nthen it is unstable. This can be understood in terms of\na net force felt by each site in the lattice. In this sense,\na magnetic \feld gradient acts as an external force which\nultimately accelerates the system. An accelerated system\nis no longer at stable equilibrium and then the real equi-\nlibrium state must be achieved. Eq. 4 says that for some\nrange ofkvalues this new equilibrium state will never\nbe reached, which means that magnons and phonons at\nthat regime are unstable and no magnetoelastic coupling\nit would be observed, as shown in Fig. A.1 of the ap-\npendix A. Thus, by choosing a magnetic \feld with the\nsame periodicity of the lattice, whatever be the magnetic\n\feld gradient applied, the spins equilibrium position or\nthe magnetic ground state, the system will always show\nnonequilibrium aspects and then magnon polaron exci-\ntations are not allowed.\nTo overcome this issue and obtain stable magnon po-\nlarons in the entire \frst Brillouin zone, we are going to\nstudy the problem of these hybridized quasiparticles in\nan arbitrary lattice composed of Nunit cell with a ba-\nsis ofmsites, which will allow us to adapt the magnetic\n\feld to get a stable con\fguration. In other words, we\nwill adjust the magnetic \feld periodicity such that the\nnet force, that emerges from the gradient, acts now on\neach cell of the system and to be identically nulled.\nWe will separate the study of the total Hamiltonian in\na arbitrary lattice into three partial Hamiltonians:\nH=Hm+Hph+Hmp. (5)\nIn HamiltonianHmwe will include the magnon terms,\nwhich will come from the Heisenberg exchange and the\nzeroth order expansion of the magnetic \feld in the Zee-\nman term. InHphwe will consider the purely phononic\nterms, which come from the kinectic energy and a elastic\npotential.Hmpincludes the term that couple magnons\nand phonons, which will come from the \frst order expan-\nsion in the displacement of the Zeeman energy.4\nA. Magnons\nMagnons are the bosonic elementary excitations of\nmagnetic order and they are usually interpreted as the\nquanta of spin waves40. This system will be under\nthe in\ruence of an anisotropic exchange interaction and\nthe Zeeman interaction with the external magnetic \feld.\nWith this, we have that the Hamiltonian reads\nH=\u00001\n2X\nii0jj0S\u000b\nijJjj0\u000b\f\ni\u0000i0S\f\ni0j0\u0000\u0016BgX\nijB(rij)\u0001Sij,\n(6)\nwhere summation over repeated greek indices is implied\nthroughout this article and in this case \u000b;\f2f^x;^y;^zg.\nThe indices i;i02f1;2;:::;Ngandj;j02f1;2;:::;mg\nrepresent the unit cells and basis sites respectively, and\ni\u0000i0\u0011Ri\u0000Ri0is the distance between nearest neigh-\nbors unit cells. Note that the quantities with subindices\nijshould be understood as the jthelement (basis site)\nof theithunit cell of the system. In the Hamiltonian we\nhave also included the tensor Jjj0\ni\u0000i0, which corresponds\nto a generalized interaction between sites SijandSi0j0\nwith no particular choice of a given symmetry such that\nit might contain as the nearest neighbors exchange inter-\naction as well as a Dzyaloshinskii-Moriya interaction.\nIn order to isolate the terms purely related with the\nmagnetic degrees of freedom from the Zeeman term, we\nwill proceed as in Eq. 2 and expand the magnetic \feld\naround the equilibrium positions Riupto \frst order in\ntheir displacement uias\nB\u000b(rij) =B\u000b(Rij) +@B\u000b\n@r\f\f\f\f\f\f\nrij=Riju\f\nij+O(uij2) , (7)\nwhere we are going to keep only the \frst term of theexpansion and in section II C we are going to consider\nthe second one to obtain the magnon-phonon coupling.\nWe will also adopt the notation\nB\u000b\nj\u0011B\u000b(Rij) and B0\u000b\f\nj\u0011@B\u000b\n@r\f\f\f\f\f\f\nrij=Rij. (8)\nTo obtain a quantized magnonic Hamiltonian we must\nstart by using the Holstein-Primako\u000b transformation40,\nwhich allow us to write the the spin operators Sijin term\nof bosonic operators aijanday\nij, which annihilates and\ncreates magnons, respectively. This transformation reads\nSx\nij\u0019r\nS\n2\u0010\nay\nij+aij\u0011\n(9a)\nSy\nij\u0019 ir\nS\n2\u0010\nay\nij\u0000aij\u0011\n(9b)\nSz\nij=S\u0000ay\nijaij, (9c)\nwhere we have already expanded upto second order in\nthe magnon operators as they are the only terms we will\nbe dealing with in this article. Furthermore, we are inter-\nested in obtaining the description of magnons in k-space,\nwhich is obtained by employing the Fourier series, given\nby\naij=1p\nNX\nkakjeik\u0001rij. (10)\nNow, we can simultaneously replace equations 9 and\n10 into Hamiltonian 6 to obtain the Hamiltonian for\nmagnons ink-space, which reads\nHm=\u0000S\n4X\njj0k\"\n\u0000jj0\u0000\nkay\nkjay\n\u0000kj0+\u0016\u0000jj0\u0000\nka\u0000kjakj0+ \u0000jj0+\nkay\nkjakj0+\u0016\u0000jj0+\nka\u0000kjay\n\u0000kj0\u00002Jjj0zz\n0\u0010\nay\nkjakj+ay\nkj0akj0\u0011#\n+\u0016BgX\njkBz\njay\nkjakj, (11)\nwhere \u0000jj0\u0006\nkand\u0016\u0000jj0\u0006\nkare de\fned as\n\u0000jj0\u0006\nk=Jjj0xx\nk\u0007iJjj0xy\nk+iJjj0yx\nk\u0006Jjj0yy\nk, (12a)\n\u0016\u0000jj0\u0006\nk=Jjj0xx\nk\u0006iJjj0xy\nk\u0000iJjj0yx\nk\u0006Jjj0yy\nk, (12b)\nand\nJjj0\u000b\f\nk=X\nkJjj0\u000b\f\ni\u0000i0eik\u0001(rij\u0000ri0j0). (13)The result obtained in equation 11 can be used for any\nlattice with magnetic order. Eventhough, in equation 9\nwe have assumed that the magnetic order in equilibrium\nis equal to ^zfor every site in the lattice, we can incor-\nporate any periodic magnetic texture described by the\nequilibriums S0(\u0012j;\u001ej) (for instance a skyrmion or vor-\ntex lattice) by introducing a local change of coordinates5\nat every site by means of rotation matrices\nRj\u00110\n@cos\u001ej\u0000sin\u001ej0\nsin\u001ejcos\u001ej0\n0 0 11\nA0\n@cos\u0012j0 sin\u0012j\n0 1 0\n\u0000sin\u0012j0 cos\u0012j1\nA, (14)\nwhich can be used to rede\fne the anisotropic exchange\ntensor as\nJjj0\ni\u0000i0=RjTJjj0\ni\u0000i0Rj0, (15)\nwhere we only have to use Jjj0\ni\u0000i0instead ofJjj0\ni\u0000i0in equa-\ntion 13.\nB. Phonons\nAnalog to spin waves, elastic waves can also be quan-\ntized. The elementary excitations of elastic waves are the\nso-called phonons. To describe phonons in our system,\nwe consider that each ion with mass Mat positionrij\ndeviates from its equilibrium position Rijby a small dis-\nplacementuij=rij\u0000Rij, such that the phonon Hamil-\ntonian can be written as41,42\nHph=X\nijpij2\n2M+X\nii0jj01\n2u\u000b\nij\bjj0\u000b\f\ni\u0000i0u\f\ni0j0, (16)\nwherepiis the conjugate momentum vector and the in-\ndex convention is the same as used for equation 6. Addi-\ntionally we have that the mechanical interaction between\ntwo sites is described by the elastic tensor \bjj0\u000b\f\ni\u0000i0, which\ncan be used to de\fne\n\bjj0\u000b\f\nk=X\nk\bjj0\u000b\f\ni\u0000i0eik\u0001(rij\u0000ri0j0). (17)\nIt is important to note that because \bjj0\u000b\f\ni\u0000i0is originally\nobtained from the second-order expansion of the poten-\ntial energy between sites rijandri0j042, we must have\nthat \bjj0\u000b\f\nkis real and symmetric, which ultimately im-\nplies that it is diagonalizable as\n\b\u0016\u0017\nk\u000f\u0017\nk\u0015=\u001ek\u0015\u000f\u0016\nk\u0015, (18)\nwhere we have used the indices \u0016and\u0017as a short-hand to\nrepresent the basis j;j0and coordinate \u000b;\fas a single in-\ndex. With the diagonalization of the problem, we obtain\n\u00152f1;2;:::;3mgeigenvalues and eigenvectors. Here, the\nvectors\u000f\u0015encode the phonon polarizations which can in\nturn be used to write the operators in k-space using the\ndiscrete Fourier transform:\nu\u0016\ni=1p\nNX\nk\u0015uk\u0015\u000f\u0016\nk\u0015eik\u0001rij, (19a)\np\u0016\ni=1p\nNX\nk\u0015pk\u0015\u000f\u0016\nk\u0015eik\u0001rij. (19b)Replacing equations 19a into equation 16 to obtain the\nHamiltonian in k-space yields\nHph=X\nk\u0015\"\npk\u0015p\u0000k\u0015\n2M+M\n2!\u0015(k)uk\u0015u\u0000k\u0015#\n. (20)\nTo transform the displacement and momentum oper-\nators of Hamiltonian 20 to phonon creation and anni-\nhilation operators, we will use the usual transformation\nuk\u0015=s\n~\n2M!\u0015(k)\u0010\ncy\n\u0000k\u0015+ck\u0015\u0011\n, (21a)\npk\u0015=ir\n~M!\u0015(k)\n2\u0010\ncy\n\u0000k\u0015\u0000ck\u0015\u0011\n, (21b)\nwhere the operator cy\nk\u0015(ck\u0015) creates (annihilates) a\nphonon with momentum kand polarization \u0015, and obey\nthe commutation usual commutation relations\n\u0002\nck\u0015;cy\nk0\u00150\u0003\n=\u000ekk0\u000e\u0015\u00150, (22a)\n\u0002\nck\u0015;ck0\u00150\u0003\n=\u0002\ncy\nk\u0015;cy\nk0\u00150\u0003\n= 0 . (22b)\nReplacing the transformations 21 into Hamiltonian 20,\nwe obtain an already diagonalized form of the phonon\nHamiltonian.\nHph=X\nk\u0015~!\u0015(k)\u0012\ncy\nk\u0015ck\u0015+1\n2\u0013\n, (23)\nwhere the phonon's dispersion relation is\n!\u0015(k) =r\n\u001ek\u0015\nM, (24)\nbeing\u001ek\u0015the eigenvalues of the tensor \bjj0\u000b\f\nk. For sim-\nplicity, in the numerical calculations we show in the next\nsection we will consider an isotropic material. Speci\f-\ncally, we will use sound velocities v\u0015reported for YIG\nsamples, which are incorporated to the elastic tensor by\nsetting its Fourier transformed equal to\n\bjj0\u000b\f\nk=V\u000b\f\u0010\n2\u0000\u0000\n1 +e\u0000i2ka0\u0001\n\u000ej;0\u000ej0;1\n\u0000\u0000\n1 +ei2ka0\u0001\n\u000ej;1\u000ej0;0\u0011\n, (25)\nwhere\nV\u000b\f=M\na020\n@vk20 0\n0v?20\n0 0v?21\nA. (26)\nIt is crucial to note this particular elastic tensor allows\nus to recover the well-known phonon's dispersion relation\nin the long wave-length limit, which is given by\n~!\u0015(k) =v\u0015jkj, (27)\nwherevkcorresponds to the sound velocity of the longi-\ntudinal mode, while v?to the transversal mode.6\nC. Magnon polarons\nThe hybridization between magnons and phonons me-\ndiated by the magnetoelastic coupling forms the so-called\nmagnon polarons. They are the quanta of the magnetoe-\nlastic waves which are a solution of the coupled set of\ndi\u000berential equations involving the magnetic and elas-\ntic degrees of freedom19. The way we choose to obtain\nthe magnon polaron excitations is to quantize the total\nHamiltonian composed by the magnetic, elastic and mag-\nnetoelastic parts:\nH=Hm+Hph+Hmp. (28)\nwhereHmis given by equation 11 and Hphby equa-\ntion 23. To obtain the expression of the magnon-phonon\nHamiltonianHmpwe must recall that in the series ex-\npansion of the magnetic \feld in equation 7, the second\nlinear term in the displacement was kept apart and it\nis the only term needed to obtain the magnon-phonon\ncoupling. Thus, the remaining Hamiltonian is\nHmp=\u0000\u0016BgX\nijB0\u000b\f\njS\u000b\niju\f\nij. (29)\nwhere the derivative is evaluated in the equilibrium po-\nsitionRjas established in Eq. 8.\nTo obtain the quantized form of the magnon-phonon\nHamiltonian explicitly in the kspace, we must start by\nusing the Fourier transformation of uigiven in equation\n19a. Following this, we make use of the transformations\ngiven in equations 9 and 21a and the Bloch's theorem\nover the magnonic creation and annihilation operators to\nobtain the magnetoelastic Hamiltonian in second quan-\ntization, which reads\nHmp=X\nk\u0015j\u0014\n\u0003k\u0015a\u0000kj\u0010\nck\u0015+cy\n\u0000k\u0015\u0011\n+ h.c.\u0015\n, (30)\nwith the interaction parameter \u0003 k\u0015is\n\u0003k\u0015=\u0000\u0016Bgs\n~S\n4M!\u0015(k) \nB0x\f\nj\u0000iB0y\f\nj!\n\u000f\f\nk\u0015. (31)\nFrom equations 30 and 31 we can e\u000bectively see how a\nmagnon-phonon coupling emerges and that this is pro-\nportional to the magnetic \feld gradient. Furthermore,\nthe magnetoelastic parameter \u0003 k\u0015depends essentially on\nthe magnitude of the derivatives of the transverse com-\nponents of the magnetic \feld. More importantly, the\ngradient direction couples di\u000berently with each phonon\npolarization, which in this case correspond to the x,y\nandzaxis. This last point means that in principle, there\nis complete freedom to choose which phonon and magnon\nbands hybridize. Comparing with the usual phenomeno-\nlogical magnetoelastic Hamiltonian21,22,37given by\nHK\nmp=X\nk\u0015\u0014\n\u0000k\u0015a\u0000k\u0010\nck\u0015+cy\n\u0000k\u0015\u0011\n+ h.c.\u0015\n, (32)where\n\u0000K\nk\u0015=s\n~B2\n?\n4SM!p(k\u0015)\u0014\nikz\u000fx\nk\u0015+kz\u000fy\nk\u0015+ (ikx+ky)\u000fz\nk\u0015\u0015\n,\n(33)\nwe can see that the main di\u000berence between the cou-\npling introduced in this work and the phenomenological\none (see equations 31 and 33), is that the latter comes\nfrom an intrinsic mechanism parametrized by the mag-\nnetoelastic parameter B?and it directly re\rects a non-\nmanipulative feature of a particular material. Ultimately,\nthis implies that there is not possibility of manipulating\nthe magnon polarons features as it occurs in the case\nof the induced magnetoelastic coupling proposed here,\nwhich even allows a control level to the point of manip-\nulate the strength of the coupling and choosing which\nphonon polarizations are coupled to the magnon.\nD. Numerical calculations\nTo obtain the magnon polaron bands and properly\ncompare the contribution of both the phenomenologi-\ncal as the magnetic \feld gradient-induced magnetoelas-\ntic coupling to the system, we perform numerical cal-\nculations by employing the Colpa's43algorithm to para-\ndiagonalize Hamiltonian 28. To implement the algorithm\nwe need to write the Hamiltonian in its quadratic form\nas\nH=1\n2X\nkh\n\u000by\nk\u000b\u0000ki\nHkh\n\u000bk\u000by\n\u0000kiT\n; (34)\nwhere\u000bk\u0011\u0000\nakck1ck2ck3\u0001\nandHkis an 8\u00028 hermitian\nmatrix. Colpa's algorithm will return us a para-unitary\nmatrixTkthat satis\fes\nTy\nkHkTk=\u0012\nEk0\n0E\u0000k\u0013\n, (35)\nwhereEkis a 4\u00024 diagonal matrix containing the\neigenenergies. The respective eigenvectors are given by\n\u0012\rk\n\ry\n\u0000k\u0013\n=Tk\u0012\u000bk\n\u000by\n\u0000k\u0013\n. (36)\nIII. MAGNON POLARON BANDS IN\nMAGNONIC CRYSTALS\nHere we numerically compute the magnon polaron\nbands in a Magnonic Crystal embedded in a ferromag-\nnetic insulator. Speci\fcally, we use a YIG sample whose\nrelevant parameters are listed in the Table 121,22,37.7\nParameter Value\nS 20\nM 9:8\u000210\u000024kg\na0 12:376\u0017A\nvk 7209m/s\nv? 3843m/s\nJ 0:24meV\nTable 1. Values used in the numerical calculations.\nAs previously reported, periodicity on a magnetic sys-\ntem gives rise to the so-called Magnonic Crystals44. A\nMagnonic Crystal can be manufactured by means of peri-\nodic modulation on the magnetic anisotropy or magnetic\n\felds45, periodic inclusion of non-magnetic materials46,\nperiodic arrays of dots47,48or antidots49. Geometrical\nmodulations on the surface of a ferromagnetic \flm also\ngives rise to a Magnonic crystal structure50. The main\nfeature of the Magnonic crystals is the generation of\nband gaps where spin waves can not propagate, allow-\ning their manipulation for potential devices in spintronic\nor magnonics. Thus, a Magnonic Crystal can be sum-\nmarized as a meta-material that enables the suppres-\nsion and/or propagation of spin waves according to its\nband structure. Phonons in a crystal also have a band\nstructure, so one would expect that the hybridization be-\ntween them to be magni\fed in terms of increasing num-\nber of anti-crossing points due to the bands folding. In\nfact, magnon polarons mediated by the phenomenolog-\nical magnetoelastic coupling have been recently studied\nin similar structures51,52.\nHere we use the arguments presented in Section II\nabout the stability of the system (see also the discussion\nat Appendix A), which can be summarized as the ab-\nsence of magnetic \feld gradient induced-magnon polaron\nexcitations when the applied magnetic \feld has the same\nperiodicity of the lattice, to explore the magnon polaron\nexcitations in Magnonic Crystals. Recall that the main\nidea behind using Magnonic Crystals to explore the gen-\neration of magnon polarons mediated by a magnetic \feld\ngradients is the fact that we can modulate the magnetic\n\feld such that the force exerted by the magnetic \feld gra-\ndient on each unit cell belonging to the Magnonic Crystal\nis zero. In this way, and as proof of concept, in our nu-\nmerical calculations, we will use a magnetic \feld which\nis likely not easy to experimentally to achieve but that\nallows us to show how our proposal should work. A more\nrealistic shape of the magnetic \feld is not a crucial issue\nin the present formalism because the main importance to\nhave in mind regarding the magnetic \feld is that it must\nbe in such a way that its gradient cancels the net force\non each unit cell whatever the shape it has.\nThus, in order to adjust the magnetic \feld to avoid the\nacceleration on the system, we will use the follow shapeJ\n\b\u000b\f\nj= 0a0\nJ\n\b\u000b\f\nj= 1J\n\b\u000b\f\nFigure 2. Proof of concept of the e\u000bect that the particular\nmagnetic \feld gradient (see Eq. 37) exerts on each site of the\nunit cell. It can be seen that the spin located at j= 0 is\nunder an opposite force than the spin located at j= 1, which\nultimately cancels the net force in the unit cell. This is the\nessence behind the feasibility of our proposal.\nof it\nB(x;z) =\u0014B0yx\nqmsin (qmx) +B0yzzcos (qmx)\u0015\n^y\n+Bz^z, (37)\nwhereqm= 2\u0019=(ma0) andmis the number of sites of\nthe basis (see also the related case for m= 1 depicted\nin Fig. A.1). Note that B0y\f(\f=x;z) corresponds to\nthe derivative of the y-component of the magnetic \feld\nrespect to the variable \f, according to our notation pre-\nscribed in Eq. 8. For this particular choice of the mag-\nnetic \feld, we can ensure that a magnetic \feld gradient\nwill not produce a net force on the unit cell as long as m\nis an even number. Fig. 2 shows, with a solid blue line\nthex-component (modulus) of the magnetic \feld gradi-\nent, as derived from Eq. 37, as a function of the distance\nalong thexdirection. According to our proposal, from\nFig 2 it can be seen that the exerted force driven by\nthe magnetic \feld gradient on the spin located at j= 0,\npoints in the opposite direction than the exerted one on\nthe spin located at j= 1, canceling thus the net force on\nthe unit cell.\nFig. 3 shows the magnon polaron bands for waves\npropagating along the ^x-direction in absence of the phe-\nnomenological magnetoelastic coupling in a Magnonic\nCrystal embedded in a YIG sample with m= 2, for di\u000ber-\nent values of the magnetic \feld gradient B0y\fpresented\nin Eq. 37, and a constant magnetic \feld of Bz= 1 T\napplied into the ^z-direction. The color bar is a repre-\nsentation of the amplitude of the probability of which\ncharacter has the wave function and its corresponding\neigenenergy. In this way, the green color represents essen-\ntially a magnon state, while the blue color a phonon state.\nThe intermediate colors show how mixed are magnons\nand phonons, reaching the maximum coupling at the red\ncolor whenk=k\u0003\ni, beingk\u0003\nitheithanti-crossing point.\nNote that the whole spectrum corresponds to magnon\npolaron excitations, but far from the anti-crossing point\nthese excitations behave like non-interacting magnons or\nphonons.\nFigure 3a) shows the magnon and phonon dispersions\nin the \frst Brillouin zone with no magnetoelastic cou-8\nFigure 3. Magnon polaron bands for a YIG Magnonic Crystal with wave vector kk^xand a magnetic \feld B(x; y) =\n(0; By(x; z);1) T as mentioned in the main text. The number of the sites in each unit cell is set m= 2. The color bar shows the\namplitude of the probability of the magnon polaron wave function such that the green line corresponds to a quasi full magnon\nband, while the blue line corresponds to a quasi full phonon one. The maximum mixture between magnons and phonons is\nrepresented in red color. a) Magnon polaron bands for B0yx=B0yz= 0, b) for B0yx= 5 T/m and B0yz= 0, c) for B0yx= 0\nandB0yz= 5 T/m; and d) B0yx=B0yz= 5 T/m. The wave vector k=k^xis written in units of 1 =a0. The insets in the red\nboxes are a zoom-in of the anti-crossing points marked with a red circle.\npling since the magnetic \feld gradient turns to be zero\n(B0y\u000b= 0). As expected, the magnon and phonon bands\ndo cross, which re\rects the absence of interaction be-\ntween them. Next, we turn on the magnetic \feld gradi-\nent along the longitudinal direction, as depicted in \fgure\n3b), withB0yx= 5 T/m and B0yz= 0. The appearance\nof distinct band gaps at the crossing points is evident\n(so anti-crossing points), which manifests the coupling\nbetween the longitudinal phonon mode with magnons\npropagating along the ^xdirection. Note that none of\nthe transverse phonon modes couple with magnons as\npointed out in Eq. 31. Also, it can be seen that due to\nthe band folding e\u000bect, acoustic magnons might simulta-\nneously couple with acoustic and optical phonons. Anal-\nogously, Fig 3c) shows the magnon polaron bands for a\nmagnetic \feld gradient applied into the ^z-direction with\nB0yz= 5 T/m and B0yx= 0. Similarly to the previous\ncase, here magnons only couple with a transverse phonon\nmode and again simultaneously couple with acoustic and\noptical phonons. Note that the energy band gaps \u0001 i\nbetween the magnon polaron modes at k\u0003\niare di\u000berentfor the cases with the gradient applied into the ^xand^z\ndirections, as will be shown in detail below.\nFurthermore, and in order to depict the ability to con-\ntrol which magnon and phonon bands hybridize, Fig. 3d)\nshows the system under the action of a magnetic \feld\ngradient applied into the transverse and longitudinal di-\nrections with B0yz=B0yx= 5 T/m. In this case, there is\na coupling of both distinct phonon modes with magnons\npropagating along the ^xdirection since the magnetic \feld\nis applied into two distinct spatial directions. If we would\nhave considered a magnetic \feld gradient applied into\nthe three spatial directions, then the degenerated trans-\nverse phonon mode of YIG should be also coupled and,\nconsequently, obtaining two degenerated magnon polaron\nbands. In the same way, for a given material with three\ndistinct phonon modes, a magnetic \feld gradient with\nthe three spatial components gives rise to three di\u000berent\nmagnon polaron bands. Then, we have shown that it is\npossible to choose which magnon polaron mode to excite\nby only \fxing the direction of the magnetic \feld gradi-9\nent. Remarkably, under the same conditions as above,\nbut considering only the phenomenological magnetoelas-\ntic coupling, the ability to choose which magnon and\nphonon bands interact does not exist.\nTo compare the contribution of this induced magne-\ntoelastic coupling with the usual phenomenological one,\nwe compute the band gap \u0001 i=EMP1\nk\u0000EMP2\nkjk=k\u0003\nithat\nseparately generates each kind of coupling between the\nmagnon polaron bands at the ithanti-crossing point k\u0003\ni\nand using the same parameters as above, i.e., a YIG\nMagnonic Crystal with m= 2. Thus, in Fig. 4, we have\nplotted in a log-log scale the energy gap \u0001 for di\u000berent\nvalues of the magnetic \feld gradient B0y\f(\f=x;z). As\nstated above, the gap is measured at all the possible wave\nvectorsk=k\u0003where the magnon and phonon bands\nwould cross in the absence of magnetoelastic coupling\nand have been depicted by solid and dashed lines ac-\ncording the coupled phonon mode. Speci\fcally, the solid\nlines represents coupling between magnons and trans-\nverse phonon modes, whereas the dashed lines corre-\nsponds to coupling between magnons and longitudinal\nphonon modes. The red, blue, and green colors are used\nto show in which anti-crossing point k\u0003\niis measured the\nenergy band gap. Importantly, in this case, the largest\nanti-crossing points are a consequence of the band folding\ne\u000bect meaning that optical phonons couple with acoustic\nmagnons (see Fig. 3) and it can be seen that they gen-\nerate the largest band gaps too. In this plot, the log-log\nscale has been used to show the energy gap for a wide\nrange of magnetic \feld gradients, however, due to the\nevident linear behavior of \u0001 i, we have also performed a\nlinear plot depicted at Appendix B in Fig. B.1. It is\nof particular interest to \fnd the value of B0y\ffor which\neach gap reproduces the gap seen in22, which is approx-\nimately \u0001 K\u00192:1\u0016eV, an aspect that has been marked\nby the grey dotted lines. The importance of reproducing\nsuch a gap by means of the current proposal relies on the\nfact that most of the experimental measurements have\nbeen accomplished in YIG samples by only considering\nthe Phenomenological contribution.\nFrom Fig. 4 we can also see with solid lines that acous-\ntic magnons couple with longitudinal acoustic phonons\natk\u0003\nk1\u00192:538\u0002107m\u00001andk\u0003\nk2\u00199:367\u0002108\nm\u00001; whereas acoustic magnons couple transverse acous-\ntic phonons at k\u0003\n?1\u00195:077\u0002107m\u00001andk\u0003\n?2\u0019\n4:392\u0002108m\u00001. Similarly, Fig. 4 also shows that at\nk\u0003\nk3\u00191:134\u0002109m\u00001acoustic magnons and a lon-\ngitudinal optical phonon mode are coupled, while at\nk\u0003\n?3\u00198:478\u0002108m\u00001acoustic magnons couple with\nthe transverse optical phonon band. Importantly, the\ngap \u0001Kcan be reproduced with magnetic \feld gradi-\nents of about\u00180:1 T/m, that in fact can be reasonably\nachieved with the current experimental techniques53{57.\nIndeed, by using a magnetic microtrap, the reference58\nreported gradients of up to 8000 T/m, which due to the\n10−310−210−1100\nB/primeyβ[T/m]10−510−410−310−210−1∆ [meV]k= 0.010π/a\nk= 0.369π/a\nk= 0.449π/a\nk= 0.020π/a\nk= 0.173π/a\nk= 0.334π/aFigure 4. Energy gap for di\u000berent values of the magnetic \feld\ngradints B0y\fpresented in equation 37 in the main text for\na YIG Magnonic Crystal with a basis m= 2. In solid and\ndashed lines it is shown the gap between acoustic magnons\nand transverse and longitudinal phonon modes, respectively.\nIn this speci\fc case and due to the band folding e\u000bect, the\nblue lines represent coupling between acoustic magnons and\noptical phonons, while red and green lines are used to show the\ncouplingb between acoustic magnons and phonon modes. The\ngrey horizontal line represents the energy gap \u0001 Kobtained\nin the reference22.\nlinear behaviour of \u0001 with B0\u000b\f, it would translates into\nvery large \u0001 values, so we predict enhancements of trans-\nport properties related to the presence of magnon po-\nlarons when a magnetic \feld gradient larger than 0 :1 T\nis applied. Interestingly, Fig. 4 (see also Fig. B.1) also\nshows that we could reach gaps of order of about 0 :1 meV\nwith gradients of about \u001810 T/m. This gap is of the\nsame order as reported on previous works on topological\nmagnonics59.\nIV. CONCLUSIONS\nIn this work, we have proposed a versatile\nway to induce a magnetoelastic coupling in any\n(anti)ferromagnetic material. Despite our formalism was\ndeveloped in a speci\fc spin chain, it can be extended to\nmore sophisticated systems where we expect similar be-\nhaviors due to the generalized treatment we gave for the\ntotal Hamiltonian. The main contribution of the present\nwork is the proposal of an enhancement of the magnetoe-\nlastic coupling by a magnetic \feld gradient. The physics\nbehind it can be understood in terms of the force exerted\nby the magnetic \feld gradient on each magnetic dipole\nwhich deviates them from its equilibrium position excit-\ning thus simultaneously both phonon and magnon modes.\nImportantly, the order of magnitude of the magnetic \feld\ngradient needed to achieve measurable e\u000bects starts from\n\u001810\u00001T/m in YIG, which is very well accomplished in10\nstandard experiments. Since a magnetic \feld gradient\nmeans an external force on each magnetic dipole, an in-\n\fnite system with the same periodicity of the magnetic\n\feld is then accelerated and a non-hermitian Hamilto-\nnian is expected when considering the ^z-axis as ground\nstate, so no magnon polarons can be excited. This can\nbe overcome by properly adjusting the magnetic \feld pe-\nriodicity such that the net force on each unit cell of the\nsystem is zero. Thus, by employing our proposal in a\nMagnonic Crystal, where the nature of it allows hav-\ning such features, we can avoid the imaginary parts of\nthe energy spectrum and real energies are obtained in\nthe whole Brillouin zone. Furthermore, as a highlihgted\nresults, the band gaps in the Magnonic Crystal can be\ncontrolled by varying the strength and direction of the\nmagnetic \feld gradient. Note that since the formalism\ndemands a stable ground state in the system, which must\nbe accomplished canceling the net force emerged from\nthe gradient on the unit cell of the system, our proposal\nshould be very well achieved in an antiferromagnetic sys-\ntem, where the nature of the unit cell would allow major\nliberty on the choice of the magnetic \feld shape. Finally,\nour proposal could open new possibilities to control the\nmagnon-phonon interaction with the idea of manufac-\nturing e\u000ecient spintronic ans/or magnonics devices. We\nclaim then that this induced magnetoelastic coupling is\nfully controllable by a magnetic \feld gradient. Since most\nof magnon polaron transport properties depend on the\nstrength of this interaction, we predict thus an enhance-\nment of them by controlling the strength and direction\nof the magnetic \feld gradient.\nV. ACKNOWLEDGMENTS\nN. V-S thanks Fondecyt Postdoctorado No3190264.\nASN thanks Fondecyt Regular No1190324. This project\nhas received funding from the European Research Coun-\ncil (ERC) under the European Union's Horizon 2020 re-\nsearch and innovation programme (grant agreement No.\n725509).\nN. Vidal-Silva and E. Aguilera contributed equally to\nthis work.\nAppendix A: Equilibrium condition in an arbitrary\nlattice\nTo analyze the equilibria in an arbitrary lattice, let\nus \frstly start by analyzing the equilibrium in a system\ncomposed of a single spin attached to a spring and cou-\npled to a inhomogeneous magnetic, whose Hamiltonian\nis described by:\nH=p2\n2M+M! 02\n2u2\u0000\u0016BgB\u0001S, (A1)where we have de\fned the small deviation u= (x\u0000\nX0)^x, beingX0the equilibrium position. Classically,\nthe spin's time evolution is governed by Newton's second\nlaw and Landau-Lifschitz-Gilbert equation. To make the\nstudy of system's equilibria more comfortable, the spin\nvariable can be written in spherical coordinates angles\n\u0012;\u001eand, it can be considered the particular case where\nB= (Bx(x);0;Bz), meaning that the classical energy\nE(x;\u0012;\u001e ) is given by:\nE(x;\u0012;\u001e ) =p2\n2M+M! 02\n2u2\n\u0000\u0016BgSBxsin(\u0012) cos(\u001e)\u0000\u0016BgSBzcos(\u0012) . (A2)\nFrom equation A2, the equilibria of the system follow\ndirectly from minimizing the energy. For this, it must be\nimposed that @xE= 0,@\u0012E= 0 and@\u001eE= 0:\nM! 02u\u0000\u0016BgS@Bx\n@xsin(\u0012) cos(\u001e) = 0 (A3a)\nBxcos(\u0012) cos(\u001e)\u0000Bzsin(\u0012) = 0 (A3b)\nBxsin(\u0012) sin(\u001e) = 0. (A3c)\nFrom equation A3, it is direct to see that ( u;\u0012;\u001e ) =\n(0;0;0) is a solution. Studying the Hessian at the equilib-\nrium point, it is clear that this is positive de\fnite when-\never the following inequality complies:\n \n@Bx\n@x\f\f\f\f\nu=0!2\n 500 μs, dominating the \ntemperature dependence of SSE in bulk YIG . Time -dependent SSE data are fit to a multi- temperature \nmodel of coupled spin/heat transport using finite element method (FEM) , where the magnon spin lifetime \n(τ) and magnon- phonon thermalization time (τmp) are used as fit parameters. From 300 K to 4 K, τmp varies \nfrom 1 to 10 ns, whereas τ varies from 2 to 60 µs with the spin lifetime peaking at 90 K. At low \ntemperature, a reduction in τ is observed consistent with impurity relaxation reported in ferromagnetic \nresonance measurements . These results demonstrate that the thermal magnon cloud in YIG contains \nextremely low frequency magnons ( ~10 GHz ) providing spectral insight to the microscopic scattering \nprocesses involved in magnon spin/heat diffusion. 2 \n I. INTRODUCTION \nThe thermal generation of spin currents has stimulated a large body of theoretical and experimental \nwork mainly focused on understanding the spin- Seebeck effect (SSE) [1–3]. An interfac e temperature \ndifference between electrons in a normal metal and magnons in a magnetic insulator (Δ𝑇𝑇𝑚𝑚𝑚𝑚) drive s a spin \ncurrent. Heat flow within the bulk of the magnetic insulator also produce s a spin current via the bulk or \nintrinsic SSE [4–8]. From steady -state meas urements of these effects it is not possible to directly observe \nthe dynamics of coupled magnon- electron spin transport, although meticulous magnetic field [9 –11] and \nsample thickness [7,12,13] dependent measurements identif ied the energies and length scales of magnons \ncontributing to SSE in yttrium iron garnet ( YIG). These measurements agree that SSE magnons are low \nenergy ( < 1.5 meV). Furthermo re, steady -state non-local spin transport measurements in YIG have \nisolated the spin diffusion length ( 𝜆𝜆𝑚𝑚) [8,14–16] , revealing that the magnon chemical potential (𝜇𝜇𝑚𝑚) \ndecays on the micron length scale. Previously, SSE on the ultrafast time scale examined the interface \nmagnon- electron temperature difference ( Δ𝑇𝑇𝑚𝑚𝑚𝑚) [17–19] . However , low energy magnons involved in SSE \nevolve on much longer time scales. Microsecond timescale measurements by Agrawal et al. and Hioki et \nal. identified the contribution of bulk magnon transport [20–22] , but did not examine the time evolution \nof 𝜇𝜇𝑚𝑚. \nHere, we pr esent time-dependent SSE measurements of the lifetime of non -equilibrium magnon \nspins 𝜏𝜏 , the thermal magnon -phonon thermalization time 𝜏𝜏 𝑚𝑚𝑚𝑚, and the relative magnitude of the interface \n(Δ𝑇𝑇𝑚𝑚𝑚𝑚 driven) versus bulk ( 𝜇𝜇𝑚𝑚 driven) components of the thermally -driven spin current. The data are fit \nto solutions of the coupled heat/spin transport equations [4,5] using a 2D axisymmetric finite element \nmethod (FEM) . Intrinsic SSE exhibits a ma ximum at ~80 K, whereas interface SSE shows a comparatively \nweak temperature dependence. Surprisingly, 𝜏𝜏 varies from 2 to 60 µs , similar to the lifetimes of resonantly -\nexcited (~ GHz ) ferromagnetic resonance (FMR) modes . These results imply that the bulk spin currents \nwithin YIG contain a large population of extremely low frequency magnons . The results are consistent 3 \n with the temporal evolution of the non- equilibrium Bose -Einstein (BE) distribution of thermal magnons \nin YIG. Namely, high- frequency (short lifetime) magnons rapidly cool from a distribution only described \nby the magnon temperature (𝑇𝑇𝑚𝑚), to one described both by 𝑇𝑇𝑚𝑚 and 𝜇𝜇𝑚𝑚, which favors low -frequency (long-\nlived) magnons . \nII. TIME -RESOLVED OPTOTHERMAL SPIN -SEEBECK \n1. Materials and Experimental Procedure \nThe sample is a polished single crystal of (100) YIG obtained from Princeton Scientific cut to \n5×5×0.5 mm. T he as –received YIG crystals are placed in an ultra- high-vacuum (UHV) off -axis sputtering \nchamber to deposit a buffer layer of YIG (30 nm), providing a clean new surface on which a Pt layer (6 \nnm) is deposited under the same UHV environment. This ensures the Pt/YIG interface is pristine . Optical \nlithography using a chlorine -based reactive ion etch is used to pattern a 50×50 μm square Pt active area \nwith two 0.2 mm2 contacts that are indium bonded to 25−μm diameter annealed gold wires . \nUtilizing an opto -thermal method [23] , a 980 nm laser with a total rise time 𝛿𝛿𝛿𝛿 = 3 ns is digitally \nmodulated at 1 kHz and focused onto the Pt film while a magnetic field 𝐻𝐻 𝑥𝑥 is applied (Fig. 1(a)). The laser \n(~650 μW total absorbed power) is focused by a 40× objective to a spot ~6 μm in diameter onto the center \nof the active area. Spin currents are driven across the Pt/YIG interface by laser heating and detected as a \ntransverse voltage drop across Pt due to the inverse spin Hall effect (ISHE) [24–26] . Fig. 1(b) plots the \nfield-dependent transverse voltage 𝑉𝑉𝑦𝑦 measured using a lock -in amplifier referenced to the laser \nmodulation frequency , where the SSE signal is defined as, 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆=[𝑉𝑉𝑦𝑦(+𝐻𝐻)−𝑉𝑉𝑦𝑦 (−𝐻𝐻)]/2 [27] . SSE \nwaveforms are acquired using an oscilloscope (KEYSIGHT DSOS204A) with a sampling rate of 1.25 \nGSa/s at an ampli fier limited bandw idth of 350 MHz . The inset of Fig. 1(c) shows the time profile of the \nlaser when it turns on at 𝛿𝛿 = 10.8 ns (purple circles represent the 10 -90% rise time of 2.2 ± 0.2 ns). \nOscilloscope traces are taken at ± 1.25 kOe noted by the blue and red squares in Fig. 1(b) and the blue and 4 \n red curves in Fig. 1(c). At 30 K , 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 resembles a square wave for which the root mean square ( RMS ) \namplitude of the fundamental is √2/𝜋𝜋 ~ 0.45, match ing the ratio between 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 measured by lock-in \namplifier (V RMS) and oscilloscope : (3.8 μV )/(8.5 μV ) ~ 0.45. \nThe measurement of 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆, shown in Fig. 1(c) , is repeated at temperatures from 20 -300 K. Figure \n2(a) plots time -dependent 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 at various temperatures. These data are normalized ( 𝑉𝑉𝑁𝑁(𝛿𝛿)=\n𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆(𝛿𝛿)/𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆(500 μs)) and plotted on a logarithmic time-axis in Fig. 2(b). 𝑉𝑉𝑁𝑁 rises rapidly for ~3 ns \nindependent of temperature (see inset Fig. 2(b)), while at later times 𝑑𝑑𝑉𝑉𝑁𝑁(𝛿𝛿)/𝑑𝑑𝛿𝛿 reduces , showing a \ngradual saturation out at 𝛿𝛿 > 100 μs . The fast rise is associated with a rapidly generated Δ𝑇𝑇𝑚𝑚𝑚𝑚 [17] . The \nlonger time scale is associated with the evolution of 𝜇𝜇 𝑚𝑚. Due to the coupled spin/heat transport, i t is not \npossible to isolate the time evolution of 𝜇𝜇 𝑚𝑚 by simply subtra cting the Δ𝑇𝑇𝑚𝑚𝑚𝑚 component . \n2. Non -monotonic time -domain SSE \n At low temperatures (𝑇𝑇 ≤ 30 𝐾𝐾) the 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 signal exhibits a negative time derivative which persists \nover a time -scale of 1 00s of 𝜇𝜇𝜇𝜇. At 4 K the total drop is 150 nV which is ~ 2% of the total signal (Fig. \n3(a)), whereas at 10 K the drop is ~100 nV, ~1.5% of the total signal, (Fig. 3(b)) . Beyond 10 K the non-\nmonotonic behavior is observ ed by using is an adjacent averaging filter with a window size of 640 ns. \nFigs. 3(c) and (d) show the filtered 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 waveform at 20 and 30 K, respectively. In Fig. 3(e) we plot the \ndrop in 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆(𝛿𝛿) as a function of temperature. This filter is not used when fitting the data nor is it used in \nany other plots. \nIII. COUPLED SPIN- HEAT TRANSPORT MODEL \n1. Heat Transport \nWe use 2D axisymmetric FEM to first determine the temperature space/time profile within the sample . \nAll thermal transport parameters are detailed in Appendix B 1. The YIG is a 500×500 μm cylinder. The 5 \n spin detector Pt is treated as a thin layer 30 μm in radius with a uniform temperature in the z direction \n(axial). The laser is treated as a volumetric heat source with a gaussian profile that is 3 μm in radius. A \nthree-temperature (3T) model is implemented separating magnon temperature (𝑇𝑇𝑚𝑚), phonon temperature \n(𝑇𝑇𝑚𝑚), and electron temperature ( 𝑇𝑇𝑚𝑚). Coupled magnon -phonon heat transport in the YIG is described by, \n �𝜌𝜌𝐶𝐶𝑚𝑚𝜕𝜕𝑇𝑇𝑚𝑚\n𝜕𝜕𝜕𝜕−𝜅𝜅𝑚𝑚∇2𝑇𝑇𝑚𝑚\n𝜌𝜌𝐶𝐶𝑚𝑚𝜕𝜕𝑇𝑇𝑝𝑝\n𝜕𝜕𝜕𝜕−𝜅𝜅𝑚𝑚∇2𝑇𝑇𝑚𝑚�= �𝐺𝐺𝑚𝑚𝑚𝑚−𝐺𝐺𝑚𝑚𝑚𝑚\n−𝐺𝐺𝑚𝑚𝑚𝑚𝐺𝐺𝑚𝑚𝑚𝑚��𝑇𝑇𝑚𝑚\n𝑇𝑇𝑚𝑚�, \n(1) \nwhere 𝐶𝐶𝑚𝑚, 𝐶𝐶𝑚𝑚, 𝜅𝜅𝑚𝑚, and 𝜅𝜅𝑚𝑚 are the volumetric heat capacities and thermal conductivities for magnons and \nphonons in YIG, respectively , 𝜌𝜌 is the mass density of YIG , and 𝐺𝐺𝑚𝑚𝑚𝑚 is the magnon -phonon coupling , \n 𝐺𝐺𝑚𝑚𝑚𝑚=𝜌𝜌𝐶𝐶𝑚𝑚𝐶𝐶𝑚𝑚\n𝐶𝐶𝑚𝑚+𝐶𝐶𝑚𝑚�1\n𝜏𝜏𝑚𝑚𝑚𝑚� . (2) \nElectron -phonon coupling is assumed to be temperature independent at 1018 W/m3K [28]. Across the \nPt/YIG interface , heat flows through magnon -electron conductance ( 𝑔𝑔𝑚𝑚𝑚𝑚) and phonon- phonon \nconductance ( 𝑔𝑔𝑚𝑚𝑚𝑚) with a the total interface conductance 𝑔𝑔 𝜕𝜕ℎ=𝑔𝑔𝑚𝑚𝑚𝑚+𝑔𝑔𝑚𝑚𝑚𝑚, which we assume to be that \nof Au/Sapphire [29] . Continuity is maintained by 𝜅𝜅𝑚𝑚𝜕𝜕𝑧𝑧𝑇𝑇𝑚𝑚=𝜅𝜅𝑚𝑚𝜕𝜕𝑧𝑧𝑇𝑇𝑚𝑚 and 𝜅𝜅𝑚𝑚𝑃𝑃𝜕𝜕𝜕𝜕𝑧𝑧𝑇𝑇𝑚𝑚𝑃𝑃𝜕𝜕=𝜅𝜅𝑚𝑚𝑌𝑌𝑌𝑌𝑌𝑌𝜕𝜕𝑧𝑧𝑇𝑇𝑚𝑚𝑌𝑌𝑌𝑌𝑌𝑌. The \ninterface and bulk thermal transport are related by \n 𝑔𝑔𝑚𝑚𝑚𝑚=𝑔𝑔𝜕𝜕ℎ𝜅𝜅𝑚𝑚𝜅𝜅𝑚𝑚\n𝜅𝜅𝜕𝜕𝑌𝑌𝑌𝑌𝑌𝑌𝜅𝜅𝜕𝜕𝑃𝑃𝜕𝜕 , (3) \n \nwhere 𝜅𝜅𝜕𝜕𝑌𝑌𝑌𝑌𝑌𝑌 and 𝜅𝜅𝜕𝜕𝑃𝑃𝜕𝜕 are the total thermal conductivities of YIG and Pt, respectively. 6 \n 2. Spin Transport \nThe thermal profiles are used as input s to the spin diffusion equation in YIG , neglect ing the spin-\nPeltier effect , \n 𝜕𝜕𝜇𝜇𝑚𝑚\n𝜕𝜕𝛿𝛿=𝜆𝜆𝑚𝑚2\n𝜏𝜏 \n⎝⎜⎛∇2𝜇𝜇𝑚𝑚+5𝜁𝜁�5\n2�𝑘𝑘𝑏𝑏\n2𝜁𝜁�3\n2�2 ∇2𝑇𝑇𝑚𝑚−𝜇𝜇𝑚𝑚\n𝜆𝜆𝑚𝑚2−5𝜁𝜁�5\n2�𝑘𝑘𝑏𝑏\n2𝜁𝜁�3\n2�𝜆𝜆𝑚𝑚2�𝑇𝑇𝑚𝑚−𝑇𝑇𝑚𝑚�\n⎠⎟⎞ , (4) \nwhere 𝜆𝜆𝑚𝑚 was measured in this sample to be ~8 μm and temperature independent [8], and 𝜁𝜁 (𝑥𝑥) is the \nRiemann zeta function . In Eq. 4, ∇2𝜇𝜇𝑚𝑚 describes spin diffusion, ∇2𝑇𝑇𝑚𝑚 describes spin generation via \nintrinsic SSE, 𝜇𝜇𝑚𝑚𝜆𝜆𝑚𝑚2⁄ describes spin relaxation , and 𝑘𝑘 𝑏𝑏Δ𝑇𝑇𝑚𝑚𝑚𝑚𝜆𝜆𝑚𝑚2⁄ describes the generation or relaxation \nof spin due to Δ𝑇𝑇𝑚𝑚𝑚𝑚=𝑇𝑇𝑚𝑚−𝑇𝑇𝑚𝑚. Eq. 4 is derived using relationships between the magnon spin conductivity \n𝜎𝜎𝑚𝑚 and the intrinsic SSE coefficient 𝐿𝐿/𝑇𝑇 in YIG [4,5] (Appendix A ). The s pin current density across the \nPt/YIG interface is given by , \n 𝒋𝒋𝑠𝑠|𝑖𝑖𝑖𝑖𝜕𝜕=𝐺𝐺𝜇𝜇𝑚𝑚|𝑖𝑖𝑖𝑖𝜕𝜕+𝑆𝑆Δ𝑇𝑇𝑚𝑚𝑚𝑚, (5) \nwhere 𝐺𝐺 is the interfac e spin conductivity and 𝑆𝑆 is the interfac e SSE coefficient . Theoretically, 𝑆𝑆≈𝐺𝐺𝑘𝑘𝑏𝑏, \nhowever, we find that at higher temperatures 𝑆𝑆 must be increased to capture the transient spin current on \nthe short time scale , and therefore treat the 𝑆𝑆/𝐺𝐺 ratio as an adjustable parameter. As the spin accumulation \nin the metal film evolve s at 𝛿𝛿 ~ 10−12 𝜇𝜇, [17] and our data span 𝛿𝛿 =10−9 𝛿𝛿𝑡𝑡 10−3 𝜇𝜇, we neglect the \nelectron spin chemical potential in Pt for computational efficiency . 𝐺𝐺 is determined by [4], \n 𝐺𝐺=ℏ𝑔𝑔↑↓\n𝜋𝜋𝜋𝜋𝜇𝜇𝜏𝜏𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝜇𝜇𝑚𝑚, (6) \nwhere 𝑔𝑔↑↓=1⋅1018 1/𝑚𝑚2 is the spin mixing conductance, and 𝜋𝜋≈5×10−5 is the Gilbert damping \nparameter for bulk YIG , measur ed by spin -hall magnetoresistance and FMR , respectively (Appendix B ). \nThere are numerous reports of a strong temperature dependence of the damping , [30–33] therefore the T-7 \n dependent 𝜋𝜋 ought to be used in our modeling , however the literature is not consistent on the values, and \nindeed, the FMR based measurement of alpha is not valid below 100K [30] . Assuming a linear \ntemperature dependence of 𝜋𝜋 with a zero -temperature intercept , we carried out simulations of the time -\ndomain SSE. H owever, in this case a 𝜇𝜇𝑚𝑚 reverses sign , and is in strong qualitative disagreement with the \nmeasurement (Appendix D). Therefore, we consider 𝜋𝜋 to be temperature independent in the spin transport \nmodeling. The saturation spin density is 𝜇𝜇 = 5 nm-3, 𝜌𝜌𝑚𝑚 is the non- equilibrium magnon density , and \n𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 is evaluated at 𝜇𝜇𝑚𝑚 = 0 by, \n 𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝜇𝜇𝑚𝑚= √𝑘𝑘𝑇𝑇 𝐿𝐿𝑖𝑖1\n2�𝑒𝑒−Δ\n𝑘𝑘𝑇𝑇�(4𝜋𝜋𝐷𝐷𝑠𝑠)−3\n2 , (7) \nwhere Δ=14.8 𝜇𝜇eV is the magnon gap energy , and 𝐷𝐷𝑠𝑠=560 𝑚𝑚eV∙Å2 is the spin stiffness [34,35] . \nIV. RESULTS AND DISCUSSION \n1. Thermal Transport Finite Element Modeling \nIn Fig. 4 we plot results of 𝑇𝑇 𝑚𝑚 evaluated along the optic axis at the Pt/YIG interface. at 4, 90, and \n300 K. The total magnon temperature excursion ( Δ𝑇𝑇𝑚𝑚) behaves as expected ; it saturates rapidly at low \ntemperature and slowly at high temperature (Fig. 4(a)) . 𝜕𝜕𝑇𝑇𝑚𝑚/𝜕𝜕𝜕𝜕 (Fig. 4(b)), exhibits a different time \ndependence at 90 and 300 K , compared to 4 K . After t he initial rise during the laser turn on time there is \nan additional rise over longer timescales. ∇2𝑇𝑇𝑚𝑚 (Fig. 4(c)) indicates that there is continuous magnon \ngeneration via intrinsic SSE near the interface across all time scales. At 300 K ∇2 𝑇𝑇𝑚𝑚 becomes negative at \n𝛿𝛿 = 150 ns due to the non- equilibrium between magnons and phonons ( Δ𝑇𝑇𝑚𝑚𝑚𝑚=𝑇𝑇𝑚𝑚−𝑇𝑇𝑚𝑚). At low \ntemperature, Δ𝑇𝑇𝑚𝑚𝑚𝑚 peaks at 0.6 K. Interestingly, at high temperature the magnons are temporarily hotter \nthan phonons Δ 𝑇𝑇𝑚𝑚𝑚𝑚<0 which pers ists for approximately 20 ns. Even though the majority of the heat \ntransport occurs through the phonon channel, the low 𝐶𝐶 𝑚𝑚, compared to 𝐶𝐶𝑚𝑚, allows 𝑇𝑇𝑚𝑚 to temporarily exceed \n𝑇𝑇𝑚𝑚. 8 \n 2. Magnon Chemical Potential and Bulk Magnon Transport Via Intrinsic SSE \nFig. 5(a,b) plots the spatial distribution of 𝜇𝜇𝑚𝑚 at 90 K at 50 ns (a), and 500 𝜇𝜇s (b). At 50 ns 𝜇𝜇𝑚𝑚 has only \njust begun to evolve at the interface. Below the building magnon depletion, a comparatively smal l magnon \naccumulation ( 𝜇𝜇𝑚𝑚 > 0) is generated by intrinsic SSE and spreads out spherically. At 500 μs, the magnon \ndepletion has completely evolved and the magnon accumulation from earlier is absent, having spread out \nand decayed. Fig. 5(c) plots z -axis cuts of 𝜇𝜇𝑚𝑚 along the optical axis. F igure 6 plots the bulk spin currents \nwithin the YIG at the same times and location as Fig. 5(c). The intrinsic SSE current (Fig. 6(a)) points \naway from the interface, evolving with 𝜕𝜕 𝑇𝑇𝑚𝑚/𝜕𝜕𝑇𝑇𝑧𝑧. Interface SSE, driven by 𝑆𝑆Δ𝑇𝑇𝑚𝑚𝑚𝑚 is not as large as \nintrin sic SSE which results in a backflow spin current (and 𝜇𝜇𝑚𝑚<0) towards the interface made up of non-\nequilibrium magnons as shown in Fig. 6(b). The total spin current within the bulk of the YIG generally \nflows away from the interface and peaks at a distance less than 𝜆𝜆𝑚𝑚 from the interface. \nPreviously, we utilized a 1T model [36] , however, this is inadequate in capturing the early rise \ntime (1 -10 ns) features of 𝑉𝑉 𝑁𝑁(𝛿𝛿). A 3T model is critical to capture the SSE dynamics as magnons and \nphonons remain out -of-equilibrium over experimentally relevant timescales. Importantly, b y including the \nmagnon- phonon thermalization time ( 𝜏𝜏𝑚𝑚𝑚𝑚), non- monotonic features in the time -dependence of SSE are \ncaptur ed. For example, a ~2% drop in the SSE signal after the initial rise can be seen at 4 K (Fig. 3(a) ). \nSince 𝑇𝑇𝑚𝑚>𝑇𝑇𝑚𝑚 at the interface , then by Eq. (4) there is a generation of nonequilibrium magnons , reduc ing \n|𝜇𝜇𝑚𝑚| since 𝜇𝜇𝑚𝑚<0. This interplay between magnon -phonon coupling and spin diffusion is observable in \ndata up through 30 K . Removing the fourth term in Eq. (4) causes the negative time derivative in spin \ncurrent to disappear entirely , indicat ing that Δ𝑇𝑇𝑚𝑚𝑚𝑚 is parasitic to SSE at these conditions . \n3. Fit Results \nUsing least squares regression, we fit the time -dependence of 𝒋𝒋𝑠𝑠|𝑖𝑖𝑖𝑖𝜕𝜕(𝛿𝛿) to 𝑉𝑉𝑁𝑁(𝛿𝛿) using τ , 𝜏𝜏𝑚𝑚𝑚𝑚, and \n𝑆𝑆/𝐺𝐺 as adjustable parameters. Figure 7 demonstrates the qualitatively distinct impact of the two 9 \n timescales, 𝜏𝜏 and 𝜏𝜏𝑚𝑚𝑚𝑚, on 𝒋𝒋𝑠𝑠|𝑖𝑖𝑖𝑖𝜕𝜕(𝛿𝛿). At 4K, 𝒋𝒋 𝒔𝒔|𝑖𝑖𝑖𝑖𝜕𝜕 begins with a rapid rise of 𝑆𝑆Δ𝑇𝑇𝑚𝑚𝑚𝑚. Intrinsic SSE ( ∇𝑇𝑇𝑚𝑚) \nat the interface is greater than 𝑆𝑆 Δ𝑇𝑇𝑚𝑚𝑚𝑚, generating a local magnon depletion ( 𝜇𝜇𝑚𝑚 < 0), adding another term \nto 𝒋𝒋𝒔𝒔|𝑖𝑖𝑖𝑖𝜕𝜕 (𝐺𝐺𝜇𝜇𝑚𝑚|𝑖𝑖𝑖𝑖𝜕𝜕). As 𝜏𝜏 decreases (increases), 𝒋𝒋𝑠𝑠|𝑖𝑖𝑖𝑖𝜕𝜕(𝛿𝛿) shows a faster (slower) risetime. \nThe temperature dependence of 𝜏𝜏 (Fig. 8(a)) shows a peak of 65 ± 34 μs at 90 K decreas ing to 15 \n± 6 μs at 300 K. The increase in 𝜏𝜏 below 300 K correlates with the linear relationship between 𝜋𝜋 and \ntemperature. However, a direct connection between the FMR determined value of 𝜋𝜋 and time -domain SSE \nmeasurement of 𝜏𝜏 is problematic . For exam ple, at 300 K , with 𝜏𝜏 = 15 μs , assuming that 𝜏𝜏 represents the \naverage lifetime of all the modes within 𝜌𝜌𝑚𝑚, then the average frequency , 𝑓𝑓=(4𝜋𝜋𝜋𝜋𝜏𝜏)−1, would be 100 \nMHz , an unphysically low frequency, i.e. below the magnon gap, 𝑓𝑓Δ = 3.5 GHz. This inconsistency is \nresolved by examining the spectral variation of magnon lifetimes [37] , where magnon lifetimes increase \nstrongly up to 25 μs at FMR frequencies at room temperature (Fig. 9(a)) . This lifetime variation can be \nused to predict the spectral variation of magnon spin conductance, 𝜎𝜎𝑚𝑚(𝜔𝜔)=ℏ𝜆𝜆𝑚𝑚2𝜕𝜕𝜌𝜌(𝜔𝜔)/𝜕𝜕𝜇𝜇(𝜏𝜏(𝜔𝜔))−1 \n(Fig. 9(b)). Taking the integral of this function over the principle magnon band (Fig. 8(c)), it is observed \nthat roughly half of the spin conductance arises from magnons with frequencies of 100 GHZ or less. \nAlthough the low frequency magnons have far higher lifetime s, their low mobility limits their \nconductance, thus in YIG, both high and low frequency magnons are involved in spin transport at room \ntemperature. \nIt is now well established that FMR magnons are produced during spin- heat transport in YIG. \nIndeed, as magnons are bosons and obey BE -statistics, they can be condensed into a BEC phase [4,38,39] \nThese recent experimental and theoretical works demonstrate the thermal excitation of magnons and \ncondensation into low lying FMR frequencies and clearly establis h the magnon chemical potential \nparadigm that spans the entire frequency range [4,5] . Furthermore, m agnetic field dependent \nmeasurements of SSE and non -local spin transport indicate that many of the magnons contributing to SSE 10 \n are sub -thermal (~1 meV) [9–11]. Adding to this body of evidence we observe a decrease of 𝜏𝜏 below 90 \nK, reaching 3 ± 0.3 μs at 4 K (Fig. 9(a)). This is similar to the increase in FMR linewidth s observed over \nthe same temperatures for low frequency magnons (10- 40 GHz) , an effect previously attributed to impurity \nrelaxation [30,31,40] . The idea that these extremely low energy magnons contribute to most of the \ntransport does not explain the observed temperature dependence of Gadolinium Iron Garnet (GdIG) [41] , \nhowever the experiments performed by Geprägs et al. are performed primarily on nm -thick films and the \nmaximum thickness is 1 μm. The low frequency magnons originate from long distance transport in the \nbulk and only become significant when the sample thickness is greater than 𝜆𝜆 𝑚𝑚. To our knowledge no \nsystematic examination of 𝜆𝜆 𝑚𝑚 has been performed in GdIG, however if it is comparable to YIG even \nwithin order of magnitude we would not expect any significant c ontribution from low energy magnons on \nthis scale. \nBased on the measured 𝜆𝜆𝑚𝑚 [8,15] and 𝜏𝜏 (Fig. 9(a)), we calculate the magnon spin conductivity 𝜎𝜎𝑚𝑚 \nusing the Einstein relation , \n 𝜎𝜎𝑚𝑚=ℏ𝜆𝜆𝑚𝑚2\n𝜏𝜏𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝜇𝜇𝑚𝑚 . (8) \nIt is observed to increase with temperature above 100 K (Fig. 8(c)). Although our values of 𝜎𝜎𝑚𝑚 are \ndetermined from time-domain SSE, they are in remarkable agree ment with those determined by non -local \nsteady -state measurements [15] . The agreement between steady -state non -local spin transport FEM \nmodeling and time -domain SS E modeling provides strong validation of FEM modeling of coupled \nspin/heat transport. We note that the experimental geometries (boundary conditions) and measurement \nmodalities are quite distinct. We also include the room temperature predicted total spin conductance based \non R ückri egel et al. by integrating the spectral spin conductance (Fig. 9(c)) which agrees with both our \ndata and that of Cornelissen et al. at room temperature . 11 \n The parasitic contribution to SSE is gauged by 𝜏𝜏𝑚𝑚𝑚𝑚 (Fig. 9(b)). For T > 20 K , 𝜏𝜏𝑚𝑚𝑚𝑚 is ~10 ns. Above \n20 K , 𝜏𝜏𝑚𝑚𝑚𝑚 slows the rate at which Δ𝑇𝑇𝑚𝑚𝑚𝑚 evolves. This is the main parameter affecting the SSE waveform \nfor 1 ns < t < 100 ns. The interface 𝑇𝑇𝑚𝑚 continues evolving over longer times ; through magnon- phonon \ncoupling the evolution of 𝑇𝑇 𝑚𝑚 contributes to the evolution of 𝜕𝜕 𝑇𝑇𝑚𝑚/𝜕𝜕𝜕𝜕 and therefore of Δ𝑇𝑇𝑚𝑚𝑚𝑚 as well . The \nlong 𝜏𝜏𝑚𝑚𝑚𝑚 values (~10 ns) are surprising as the magnon -phonon scattering time is estimated to be ~1 -10 ps \nfor thermal magnons [42] . However, a second length scale for SSE in YIG, the magnon- phonon \nthermalization length 𝜆𝜆𝑚𝑚𝑚𝑚, \n 𝜆𝜆𝑚𝑚𝑚𝑚=�𝐺𝐺𝑚𝑚𝑚𝑚�𝜅𝜅𝑚𝑚−1+𝜅𝜅𝑚𝑚−1��−1\n2 , (9) \nwas reported to be 100 nm at elevated temperatures [7]. Based on our results , we estimate 𝜆𝜆𝑚𝑚𝑚𝑚 = 300 nm \nat room temperature, in close agreement with Prakash et al. , supporting the long values of 𝜏𝜏𝑚𝑚𝑚𝑚. \nFigure 9(d) plots the time dependence of the two spin current channels at 300 K. Except for the \nnon-monotonic behavior at low temperature, the general time domain shape of 𝑆𝑆 Δ𝑇𝑇𝑚𝑚𝑚𝑚 and 𝐺𝐺𝜇𝜇𝑚𝑚 is uniform \nacross all temperatures. 𝑆𝑆Δ𝑇𝑇𝑚𝑚𝑚𝑚 dominates the spin current f or t < 200 ns, and 𝐺𝐺𝜇𝜇𝑚𝑚 always dominates the \ntime domain signal for t > 1 µs. The contribution of both 𝑆𝑆Δ𝑇𝑇𝑚𝑚𝑚𝑚 and 𝐺𝐺𝜇𝜇𝑚𝑚 to the steady -state SSE signal \nis determined by multiplying the normalized spin currents by 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 at 500 μs . Below 40 K, 𝑉𝑉𝑆𝑆Δ𝑇𝑇 is the \nprimary contribution to SSE (Fig. 9(d)). At intermediate temperatures, where 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 is maximal, 𝑉𝑉 𝑌𝑌𝐺𝐺 \ndominates, and around 150 K the situation reverses again. Overall, the primary driver of the temperature \ndependence of 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 is 𝜇𝜇𝑚𝑚. \nV. CONCLUS IONS \nIn summary , 𝜇𝜇𝑚𝑚 evolves over > 500 µs in bulk YIG compared with interfac e SSE that evolves at \ntimes <100 ns. The lifetime of magnons due to SSE peaks at 60 𝜇𝜇𝜇𝜇 at 90 K . Its temperature trend is similar 12 \n to that of the FMR linewidth in YIG [34]. This connection between FMR (~10 GHz) and SSE (10 GHz to \n12 THz ) is understood as due to the spectral distribution of magnons skewed selectively toward very low \nfrequencies in YIG . The spectral variation of spin conductance in YIG is understood in terms of the \nbalance between hot THz magnons (high mobility / short lifetimes) and co ld GHz magnons ( low mobility \n/ long lifetimes) , such that intermediate range 10 -50 GHz (exchange -dipol ar magnons) provide a large \ncontribution to bulk spin conductance in YIG. From the Einstein relation for magnons, the long lifetimes \nare in quantitative agreement with 𝜎𝜎𝑚𝑚 observed in steady -state non -local measurements. Th is agreement \nbetween steady -state spin transport and time -domain SSE provides independent validation of the FEM \nmodeling of c oupled spin- heat transport. It is also observed that t he non- equilibrium between magnons \nand phonons is observed to be parasitic to SSE. Finally, we find that in bulk YIG, the largest source of \nspin current crossing the Pt/YIG interface is 𝜇𝜇𝑚𝑚, which also dominates the temperature dependence of \nSSE. \n \nAcknowledgments: \nWe thank Joseph Heremans, L.J. Cornelissen, R.A. Duine , David G. Cahill, Joseph Barker, and Andreas \nRückriegel for providing valuable insight during discussions. This work was supported primarily by the \nCenter for Emergent Materials at The Ohio State University, an NSF MRSEC (Award Number DMR -\n1420451) and by the Army Research Office through MURI W911NF -14-1-0016. Partial support is \nprovided by Department of Energy under Grant No. DE -SC0001304 (JTB) and DE -FG02 –03ER46054 \n(GW). \n \nAPPENDIX A: COUPLED SPIN -HEAT TRA NSPORT EQUATIONS \nThe magnon spin current in the YIG 𝒋𝒋𝑠𝑠, in units of 𝐽𝐽/𝑚𝑚2, can be expressed as 13 \n 𝒋𝒋𝑠𝑠=−�𝜎𝜎𝑚𝑚𝛁𝛁𝝁𝝁𝒎𝒎+𝐿𝐿\n𝑇𝑇𝛁𝛁𝑻𝑻𝒎𝒎� , (A1) \nwhere 𝜎𝜎𝑚𝑚 is the spin conductivity in units of 1/𝑚𝑚 and 𝐿𝐿/𝑇𝑇 is the bulk spin Seebeck (SSE) coefficient in \nunits of 𝐽𝐽𝑚𝑚−1𝐾𝐾−1, and 𝜇𝜇 𝑚𝑚 the magnon chemical potential in 𝐽𝐽. [5] . The continuity relation reads \n 𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝛿𝛿+1\nℏ 𝛁𝛁⋅𝒋𝒋𝑠𝑠= −𝜇𝜇𝑚𝑚\n𝜏𝜏𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝜇𝜇𝑚𝑚+Γ𝜌𝜌𝑇𝑇𝐶𝐶𝑚𝑚Δ𝑇𝑇𝑚𝑚𝑚𝑚 , (A2) \nwhere 𝜌𝜌𝑚𝑚 is the non- equilibrium magnon density , 𝜏𝜏 is the lifetime of the magnon chemical potential, Γ𝜌𝜌𝑇𝑇 \nis the relaxation rate of the non -equilibrium density by the magnon phonon temperature difference Δ 𝑇𝑇𝑚𝑚𝑚𝑚=\n𝑇𝑇𝑚𝑚−𝑇𝑇𝑚𝑚. By combining Eqs. ( A1) and ( A2) and assuming that variations in 𝜎𝜎𝑚𝑚 and 𝐿𝐿/𝑇𝑇 are negligible we \nget \n 𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝛿𝛿=�𝜎𝜎∇2𝜇𝜇𝑚𝑚+𝐿𝐿\n𝑇𝑇∇2𝑇𝑇𝑚𝑚� −𝜇𝜇𝑚𝑚\n𝜏𝜏𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝜇𝜇𝑚𝑚+ Γ𝜌𝜌𝑇𝑇𝐶𝐶𝑚𝑚Δ𝑇𝑇𝑚𝑚𝑚𝑚 . (A3) \nThe length scale over which the magnon chemical potential decays in steady state is also known as the \nspin diffusion length 𝜆𝜆𝑚𝑚 and is related to the magnons spin conductivity as \n 𝜎𝜎𝑚𝑚=𝜆𝜆𝑚𝑚2\n𝜏𝜏𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝜇𝜇𝑚𝑚. (A4) \n To reduce the continuity equation further , a relationship between 𝜎𝜎𝑚𝑚 and 𝐿𝐿/𝑇𝑇 is utilized from the theory \ndescribed in Ref. [5] . \n 𝜎𝜎𝑚𝑚=4𝜁𝜁�3\n2�2\n𝐷𝐷𝑠𝑠𝜏𝜏𝑠𝑠\nℏ2Λ3 (A5) \n 𝐿𝐿\n𝑇𝑇=10𝜁𝜁�5\n2�𝑘𝑘𝑏𝑏𝐷𝐷𝑠𝑠𝜏𝜏𝑠𝑠\nℏ2Λ3 (A6) \n 14 \n The spin conductivity and bulk SSE coefficients are described in terms of the YIG spin stiffness 𝐷𝐷𝑠𝑠, the \nmean scattering time 𝜏𝜏𝑠𝑠, where magnon phonon scattering is assumed to be the dominant mechanism, and \nthe magnon thermal de Broglie wavelength Λ =�4𝜋𝜋𝐷𝐷𝑠𝑠/𝑘𝑘𝑇𝑇𝑚𝑚. By substituting Eq. ( A5) into ( A6) we get \n 𝐿𝐿\n𝑇𝑇=𝜎𝜎𝑚𝑚5𝜁𝜁�5\n2�𝑘𝑘𝑏𝑏\n2𝜁𝜁�3\n2�2 . (A7) \nAdditional reduction of the continuity relation is achieved for the fourth term on the right -hand side of Eq. \n(S3) by relating Γ𝜌𝜌𝑇𝑇 to 𝜆𝜆𝑚𝑚 and 𝜎𝜎𝑚𝑚, \n Γ𝜌𝜌𝑇𝑇=5𝜁𝜁�5\n2�𝑘𝑘𝑏𝑏𝜎𝜎𝑚𝑚\n2𝜁𝜁�3\n2�𝜆𝜆𝑚𝑚2𝐶𝐶𝑚𝑚 (A8) \nFinally, by substituting Eqs. (A 4), (A7), and ( A8) into Eq. ( A3) and dividing through by 𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 we \narrive at \n 𝜕𝜕𝜇𝜇𝑚𝑚\n𝜕𝜕𝛿𝛿𝑚𝑚=𝜆𝜆𝑚𝑚2\n𝜏𝜏𝑚𝑚 �∇2𝜇𝜇𝑚𝑚+5 𝜁𝜁�5\n2�𝑘𝑘𝑏𝑏\n2𝜁𝜁�3\n2�2∇2𝑇𝑇𝑚𝑚−𝜇𝜇𝑚𝑚\n𝜆𝜆𝑚𝑚2+5𝜁𝜁�5\n2�𝑘𝑘𝑏𝑏\n2𝜁𝜁�3\n2�𝜆𝜆𝑚𝑚2Δ𝑇𝑇𝑚𝑚𝑚𝑚� , (A9) \nwhich is Eq. (3) in the main text. This allows us to use three free parameters, 𝜏𝜏 , 𝜏𝜏𝑚𝑚𝑚𝑚, and the 𝑆𝑆 /𝐺𝐺 ratio, to \nfit the time profile of the measured spin current crossing the interface. \n \nAPPENDIX B: TRANSPORT PARAMETERS \n1. Thermal Transport \nEq. (1) in the main text describes the heat transport in YIG where 𝑇𝑇𝑚𝑚 is coupled to 𝑇𝑇𝑚𝑚 via 𝐺𝐺𝑚𝑚𝑚𝑚. Below ~20 \nK the magnon heat capacity 𝐶𝐶𝑚𝑚, and magnon thermal conductivity 𝜅𝜅𝑚𝑚 are taken from Ref. [43] . Beyond 15 \n 20 K these parameters are not measured and in the case of 𝜅𝜅𝑚𝑚 the values are logarithmically extrapolated \nfrom Ref. [43] . The values used in simulations are plotted in Fig. 10(a). For 𝐶𝐶𝑚𝑚, plotted in Fig . 10(c), we \nutilized the 𝑇𝑇𝑚𝑚3\n2 law where 𝐶𝐶𝑚𝑚 follows the following relation, \n 𝐶𝐶𝑚𝑚=15𝜁𝜁�5\n2�\n32 𝜌𝜌𝑌𝑌𝑌𝑌𝑌𝑌�𝑘𝑘𝑏𝑏5𝑇𝑇𝑚𝑚3\n𝜋𝜋3𝐷𝐷𝑠𝑠3, (A10) \nwhere 𝜌𝜌𝑌𝑌𝑌𝑌𝑌𝑌 = 5170 𝑘𝑘𝑔𝑔/𝑚𝑚3 is the mass density of YIG at room temperature and 𝐷𝐷𝑠𝑠=560 𝑚𝑚𝑒𝑒𝑉𝑉∙Å2 is the \nspin stiffness [35,44,45] . Within the FEM we consider the temperature variation in 𝜌𝜌 𝑌𝑌𝑌𝑌𝑌𝑌 by linearly \ninterpolating the measured lattice constants between 4 K and 300 K. \n The total thermal conductivity for thin film Pt is taken from Ref. [46] and logarithmically \nextrapolated for temperatures below ~20 K. The electron thermal conductivity 𝜅𝜅𝑚𝑚 is separated from the \nphonon thermal conductivity 𝜅𝜅𝑚𝑚𝑃𝑃𝜕𝜕 in Pt by making use of the Wiedemann- Franz law, \n 𝜅𝜅𝑚𝑚=𝐿𝐿0𝜎𝜎𝑚𝑚𝑇𝑇, (A11) \nwhere 𝜎𝜎𝑚𝑚 is the electrical conductivity in Pt taken from Ref. [46] in the same manner as the total thermal \nconductivity, and 𝐿𝐿 0= 2.44 × 10-8 𝑊𝑊Ω K−2 is the Sommerfeld value for the Lorenz number. The total \nthermal conductivity in Pt is then treated as the sum of 𝜅𝜅 𝑚𝑚 and 𝜅𝜅𝑚𝑚𝑃𝑃𝜕𝜕, and their values are plotted in Figure \n10(b). 𝐶𝐶𝑚𝑚 is calculated from the linear relationship, \n 𝐶𝐶𝑚𝑚=𝛾𝛾𝑚𝑚𝑇𝑇, (A12) \nwhere 𝛾𝛾𝑚𝑚= 0.034 𝐽𝐽 𝐾𝐾−2𝑘𝑘𝑔𝑔−1 [47] . The total heat capacity of Pt [47] is taken to be the sum of 𝐶𝐶𝑚𝑚 and 𝐶𝐶𝑚𝑚𝑃𝑃𝜕𝜕 \nplotted in Fig. 10(d). \n In the absence of data of the interface thermal conductance for Pt/YIG, we use that of Au/Sapphire \n( 𝑔𝑔𝜕𝜕ℎ= 50 MWm-2K-1) from Ref. [29] . Since it varies weakly with temperature, we consider it to be 16 \n independent of temperature. This only affects the absolute value of temperature ris es, Δ𝑇𝑇𝑚𝑚𝑚𝑚, and Δ𝑇𝑇𝑚𝑚𝑚𝑚, but \nnot any of the gradients of temperature or other quantities critical to the modeling of the spin transport. \n \n2. Experimental Determination of Gilbert Damping and Spin- Mixing Conductance \nWithin the FEM model, the spin current which crosses the interface is proportional to Δ 𝜇𝜇≈𝜇𝜇𝑚𝑚, and Δ𝑇𝑇𝑚𝑚𝑚𝑚, \nwhere the constants of proportionality are 𝐺𝐺 and 𝑆𝑆 , respectively. From Ref. [4] , we can estimate 𝐺𝐺 to be \n 𝐺𝐺=ℏ𝑔𝑔↑↓\n𝜋𝜋𝜋𝜋𝜇𝜇𝜏𝜏𝜕𝜕𝜌𝜌𝑚𝑚\n𝜕𝜕𝜇𝜇𝑚𝑚, (A13) \n where 𝜋𝜋 is the Gilbert damping parameter and 𝑔𝑔↑↓ is the spin mixing conductance. T o more accurately \nestimate 𝐺𝐺 we perform ferromagnetic resonance (FMR) and spin -Hall magnetoresistance (SMR) \nmeasurements on the real sample used in the experiments. For the FMR experiments the YIG crystal was \nplaced over a microwave stripline, the field pointing out of plane, and 𝑑𝑑𝐼𝐼/𝑑𝑑𝐻𝐻 was taken for driving \nfrequencies ranging from 9 to 12 𝐺𝐺𝐻𝐻𝜕𝜕 . The microwave power used was −10 𝑑𝑑𝑑𝑑𝑚𝑚. Numerous resonances \nwere detected and many shift past one another making determining Δ𝐻𝐻 difficult across multiple \nfrequencies. However this is s omewhat simplified since 𝜋𝜋 should be the same for all modes, whereas only \nthe inhomogeneous broadening varies [48] . Figure 11(a -c) shows the absorption data for 9, 11, and \n12 𝐺𝐺𝐻𝐻𝜕𝜕 , respectively. \nThe Gilbert damping can be calculated by fitting the resonance spectra to, \n Δ𝐻𝐻=Δ𝐻𝐻0+4𝜋𝜋𝜋𝜋𝑓𝑓\n𝛾𝛾, (A14) \nwhere 𝑓𝑓 is the resonance frequency, Δ𝐻𝐻 is the measured linewidth of absorption, and 𝛾𝛾 ≈28 𝐺𝐺𝐻𝐻𝜕𝜕 /𝑇𝑇 is \nthe gyromagnetic ratio [30,49] . Fig ure 12(a,b) shows the resonance field and linewidths vs frequency, 17 \n respectively. By fitting the data to Eq. ( A14) we find 𝜋𝜋=5⋅10−5 which is consistent with high quality \nYIG. \nSpin- Hall Magnetoresistance measurements were performed on the same Pt/YIG sample as used \nin the experiments described in the main text. A four -wire measurement of the resistivity of the Pt film is \nperformed as a function of applied field 𝐻𝐻𝑥𝑥. In this cas e the applied field is also along the direction of the \nmeasured resistivity 𝜌𝜌. The measured change in resistivity Δ𝜌𝜌1, shown in Fig. 13 , is related to 𝑔𝑔↑↓ by \n Δρ1\n𝜌𝜌=𝜃𝜃𝑆𝑆𝑆𝑆2𝜆𝜆𝑃𝑃𝜕𝜕\n𝑑𝑑2𝜆𝜆𝑃𝑃𝜕𝜕𝑔𝑔↑↓tanh2𝑑𝑑\n2𝜆𝜆𝑃𝑃𝜕𝜕\n𝜎𝜎+2𝜆𝜆𝑃𝑃𝜕𝜕𝑔𝑔↑↓coth𝑑𝑑\n𝜆𝜆𝑃𝑃𝜕𝜕 , (A15a ) \n 𝜌𝜌=𝜌𝜌0+Δ𝜌𝜌0+Δ𝜌𝜌1�1−𝑚𝑚𝑦𝑦2�, (A15b ) \nwhere 𝜃𝜃𝑆𝑆𝑆𝑆=0.1 is the spin -Hall angle of Pt, 𝜆𝜆 𝑃𝑃𝜕𝜕=7 𝑛𝑛𝑚𝑚 is the spin diffusion length of Pt [50,51] , and \n𝑑𝑑=6 𝑛𝑛𝑚𝑚 is the thickness of the Pt layer. Δ𝜌𝜌1𝜌𝜌⁄≈4⋅10−4 which results in 𝑔𝑔↑↓≈1⋅1018. \ndetermined by the Hessian matrix for SSR. The diagonal elements of the inverted Hessian are the standard \nvariances for each fitting parameter. \nAPPENDIX C: NON -EQUILIBRIUM MAGNON SPECTRUM \nThe spectrally resolved non- equilibrium magnon density 𝜌𝜌𝑚𝑚′ can be analytically evaluated in a \nparabolic single band model by \n 𝜌𝜌𝑚𝑚′(𝜔𝜔,𝜇𝜇)=1\n4𝜋𝜋2�ℏ\n𝐷𝐷𝑠𝑠�3\n2\n√𝜔𝜔[⟨𝑛𝑛𝒌𝒌(𝜔𝜔,𝜇𝜇𝑚𝑚,𝑇𝑇𝑚𝑚)⟩−⟨𝑛𝑛𝒌𝒌(𝜔𝜔,0,𝑇𝑇𝑚𝑚)⟩] , (A16) \nwhere ⟨𝑛𝑛𝒌𝒌(𝜔𝜔,𝜇𝜇,𝑇𝑇𝑚𝑚)⟩ is the Bose -Einstein distribution evaluated at some frequency 𝜔𝜔 and chemical \npotential. 18 \n In Fig . 14(a,b) we plot 𝜌𝜌𝑚𝑚′ at 𝑇𝑇𝑚𝑚 = 20 K and 𝜇𝜇𝑚𝑚 = +1 and -1 μeV, respectively. The magnon gap frequency, \n3.5 GHz, is determined by the applied field in the experiment. The spectra in Fig. 14(a,b) may be \nnumerically integrated and differentiated with respect to 𝜇𝜇𝑚𝑚 to give 𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚. The analytical solution to \n𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 at 𝜇𝜇𝑚𝑚 = 0 is given by Eq. (6) in the main text. Regardless of the value of 𝜇𝜇 𝑚𝑚, 𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 is \nlinear with temperature so long as 𝑘𝑘𝑏𝑏𝑇𝑇≫|𝜇𝜇𝑚𝑚|, Fig. 14 (c). The peak ( 𝜔𝜔𝑚𝑚) and mean (𝜔𝜔𝑚𝑚) frequencies can \nbe evaluated numerically from the spectra generated by Eq. ( A16). Interestingly, at a given value of 𝜇𝜇 𝑚𝑚, \n𝜔𝜔𝑚𝑚 exhibits almost zero dependence on temperature, Fig. 15 (a,b). Even under very large negative 𝜇𝜇𝑚𝑚, 𝜔𝜔𝑚𝑚 \nremains within a factor of 2 of the magnon gap energy. 𝜔𝜔𝑚𝑚 however, varies strongly with temperature as \nwell as with the value of 𝜇𝜇 𝑚𝑚, Fig . 15(c,d). 𝜔𝜔𝑚𝑚 in these calculations is generally around 100- 200 GHz, \nalthough at temperatures greater than 20 K the 𝜔𝜔𝑚𝑚 would be underestimated in a parabolic band model. \nAPPENDIX D : VARIATION OF SPIN TRANSPORT WITH INPUT PARAMETERS \n1. Low Temperature Scaling of 𝜶𝜶 \n Within the main text we discuss the e ffect which large 𝐺𝐺, relative to 𝜎𝜎𝑚𝑚/𝜆𝜆𝑚𝑚 [4], has on the time \ndomain spin transport at low temperature. Theoretically, 𝜋𝜋 scales linearly with temperature. From Eq. (6) , \n𝐺𝐺 and 𝑆𝑆 scale linearly with the ratio 𝑔𝑔↑↓/𝜋𝜋, therefore, at 4 K, 𝑔𝑔↑↓/𝜋𝜋 is 75 times larger than at 300 K. \nHowever, 𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 also scales with temperature, with the ratio of 𝜕𝜕 𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚(300 𝐾𝐾) / 𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 (4 𝐾𝐾) \nbeing 87.5. This still results in lower interfacial spin transport coefficients at low temperature, as expected \ntheoretically. But within this regime the interfacial spin transport coefficients become a much stronger \ninfluence on the time evolution of SSE compared to the bulk transport coefficients and we lose sensitivity \nto both 𝜏𝜏 and 𝜏𝜏𝑚𝑚𝑚𝑚. Furthermore, the qualitative time dependence of the spin transport completely changes. \nAssuming a temperature dependent 𝜋𝜋, Fig. 16 plots the modeled SSE waveform compared with the \nmeasured 4 K 𝑉𝑉𝑁𝑁. The long time -scale evolution of SSE in this case has the complete opposite time \nderivative over the entire time range, except for the initial rise. Additionally, the sign of 𝜇𝜇𝑚𝑚 within the 19 \n entire structure changes fro m negative to positive due to spin injection from the Pt. The use of a T -\ndependent linear scaled 𝜋𝜋 is therefore unsuitable in the low temperature regime. Making matters worse, \nrecent experimental work indicates that FMR based measurements of Gilber t dampi ng below 100 K are \nuntenable [34] . \n2. High Temperature Thermal Magnon Transport Coefficients \nRecently , predictions of 𝐶𝐶𝑚𝑚 have been made implementing quantum thermal statistics to the \natomistic spin dynamics in YIG [52] . The calculations include all magnon bands and are calculated over \nthe entire Brillouin Zone. Unsurprisingly, the predicted 𝐶𝐶 𝑚𝑚 is much higher than that which is predicted \nby a 𝑇𝑇3\n2 law, 14.2 and 3 J/kgK, respectively. Here we investigate the effect on t he time domain transport, \nand the fits at this new 𝐶𝐶𝑚𝑚. In Fig. 17 we show the best fit at 300 K under this 𝐶𝐶 𝑚𝑚. Here 𝜏𝜏= 1 ns, \n𝜏𝜏𝑚𝑚𝑚𝑚=250 𝜇𝜇 s and 𝑆𝑆/𝐺𝐺=9. In this case the 𝜏𝜏 𝑚𝑚𝑚𝑚 would be unreasonable , and 𝜆𝜆𝑚𝑚𝑚𝑚 would be 15 𝜇𝜇 m. \nAgrawal et al. [53] used BLS measurements to optically probe the length scale of 𝜆𝜆𝑚𝑚𝑚𝑚. Were 𝜆𝜆𝑚𝑚𝑚𝑚 on \nsuch a length scale it would be visible under BLS measurements but no such length scale in Δ𝑇𝑇𝑚𝑚𝑚𝑚 was \nobserved. Additionally the magnitude of 𝜆𝜆𝑚𝑚𝑚𝑚 is in major disagreement with the results from Prakash et \nal. [7] . As stated previously, the relationship s between the various spin and heat transport parameters \nused in the FEM simulations are taken from single parabolic band approximations, whereas the \ncalculations from Barker et al. utilize a fully quantum model which include all the bands. When the heat \ncapacity is increased to include all of these bands there may be artifacts introduced in the fitting due to \nthe interplay between parameters which take into account only single parabolic bands and parameters \nwhich include multiple bands. In particular, this could be from the fact that such heat capacities would \nnaturally include the heat capacity from the anti -ferromagnetic modes which would be parasitic to the \nnet thermal spin transport, but they are not included in the models use d here to calculate our spin 20 \n currents. More work is needed to construct spin- heat diffusion models which take into account multiple \nmagnon bands . \n 21 \n \nReferences: \n \n[1] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa, and E. Saitoh, \nNature 455, 778 (2008). \n[2] K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and E. Saitoh, Appl. Phys. Lett. 97, \n(2010). \n[3] K. Uchida, H. Adachi, T. Kikkaw a, A. Kirihara, M. Ishida, S. Yorozu, S. Maekawa, and E. Saitoh, \nProc. IEEE 104, 1946 (2016). \n[4] B. Flebus, S. A. Bender, Y. Tserkovnyak, and R. A. Duine, Phys. Rev. Lett. 116, 117201 (2016). \n[5] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A. D uine, and B. J. Van Wees, Phys. Rev. B. \n94, 014412 (2016). \n[6] S. M. Rezende, R. L. Rodríguez -Suárez, R. O. Cunha, A. R. Rodrigues, F. L. A. Machado, G. A. \nFonseca Guerra, J. C. Lopez Ortiz, and A. Azevedo, Phys. Rev. B 89, 014416 (2014). \n[7] A. Prakash, B . Flebus, J. Brangham, F. Yang, Y. Tserkovnyak, and J. P. Heremans, Phys. Rev. B \n97, 020408(R) (2018). \n[8] B. L. Giles, Z. Yang, J. S. Jamison, J. M. Gomez -Perez, S. Vélez, L. E. Hueso, F. Casanova, and R. \nC. Myers, Phys. Rev. B 96 , 180412(R) (2017). \n[9] H. Jin, S. R. Boona, Z. Yang, R. C. Myers, and J. P. Heremans, Phys. Rev. B. 92, 054436 (2015). \n[10] T. Kikkawa, K. Uchida, S. Daimon, Z. Qiu, Y. Shiomi, and E. Saitoh, Phys. Rev. B. 92, 064413 \n(2015). \n[11] T. Kikkawa, K. I. Uchida, S. Daimon, and E. Saitoh, J. Phys. Soc. Jpn 85, 065003 (2016). \n[12] A. Kehlberger, U. Ritzmann, D. Hinzke, E. J. Guo, J. Cramer, G. Jakob, M. C. Onbasli, D. H. Kim, \nC. A. Ross, M. B. Jungfleisch, B. Hillebrands, U. Nowak, and M. Kläui, Phys. Rev. Lett. 115, \n096602 (2015). \n[13] E. J. Guo, J. Cramer, A. Kehlberger, C. A. Ferguson, D. A. MacLaren, G. Jakob, and M. Kläui, \nPhys. Rev. X 6, 031012 (2016). \n[14] L. J. Cornelissen, J. Liu, R. A. Duine, J. Ben Youssef, and B. J. Van Wees, Nat. Phys. 11, 1022 \n(2015). \n[15] L. J. Cornelissen, J. Shan, and B. J. Van Wees, Phys. Rev. B. 94, 180402(R) (2016). \n[16] B. L. Giles, Z. Yang, J. S. Jamison, and R. C. Myers, Phys. Rev. B. 92, 224415 (2015). \n[17] J. Kimling, G.- M. Choi, J. T. Brangham, T. Matalla -Wagner, T. Huebner, T. Kuschel, F. Yang, a nd \nD. G. Cahill, Phys. Rev. Lett, 118, 057201 (2017) \n[18] J. M. Bartell, D. H. Ngai, Z. Leng, and G. D. Fuchs, Nat. Commun. 6, 9460 (2015). \n[19] J. M. Bartell, C. L. Jermain, S. V. Aradhya, J. T. Brangham, F. Yang, D. C. Ralph, and G. D. \nFuchs, Phys. Rev. Appl. 7, 044004 (2017). \n[20] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. Kirihara, P. Pirro, T. Langner, M. B. Jungfleisch, A. \nV. Chumak, E. T. Papaioannou, and B. Hillebrands, Phys. Rev. B. 89, 224414 (2014). \n[21] M. Agrawal, A. A. Serga, V. Lauer, E. T. Papaioannou, B. Hillebrands, and V. I. Vasyuchka, Appl. \nPhys. Lett. 105, 092404 (2014). \n[22] T. Hioki, R. Iguchi, Z. Qiu, D. Hou, K. Uchida, and E. Saitoh, Appl. Phys. Express 10, 73002 \n(2017). \n[23] M. Weiler, M. Althammer, F. D. Czeschka, H. Huebl, M. S. Wagner, M. Opel, I. M. Imort, G. \nReiss, A. Thomas, R. Gross, and S. T. B. Goennenwein, Phys. Rev. Lett. 108, 106602 (2012). \n[24] S. S. L. Zhang and S. Zhang, Phys. Rev. B 86, 214424 (2012). 22 \n [25] S. O. Valenzuela and M. Tinkham, Nature 442, 176 (2006). \n[26] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys. Lett. 88, 182509 (2006). \n[27] K. Uchida, T. Nonaka, T. Kikkawa, Y. Kajiwara, and E. Saitoh, Phys. Rev. B 87, 104412 (2013). \n[28] Z. Lin, L . V. Zhigilei, and V. Celli, Phys. Rev. B 77, 075133 (2008). \n[29] R. J. Stoner and H. J. Maris, Phys. Rev. B 48, 16373 (1993). \n[30] H. Maier -Flaig, S. Klingler, C. Dubs, O. Surzhenko, R. Gross, M. Weiler, H. Huebl, and S. T. B. \nGoennenwein, Phys. Rev. B 95, 214423 (2017). \n[31] C. L. Jermain, S. V. Aradhya, N. D. Reynolds, R. A. Buhrman, J. T. Brangham, M. R. Page, P. C. \nHammel, F. Y. Yang, and D. C. Ralph, Phys. Rev. B 95, 174411 (2017). \n[32] M. Haidar, M. Ranjbar, M. Balinsky, R. K. Dumas, S. Khartsev, and J. Åkerman, J. Appl. Phys. \n117, 17D119 (2015). \n[33] S. Kosen, A. F. van Loo, D. A. Bozhko, L. Mihalceanu, and A. D. Karenowska, ArXiv:1903.02527 \n(2019). \n[34] C. M. Srivastava and R. Aiyar, J. Phys. C 20, 1119 (1987). \n[35] H. Man, Z. Shi, G. Xu, Y. Xu, X. Chen, S. Sullivan, J. Zhou, K. Xia, J. Shi, and P. Dai, Phys. Rev. \nB 96, 100406(R) (2017). \n[36] J. S. Jamison, Z. Yang, B. L. Giles, J. T. Brangham, F. Yang, and R. C. Myers, \nArXiv:1803.01054V1 (2018). \n[37] A. Rückriegel, P. Kopietz, D. A. Bozhko, A. A. Se rga, and B. Hillebrands, Phys. Rev. B 89, \n184413 (2014). \n[39] S. A. Bender, R. A. Duine, and Y. Tserkovnyak, Phys. Rev. Lett. 108, 246601 (2012). \n[40] S. A. Bender, R. A. Duine, A. Brataas, and Y. Tserkovnyak, Phys. Rev. B 90, 094409 (2014). \n[41] E. Shigem atsu, Y. Ando, R. Ohshima, S. Dushenko, Y. Higuchi, T. Shinjo, H. Jürgen von \nBardeleben, and M. Shiraishi, Appl. Phys. Express 9, 053002 (2016). \n[41] S. Geprägs, A. Kehlberger, F. D. Coletta, Z. Qiu, E.- J. Guo, T. Schulz, C. Mix, S. Meyer, A. \nKamra, M. Alt hammer, H. Huebl, G. Jakob, Y. Ohnuma, H. Adachi, J. Barker, S. Maekawa, G. E. \nW. Bauer, E. Saitoh, R. Gross, S. T. B. Goennenwein, and M. Kläui, Nat Commun. 7, 10452 \n(2016). \n[42] Y. Liu, L. -S. Xie, Z. Yuan, and K. Xia, Phys. Rev. B 96, 174416 (2017). \n[43] S. R. Boona and J. P. Heremans, Phys. Rev. B 90, 064421 (2014). \n[45] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W. Bauer, R. Gross, and S. T. B. Goennenwein, \nPhys. Rev. B 88, 094410 (2013). \n[46] A. E. Clark and R. E. Strakna, J. Appl. Phys. 32, 1172 (1961). \n[46] S. Yoneoka, J. Lee, M. Liger, G. Yama, T. Kodama, M. Gunji, J. Provine, R. T. Howe, K. E. \nGoodson, and T. W. Kenny, Nano Letters 12, 683 (2012). \n[47] J. W. Arblaster, Platin. Met. Rev. 38, 119 (1994). \n[49] S. Klingler, H. Maier -Flaig, C. Dubs, O. Surzhenko, R. Gross, H. Huebl, S. T. B. Goennenwein, \nand M. Weiler, Appl. Phys. Lett. 110, 092409 (2017). \n[50] S. S. Kalarickal, P. Krivosik, M. Wu, C. E. Patton, M. L. Schneider, P. Kabos, T. J. Silva, and J. P. \nNibarger, J. Appl. Phys. 99, 093909 (2006). \n[51] Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T. B. Goennenwein, E. Saitoh, and G. \nE. W. Bauer, Phys. Rev. B 87, 144411 (2013). \n[52] H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Hammel, and F. Y. Yang, Phys. Rev. Lett. 112, \n197201 (2014). \n[53] J. Barker and G. E. W. Bauer, ArXiv:1902.00449 (2019). \n[53] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. D. Karenowska, G. A. Melkov, and B. Hille brands, \nPhys. Rev. Lett. 111, 107204 (2013). \n 23 \n 24 \n \n \n \n \n \nFIG. 1. (Color Online) (a) Schematic of the experimental setup. (b) Vy measured vs. magnetic using \na lock -in amplifier at 30K. (c) Vy measured as a function of time and magnetic field using the \noscillo scope at 30 K. Inset: Photodiode response to laser turning on at t = 10.8 ns and it ’s 10-90% \nrise time to be 2.2 ns and its 100% rise time to be 3 ns. \n 25 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 2. (Color Online) (a) 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 waveform vs time \nat various temperatures. (b) same data set as (a) \nnormalized by VSSE(500μs) and plotted on a \nlogarithmic time axis . Inset: 𝜏𝜏𝑆𝑆𝑆𝑆 as a function of \ntemperature the red horizontal line represents the \n0-100% rise time of the laser. \n 26 \n \n \nFIG. 3. (Color Online) Zoom of 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 versus time to show the negative 𝜕𝜕𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆/𝜕𝜕𝛿𝛿 exhibited over several \nhundred microseconds at 4 (a), 10 (b), 20 (c), and 30(d) K. The data in (a -d) are filtered using an adjacent \naveraging filter with a window width of 640 ns. (e) Drop in SSE signal ( Δ𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆) as a function of \ntemperature as defined in the figure. \n 27 \n \nFIG. 4. (Color Online) T otal magnon temperature excursion 𝛥𝛥𝑇𝑇𝑚𝑚 (a), z -component of the magnon \ntemperature gradient (b), Laplacian of magnon temperature (c), and magnon phonon temperature \ndifference (d) at 4 (black), 90 (red) and 300 K (blue). Data are taken from the FEM simulations at 𝑟𝑟=\n0 and at 𝜕𝜕=0. 28 \n \n \nFIG. 5. (Color Online) Contour maps of \n𝜇𝜇𝑚𝑚 in YIG at 𝛿𝛿 = 50 ns (a), and 𝛿𝛿 = 500 \nμs (b) taken from the FEM simulations at \nthe best fit. Note the different color \nscales and y axis ranges between (a) and \n(b). (c) z axis profiles of 𝜇𝜇𝑚𝑚 taken along \nthe optical ax is from the FEM \nsimulations at various times. \n29 \n \n \nFIG. 6. (Color Online) z-axis cuts of the bulk \nintrinsic SSE spin current (a), bulk non-\nequilibrium magnon spin current (b), and \ntotal bulk spin current (c) in YIG taken from \nthe FEM simulations at the best fit at 90 K. \n(a-c) share a legend. \n 30 \n \n \nFIG. 7. (Color Online) Measured 𝑉𝑉𝑁𝑁 (black) at \n(a-b) 4 K and (c) 300 K. Modeled 𝑉𝑉𝑁𝑁 are plotted \nfor different values of (a) magnon spin lifetime 𝜏𝜏 \nand (b) magnon -phonon thermalization time \n𝜏𝜏𝑚𝑚𝑚𝑚, in order to show the impact on the SSE \nwaveform. (c) The simulated 𝑉𝑉 𝑁𝑁 (red) is \nseparated into the bulk 𝐺𝐺𝜇𝜇 (green) and interface \n𝑆𝑆Δ𝑇𝑇𝑚𝑚𝑚𝑚 (green) components. \n 31 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n FIG. 8. (Color Online) Temperature dependences \nof (a) magnon spin lifetime 𝜏𝜏, (b), magnon- phonon \nthermalization time 𝜏𝜏 𝑚𝑚𝑚𝑚, (c) magnon spin \nconductivity 𝜎𝜎𝑚𝑚, and (d) pseudo- steady -state SSE \nvoltage: measured 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 (𝛿𝛿 = 500 μ s) (black), \nmodeled SSE due to 𝜇𝜇 𝑚𝑚 (green), an d Δ𝑇𝑇𝑚𝑚𝑚𝑚 (blue). \nError bars are one standard error of the fits in each \npanel. \n \n32 \n \n \n \nFIG. 9. (Color Online) (a) Spectrally \nresolved magnon relaxation times from Ref. \n[37]. (b) Spectrally resolved magnon spin \nconductivity calculated from a frequency \ndependent Eq. (8) and (a). (c) Cumulative \nmagnon spin conductivity calculated from \n(b). \n \n33 \n \n \n \n \n \n \n \n \n \n \n \nFIG. 10. (Color Online) (a) Magnon ( 𝜅𝜅𝑚𝑚) and phonon (𝜅𝜅𝑚𝑚) thermal conductivity values used for \nYIG in the FEM simulations. (b) Electron ( 𝜅𝜅𝑚𝑚) and phonon ( 𝜅𝜅𝑚𝑚𝑃𝑃𝜕𝜕) thermal conductivity values used \nfor Pt. (c) Magnon ( 𝐶𝐶𝑚𝑚) and phonon ( 𝐶𝐶𝑚𝑚) heat capacities used for YIG. (d) Electron ( 𝐶𝐶𝑚𝑚) and \nphonon (𝐶𝐶𝑚𝑚𝑃𝑃𝜕𝜕) heat capacities used for Pt. \n 34 \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 11 . (Color Online) FMR signal at 9 (a), 10 (b) and 12 (c) GHz . 35 \n \n \n \nFIG. 12. (Color Online) (a) Frequency dependence of the resonant field 𝐻𝐻𝑟𝑟𝑚𝑚𝑠𝑠 from the stripline \nFMR measurements. (b) Frequency dependence o f the FMR Linewidth (Lorentzian FWHM), \nthe red line is the line of best fit. \n 36 \n \n \nFIG. 13. (Color Online) Field dependence of the \nchange in longitudinal resistivity (Δ𝜌𝜌/𝜌𝜌) from \nthe SMR measurements. \n 37 \n \n \nFIG. 14. (Color Online) Spectrally resolved non -equilibrium magnon density (𝜌𝜌𝑚𝑚′) calculated from Eq. \nS11 at 𝑇𝑇𝑚𝑚 = 20 K and at 𝜇𝜇𝑚𝑚 = 1 μeV (a) and -1 μeV (b). 𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 versus temperature evaluated \nnumerically at several values of 𝜇𝜇𝑚𝑚. \n 38 \n \nFIG. 15. (Color Online) Peak non -equilibrium magnon frequencies 𝜔𝜔𝑚𝑚 versus 𝜇𝜇𝑚𝑚 (a) and versus \n𝑇𝑇 (b). Mean frequency of the non- equilibrium magnon distribution versus 𝜇𝜇𝑚𝑚 (c) and versus 𝑇𝑇 (d). \n(a,c) share a legend as do (b,d). \n 39 \n \nFIG. 16. (Color Online) Simulated 𝑉𝑉𝑁𝑁 at 4 K as a \nfunction of 𝜏𝜏 (a) and 𝑆𝑆/𝐺𝐺 (b) using a linear \ntemperature dependence on the Gilbert damping, \n𝜋𝜋. The shown simulations use 𝜋𝜋 =6.7×10−7. In \n(a), the simulations use 𝜏𝜏𝑚𝑚𝑚𝑚 = 200 ps and 𝑆𝑆/𝐺𝐺 = \n1. In (b), the simulations use 𝜏𝜏 = 10 μs and 𝜏𝜏 𝑚𝑚𝑚𝑚 = \n200 ps. \n 40 \n \n \n \nFIG. 17. (Color Online) Best fit at 300 \nK using 𝐶𝐶𝑚𝑚 = 14.2 J/kgK. 𝜏𝜏=1 𝑛𝑛𝜇𝜇, \n𝜏𝜏𝑚𝑚𝑚𝑚=250 𝜇𝜇𝜇𝜇, 𝑆𝑆/𝐺𝐺 = 9 \n 41 \n FIG. 1. (Color Online) (a) Schematic of the experimental setup. (b) Vy measured vs. magnetic using a \nlock-in amplifier at 30K. (c) Vy measured as a function of time and magnetic field using the oscilloscope \nat 30 K. Inset: Photodiode response to laser turning on at t = 10.8 ns and it ’s 10- 90% rise time to be 2.2 \nns and its 100% rise time to be 3 ns. \nFIG. 2. (Color Online) (a) 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 waveform vs time at various temperatures. (b) same data set as (a) \nnormalized by VSSE(500μ s) and plotted on a logarithmic time axis . Inset: 𝜏𝜏 𝑆𝑆𝑆𝑆 as a function of temperature \nthe red horizontal line represents the 0- 100% rise time of the laser . \nFIG. 3. (Color Online) Zoom of 𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆 versus time to show the negative 𝜕𝜕𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆/𝜕𝜕𝛿𝛿 exhibited over several \nhundred microseconds at 4 (a), 10 (b), 20 (c), and 30(d) K. The data in (a -d) are filtered using an adjacent \naveraging filter with a window width of 640 ns. (e) Drop in SSE signal ( Δ𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆) as a function of temperature \nas defined in the figure . \nFIG. 4. (Color Online) T otal magnon temperature excursion 𝛥𝛥 𝑇𝑇𝑚𝑚 (a), z -component of the magnon \ntemperature gradient (b), Laplacian of magnon temperature (c), and magnon phonon temperature \ndifference (d) at 4 (black), 90 (red) and 300 K (blue). Data are taken from the FEM simulat ions at 𝑟𝑟=0 \nand at 𝜕𝜕=0. \nFIG. 5. (Color Online) Contour maps of 𝜇𝜇 𝑚𝑚 in YIG at 𝛿𝛿 = 50 ns (a), and 𝛿𝛿 = 500 μs (b) taken from the \nFEM simulations at the best fit. Note the different color scales and y axis ranges between (a) and (b). (c) \nz axis profiles o f 𝜇𝜇𝑚𝑚 taken along the optical axis from the FEM simulations at various times. \nFIG. 6. (Color Online) z -axis cuts of the bulk intrinsic SSE spin current (a), bulk non- equilibrium magnon \nspin current (b), and total bulk spin current (c) in YIG taken from the FEM simulations at the best fit at \n90 K. (a -c) share a legend. \nFIG. 7. (Color Online) Measured 𝑉𝑉𝑁𝑁 (black) at (a- b) 4 K and (c) 300 K. Modeled 𝑉𝑉𝑁𝑁 are plotted for \ndifferent values of (a) magnon spin lifetime 𝜏𝜏 and (b) magnon -phonon thermalization ti me 𝜏𝜏𝑚𝑚𝑚𝑚, in order \nto show the impact on the SSE waveform. (c) The simulated 𝑉𝑉 𝑁𝑁 (red) is separated into the bulk 𝐺𝐺𝜇𝜇 (green) \nand interface 𝑆𝑆Δ𝑇𝑇𝑚𝑚𝑚𝑚 (green) components. \nFIG. 8. (Color Online) Temperature dependences of (a) magnon spin lifetime 𝜏𝜏, (b), magnon -phonon \nthermalization time 𝜏𝜏𝑚𝑚𝑚𝑚, (c) magnon spin conductivity 𝜎𝜎𝑚𝑚, and (d) pseudo- steady -state SSE voltage: \nmeasured 𝑉𝑉 𝑆𝑆𝑆𝑆𝑆𝑆 (𝛿𝛿 = 500 μ s) (black), modeled SSE due to 𝜇𝜇 𝑚𝑚 (green), and Δ𝑇𝑇𝑚𝑚𝑚𝑚 (blue). Error bars are one \nstandard error of the fits in each panel. \nFIG. 9. (Color Online) (a) Spectrally resolved magnon relaxation times from Ref. [ 37]. (b) Spectrally \nresolved magnon spin conductivity calculated from a frequency dependent Eq. (8) and (a). (c) Cumulative \nmagnon spin conductivity calculated from (b). \nFIG. 10. (Color Online) (a) Magnon ( 𝜅𝜅𝑚𝑚) and phonon ( 𝜅𝜅𝑚𝑚) thermal conductivity values used for YIG in \nthe FEM simulations. (b) Electron ( 𝜅𝜅𝑚𝑚) and phonon ( 𝜅𝜅𝑚𝑚𝑃𝑃𝜕𝜕) thermal conductivity values used for Pt. (c) \nMagnon ( 𝐶𝐶𝑚𝑚) and phonon ( 𝐶𝐶𝑚𝑚) heat capacities used for YIG. (d) Electron ( 𝐶𝐶𝑚𝑚) and phonon ( 𝐶𝐶𝑚𝑚𝑃𝑃𝜕𝜕) heat \ncapacities used for Pt. \nFIG. 11. (Color Online) FMR signal at 9 (a), 10 (b) and 12 (c) GHz. 42 \n FIG. 12. (Color Online) (a) Frequency dependence of the resonant field 𝐻𝐻 𝑟𝑟𝑚𝑚𝑠𝑠 from the stripline FMR \nmeasurements. (b) Frequency dependence o f the FMR Linewidth (Lorentzian FWHM), the red line is the \nline of best fit. \nFIG. 13. (Color Online) Field dependence of the change in lo ngitudinal resistivity (Δ𝜌𝜌/𝜌𝜌) from the SMR \nmeasurements. \nFIG. 14. (Color Online) Spectrally resolved non- equilibrium magnon density (𝜌𝜌𝑚𝑚′) calculated from Eq. \nS11 at 𝑇𝑇 𝑚𝑚 = 20 K and at 𝜇𝜇𝑚𝑚 = 1 μeV (a) and -1 μeV (b). 𝜕𝜕𝜌𝜌𝑚𝑚/𝜕𝜕𝜇𝜇𝑚𝑚 versus temperature evaluated \nnumerically at several values of 𝜇𝜇 𝑚𝑚. \nFIG. 15. (Color Online) Peak non- equilibrium magnon frequencies 𝜔𝜔𝑚𝑚 versus 𝜇𝜇𝑚𝑚 (a) and versus 𝑇𝑇 (b). \nMean frequency of the non- equilibrium magnon distribution versus 𝜇𝜇 𝑚𝑚 (c) and versus 𝑇𝑇 (d). (a,c) share a \nlegend as do (b,d). \nFIG. 16. (Color Online) Simulated 𝑉𝑉 𝑁𝑁 at 4 K as a function of 𝜏𝜏 (a) and 𝑆𝑆 /𝐺𝐺 (b) using a linear \ntemperature dependence on the Gilbert damping, 𝜋𝜋. The shown simulations use 𝜋𝜋 =6.7×10−7. In (a), \nthe simulations use 𝜏𝜏 𝑚𝑚𝑚𝑚 = 200 ps and 𝑆𝑆 /𝐺𝐺 = 1. In (b), the simulations use 𝜏𝜏= 10 μs and 𝜏𝜏 𝑚𝑚𝑚𝑚 = 200 ps. \nFIG. 17. (Color Online) Best fit at 300 K using 𝐶𝐶𝑚𝑚 = 14.2 J/kgK. 𝜏𝜏 =1 𝑛𝑛𝜇𝜇, 𝜏𝜏𝑚𝑚𝑚𝑚=250 𝜇𝜇𝜇𝜇, 𝑆𝑆/𝐺𝐺 = 9 \n " }, { "title": "1008.4714v2.Enhancement_of_the_spin_pumping_efficiency_by_spin_wave_mode_selection.pdf", "content": "arXiv:1008.4714v2 [cond-mat.mes-hall] 31 Aug 2010Enhancement of the spin pumping efficiency by spin-wave mode s election\nC. W. Sandweg,1,a)Y. Kajiwara,2K. Ando,2E. Saitoh,2,3,4,5and B. Hillebrands1\n1)Fachbereich Physik and Forschungszentrum OPTIMAS, Techni sche Universit¨ at Kaiserslautern,\n67663 Kaiserslautern, Germany\n2)Institute for Materials Research, Tohoku University, Send ai 980-8577, Japan\n3)Advanced Research Center, Japan Atomic Energy Agency, Toka i 319-1195,\nJapan\n4)CREST, Japan Science and Technology Agency, Sanbancho, Tok yo 102-0075,\nJapan\n5)PRESTO, Japan Science and Technology Agency, Sanbancho, To kyo 102-0075,\nJapan\n(Dated: 19 November 2018)\nThe spin pumping efficiency of lateral standing spin wave modes in a rec tangular Y 3Fe5O12/Pt sample has\nbeeninvestigatedbymeansoftheinversespin-Halleffect(ISHE). Thestandingspinwavesdrivespinpumping,\nthe generation of spin currents from magnetization precession, in to the Pt layer which is converted into a\ndetectable voltage due to the ISHE. We discovered that the spin pu mping efficiency is significantly higher for\nlateral standing surface spin waves rather than for volume spin wa ve modes. The results suggest that the use\nof higher-mode surface spin waves allows for the fabrication of an e fficient spin-current injector.\nThe field of spintronics, a prospering class of efficient\nmemoriesand computingdevices basedonelectronspins,\nhas become of great interest throughout the last decade.\nThe aim of a future spintronics device is to overcome\nthe limits of ordinary electronics devices by controlling\nthe magnetization dynamics. A promising approach for\npropelling this new technology is the precise control as\nwell as the manipulation of spin current.1\nIn this context, the spin pumping mechanism2–4is of\nimmense importance for the generation of a spin current\nin paramagnetic materials since it is emitting a pure spin\ncurrent at the interface between a ferromagnet or a fer-\nrimagnet and a paramagnet. In contradiction to other\nmethods generating a spin current, there is no electrical\ncurrentdriventhroughtheinterfaceinthiscase. Thisim-\nportant property of the spin pumping opens the window\nto a completely new field of innovative electrical circuit\ndesigns and components, especially in combination with\nmagnetic and paramagnetic metals as well as magnetic\ninsulators.5The spin pumping mechanism can be ob-\nserved directly via inverse spin-Hall effect (ISHE).6–13It\ninduces an electromotiveforce in the paramagneticmetal\nwhich can be detected using a sensitive voltmeter.\nMainly the pumping of the uniform precession mode\nwas in the focus of previous investigations, but also the\nstudy of the spin wave spectrum and the corresponding\nspin pumping efficiencies is of crucial importance for a\nsuccessful design of a future spintronics device involving\nspin pumping.\nIn this letter we show that surface spin wave modes\nexhibit significantly greater efficiencies of spin pumping\nin Y3Fe5O12rather than volume spin waves. These in-\nformations will be useful for developing spin-current in-\njectors based on spin pumping.\na)Electronic mail: sandweg@physik.uni-kl.de\nFIG. 1. (Color online) (a) Schematic illustration of the spi n\npumping and inverse spin-Hall effect in a YIG/Pt sample.\nThe electromotive force is measured by attaching a nanovolt -\nmeter to the Pt layer. (b) Dispersion relation and distribu-\ntion of the dynamic magnetization of magnetostatic surface\nmodes (MSSW) and backward-volume magnetostatic waves\n(BVMSW) in YIG.\nFigure 1 (a) shows the schematic illustration of the\nsample used in the present studies. The sample consists\nof a 2.1 µm thick (111)-single-crystal Y 3Fe5O12(YIG)\nfilm grown on a Gd 3Ga5O12single-crystal substrate by\nliquid phaseepitaxy anda 10nm thickPt layersputtered\nonto the YIG film . The sample has a rectangular shape\nwith the width x= 1 mm and the length y= 4 mm. In\naddition, two electrodes are attached to the ends of the\nPt layer and wired to a Keithley 2182A Nanovoltmeter\n(see Fig. 1 (a)) for a precise and stable measurement of\nthe electromotive force Vgenerated by the ISHE.\nDuring the measurement the sample is placed in a\nJEOL JES-FA200 microwave absorption spectrometer so\nthatitisinthe centerofaTE 011microwavecavity. Thus,\nthe magnetic-field component of the mode is maximized\nand the electric field component is minimized respec-\ntively. A microwave mode with f= 9.441 GHz is excited2\nand sent to the cavity and in addition, a tunable external\nmagnetic field His applied at the same time. In the pre-\nsented measurement, the microwave magnetic field and\nthe bias magnetic field are aligned in-plane of the inves-\ntigated sample. The microwave absorption spectrometer\nuses a lock-in amplifier and therefore the derivative of\nthe microwave absorption intensity Iwith respect of the\nmagnetic field dI/dHis measured. When Handfful-\nfill the resonance condition of a magnetic mode, a spin\ncurrent is resonantly injected into the Pt layer by the\nmechanism of spin pumping. Afterwards, the injected\nspin current is converted into a charge current by the\nISHE and can be detected using the voltmeter. Thus, we\nmeasured simultaneously the microwave absorption sig-\nnal and the electromotive force Vbetween the electrodes\nconnected to the Pt layer.\nFigure 1 (b) shows a sketch of the dispersion relation\nand the distribution of the dynamic magnetizationoftwo\nspin waves for an in-plane magnetized YIG film.14At\nk= 0 the mode of the uniform precession, the ferro-\nmagnetic resonance (FMR), is located. If the wavevec-\ntor is non-zero, two different types of propagating spin\nwaves can be distinguished. Magnetostatic surface spin\nwaves (MSSW) have a wavevector which is oriented per-\npendicular to the external bias magnetic field and their\ndistribution of the dynamic magnetization is strongly lo-\ncalized near the surface. In contrast, the wavevector of\nbackward-volume magnetostatic spin waves (BVMSW)\nis oriented parallel to the bias field and their dynamic\nmagnetization is distributed over the whole sample and\nsmall at the surface. In the present case, both types of\nspin waves are propagating in a rectangular YIG film of\nfinite dimensions x×yand are reflected from the edges.\nIf their wavevectors fulfill the conditions kx=nxπ/x\nandky=nyπ/ywith integers for nxandny, standing\nwaves (ny,nx) are formed.15They can be observed in the\nspin wave resonance (SWR) spectrum for odd integers of\n(ny,nx) only since the net magnetic moment is zero for\neven numbers.\nFigure 2 (a) shows the spin wave resonance spectrum\nof the YIG/Pt sample for a microwave power of 2 mW, a\nfixed frequency of 9.441 GHz and a magnetic field range\nfrom 254.3 mT to 261.3 mT. In the spectrum dI/dH\nrefers to the derivative of the microwave absorption in-\ntensity with respect to the magnetic field. Next to the\nmain peak, which corresponds to the FMR, multiple res-\nonance signals appear in the spectrum. These signals\ncorrespond to standing spin waves which exist due to\nthe confinement of the investigated rectangular sample.\nIn order to examine the microwave absorption intensity\nwhich has been absorbed by each mode, the SWR spec-\ntrum has been integrated, as shown in Fig. 2 (b). In the\ninset the integral intensity Sof theI-spectrum is drawn\nas a function of the square root of the microwave power.\nThe linear slope proves that the microwave absorption\nis not saturated and therefore nonlinear effects will not\ninfluence the measurement.16\nUsing the dispersion relationsforMSSW and BVMSWFIG. 2. (Color online) (a) Spin wave resonance (SWR) spec-\ntrum of the YIG/Pt sample at 2 mW. The dashed line shows\nthemagnetic fieldposition ( H)oftheferromagnetic resonance\n(FMR). (b) Integrated SWR spectrum at 2 mW. Idenotes\nthe microwave absorption intensity. In the inset, the integ ral\nintensity Sof theI-spectrum is drawn as a function of square\nroot of the microwave power. (c) The schematic dispersion re -\nlation illustrates the positions of the MSSW and the BVMSW\nin the resonance spectrum (d) Spectrum of the electromotive\nVISHEas a function of the bias magnetic field. The dashed\nline indicates the position of the FMR.\nit is possible to identify the resonance signals to the\nleft and to the right of the FMR signal. As shown in\nFig. 2 (c), MSSW have frequencies above the FMR and\nBVMSW below the FMR. By changing the magnetic\nfield at a fixed frequency, the whole dispersion relation is\nshifted up or down. In this way, magnetic resonances\nlower than the FMR position corresponds to MSSW\nmodes and higher field positions to BVMSW modes in\naccordance with the dispersion relation. The electromo-\ntive force VISHEmeasured with the nanovoltmeter is pre-\nsented in Fig. 2 (d). The dashed line indicates the peak\nposition of the FMR. To the left of this line the reso-\nnant electromotive force signals from the MSSW appear\nand on the right, the signals from the standing BVMSW\nmodes are located.\nThe main aim of the presented work is to evaluate the\nspin pumping efficiency for the different lateral stand-\ning spin wave modes. The spectrum of the electromo-\ntive force shows three peaks due to standing MSSW\nmodes with ( ny,nx) = (3,1), (5,1) and (7,1) (see A,B,\nCin Fig. 3 (b)), which are significantly pronounced but\nbarely detectable in the SWR spectrum, as presented in\nFig. 3 (a). The standing modes (1,3), (1,5) and (1,7) of\ntheBVMSWarealsoclearlyobservableintheISHEspec-\ntrum but not with such a significance as in the MSSW\ncase. This indicates that the spin pumping efficiency is\ngreaterforMSSW standing modesas forBVMSW stand-\ning modes.3\nFIG. 3. (Color online) Comparison between the SWR and the\nVISHEspectrum. The V ISHEspectrum shows resonant signals\nfrom MSSW modes ( ny,nx) = (3,1), (5,1) and (7,1), which\nare hardly observable in the SWR spectrum. On the other\nhand, the BVMSW modes (1,3), (1,5) and (1,7) are visible\nin both spectra. The figure below shows the spin pumping\nefficiency which confirms this observation.\nIn order to analyze the different spin pumping efficien-\ncies in a more quantitative manner, the resonant electro-\nmotive force spectrum VISHEis divided by the integral\nintensity of the SWR spectrum I, which reflects the mi-\ncrowave absorption intensity by each spin wave mode.\nFigure 3 (c) shows the obtained spin pumping efficiency\nVISHE/Iin logarithmic scale. The efficiency of the stand-\ning BVMSW modes remains constant, nearly indepen-\ndent of higher mode numbers. This demonstrates that\nthe spin pumping efficiency of volume modes is not sen-\nsitive to the mode numbers in accordance with previous\nresults.8On the other hand, the efficiency VISHE/Iis\nclearly enhanced for MSSW modes (see the left branch\nof Fig. 3 (c)). The efficiency curve also clarifies that the\nspin pumping efficiency increases with higher mode num-\nbers of the MSSW.\nThis behavior can be attributed to different distri-\nbutions of the dynamic magnetization over the sample\nthickness for the different standing spin waves. MSSW\nare strongly located at the surface and the dynamic mag-\nnetization decays exponentially. Thus, the coupling of\nthe conduction electrons with the spin wave modes is en-hanced. In contrast, the BVMSW are volume waveswith\nadynamicmagnetizationdistributed overthewholesam-\nple and therefore the coupling interface is smaller than\nfor surface waves. Consequently, the ratio between the\nelectromotive force signal and the microwave absorption\nintensity is even enhanced for higher MSSW modes. Ow-\ning to this enhanced sensitivity for the surface mode de-\ntection, we recognize two additional peaks in the VISHE\nspectrum, i.e. peak DandEin Fig. 3 (c), which are\nnot detectable in the conventional microwave absorption\nmeasurement (see Fig. 2 (a) and Fig. 3 (a)). They are\nlikely modes with both ( ny,nx)>1. This suggests that\nthe ISHE method may provide a powerful tool for surface\nspectroscopy of spin dynamics.\nIn summary, we demonstrated that standing surface\nspin waves have a extensively higher spin pumping effi-\nciency rather than backward-volume waves. Thus, the\nefficiency of a spin-current generator can be increased by\nselecting the spin wave modes.\nThe authors thank A. A. Serga and A. V. Chumak for\nvaluable discussions. This work was supported by the\nDFG within the SFB/ Transregio 49 ’Condensed Mat-\nter Systems with Variable Many-Body Interactions’, by\naGrant-in-Aidfor Scientific ResearchPriorityArea’Cre-\nation and controlof spin current’ (19048009,19048028),a\nGrant-in-Aid for Scientific Research (A), the global COE\nfor the ’Materials integration international centre of edu-\ncation and research’ all from MEXT, Japan, and a Grant\nfor Industrial Technology Research from NEDO, Japan.\n1K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda, S. Maekaw a,\nand E. Saitoh Phys. Rev. Lett. 101, 036601 (2008).\n2Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev.\nLett.88, 117601 (2002).\n3S.Mizukami, Y.Ando, and T.Miyazaki, Phys.Rev. B 66,104413\n(2002).\n4M. V. Costache, M. Sladkov, S. M. Watts, C. H. van der Waal,\nand B. J. van Wees Phys. Rev. Lett. 97, 216603 (2006).\n5Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando, K. Takanashi,\nS. Maekawa, and E. Saitoh, Nature, 464, 262 (2010).\n6E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys.\nLett.88, 182509 (2006).\n7S. O. Valenzuela, M. Tinkham, Nature 442, 176 (2007).\n8K. Ando, J. Ieda, K. Sasage, S. Takahashi, S. Maekawa, and\nE. Saitoh, Appl. Phys. Lett. 94, 2625005 (2009).\n9T. Kimura, Y. Otani, T. Sato, S. Takahashi, and S. Maekawa,\nPhys. Rev. Lett. 101, 156601 (2007).\n10S. Takahashi, and S. Maekawa, Phys. Rev. Lett. 88, 116601\n(2002).\n11K. Ando, Y. Kajiwara, S. Takahashi, S. Maekawa, K. Takemoto,\nM. Takatsu, and E. Saitoh, Phys. Rev. B 78, 014413 (2008).\n12K. Ando, T. Yoshino and E. Saitoh, Appl. Phys. Lett. 94, 152509\n(2009).\n13A.Takeuchi, and G.Tatara, J.Phys.Soc. Jpn. 77, 074701 (2008).\n14B. A. Kalinikos, and A. N. Slavin, J. Phys. C: Solid State Phys .\n19, 7013 (1986).\n15J. Barak, R. Ruppin, and J. T. Suss, Phys. Lett. 108A, 423\n(1985).\n16J. W. H. Schreurs, and G. K Fraenkel, J. Chem. Phys. 34, 756\n(1961)." }, { "title": "2011.07800v1.Electrically_induced_strong_modulation_of_magnons_transport_in_ultrathin_magnetic_insulator_films.pdf", "content": "Electrically induced strong modulation of magnons transport\nin ultrathin magnetic insulator \flms\nJ. Liu, X-Y. Wei,∗and B. J. van Wees†\nPhysics of Nanodevices, Zernike Institute for Advanced Materials,\nUniversity of Groningen, Nijenborgh 4, 9747 AG Groningen, The Netherlands\nG. E. W. Bauer\nZernike Institute for Advanced Materials, University of Groningen,\nNijenborgh 4, 9747 AG Groningen, The Netherlands and\nWPI-AIMR &Institute for Materials Research &CSRN, Tohoku University, Sendai 980-8577, Japan\nJ. Ben Youssef\nLabSTICC, UMR CNRS 6285, Universit\u0013 e de Bretagne Occidentale,\n6 Avenue Le Gorgeu, 29238 Brest Cedex 3, France\n(Dated: November 17, 2020)\nMagnon transport through a magnetic insulator can be controlled by current-biased heavy-metal\ngates that modulate the magnon conductivity via the magnon density. Here, we report nonlinear\nmodulation e\u000bects in 10 nm thick yttrium iron garnet (YIG) \flms. The modulation e\u000eciency is larger\nthan 40%/mA. The spin transport signal at high DC current density (2.2 ×1011A/m2) saturates for\na 400 nm wide Pt gate, which indicates that even at high current levels a magnetic instability cannot\nbe reached in spite of the high magnetic quality of the \flms.\nI. INTRODUCTION\nMagnons, i.e. the quanta of spin waves, are carriers of\ninformation with properties that are attractive for appli-\ncations [1]. Magnons propagate in ferro-, ferri, antiferro-,\nand even paramagnetic electric insulators without Joule\nheating [2{5]. The ferrimagnet yttrium iron garnet (YIG)\nis to date the best platform for magnon spintronics due\nto its low Gilbert damping and high Curie temperature.\nIn YIG, magnons can be excited thermally and electri-\ncally and can cover long distances [6{8]. An electric\ncurrentIin a thin-\flm platinum contact generates a\nspin accumulation at the Pt /divides.alt0YIG interface, which injects\nmagnons into YIG. The latter di\u000buse into the magnet\nand when reaching another Pt contact generate a volt-\nageVby the inverse spin Hall e\u000bect. The non-local resis-\ntanceRnl=V/slash.leftIcan be modulated by a third Pt \flm, as\ndemonstrated for a 210 nm thick YIG \flm [9]. This three\nterminal device is a magnon transistor. The left and right\nones inject and detect magnons thus form a source and\nadrain , respectively. Sending a current though the mid-\ndle strip or gate modulate the source-drain signal by the\nmagnon density in the transport channel.\nChumak et al. [10] achieved magnon transistor ac-\ntion by controlling the magnon scattering in a magnonic\ncrystal by a magnetic \feld. Our device operates by mod-\nulating the magnon conductivity of a YIG thin \flm \u001bm\nelectrically. Similar to the Drude formula for electrons,\n∗x.wei@rug.nl\n†b.j.van.wees@rug.nlthe magnon conductivity\n\u001bm=/uni0335hnm\u001cm\nmm; (1)\non the magnon density nm, where\u001cmis the scattering\ntime andmm=/uni0335h2/slash.left(2Js)is the e\u000bective mass that is\ngoverned by the spin wave sti\u000bness Js.\nThe present study is motivated by the wish to im-\nprove the modulation e\u000eciency of the previous device [9].\nThis can be achieved simply by a thinner YIG \flm, since\nfor the same number of injected magnons, the magnon\ndensity in the source-drain transport channel should be\nlarger [11]. To this end we grew an ultra-thin YIG \flm by\nliquid-phase expitaxy with thickness of 10 nm with great\ncase in order to not sacri\fce the low Gilbert damping of\nthe thicker \flm. The observed modulation of the nonlo-\ncal signal reaches 200 % corresponding to a modulation\ne\u000eciency per DC current unit exceed 40%/mA, which is\n20 times larger than for the 210 nm YIG [9]. A similar\nenhancement has been reported for a 13 nm thick YIG\n\flm grown by pulsed laser deposition and larger Gilbert\ndamping [11]. The authors interpret an observed non-\nlinearity in the gate-current dependence in terms of a\ndiverging magnon conductivity by a spin Hall current-\ninduced antidamping of the magnetization dynamics un-\nder the gate. Based on the observed dependence of the\nmodulation on the gate width and geometry we believe\nthat the physics is more complicated.\nThis paper is organized as follows: Section II addresses\nthe device con\fguration, fabrication details and measure-\nment methods. In Section III, we \frst compare the non-\nlocal signals in 10 nm and 210 nm thick YIG \flms. We\nthen discuss the non-linearities that in contrast to the\nprevious report [11] saturate, discuss other device con\fg-\nurations, and show results of spin Hall magnetoresistancearXiv:2011.07800v1 [cond-mat.mes-hall] 16 Nov 20202\n40 μm\nPt\nYIG\nGGGVTi/AuHexα\nFIG. 1. Sample schematic :A 10 nm YIG \flm grown epi-\ntaxially on top of a GGG substrate. The sputtered Pt (red)\nstrips with thickness of 9 nm are contacted by Ti/Au leads\n(grey). A low-frequency AC current with rms value of Iac\nin the left Pt strip injects magnons. We measure both the\n\frst and second harmonic voltages over the right Pt strip by\na lock-in technique. The DC current through the middle Pt\nmiddle gate modulated the source-drain signal. An external\nmagnetic \feld Hexorients the in-plane YIG magnetization at\nan angle\u000b. The dark-grey rectangle is a 40 \u0016m scale bar.\nTypically,\u00160Hex=50 mT.\nmeasurements of the Pt /divides.alt0YIG interface at high gate cur-\nrents. In Section III, we compare our results with those\nreported by Wimmer et al. [11].\nII. EXPERIMENTAL DETAILS\nThe magnon transistors as depicted in Fig. 1 are fab-\nricated on 10 nm thick single crystal yttrium iron gar-\nnet (YIG) \flms. The \flm is grown by liquid phase epi-\ntaxy (LPE) on top of a 500 \u0016m thickness single crys-\ntal (110) gadolinium gallium garnet (GGG, Gd 3Ga5O12)\nsubstrate at the Universit\u0013 e de Bretagne Occidentale in\nBrest, France. The saturation magnetization is \u00160Ms=\n174±4 mT. The Gilbert damping parameter of the in-\nplane magnetized \flm is \u000bG=5:2×10−4. All Pt strips,\nincluding the magnon injector, modulator and detector,\nare sputtered with thickness of 9 nm, patterned by elec-\ntron beam lithography. Ti /divides.alt0Au layers with thicknesses\nof 5/divides.alt075 nm are deposited by e-beam evaporation. The\ncenter-to-center distance between the injector and detec-\ntor is 3\u0016m. The length and width of the Pt strips for 3\nmeasured devices are listed in Table I, but we focus on\nDevice 1. Results for a fourth device with 7.9 nm thick-\nness YIG are summarized in Appendix B. The sample is\npositioned between a pair of magnetic poles and rotated\nby a step motor. The magnetic \feld Hexorients the soft\nmagnetization M0/parallel.alt1Hexin the \flm plane at an angle \u000bTABLE I. Dimensions of the injector/modulator/detector Pt\nstrips and selected observations. The centers of injectors and\ndetectors are separated by 3 \u0016m and the Pt \flm thicknesses\nis 9 nm in all samples\nDevice 1 2 3\nLength (\u0016m) 80/84/80 20/24/20 20/24/20\nWidth (\u0016m) 0.4/0.4/0.4 0.4/0.8/0.4 0.4/1.2/0.4\nIac(\u0016A) 200 500 500\nIdc(mA) -1.5 ∼1.5 -2.0 ∼2.0 -2.25 ∼2.25\n`\nR1!\nnlatIdc=0 (\n/m) 198 1044 160\nModulation\ne\u000eciency (%/mA) 40.4 87 75\nwith respect to the Pt strips as shown in Fig. 1.\nA low-frequency AC current through the magnon in-\njector with an rms-amplitude of IAC, thereby inject-\ning magnons electrically and thermally. The resulting\nmagnon spin currents are measured as the \frst and sec-\nond harmonic signals at the magnon detector with a lock-\nin technique, respectively. A DC current IDCis applied\nto the gate in order to modulate the magnon spin con-\nductivity and the corresponding nonlocal signals.\nThe observed angle-dependent \frst harmonic signals\nof Device 1 are shown in Fig. 2: Colors, from red to blue\ncode the nonlocal signals recorded for IDCfrom -1500\u0016A\nto +1500\u0016A. The white dataset in the center for IDC=0\nhas a typical cos2\u000bdependence, i.e. the product of in-\njection and detection e\u000eciencies [8]. The DC bias modu-\nlates the magnitude and the angle dependence much more\nprominently than for a 210 nm thick YIG \flm [9], espe-\ncially at the largest currents of -/+1500 \u0016A (the darkest\nred/blue) and \u000b≈0 and\u000b≈±\u0019. The gate annihilates\nmagnons in YIG when the spin accumulation is paral-\nlel to the magnetic \feld but creates them when antipar-\nallel, suppression and enhancing R1!\nnlis suppressed, re-\nspectively. The DC current enhances the signal by more\nthan a factor of 2. Also the second harmonic signals are\nstrongly modulated by the gate current (not shown), but\nmore di\u000ecult to interpret since depending not only on\nthe magnon density but also on the temperature pro\fles\nin the magnet. We therefore do not discuss them here.\nIII. RESULTS AND DISCUSSION\nA. Dependence of the nonlocal signals on YIG \flm\nthickness\nThe nonlocal signals for 10 nm (Device 1 in Table I)\nand 210 nm thick YIG \flms with the same injector-to-3\nFIG. 2. Angle dependent R1!\nnl.Raw data of the \frst harmonic\nsignalsR1!\nnlat di\u000berent DC gate currents with o\u000bset from in-\nductive/capacitive coupling (at \u000b=±\u0019/slash.left2). The color gradi-\nent from red to blue represents DC currents from -1500 \u0016A to\n+1500\u0016A with a step size of 50 \u0016A.\ndetector distance (3 \u0016m) are compared in Table II. The\nnonlocal resistances scale with the length of the Pt strips.\nThe ultra-thin gated but unbiased 10 nm YIG sample\nshows a larger non-local signal than the thick one without\ngate, even though a passive central gate is a spin sink.\nThis result is consistent with the thickness-dependence\nreported for \flms from 100 nm up to 50 \u0016m [12], but\ncounterintuitive since a thinner \flm should have a higher\nimpedance. It cannot be explained by either the magnon\nchemical potential model [13] nor viscous magnon \row\n[14]. On the other hand, the second harmonic spin See-\nbeck signal in 10 nm thick YIG (not shown) is much\nsmaller in the 10 nm than in the 210 nm \flm. The thick-\nness dependence of the nonlocal magnon transport re-\nmains unexplained. We may speculate for example about\na the existence of highly e\u000ecient surface transport chan-\nnels that dominate in ultra thin \flms. The thickness\ndependence of the nonlocal signal will be discussed in a\nfuture paper with more details.\nTABLE II. Comparison of the \frst-harmonic nonlocal signals\nin 10 nm and 210 nm thick YIG \flms.\nYIG thickness (nm) 10 210[8]\nR1!\nnl(\nm−1) 198 140\nR2!\nnl(MVA−2m−1) 0.09 1.35B. Saturation in the injector/modulator/detector\ngeometry for a 400nm wide gate\nThe nonlocal resistances R1!\nnlare trigonometric func-\ntions of the magnetic \feld angle \u000bthat re\rect the electri-\ncal magnon injection and detection e\u000eciencies [8]. The\nangle-dependent \frst-harmonic nonlocal resistances are\nwell described by\nR1!\nnl(\u000b)=C1\u001b1!\nm(\u000b)cos2\u000b; (2)\nwhereC1is a charge-spin conversion e\u000eciency parameter\nof the electric spin injection and detection. In the limit of\nweak excitation, the magnon spin conductivity depends\nlinearly on the magnon density which is again propor-\ntional to the and injection current. We also include a\nquadratic term that does not depend on the current di-\nrection and is caused by Joule heating. Hence\n\u001b1!\nm(\u000b)=\u001b0\nm+\u0001\u001bSHEIDCcos\u000b+\u0001\u001bJI2\nDC; (3)\nwhereIDCis the DC current in the modulator, \u001b0\nmis the\nmagnon spin conductivity at thermal equilibrium, \u0001 \u001bJ\nand \u0001\u001bSHEare parameters that can be \ftted to the ob-\nservations.\nWe extract the non-local resistances at speci\fc angles\nfrom Fig. 2 as a function of IDC, subtracting a constant\no\u000bset at\u000b=±\u0019/slash.left2 from the measured R1!\nnl(\u000b)that is\ncaused by inductive/capacitive coupling. The signals at\nthe angles \u000b=0;±\u0019are shown in Figs. 3a as well as\nnormalized ones for \u000b=0;\u0019\n12,\u0019\n6,\u0019\n4and\u0019\n3in Figs. 3b.\nWhen /divides.alt0IDC/divides.alt0I′\nDCindicates interesting physics\nsuch as current-induced self-oscillations of the magnetic\nFIG. 8.R1!\nnlfor Devices 2 and 3 with wider modulator gates\nas a function of gate current IDC. a. The signals of Device\n2 with 800 nm wide modulator at \u000b=0 (●R1!\nnl(0)) and\n\u000b=±\u0019(●R1!\nnl(±\u0019)) as a function of dc currents. b. As a\nfunction of gate current IDC, but for Device 3 with 1200 nm\nwide modulator.\norder. However, instead of a divergence that could indi-\ncate magnon super\ruidity, we observe a plateau at high\ncurrent levels Figs. 3a.\nThe di\u000berences between the data and a parabolic \ft at\nlow injection currents sheds some light on what is hap-\npening. We clearly observe non-parabolicities for both\npositive and negative currents, i.e. for both magnon in-\njection and extraction. At I>I′\nDCthe signal is enhanced,\ni.e. increases above the parabolic \ft. This threshold is\nnot sensitive to applied magnetic \felds and angles, which\nindicates a thermal (spin Seebeck) mechanism for the en-\nhancement of the conductivity as reported by C. Safran-\nski et al. [17] .The SMR data are suppressed around I′\nDC;\nthereby supporting the hypthesis that Joule heating af-\nfects the spin-transport at the interface. The residue of\nthe polynomial \ft in Figs. 3c shows a maximum, i.e. a\npeak at the threshold current I′′\nDC(in one current direc-\ntion), and then decreases again. We cannot pinpoint the\nprocess that suppresses the magnon conduction at high\ncurrent levels to a certain mechanism, but it appears to\nbe spin-dependent since in contrast to I′\nDC,I′′\nDCdepends7\nstrongly on the magnetic \feld strength and direction.\nWhen the modulator is in the center, the magnon\ntransmission is a\u000bected by thermal [18, 19] or electric\n[20, 21] spin-orbit torques as well as spin absorption by\nthe Pt gate. The situation is simpli\fed for the modula-\ntor/injector/detector geometry in so far that the mod-\nulator is only a source of additional magnons that in-\ncrease the injector-detector conductance. I′\nDCis larger\nfor this con\fguration, presumably because the higher\ncurrent level is required to generate the same density in\nthe source-drain channel by magnon di\u000busion. However,\nin contrast to the center-gate con\fguration, the signal\nalways stays under the parabolic \ft. This indicates that\nthe magnon density is not the only parameter relevant for\nmagnon transport, con\frming that a spin Seebeck torque\nfrom the Pt interface plays an essential role.\nNaively, we expected that for equal current densities\nthe results should not depend on the width of the gate.\nNevertheless we \fnd that widening the central gates only\ndecreases the signals relative to the polynomial \ft. A\nproper explanation of this result requires more research.\nSummarizing, we observe a threshold behavior at cur-\nrentsI>I′\nDCthat indicates that the \flm under the\ngate approaches an instability, con\frming previous re-\nports. The threshold does not depend on the magnetiza-\ntion direction and therefore the spin Hall injection, which\ncould indicate an enhancement of the magnon density by\nthe spin Seebeck e\u000bect. However, at negative currents\nthe magnon accumulation remains suppressed which in-\ndicates that the spin Hall e\u000bect injection dominates the\nspin Seebeck e\u000bect. At even higher currents I/uni2273I′′\nDCan-\nother e\u000bect kicks in that suppresses the magnon density\nand conductivity again. This process is roughly symmet-\nric in the current direction and may be assigned to a\nnon-linear magnon decay into phonons at elevated tem-\nperatures.\nWimmer et al. [11] also report non-linear e\u000bects in-\nduced by a Pt gate current on magnon transport. Their\nsample is slightly thicker with 13.5 nm with a damping\nof\u000bG=2:17×10−3which is signi\fcantly higher than\nour\u000bG=5:2×10−4. They report two anomalies ( Ion\nandIcrit). The \frst appears to agree with our I′\nDC\nand results for IDCIon, Wimmer et al. [11] observe sig-\nnals that increases faster than the parabolic \ft, which\nwe con\frm here. However, they do not \fnd the satura-\ntion we report in Figs. 3a. Wimmer et al. [11] interpret\nthe monotonic increase of their results as an incipient di-\nvergence by an anti-damping spin-orbit torque that com-\npensates the damping in the YIG \flm under the gate and\nspeculate about lossless magnon transport at the onset\nof self-oscillations or super\ruidity. On the other hand,\nthe larger Gilbert damping in their samples could imply\nthat the magnon densities at their highest current levels\nis signi\fcantly lower than ours, so they do not reach the\nsaturation regime that we report here.\nConcluding, before drawing conclusion about the na-\nture of nonlinearities, the complications due to heating\nshould be \fgured out in more detail [22{25]. It would be\nvaluable to assess the magnon spin accumulation pro\fle\ngoverned by the temperature gradient [26], which may be\ndi\u000berent in thin and thick \flms. We conclude that ultra-\nthin YIG \flms are a great platform for the research on\nmagnon transport in nonlinear regime, but much work\nhas still to be carried out before magnon Bose-Einstein\ncondensation or super\ruidity by electric or thermal spin\ninjection can be con\frmed.\nV. ACKNOWLEDGMENTS\nWe acknowledge the helpful discussion with T. Yu and\ntechnical support from J. G. Holstein, H. M. de Roosz,\nH. Adema T. Schouten and H. de Vries. This work is\npart of the research program Magnon Spintronics (MSP)\nNo. 159 \fnanced by the Foundation for Fundamental\nResearch on Matter (FOM), which is part of the Nether-\nlands Organisation for Scienti\fc Research (NWO), and\nsupported by the research programme Skyrmionics with\nproject number 170, which is \fnanced by the Dutch Re-\nsearch Council (NWO). The support by NanoLab NL\nis also gratefully acknowledged. G.B. was supported by\nJSPS Kakenhi Grant 19H006450.\n[1] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and\nB. Hillebrands, Nature Physics 11, 453 (2015).\n[2] C. Kittel, Phys. Rev. 110, 1295 (1958).\n[3] J. R. Eshbach, Phys. Rev. Lett. 8, 357 (1962).\n[4] R. Lebrun, A. Ross, S. A. Bender, A. Qaiumzadeh,\nL. Baldrati, J. Cramer, A. Brataas, R. A. Duine, and\nM. Kl aui, Nature 561, 222 (2018).\n[5] K. Oyanagi, S. Takahashi, L. J. Cornelissen, J. Shan,\nS. Daimon, T. Kikkawa, G. E. W. Bauer, B. J. van Wees,\nand E. Saitoh, Nature Communications 10, 4740 (2019).[6] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature 455, 778\n(2008).\n[7] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, S. Maekawa, and E. Saitoh, Nature 464,\n262 (2010).\n[8] L. J. Cornelissen, J. Liu, R. A. Duine, J. Ben Youssef,\nand B. J. van Wees, Nature Physics 11, 1022 (2015).8\n[9] L. J. Cornelissen, J. Liu, B. J. van Wees, and R. A. Duine,\nPhys. Rev. Lett. 120, 097702 (2018).\n[10] A. V. Chumak, A. A. Serga, and B. Hillebrands, Nature\nCommunications 5, 4700 (2014).\n[11] T. Wimmer, M. Althammer, L. Liensberger, N. Vlietstra,\nS. Gepr ags, M. Weiler, R. Gross, and H. Huebl, Phys.\nRev. Lett. 123, 257201 (2019).\n[12] J. Shan, L. J. Cornelissen, N. Vlietstra, J. Ben Youssef,\nT. Kuschel, R. A. Duine, and B. J. van Wees, Phys. Rev.\nB94, 174437 (2016).\n[13] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A.\nDuine, and B. J. van Wees, Phys. Rev. B 94, 014412\n(2016).\n[14] C. Ulloa, A. Tomadin, J. Shan, M. Polini, B. J. van Wees,\nand R. A. Duine, Phys. Rev. Lett. 123, 117203 (2019).\n[15] Y. Chen, D. Roy, E. Cogulu, H. Chang, M. Wu, and\nA. D. Kent, Applied Physics Letters 113, 202403 (2018),\nhttps://doi.org/10.1063/1.5053120.\n[16] S. V\u0013 elez, A. Bedoya-Pinto, W. Yan, L. E. Hueso, and\nF. Casanova, Phys. Rev. B 94, 174405 (2016).\n[17] C. Safranski, I. Barsukov, H. K. Lee, T. Schneider,\nA. A. Jara, A. Smith, H. Chang, K. Lenz, J. Lindner,\nY. Tserkovnyak, M. Wu, and I. N. Krivorotov, Nature\nCommunications 8, 117 (2017).\n[18] E. Padr\u0013 on-Hern\u0013 andez, A. Azevedo, and S. M. Rezende,\nPhys. Rev. Lett. 107, 197203 (2011).\n[19] S. A. Bender and Y. Tserkovnyak, Phys. Rev. B 93,\n064418 (2016).\n[20] A. Hamadeh, O. d'Allivy Kelly, C. Hahn, H. Meley,\nR. Bernard, A. H. Molpeceres, V. V. Naletov, M. Viret,\nA. Anane, V. Cros, S. O. Demokritov, J. L. Prieto,\nM. Mu~ noz, G. de Loubens, and O. Klein, Phys. Rev.\nLett. 113, 197203 (2014).\n[21] M. Collet, X. de Milly, O. d'Allivy Kelly, V. V. Naletov,\nR. Bernard, P. Bortolotti, J. Ben Youssef, V. E. Demi-\ndov, S. O. Demokritov, J. L. Prieto, M. Mu~ noz, V. Cros,\nA. Anane, G. de Loubens, and O. Klein, Nature Com-\nmunications 7, 10377 (2016).\n[22] N. Thiery, V. V. Naletov, L. Vila, A. Marty, A. Brenac,\nJ.-F. Jacquot, G. de Loubens, M. Viret, A. Anane,\nV. Cros, J. Ben Youssef, N. Beaulieu, V. E. Demidov,\nB. Divinskiy, S. O. Demokritov, and O. Klein, Phys. Rev.\nB97, 064422 (2018).\n[23] H. J. Qin, K. Zakeri, A. Ernst, and J. Kirschner, Phys.\nRev. Lett. 118, 127203 (2017).\n[24] S. Gepr ags, A. Kehlberger, F. D. Coletta, Z. Qiu, E.-J.\nGuo, T. Schulz, C. Mix, S. Meyer, A. Kamra, M. Al-\nthammer, H. Huebl, G. Jakob, Y. Ohnuma, H. Adachi,\nJ. Barker, S. Maekawa, G. E. W. Bauer, E. Saitoh,\nR. Gross, S. T. B. Goennenwein, and M. Kl aui, Nature\nCommunications 7, 10452 (2016).\n[25] Y. Nambu, J. Barker, Y. Okino, T. Kikkawa, Y. Sh-\niomi, M. Enderle, T. Weber, B. Winn, M. Graves-Brook,\nJ. M. Tranquada, T. Ziman, M. Fujita, G. E. W. Bauer,\nE. Saitoh, and K. Kakurai, Phys. Rev. Lett. 125, 027201\n(2020).\n[26] J. Shan, L. J. Cornelissen, J. Liu, J. Ben Youssef,\nL. Liang, and B. J. van Wees, Phys. Rev. B 96, 184427\n(2017).\nAppendix A: Signal change after gate measurement\nDevice 3 underwent a transient change after applying\na high DC current to the gate. The signal became sym-metric around zero angle and enhanced for both 0 and\n180 degrees, see Fig. 9, indicating an unidenti\fed thermal\nmechanism. After this experiment, the nonlocal signal at\nzero gate current increased by a factor \fve as shown in\nFig. 10. The high DC current appeared to change the\nproperties of YIG under the gate. However, after about\ntwo weeks, the characteristics of Device 2 returned back\nto normal as shown in Fig. 8b.\nFIG. 9. Angle-dependent \frst harmonic voltages at high gate\ncurrent levels. The nonlocal signal continues to increase with\nincreasing current.\nFIG. 10. Angle-dependent nonlocal magnon transport mea-\nsurement before and after a measurement at high gate cur-\nrents. The heating that accompanies a large current changes\nthe properties of YIG. aAngle-dependent \frst harmonic volt-\nage before and after the gate-induced heating at zero gate\ncurrent. bAngle-dependent second harmonic measurement\nbefore and after. Both \frst and second harmonic signals are\nstrongly enhanced after the heating. However, the e\u000bect ap-\npears to be transient and could not be reproduced.\nAppendix B: Modulation e\u000bect on 7.9nm thick YIG\nWe also study a transistor structure on a 7.9 nm thick\nYIG with damping parameter of \u000bG=6:3×10−4. The\ndevice parameters are shown in Table IV. Compared to\nthe 10 nm thick YIG, we observe in Fig. 11 a modulation\nincreased by a factor of 3 instead of 2. We have to apply\na higher DC currents to reach the nonlinear regime but\nstill observe a saturation at the highest currents.9\nTABLE IV. Geometry of injector/modulator/detector Pt\nstrips.\nLength (\u0016m) 20/25/20\nWidth (\u0016m) 0.4/0.4/0.4\nPt thickness (nm) 8\nIac(\u0016A) 200\nIdc(mA) -1.75 ∼1.75\nDistance between centers of Pt ( \u0016m) 1.5\n/s45/s50/s48/s48/s48 /s45/s49/s53/s48/s48 /s45/s49/s48/s48/s48 /s45/s53/s48/s48 /s48 /s53/s48/s48 /s49/s48/s48/s48 /s49/s53/s48/s48 /s50/s48/s48/s48/s50/s52/s54/s56/s82/s49\n/s110/s108/s32/s40/s109 /s41\n/s68/s67/s32/s99/s117/s114/s114/s101/s110/s116/s32/s40 /s65/s41/s32 /s82/s49\n/s110 /s108/s40/s177 /s41\n/s32 /s82/s49\n/s110 /s108/s40/s48/s41\nFIG. 11.R1!\nnlfor modulator/injector/detector con\fguration\nfor the 7.9 nm YIG \flm spec\fed in Table IV. Relative ampli-\ntudes of the \frst harmonic nonlocal signals of device 2 with\n800 nm width modulator at \u000b=0 (●R1!\nnl(0)) and\u000b=±\u0019(●\nR1!\nnl(±\u0019)) as a function of dc currents." }, { "title": "2309.08857v2.Exploring_orbital_charge_conversion_mediated_by_interfaces_with_copper_through_spin_orbital_pumping.pdf", "content": "1 \n Exploring orbital -charge conversion mediated by interfaces with CuO x \n through spin -orbital pumping \n \nE. Santos1, J. E. Abrão1, A. S. Vieira2, J. B. S. Mendes2, R. L. Rodríguez -Suárez3, and A. Azevedo1 \n \n1 Departamento de Física, Universidade Federal de Pernambuco, 50670 -901, Recife, Pernambuco, Brazil. \n2Departamento de Física, Universidade Federal de Viçosa, 36570 -900, Viçosa, Minas Gerais, Brazil. \n3Facultad de Física, Pontifícia Universidad Católica de Chile, Casilla 306, Santiago, Chile. \n \n \nWe explore the impact of different materials on orbital charge conversion in heterostructures with a naturally \noxidized copper capping layer. Introducing a thin layer of CuO x (3nm) to the yttrium iron garnet (YIG)/W \nheterostructure resulted in a notable decrease in signal when employing the spin pumping technique (SP). This \ncontrasts with prior findings in YIG/Pt, where the addition of CuO x (3nm) led to a significant signal enhancement. \nConversely, the introduction of the same CuO x (3nm) layer to YIG/Ti(4 nm) structure showed no change in the SP \nsignal. This lack of change is attributed to the fact that Ti, unlike Pt, does not generate an orbital current at the \nTi/CuO x interface due to its weaker spin -orbit coupling. Notably, incorporating the CuO x(3nm) layer into \nSi/Py(5nm)/Pt(4nm) structures resulted in a substantial increase in the spin pumping signal. However, in \nSi/CuO x(3nm)/Pt(4nm)/Py(5nm) structures, the signal exhibited a decrease. Finally, we applied a \nphenomenological model of the spin (orbital) Hall effect in YIG/heavy metal systems to refine our data. These \ndiscoveries have the potential to advance research in the innovative field of o rbitronics and contribute to the \ndevelopment of new technologies based on spin -orbital conversion. \n \n \n1. Introduction \n \nExploring the properties of electrons beyond the spin degree of freedom has significantly \nexpanded the scope of spintronics. Although the use of spin has traditionally dominated the field, orbital \nangular momentum (OAM) emerges as a crucial player in elect ron transport within solids. Theoretical \npredictions and recent experimental results [1 -8] have shown that it is possible to have a flow of OAM \nperpendicular to a charge current, even with the quenching of OAM in solids or in materials with a weak \nSOC. Thi s effect, known as the orbital Hall effect (OHE), has the property of being independent of the \nSOC, thus being considered a more fundamental effect [1], while the spin Hall effect (SHE) [9,10,11] \nassumes a secondary role. Similar to the spin -to-charge current conversion effects, orbital current can \nbe converted to charge current within the bulk via OHE [12] or by interface phenomena [13]. The latter \nbeing kn own as orbital Rashba -Edelstein -like effect (OREE) [14 -17]. \nThe OREE was initially proposed as a theoretical concept and subsequently confirmed \nexperimentally on surfaces with negligible SOC [15,18, 19]. Rashba -like coupling between the vectors \n𝐿⃗ and 𝑘⃗ , leads to both orbital -dependent energy splitting and chiral OAM texture in k -space. Despite \ntheir similarities, the mechanisms governing the spin Rashba -Edelstein effect (SREE) and OREE differ \nsignificantly. The distinguishing feature of OREE is its independence from spin -orbit coupling, which \nmakes it possible to manipulate orbital properties driven by interface ef fects . In Fig. 1(a), the upward \ncharge current 𝐽 𝐶, generates a perpendicular spin current (represented by the red symbols) induced by 2 \n SHE, and a perpendicular orbital current (represented by the oriented circles) induced by OHE. Because \nof the significant strength of the SOC, both the spin and orbital currents intertwine to form a \nperpendicular spin -orbital current 𝐽 𝐿,𝑆. Fig. 1(b) illustrates the inverse effect, wherein an upward current \n𝐽 𝐿,𝑆 induces a current 𝐽 𝐶 through the inverse SHE (ISHE) and inverse OHE (IOHE). While Figs. 1(a) \nand 1(b) depict the occurrence of SHE and OHE within the volume, the interfacial counterparts are \nillustrated in Figs. 1(c) and 1(d). Fig. 1(c) illustrates the generation of a perpendicular orbit al current 𝐽 𝐿, \ngenerated by the flow of an interfacial charge current 𝐽 𝐶. On the other hand, Fig. 1(d) illustrates the \ninverse effect of that illustrated in Fig. 1(c). A bulk orbital current 𝐽 𝐿 will generate a perpendicular \ninterfacial charge current 𝐽 𝐶. \n \n \nFIG. 1. Schemes illustrating the interaction between charge, spin, and orbital currents in a heterostructure with \nstrong SOC. In the top, (a) and (b), the phenomenon occurs in the volume. In the bottom, (c) and (d), it is driven \nby the interface. In (a) the dire ct SHE -OHE is presented, where a charge current 𝐽 𝐶 is converted into a spin -orbital \ncurrent 𝐽 𝐿,𝑆. In (b) the inverse SHE -OHE is presented, where 𝐽 𝐿,𝑆 is converted into 𝐽 𝐶. Figs. (c ) and (d) illustrate the \ndirect and inverse OREE conversion mechanisms, where the Rashba orbital states are characterized by the orbital \ntextures at the heavy -metal/normal -metal (HM/NM) interface. \n \n3 \n Recent works have shown the effectiveness of using light materials to generate enhanced spin -\norbital torque transfer in heterostructures. These heterostructures are coated with a thin layer of naturally \noxidized CuO x [16, 17, 20]. This incorporation of light materials into the existing repertoire of spintronic \nmaterials has significantly broadened the scope of spin manipulation mechanisms, allowing the use of \nless expensive materials. The spin -orbital torque enhanceme nt has been demonstrated not only in bul k \nof light materials, but also in interfaces of Cu/CuO x driven by OREE. The physics of the OAM \nphenomena have being clearly demonstrated through several advances, such as the improved damping -\nlike spin -orbit torque (SOT) in Permalloy (Py)/CuO x [21], enhanced SOT efficiency in thulium iron \ngarnet (TmIG)/Pt/CuO x [16], and the observation of magnetoresistance driven by OREE in Py/oxidized \nCu [17]. In Ref. [16], it is shown that the Pt/CuO x interface generates an orbital current ( 𝐽 𝐿), which then \ndiffuses into the Pt layer. This leads to the emergence of an intertwined spin -orbital current ( 𝐽 𝐿,𝑆), which \nsubsequently reaches the TIG layer and exerts torque on the local magnetization. \nIn this study, we performed an extensive investigation on the interplay between spin, orbital, \nand charge in FM/HM/CuO x, using YIG or Py as FM and Pt or W as HM. Through a comparison of \nexperimental results between FM/HM with FM/HM/CuO x configurations, we found substantial changes \nin the ISHE -type signal, suggesting a pivotal role played by HM/CuO x interface. We observed that W \nhas a different behavior compared to Pt, exhibiting a reduction in the SP signal. We also show that it is \nimportant to use HM with strong SOC to generate orbital currents from the injection of a pure spin \ncurrent. This observation is illustrated in systems like YIG/Ti and YIG/Ti/CuO x, where we observed no \ndifferences in the SP signal. This is attributed to the negligible SOC of Ti, meaning that the injection of \na pure spin current in Ti does not generate an associated orbital current at the Ti/CuO x interface, which \nwould produce a charge current. On the other hand, when using Co/Ti samples, we observed a SP signal \ncomparable to that of Co/Pt. This can be explained by the fact that Co injects both spin and orbital \ncurrent into Ti. Moreover, we show that the IOREE depends exclusively on the polarity of the orbital \ncurrent, independent of its propagation direction. To investigate this phenomenon, we examined samples \nof Si/Py/Pt/CuO x and Si/CuO x/Pt/Py. By extending a phenomenological theory, we adapted i t to fit the \nexperimental data by considering orbital angular momentum diffusion in FM/HM bilayers. Certainly, \nour findings provide insights into the orbital -charge conversion attributed to IOREE, offering potential \ncontributions to the development of elec tronic devices based on the manipulation of OAM. \n \n2. Experimental results \n \n2.1 Materials characterization \n \nThe YIG films used in this study were grown via liquid phase epitaxy (LPE) on a 0.5 mm thick \nGd 3Ga5O12 (GGG) substrate with the out -of-plane axis aligned along the (111) crystalline direction. All \nother films were deposited by DC sputtering at room temperature with a working pressure of 2.8 mTorr \nand a base pressure of 2.0×10−7 Torr or lower . All samples had lateral dimensions of 1.5 x 3.0 mm2, 4 \n and in all of them the CuO x layer was obtained by depositing a Copper layer and leaving the samples \nout in the open air at room temperature for two days . Details about the spin pumping technique used in \nthis investigation can be found in [22]. \nAn investigation of the chemical composition of the GGG/Pt/Cu sample was performed using \nTEM and atomic resolution energy -dispersive x -ray spectroscopy (EDS). The TEM and EDS results \nconfirmed the existence of an oxidation layer on the surface of the Cu fi lms. Fig. 2 (a) shows the cross -\nsection TEM image of the GGG/Pt/Cu sample interface, where it is possible to distinguish the GGG \nsubstrate from the Pt and Cu films. The cap layers of Pt and Au on top of the images were grown \nafterward during the sample pre paration for TEM analysis. To quantify the interfacial chemical \ndiffusion, atomic resolution EDS mapping images were performed on the GGG/Pt/Cu interface areas, \nand the distribution of each atom element can be seen in Figs. 2(b), 2(c), 2(d), 2(e) and 2(f), \ncorresponding respectively to specific elements: platinum (Pt) is represented by red, gadolinium (Gd) \nby purple, gallium (Ga) by blue, copper (Cu) by green, oxygen (O) by pink. The atomic percentage of \neach layer was confirmed by EDS line profile as shown in Fig. 2(g) and 2(h), revealing the presence of \nthe Pt/Cu bilayer spanning a depth range of approximately 10 nm to 63 nm. Note that oxygen is observed \nin the Cu layer over the range of approximately 53 nm to 63 nm (see Fig. 2(i)). Hence, the TEM and \nEDS analyses suggest that O atoms diffuse into the Cu layer, implying that the oxidation region in Cu \ncan extend to a depth of up to 10 nm. 5 \n \nFIG. 2. (a) Cross -sectional TEM image and (b -f) EDS mapping images of the GGG/Pt/Cu sample, displaying \nchemical element mapping that distinguishes between the GGG substrate (with Ga, Gd, and O elements) and the \nPt and Cu films. The color scheme correspond s to specific elements: platinum (Pt) is represented by red, \ngadolinium (Gd) by purple, gallium (Ga) by blue, copper (Cu) by green, oxygen (O) by pink. (g -i) EDS line scan \nof atomic fraction of elements Pt, Gd, Ga, Cu and O. The distribution of each atom e lement is illustrated by their \ncorresponding atomic percentages and the shaded region in (h) indicates the transition area where the O atom \ndiffuses into the Cu layer, displaying a substantial presence of oxygen, with an approximate width of ~10 nm. \n \n2.2 Spin pumping in FM/NM/CuO x \n \nThe main mechanisms for investigation of the spin -to-charge current interconversion include \nthe SHE and its reciprocal effect ISHE, observed in bulk materials, and the SREE and its reciprocal \neffect ISREE, observed in systems without spatial inversion symm etry, such as surfaces and interfaces \nwith large SOC. The SHE and ISHE have been extensively investigated in strong SOC materials such \nas Pt, W, Ta, Pd [11]. Pt is known to have positive spin Hall angle, 𝜃𝑆𝐻, while Ta and W display a \nnegative 𝜃𝑆𝐻 [23-25]. Materials with positive 𝜃𝑆𝐻 exhibit a spin polarization 𝜎̂𝑆 parallel to the orbital \npolarization 𝜎̂𝐿, i.e., (𝐿⃗ ∙𝑆 )>0. On the other hand, materials with negative 𝜃𝑆𝐻 present an antiparallel \n6 \n alignment between the spin polarization 𝜎̂𝑆 and the orbital polarization 𝜎̂𝐿, i.e., (𝐿⃗ ∙𝑆 )<0. In the \npresence of strong SOC, both orbital and spin effects can occur simultaneously [4], leading to the \nintertwining of both degrees of freedom. Consequently, the resulting charge current comprises a \nmultitude of effects . \nThe Spin Pumping (SP) technique [2 6-28] was employed to investigate the effect on the ISHE \nand IOHE signals in heterostructures consisting of YIG/W/CuO x and YIG/Pt/CuO x(3). The charge \ncurrent resulting from the SP signal, when the intertwined current 𝐽 𝐿,𝑆 is considered, is described by the \nequation 𝐽𝐶=(2𝑒ℏ⁄)𝜃𝑒𝑓𝑓𝐽𝐿,𝑆𝑐𝑜𝑠(𝜙), where 𝜃𝑒𝑓𝑓 is the effective spin -orbital Hall angle, and the angle \nbetween the 𝐽 𝐶 and the voltage measurement direction is given by 𝜙. It is important to note that the \neffective spin -orbital Hall angle 𝜃𝑒𝑓𝑓 must accounts for spin and orbital contributions. In Fig. 3 (a), the \ntypical SP signal for the YIG/Pt(4)/CuO x(3) sample is depicted, where the numbers in parentheses are \nthe layer thicknesses in nm, and the YIG layer thickness is around 400 nm. At 𝜙=0𝑜 (blue symbols), \na positive sign SP curve is observed, indicating 𝜃𝑒𝑓𝑓>0. At 𝜙=180° (red symbols), a sign inversion \noccurs, while at 𝜙=90° the measured voltage is null. The inset of Fig. 3 (a) displays similar results for \nthe YIG/Pt(4) sample, where the peak is much smaller than the signal with a CuO x cover layer. Fig. 3 \n(b) presents the results for the YIG/W(4)/CuO x(3) sample, which exhibits an opposite sign compared to \nPt, as W possesses 𝜃𝑒𝑓𝑓<0. The same behavior can be observed in the YIG/W(4) sample, as shown in \nthe inset of Fig. 3(b). Additionally, Fig. 3 (c) provides a comparison of the signals obtained with the \nsamples of YIG/W(4)/CuO x(3) and YIG/W(4) at 𝜙=0°, revealing a reduction in the signal when CuO x \ncover layer is added. Fig. 3 (d) shows the behavior of 𝐼𝑆𝑃 as a function of the thickness of the layer W \n(𝑡𝑊), which varied from 2 nm to 8 nm. Two sets of samples were prepared: the A series consists of \nYIG/W( tw) (black symbols), while the B series consists of YIG/W( tw)/CuO x(3) samples (the red \nsymbols). The B series exhibits a different behavior, where thinner films yield smaller 𝐼𝑆𝑃 signals, while \nfor larger thicknesses, the 𝐼𝑆𝑃 tends to approach that of the A series. The solid lines of Fig. 3(d) were \nobtained by means of the best fit to the experimental data using equations discussed in section 3. The \nstructural characteristics of the W films were analyzed by x -ray diffraction ( XRD) measurements and \ncan be seen in Appendix A. \nThe injection of a pure spin current 𝐽 𝑆 through the YIG/W interface, driven by the precessing \nYIG magnetization under FMR condition, leads to the intertwining of spin 𝑆 and the angular momentum \n𝐿⃗ , resulting in the generation of an upward current 𝐽 𝐿,𝑆within the W. In this scenario, 𝜎̂𝑆 and 𝜎̂𝐿 are \nantiparallel. A portion of this spin -orbital current is subsequently converted into a charge current within \nthe volume of W through the processes of ISHE and IOHE. The remaining orbital current reaches the \nW/CuO x interface, where it generates 2D charge current parallel to the interface due to the IOREE. This \n2D charge current reduces the original current (as it has the opposite polarity to the bulk charge current), \nresulting in a smaller signal. 7 \n \n \nFIG. 3. (a) Presents the typical 𝐼𝑆𝑃 signals for the samples with and without the CuO x cover layer (inset) at a fixed \n𝑟𝑓 power of 14 mW and 𝑟𝑓 frequency of 9.41 GHz. In (a), Pt is used as NM, while in (b), W is used as the NM. \nThese materials exhibit opposite Hall angles, resulting in opposite polarities of the measured signals. (c) Compares \nthe SP signals of the samples with (light blue) and wi thout (dark blue) the CuO x capping layer. (d) Demonstrates \nthe dependence of 𝐼𝑆𝑃 on 𝑡𝑃𝑡 for the YIG/W( 𝑡𝑊)/CuOx(3) (red) and YIG/W( 𝑡𝑊) (black) samples. The solid lines is \nthe theoretical fit. \n \nTo gain a better understanding of the role played by SOC in magnetic heterostructures, we \nfabricated samples of YIG/Ti and YIG/Ti/CuO x. Like the previous experiment, the precessing \nmagnetization generates a spin accumulation at the YIG/Ti interface, which diffuses upwardly as a pure \nspin current along the Ti layer. The Figs. 4(a) and 4(b) depict the SP signal (for 𝜙=0°) of YIG/Ti and \nYIG/Ti/CuO x samples, respectively. Solid lines of Figs. 4(a) and 4(b) depict the respective fits to the \nexperimental data using a Lorentzian function, represented by blue curves ( 𝜙=0°) and red curves ( 𝜙=\n180°). Three important pieces of information can be obtained from these data. (i) The weak SP signal \ngenerated by Ti has inverse polarity when compared to Pt. (ii) The fit to the experimental data, shown \nin Figs. 4 (a) and 4 (b), exhibit similar values, meaning that the capping layer of CuO x practically does \nnot affect the detected signal. (iii) Since Ti exhibits a weak SOC [7], there was almost no generation of \norbital current within the material, thus no observable IOREE was detected at the Ti/CuO x interface. As \na result, there was no significant increase in the SP signal when comparing both samples. These findings \nsupport the hypothesis that the reduction in the SP signal in the YIG/W/CuO x samples can be attributed \n8 \n to the orbital effect, particularly the IOREE occurring at the W/CuO x interface. The key distinction \nbetween W/CuO x and Ti/CuO x lies in the absence of SOC in Ti, thus the pumped spin current does not \nconvert into a intertwined spin -orbital current inside the material, which leads to no additional signal. \n On the other hand, the phenomenon undergoes drastic changes when the FM layer, used to \ninject the spin current, also injects orbital current (orbital pumping), as observed with Co [2 9]. Fig. 4(c), \nshows the symmetric components of the SP data measured in Si/Ti(20)/Co(10) (blue curve) and in \nSi/Co(10) (red curve). The Co injector, serving as an island, allows for the direct attachment of \nelectrodes on the Ti layer to detect the SP signa l. Meanwhile, the measurement in the Co layer captures \nthe self -induced voltage. However unlike YIG, Co has a sizeable SOC [2 9], thus a spin -orbital current \ninjected into Ti by the Co layer, the pumped spin -orbital undergoes conversion into a charge current by \nthe IOHE, resulting in a strong signal with positive sign. In contrast, the self -induced signal of Co \nexhibits a weak neg ative sign. Upon comparing the intensities of the blue signal and the red signal (self -\ninduced voltage at Co), the observed gain is more than eightfold. To compare the SP signal generated \nby IOHE in Ti with the SP signal generated by ISHE in Pt, we measured SP in Co(12)/Pt(10), as shown \nin the bottom inset (blue curve). In this case, the SP signal generated by ISHE in Pt is only twice as \nintense as the SP signal generated by IOHE in Ti. The measurements presented in Figure 4 make it \nevident that the SP signal generated by orbital pumping in Ti has magnitude comparable with the signal \ngenerated by spin pumping in Pt. \n \nFIG. 4. (a) and (b) shows the SP signals measured in YIG/Ti(4) and YIG/Ti(4)/CuO x(3), respectively. The weak signals were \nfitted by symmetrical Lorentzian curves, given by the solid lines. Notably, the amplitudes of the signals do not change, \nindicating that the capping layer of CuO x does not affect the SP signal. Due to the weak SOC of Ti, no orbital current is being \ngenerated within the Ti volume. Solid lines in (c) show the symmetrical component obtained by fitting the data of the SP sign al \nof Si/Ti(20)/ Co(10) and Si/Co(10). While the weak SP signal from Si/Co is self -induced, the strong SP signal from Si/Ti/Co is \ndue to the bulk conversion of the orbital current injected into Ti and its conversion by OHE. The bottom inset is the symmetr ical \npart of the S P signal measured in Co(12)/Pt(10). \n \n2.3 Spin pumping in all metal heterostructures \n \n Although 3d FM metals such as Fe, Co, Ni, and Py are more versatile and easier to prepare \ncompared to ferrimagnetic insulators, like YIG, these materials exhibit a self -induced SP voltage [ 30, \n31], which can potentially mask the SP signal. This self -induced voltage consists of both symmetric and \nanti-symmetric components. The anti -symmetric is typically associated with spin rectification effects, \nwhile the symmetric component is attributed to spin -Hall like effects [3 2, 33]. To elucidate the interplay \n9 \n between spin and orbital momenta in metallic heterostructures, we investigate the spin pumping phe-\nnomena in two series of heterostructures: series A consists of Si/Py(5)/Pt(4)/CuO x(3) (with and without \nCuO x capping layer), while series B consists of Si/CuO x(3)/Pt(4)/Py(5) (with and without CuO x under-\nlayer). For series B, we initially deposited the copper layer and allowed it to oxidize for two days. Sub-\nsequently, we placed the sample back into the sputtering chamber to deposit the Pt and Py films. In \nseries A, the Cu layer, which partially covers the Pt layer, was the final deposition step. Afterward, it \nwas left to oxidize for two days. The only distinction between series A and B is the direction of the spin \ncurrent injection – upwards for series A and down wards for series B. If the conversion of spin current \nto charge current is solely given by the inverse SHE, the measured signals should be identical in mag-\nnitude but possess opposite polarities. Fig. 5(a) shows the SP signals measured for two samples: \nSi/Py(5)/Pt(4) and Si/Py(5)/Pt(4)/CuO x(3). In both samples, the spin current is injected upwards through \nthe Py/Pt interface. When comparing the signals obtained from these two samples, a significant increase \nin the SP signal is observed for the CuO x-coated sample (represented by blue symbols) compared to the \nuncoated sample (represented by green symbols) at 𝜙=0°. This enhancement is consistent with previ-\nous findings for YIG/Pt/CuO x [22]. In Fig. 5(a), it is evident that the injected spin current couples with \nthe orbital momentum of Pt, resulting in the generation of intertwined spin -orbital current that propa-\ngates upwards until it reaches the Pt/CuO x interface. At the interface, this spin -orbital current undergoes \nconversion into a charge current through the IOREE. The converted charge current combines with the \nbulk charge current, effectively increasing the SP signal. The significant increase is clearly shown in \nFig. 5(b), which shows the symmetric component extracted from fitting to the experimental data of F ig. \n5(a). When comparing the slopes of the SP signals as a function of the 𝑟𝑓 power for both samples, as \nshown in the inset of Fig. 5(b), the sample coated with CuO x exhibits an increase compared to the un-\ncoated sample. An increase of ~20 nA, for an rf power of 110 mW is shown by the vertical black arrow \nof Fig. 5(b). However, Fig. 5(d) depicts intriguing results. When the stack order of the layers is inverted , \ncausing the injected spin current from the Py to flow downwards, the SP signal of the sample with an \nunderlayer of CuO x exhibits a decrease compared to the SP signal of t he sample without a CuO x under-\nlayer. This observation is opposite to the result shown in Fig. 5(a). From the fits to the experimental \ndata, obtained for the symmetric component as shown in Fig. 5(e), the SP signal exhibits a reduction of \n~25 nA for the sample with the CuO x underlayer in comparison with the sample without it. It is important \nto note in the SP signals of series A and B samples only the spin current injection direction is changed, \nbut the spin polarization is kept identical and, consequently, the orbital polarization remains unchanged. \nOur results show that the charge current generated at the Pt/CuO x interface does not reverse its \ndirection when the spin -orbital current flows from top to bottom. This charge current opposes the charge \ncurrent generated within the Pt layer, reducing the measured charge current along y direction, as \nillustrated in Figs. 5(c) and 5(f). The Rashba -type chiral orbital texture present at the Cu/O interface \nremains unchanged regardless of whether the CuO x layer is deposited above or below the Pt layer. 10 \n Consequently, the charge current generated by the IOREE flows parallel to +y (green arrows at Figs. \n5(c) and (f)), while the charge current generated by the spin -orbital current within the Pt layer flows \nparallel to +y (blue arrow at Fig. (c)) when it is i njected from the bottom and parallel to –y (blue arrow \nat Fig. (f)) when injected from the top. It is important to note that OREE is not affected by the spin \ncurrent propagation direction and instead depends only on the orbital polarization 𝜎̂𝐿. Within the Pt \nlayer, the 𝜎̂𝐿 aligns parallel to the 𝜎̂𝑆 due to the strong SOC of Pt. \n \nFIG. 5 - (a) Typical SP signals for the samples with and without the top layer of CuO x at 𝜙=0°. The samples \nwith the top layer of CuO x are denoted by blue symbols, while those without it are represented by green symbols. \nThe SP signals measured at 𝜙=180° have reversed polarities, represented by red symbols (with the top layer of \nCuO x) and pink symbols (without the top layer of CuO x). The SP data measured at 𝜙=90° show no detectable \nSP signal as expected. Fig. (b) displays the symmetrical component of the SP signal, obtained from fitting the \nmeasured data shown in (a) with Lorentzian curves, for samples with and without the CuO x layer. T he inset shows \nthe linear relationship of 𝐼𝑆𝑃 and 𝑟𝑓 power. The vertical black arrow represents the increase of the SP signal \nresulting from the presence of the top layer of CuO x. (d) Typical SP signals for the samples with and without the \nbottom layer of CuO x at 𝜙=0° (blue and green symbols), and 𝜙=180° (orange and red symbols). As the spin \ncurrent is injected from top, the SP signals exhibit reverse polarity compared to the signals shown in (a). The \ncurves in (e) depict the numerical fittings derived from the data shown in (d) with Lorentzian curves. The vertical \nblack arrow represents the reduction of the SP signal resulting from the presence of the top layer of CuO x. Figs. \n(c) and (f) illustrate the underlying mechanism responsible for the increase and decrease of the SP signal. In (c), \nthe IOREE and SHE currents are parallel, whereas in (f), they are antiparallel. Insets of Figs. (a) and (d) show the \nderivative of the FMR absorption signal for the Py layer. \n \n3. Phenomenological background \n \n The quantitative interpretation of SHE and OHE presented in this section is based o n recently \npublished papers [4,22,34 -36]. Basically, the generation of spin and orbital angular momentum currents, \nalong with their interconversion mediated by SOC, can be interpreted in terms of the out -of-equilibrium \nspin and orbital imbalance, which manifests as a shift in spin and orbital c hemical potentials 𝜇𝑆(𝑧) and \n11 \n 𝜇𝐿(𝑧), respectively. These chemical potentials represent the spin and orbital accumulation, respectively. \nThe accumulation of 𝑆 or 𝐿 quantities result in both spin flow and orbital angular momentum flow, and \nthese phenomena can be further analyzed through coupled diffusion equations. A key finding presented \nin Ref. [4] was the introduction of a coupling parameter, 𝜆𝐿𝑆, which accounts for the interaction between \nL and S, mediated by the SOC of the material. In Ref. [4], the excitation of orbital current is obtained by \napplying an electric field, which is different from our approach. Here (and in Ref. [ 22]), we create a spin \naccumulation ( 𝜇𝑆(𝑧)), by means of the SP technique, in a material with large SOC, resulting in the \nsimultaneous creation of an orbital accumulation ( 𝜇𝐿(𝑧)). Since materials with large SOC can exhibit \ntwo different polarizations of the spin -to-charge conversion processes, such as positive for Pt and \nnegative for W, the time evolution of 𝜇𝑆(𝑧) and 𝜇𝐿(𝑧) can be expressed as 𝜇𝐿(𝑡)=𝜐𝐿𝑆𝐶𝜇𝑆(𝑡), where \n𝜐𝐿𝑆 is a variable with only two possible values: 𝜐𝐿𝑆=±1, and C is a proportionality constant. In our \nstudy, we inject a spin current through the YIG/HM interface, leading to different boundary conditions \nfrom Ref. [4] necessary to solve the diffusion equations describing 𝜇𝑆(𝑧) and 𝜇𝐿(𝑧). In our study, the \nboundary conditions are given by \n \n{ 𝑑𝜇𝑆,𝐿(𝑧)\n𝑑𝑧|\n𝑧=0=(2\nℏ𝑁𝐷)𝐽𝑆,𝐿(𝑧)|\n𝑧=0\n𝑑𝜇𝑆,𝐿(𝑧)\n𝑑𝑧|\n𝑧=𝑡𝑁𝑀=0. (1) \n \nHere, 𝐷 is the diffusion coefficient, and 𝑁 represents the density of states per unit volume in the NM \nlayer. To capture the process of spin -to-orbital current conversion, one must add a phenomenological \nterm to spin (orbital) diffusion equation that is proportional to its orbital (spin) counterpar t, i.e., \n \n𝑑2𝜇𝑆\n𝑑𝑧2=𝜇𝑆\n𝜆𝑆2±𝜇𝐿\n𝜆𝐿𝑆2 (2) \n \n𝑑2𝜇𝐿\n𝑑𝑧2=𝜇𝐿\n𝜆𝐿2±𝜇𝑆\n𝜆𝐿𝑆2 (3) \n \nwhere +, sign correspond to negative (positive) spin -orbit coupling. To solve the coupled equations (2) \nand (3) we substitute the former into the latter, \n \n𝑑4𝜇𝑆\n𝑑𝑧4−(1\n𝜆𝑆2+1\n𝜆𝐿2)𝑑2𝜇𝑆\n𝑑𝑧2+(1\n𝜆𝐿2𝜆𝑆2−1\n𝜆𝐿𝑆4)𝜇𝑆=0. (4) \n \nThe solution of Eq.(4) is \n𝜇𝑠(𝑧)=𝐴𝑒𝑧𝜆1⁄+𝐵𝑒−𝑧𝜆1⁄+𝐶𝑒𝑧𝜆2⁄+𝐷𝑒−𝑧𝜆2⁄ (5) \n \nsimilarly, the equation for 𝜇𝐿 is obtained, 𝜇𝐿(𝑧)=𝐸𝑒𝑧𝜆1⁄+𝐹𝑒−𝑧𝜆1⁄+𝐺𝑒𝑧𝜆2⁄+𝐻𝑒−𝑧𝜆2⁄. The \npolynomial characteristic leads to, 12 \n \n1\n𝜆1,22=1\n2[(1\n𝜆𝑆2+1\n𝜆𝐿2)±√(1\n𝜆𝑆2−1\n𝜆𝐿2)2\n+41\n𝜆𝐿𝑆4]. (6) \n \nSolving the system of equations, we get the solutions \n𝜇𝑆(𝑧)=(2\nℏ𝑁𝐷)𝜆1(𝐽𝑆(0)∓𝐽𝐿(0)\n𝛾2𝜆𝐿𝑆2)\n(1−𝛾1\n𝛾2)𝑐𝑜𝑠ℎ[(𝑡𝑁𝑀−𝑧)/𝜆1]\n𝑠𝑖𝑛ℎ(𝑡𝑁𝑀𝜆1⁄)\n+(2\nℏ𝑁𝐷)𝜆2(𝐽𝑆(0)∓𝐽𝐿(0)\n𝛾1𝜆𝐿𝑆2)\n(1−𝛾2\n𝛾1)𝑐𝑜𝑠ℎ[(𝑡𝑁𝑀−𝑧)𝜆2⁄]\n𝑠𝑖𝑛ℎ(𝑡𝑁𝑀𝜆2⁄) (7) \n \nas 𝜇𝐿(𝑧)=𝐶𝜐𝐿𝑆𝜇𝑆(𝑧), then \n \n𝜇𝐿(𝑧)=𝐶𝜐𝐿𝑆 (8) \n \nwhere, \n𝐽𝑆(0)=𝐺𝑆\n𝑒𝜇𝑆(0), \n𝐽𝐿(0)=𝐺𝐿\n𝑒𝜇𝐿(0), \n (9) \n𝐺𝑆,𝐿is the spin -orbital mixing conductance on the interface FM/HM. The charge current is give by, \n \n𝐽𝐶𝐼𝑆𝐻𝐸=(ℏ2⁄𝑒)𝜃𝑆𝐻𝐽𝑆𝜎𝑠, \nand \n𝐽𝐶𝐼𝑂𝐻𝐸=(ℏ2⁄𝑒)𝜃𝑂𝐻𝐽𝐿𝜎𝐿 \n (10) \nTo explain our measured SP signals, the increase in YIG/Pt/CuO x and a decrease in \nYIG/W/CuO x, we consider the contributions of both ISHE and IOHE. This allows us to propose a \nphenomenological equation for the charge current density measured YIG/HM/CuO x as, \n \n 𝐽𝐶=(ℏ2⁄𝑒)𝜃𝑆𝐻𝐽𝑆+(ℏ2⁄𝑒)𝜃𝑂𝐻𝐽𝐿+𝜆𝐼𝑂𝑅𝐸𝐸𝐽𝐿(𝑧=𝑡𝑁𝑀). (11) \n \nThe first term represents the conversion of the spin component of the intertwined current 𝐽𝐿,𝑆 \ninto charge current via ISHE within the HM. The second term represents the conversion of the induced \norbital current into charge current via IOHE within the HM. This second term can be used, since it arises \nfrom the 𝐿𝑆 coupling, making it analogous to the equation for the ISHE. The third term represents the \nconversion of the residual orbital current, which reaches the HM/CuO x interface with Rashba -like states. \nAs a result, the Pt/CuO x interface exhibits gain in the resulting charge current, while the W/C uO x \ninterface shows a reduction in the resulting charge current. Therefore, the polarity of the orbital texture \nof naturally surface -oxidized copper can be modified by changing the HM, leading to an interfacial \ncharge current in the opposite direction to the total charge current. Furthermore, the results presented in 13 \n Figs. 5 (a) and (d) demonstrate that the IOREE in HM/CuO x(3) remains independent of the direction of \nthe current 𝐽 𝐿. From equations (7 -10) it is possible to find the total charge current in the YIG/W and \nYIG/W/CuO x samples. The fits to the experimental data using the phenomenological model developed \nabove is presented in Fig. 3 (d). \nIn conclusion, our investigation of the interaction between spin and orbital currents has yielded \nsignificant findings. Through the injection of a pure spin current into a HM layer via the YIG/HM \ninterface, we observed the emergence of orbital momentum ac cumulation, facilitated by the strong SOC \nof the HM. This interplay between spin and orbital effects leads to the intriguing phenomenon of \ntransporting orbital angular momentum along the HM layer. As the spin -orbital intertwined 𝐽𝐿,𝑆 current \nmoves up to the interface of HM/CuO x, there occurs the IREE -like conversion of 𝐽𝐿,𝑆 into charge current. \nMoreover, the residual 𝐽𝐿,𝑆 current that reaches the HM/CuO x interface is further converted into a charge \ncurrent by the interfacial IOREE phenomenon. Remarkably, we observed that the charge current \ngenerated at the Pt/CuO x interface exhibits an increase, whereas the charge current at the W/CuOx \nexhibits a decrease. This aligns with the fact that (𝐿⃗ ∙𝑆 )>0 in Pt, and (𝐿⃗ ∙𝑆 )<0 in W. This result is \nfurthermore confirmed in heterostructure of CuO x/Pt/Py and Py/Pt/CuO x, where the inversion of the \nlayers stack shows a similar behavior. Overall, our work underscores the rich complexity of orbital and \nspin interactions in HM/CuO x systems, offering valuable insight into potential applications of \nspintronics and orbital -based technologies. These compelling findings pave the way for further \nexploration and innovation in the field of quantum materials and nanoelectronics . \n \nACKNOWLEDGMENTS \n \nThis research is supported by Conselho Nacional de Desenvolvimento Científico e Tecnológico \n(CNPq), Coordenação de Aperfeiçoamento de Pessoal de Nível Superior (CAPES), Financiadora de \nEstudos e Projetos (FINEP), Fundação de Amparo à Ciência e Tecnologia d o Estado de Pernambuco \n(FACEPE), Fundação de Amparo à Pesquisa do Estado de Minas Gerais (FAPEMIG) - Rede de Pesquisa \nem Materiais 2D and Rede de Nanomagnetismo, INCT of Spintronics and Advanced Magnetic \nNanostructures (INCT -SpinNanoMag), CNPq 406836/2022 -1 and Chile by Fondo Nacional de \nDesarrollo Científico y Tecnológico (FONDECYT) Grant No. 1210641 and FONDEQUIP \nEQM180103. This research used the facilities of the Brazilian Nanotechnology National Laboratory \n(LNNano), part of the Brazilian Centre for Research in Energy and Materials (CNPEM), a private \nnonprofit organization under the supervision of the Brazilian Ministry for Science, Technology, and \nInnovations (MCTI). Therefore, the authors acknowledge LNNano/CNPEM for advanced infrastructure \nand technica l support. The TEM staff is acknowledged for their assistance during the experiments \n(Proposals No. 20210467 and 20230795, TEM -Titan facility). \n \n \nDATA AVAILABILITY STATEMENT \n \nThe data that support the findings of this study are available from the corresponding author upon \nreasonable request. \n 14 \n \nAPPENDIX A: XRD MEASUREMENTS IN SiOx/W( 𝑡𝑊) \n \nTo obtain structural information of the sputtered W thin films, we performed x -ray diffraction \nmeasurements in out -of-plane grazing incident x-ray diffraction (GIXRD) . Since in this geometry the \nsubstrate signal is almost suppressed, the existence of two distinct crystalline phases (α -W and β -W) as \na function of film thickness can be addressed. Fig. 6 shows the GIXRD scans for W films with thickness \nin the range of 5 nm (purple curve) to 20 nm (orange curve). The vertical blue dashed lines denotes the \nexpected peak positions for (A15) β -W crystalline phase and the vertical red dashed lines denotes the \nexpected peak po sition for body centered cubic (bcc) α -W phase, according to (JCPDS #03 –065-6453)* \nand (JCPDS #00 -004–0806)* crystallographic data, respectively. Also, as can be seen in Fig. 6, for 10 \nnm W film, the presence of a broad and low intensity peak at 2θ ~ 40° s uggests the coexistence of two \ncrystalline phases. Indeed, this peak can be associated to both reflections (210) of β -W phase and (110) \nof α-W phase, located at 2θ ~ 39.88° and 2θ ~ 40.26°, respectively. On the other hand, for film thickness \nabove 10 nm it is possible to observe three characteristic diffraction peaks. The first (most intense) \nlocated at 2θ ~ 40. 44° is closer to the expected position for the reflection (110) of α -W phase and does \nnot exhibit an asymmetrical shape. Furthermore, the other two peaks located at 2θ ~ 58.31º and 2θ ~ \n73.42º can only be assigned to (200) and (211) diffraction planes of bcc α -W phase. Taking into account \nthe absence of other β -W phase reflections and that the integrated intensity (area under diffraction curve) \nof th e α -W reflections are increasing with the film thickness, which means that the volume fraction of \nα -W increases, we can infer that for thickness above 10 nm the films are predominantly α -W phase. \nIndeed, this fact is in good agreement with previous resu lts that predicts the existence of single α -W \nphase for thicker films [3 7]. It is also important to observe in films with a thickness of less than or equal \nto 10 nm the appearance of peaks between 2θ ~ 52º and 2θ ~ 56º, which are related to the Si/SiO \nsubstrate, because the W diffraction peaks have very low intensities. 15 \n \n20 30 40 50 60 70 804080120160200240280\n5 nm\n7 nm\n10 nm\n15 nm\n20 nm\nb (210)\nb (211)\na (211)a (200)b (200)\n Intensity (u.a.)\n2q (deg.)Si/SiOx/W\na (110)\nb (321)b (320) \nFIG. 6 - Measures of XRD in SiOx/W for different thicknesses of W. For low thicknesses ( 𝑡𝑊<10𝑛𝑚) there is a \npredominance of the 𝛽 phase, while for 𝑡𝑊>10𝑛𝑚 the 𝛼 phase is predominant. \n \n* Phase identification is made with reference to Powder Diffraction File compiled in International Center \nfor Diffraction Data (ICDD) card system issued by JCPDS (Joint Committee on Powder Diffraction \nStandards). No. 03 –065-6453 for β -W and No. 00 -004-0806 for α -W. \n \n \nREFERENCES \n \n[1] Dongwook Go, Daegeun Jo, Changyoung Kim, and Hyun -Woo Lee. Intrinsic Spin and Orbital Hall \nEffects from Orbital Texture. Phys. Rev. Lett. 121, 086602 (2018). \n[2] Daegeun Jo, Dongwook Go, and Hyun -Woo Lee. Gigantic intrinsic orbital Hall effects in weakly \nspin-orbit coupled metals. Phys. Rev. B 98, 214405 (2018). \n[3] Soogil Lee, et al. Efficient conversion of orbital Hall current to spin current for spin -orbit torque \nswitching. Commun. Phys. 4, 234 (2021). \n[4] Giacomo Sala and Pietro Gambardella. Giant orbital Hall Effect and orbital -to-spin conversion in \n3d, 5d, and 4f metallic heterostructures. Phys. Rev. Research 4, 033037 (2022). \n[5] Arnab Bose, Fabian Kammerbauer, Dongwook Go, Yuriy Mokrousov, Gerhard Jakob, and Mathias \nKlaeui. Detection of long -range orbital -Hall torques. ArXiv:2210.02283 (2022). \n[6] Hiroki Hayashi, Daegeun Jo, Dongwook Go, Yuriy Mokrousov, Hyun -Woo Lee and Kazuya Ando. \nObservation of long -range orbital transport and giant orbital torque. ArXiv:2202.13896 (2022). \n[7] Young -Gwan Choi, Daegeun Jo, Kyung -Hun Ko, Dongwook Go, Kyung -Han Kim, Hee Gyum Park, \nChangyoung Kim, Byoung -Chul Min, Gyung -Min Choi, Hyun -Woo Lee. Observation of the orbital \nHall effect in a light metal Ti. Nature 619, 52 –56 (2023). \n[8] Junyeon Kim, Dongwook Go, Hanshen Tsai, Daegeun Jo, Kouta Kondou, Hyun -Woo Lee, and \nYoshiChika Otani. Nontrivial torque generation by orbital angular momentum injection in \nferromagnetic -metal/Cu/Al2O3 trilayers. Phys. Rev. B 103, L020407 (2021). \n[9] M. I. Dyakonov, and V.I. Perel. Current -induced spin orientation of electrons in semiconductors. \nPhys. Lett. A 35 (6), 459 (1971). \n[10] J. E. Hirsch. Spin Hall Effect. Phys. Rev. Lett. 83, 1834 (1999). 16 \n [11] Jairo Sinova, Sergio O. Valenzuela, J. Wunderlich, C.H. Back, and T. Jungwirth. Spin Hall Effects. \nRev. Mod. Phys. 87, 1213 (2015). \n[12] Y. -G. Choi, D. Jo, K. -h. Ko, D. Go, and H. -w. Lee. Observation of the orbital Hall effect in a light \nmetal Ti. ArXiv:2109.14847 (2021). \n[13] D. Go, et al. Toward surface orbitronics: giant orbital magnetism from the orbital Rashba effect at \nthe surface of sp -metals. Sci. Rep. 7, 46742 (2017). \n[14] Annika Johansson, et al. Spin and orbital Edelstein effects in a two -dimensional electron gas: \nTheory and application to SrTiO3 interfaces. Phys. Rev. Res. 3, 013275 (2021). \n[15] Dongwook Go, Daegeun Jo, Tenghua Gao, Kazuya Ando, Stefan Blügel, Hyun -Woo Lee, and \nYuriy Mokrousov. Orbital Rashba effect in a surface -oxidized Cu film. Phy. Rev. B, 103, L121113 \n(2021). \n[16] Shilei Ding, Andrew Ross, Dongwook Go, Lorenzo Baldrati, Zengyao Ren, Frank Freimuth, Sven \nBecker, Fabian Kammerbauer, Jinbo Yang, Gerhard Jakob, Yuriy Mokrousov, and Mathias Kläui. \nHarnessing Orbital -to-Spin Conversion of Interfacial Orbital Currents for Efficient Spin -Orbit Torques. \nPhys. Rev. Lett. 125, 177201 (2020). \n[17] Shilei Ding, Zhongyu Liang, Dongwook Go, Chao Yun, Mingzhu Xue, Zhou Liu, Sven Becker, \nWenyun Yang, Honglin Du, Changsheng Wang, Yingchang Yang, Gerhard Jakob, Mathias Kläui, Yuriy \nMokrousov, and Jinbo Yang. Observation of the Orbital Rashba -Edelstein Magnetoresistance, Phys. \nRev. Lett. 128, 067201 (2022). \n[18] Xi Chen, Yang Liu, Guang Yang, Hui Shi, Chen Hu, Minghua Li, and Haibo Zeng. Giant \nantidamping orbital torque originating from the orbital Rashba -Edelstein effect in ferromagnetic \nheterostructures. Nat. Commun. 9, 2569 (2018). \n[19] L. Salemi, Marco Berritta, Ashis K. Nandy, and Peter M. Oppeneer. Orbitally dominated Rashba -\nEdelstein effect in noncentrosymmetric antiferromagnets. Nat. Commun. 10, 5381 (2019). \n[20] Zheng -Yu Xiao, Yong -Ji Li, Wei Zhang, Yang -Jia Han; Dong Li, Qian Chen, Zhong -Ming Zeng, \nZhi-Yong Quan, Xiao -Hong Xu. Enhancement of torque efficiency and spin Hall angle driven \ncollaboratively by orbital torque and spin –orbit torque. Appl. Phys. Lett. 121, 072404 (2022). \n[21] Tenghua Gao, Alireza Qaiumzadeh, Hongyu An, Akira Musha, Yuito Kageyama, Ji Shi, and \nKazuya Ando. Intrinsic Spin -Orbit Torque Arising from the Berry Curvature in a Metallic -\nMagnet/CuOxide Interface. Phys. Rev. Letts. 121, 017202 (2018). \n[22] E. Santos, J.E. Abrão, Dongwook Go, L.K. de Assis, Yuriy Mokrousov, J.B.S. Mendes, and A. \nAzevedo. Inverse Orbital Torque via Spin -Orbital Intertwined States. Phys. Rev. Applied 19, 014069 \n(2023). \n[23] Chunhui Du; Hailong Wang; P. Chris Hammel; Fengyuan Yang. Y3Fe5O12 spin pumping for \nquantitative understanding of pure spin transport and spin Hall effect in a broad range of materials. J. \nAppl. Phys. 117, 172603 (2015). \n[24] H.L. Wang, C.H. Du, Y. Pu, R. Adur, P.C. Hammel, and F.Y. Yang. Scaling of Spin Hall Angle \nin 3d, 4d, and 5d Metals from Y 3Fe5O12/Metal Spin Pumping. Phys. Rev. Lett. 112, 197201 (2014). \n[25] C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Naletov, and J. Ben Youssef. Comparative \nmeasurements of inverse spin Hall effects and magnetoresistance in YIG/Pt and YIG/Ta. Phys. Rev. B \n87, 174417 (2013). \n[26] Yaroslav Tserkovnyak, Arne Brataas, and Gerrit E.W. Bauer. Enhanced Gilbert Damping in Thin \nFerromagnetic Films. Phys. Rev. Lett. 88, 117601 (2002). \n[27] A. Azevedo, L. H. Vilela Leão, R. L. Rodriguez -Suarez, A. B. Oliveira, S. M. Rezende. Dc effect \nin ferromagnetic resonance: Evidence of the spin pumping effect? Journal of Applied Phys. 97, 10C715 \n(2005). 17 \n [28] E. Saitoh, M. Ueda, H. Miyajima, G. Tatara. Conversion of spin current into charge current at room \ntemperature: Inverse spin -Hall effect. Applied Phys. Lett. 88, 182509 (2006). \n[29] Hiroki Hayashi, Dongwook Go, Yuriy Mokrousov and Kazuya Ando. Observation of orbital \npumping. ArXiv: 2304.05266 (2023). \n[30] A. Tsukahara, Yuichiro Ando, Yuta Kitamura, Hiroyuki Emoto, Eiji Shikoh, Michael P. Delmo, \nTeruya Shinjo, and Masashi Shiraishi. Self -induced inverse spin Hall effect in permalloy at room \ntemperature, Phys. Rev. B, 89 (23), 235317 (2014). \n[31] A. Azevedo, R. O. Cunha, F. Estrada, O. Alves Santos, J. B. S. Mendes, L. H. Vilela -Leão, R. L. \nRodríguez -Suárez, and S. M. Rezende. Electrical detection of ferromagnetic resonance in single layers \nof permalloy: Evidence of magnonic charge pumping. Phys. Rev. B, 92 (2), 024402 (2015). \n[32] A. Azevedo, L. H. Vilela -Leão, R. L. Rodríguez -Suárez, A. F. Lacerda Santos, and S. M. Rezende. \nSpin pumping and anisotropic magnetoresistance voltages in magnetic bilayers: Theory and experiment. \nPhys. Rev. B 83, 144402 (2011). \n[33] M. Harder, Yongsheng Gui, Can -Ming Hu. Electrical detection of magnetization dynamics via spin \nrectification effects. Phys. Reports, 661 (23) 1 -59 (2016). \n[34] Yong Xu, Fan Zhang, Yongshan Liu, Renyou Xu, Yuhao Jiang, Houyi Cheng, Albert Fert, \nWeisheng Zhao. Inverse Orbital Hall Effect Discovered from Light -Induced Terahertz Emission. Arxiv \n2208.01866 (2023). \n[35] Tom S. Seifert, Dongwook Go, Hiroki Hayashi, Reza Rouzegar, Frank Freimuth, Kazuya Ando, \nYuriy Mokrousov, Tobias Kampfrath. Time -domain observation of ballistic orbital -angular -momentum \ncurrents with giant relaxation length in Tungsten. Nat. Nanotechn ol. 18, 1132 –1138 (2023). \n[36] P. Wang, et al., Inverse orbital Hall effect and orbitronic terahertz emission observed in the \nmaterials with weak spin -orbit coupling. Npj Quantum Mater. 8, 28 (2023). \n[37] Choi, Dooho, et al., Phase, grain structure, stress, and resistivity of sputter -deposited tungsten films. \nJ. Vac. Sci. Technol. A 29, 051512 (2011). " }, { "title": "2004.09094v1.Ultra_Thin_Films_of_Yttrium_Iron_Garnet_with_Very_Low_Damping__A_Review.pdf", "content": "Ultra Thin Films of Yttrium Iron Garnet with Very Low Damping: A Review\nG. Schmidt\u0003\nInstitut f ur Physik, Martin-Luther-Universit at Halle-Wittenberg,\nD-06120 Halle, Germany\nand\nInterdisziplin ares Zentrum f ur Materialwissenschaften,\nMartin-Luther-Universit at Halle-Wittenberg,\nD-06120 Halle, Germany\nC. Hauser, Philip Trempler, M. Paleschke, and E. Th. Papaioannou\nInstitut f ur Physik, Martin-Luther-Universit at Halle-Wittenberg,\nD-06120 Halle, Germany\n(Dated: April 21, 2020)\nThin Yttrium Iron Garnet (YIG) is a promising material for integrated magnonics. In order to\nintroduce YIG into nanofabrication processes it is necessary to fabricate very thin YIG \flms with a\nthickness well below 100 nm while retaining the extraordinary magnetic properties of the material,\nespecially its long magnon lifetime and spin wave propagation length. Here, we give a brief intro-\nduction into the topic and we review and discuss the various results published over the last decade in\nthis area. Especially for ultrathin \flms it turns out that pulsed layer deposition and sputtering are\nthe most promising candidates. In addition, we discuss the application of room temperature depo-\nsition and annealing for lift-o\u000b based nanopatterning and the properties of nanostructures obtained\nby this method over the past years.\nI. INTRODUCTION\nIn the past decade the \feld of magnonics has become\nmore and more attractive. Using magnons for informa-\ntion processing would allow for low dissipation data pro-\ncessing devices and hold the promise of complex function-\nality [1{4]. Novel concepts have already been proposed\nincluding magnon logic circuits, magnon transistor, re-\ncon\fgurable magnonic devices, spin-wave frequency \fl-\nters, signal processing and computing [5{10]. At the\nsame time the use of ferromagnetic materials immedi-\nately brings to mind the possibility of non-volatile data\nstorage or non-volatile programmability. Even the use of\nmagnons in the context of quantum information process-\ning has been attempted [11]. All these concepts, however,\nrely on one prerequisite. They all need to be integrated\nand in order to compete as a post-CMOS technology,\nthey somehow need to approach the density of ultra large\nscale integration. While multi functional devices can by\nsome means relax the constraints which nowadays drive\nlithography for CMOS processes towards 10 nm resolu-\ntion, it is still obvious that any post-CMOS technology\nneeds to be able to address at least sub-100 nm lateral\nresolution. From the point of view of commercial lithog-\nraphy this is not a problem at all. The challenge, however\nis on the materials side. Thin \flm metallic ferromag-\nnets can easily be patterned with the required resolution\nas has been demonstrated in MRAM processing. Nev-\nertheless, in order to realize complex magnonics, it is\n\u0003Corresponding author:georg.schmidt@physik.uni-halle.denecessary to have very low damping and very long spin\nrelaxation lengths in the ferromagnet of choice. Here,\nthe range of \u000b\u00145\u000210\u00004is still restricted to the fer-\nrimagnet Yttrium Iron Garnet (YIG). This material is\nwell known and well studied since several decades and as\na bulk or thick \flm material can exhibit Ferromagnetic\nResonance (FMR) linewidths of 15 \u0016T (full width at half\nmaximum-FWHM) at 9.6 GHz [12] and show a damping\nof\u000b < 3\u000210\u00005. Many concepts of magnonic devices\nhave been realized in YIG, however, mostly in \flms with\na thickness much larger than 100 nm. The main rea-\nson lies in the fabrication technology for thin YIG \flms.\nYIG \flms of several micron thickness have traditionally\nbeen deposited using liquid phase epitaxy (LPE). This\nmethod still yields the lowest damping and linewidth in\nnon-bulk YIG. However, there seems to be a lower thick-\nness limit for LPE-YIG under which the quality starts to\ndegrade. A large e\u000bort in this respect now makes 100 nm\nYIG \flms with damping below \u000b= 10\u00004commercially\navailable on substrates of 3\" diameter or more, but still\nthinner \flms with similar quality cannot be reliably fab-\nricated by LPE. This is a large drawback because for\nnanopatterning the aspect ratio is always a critical factor\nbecause for many etching processes it limits the lateral\nstructures size to more than the layer thickness. Large\naspect ratio in nanopatterning is mainly achieved by dry\netching. No etching technique is known that yields an\naspect ratio of more than 1:1 in YIG and still retains\nits magnetic properties. So one would rather want to\nuse a layer thickness which is well below the lateral tar-\nget resolution. As a consequence alternative deposition\ntechniques are needed.arXiv:2004.09094v1 [cond-mat.mtrl-sci] 20 Apr 20202\nA major competing deposition technique is pulsed laser\ndeposition (PLD). This technique uses a target which\ntypically has the stoichiometry of the \flm that is to be\ndeposited. The material is ablated by a ns laser pulse and\ntransferred in a so-called plasma plume to the substrate\nwhich is placed opposite to the target. The target can be\nheated yielding the necessary surface mobility for the dif-\nferent impinging species to arrange in the desired lattice\nstructure during the deposition. For YIG this method\nwas already demonstrated more than 20 years ago, for\nexample in 1993 by Dorsey et al. [13]. Although for thick\n\flms the quality of PLD deposited \flms is not as high\nas for LPE grown material, for ultra thin \flms of well\nbelow 100 nm PLD seems to yield better results. Only\nrecently, further progress was made using room temper-\nature PLD and subsequent annealing, resulting in layers\nwith a damping of \u000b= (6:15\u00061:50)\u000210\u00005[14]. Room\ntemperature deposition and annealing also allows to use\nthe more industry compatible process of magnetron sput-\ntering to achieve very high quality [15], which is not pos-\nsible with sputtering at high temperature.\nThe remaining part of the paper is structured as fol-\nlows: First, we will brie\ry discuss the assessment of\nmagnonic quality and possible quality criteria. Concen-\ntrating on \flm thicknesses below 100 nm and setting the\nlower limit of the quality to a damping of \u000b\u00144\u000210\u00004or\nan FMR linewidth of less than 400 \u0016T, or both, we will\nthen discuss results published since 2012. In the sequence\nof their appearance we will start with PLD at elevated\nsubstrate temperature. Then results obtained by o\u000b-axis\nspttering, sputtering at room temperature with subse-\nquent annealing, and \fnally by PLD at room tempera-\nture will be described. Because room temperature depo-\nsition processes o\u000ber the opportunity for novel nanopat-\nterning strategies, another section is dedicated to lift-o\u000b\npatterning of YIG.\nIn the end, we present a table with the parameters for\nall layers presented in the papers discussed here as far as\ndetails were given by the respective authors.\nII. DAMPING, LINEWIDTH, AND\nPROPAGATION LENGTH\nIn order to assess the quality of a magnetic \flm for\nmagnonics, di\u000berent criteria can be used. Obviously for\nmagnonics, magnons need to propagate. So the propaga-\ntion (or decay) length ldecay can be an important param-\neter and a large propagation length is certainly a good\nargument to use a material. The decay length is the\nrelevant length scale for the decay of the spin wave am-\nplitude.\nA(x) =A(0)e\u0000x\nldecay (1)\nOn the other hand, it is not necessary to achieve a\npropagation length which is far beyond the size of the\ntarget device. It is merely necessary for ldecay to be longenough so that at the end of the propagation the signal\nis su\u000eciently large for further processing including all\npossible damping e\u000bects which may occur on the prop-\nagation path. The propagation length in turn is related\nto the magnon lifetime \u001cmagnon and the group velocity vg\nby the equation:\nldecay =\u001cmagnonvg (2)\nThis is quite important because it imposes much more\nsevere constraints on thin \flms than on thick \flms. For\nsmall k-vectors the magnon dispersion for thin \flms is\nmuch more \rat (Fig.1) than for thick \flms and the group\nvelocity@!=@k is much lower. As a consequence, for\nthe same propagation length in a thin \flm much larger\nmagnon lifetimes are needed than in a thick \flm. The\nlifetime, however, can be calculated from the Gilbert\ndamping\u000band/or the resonance linewidth \u00160\u0001H0by\nthe following formula [16]:\n\u001c0=1\n2\u0019\u000bf res(3)\nwhere f resis the eigenfrequency of the respective mode.\nAlthough thinner \flms are less favourable in terms of vg\neven for thin \flms propagation lengths have been pre-\nsented which seem long enough for magnonics applica-\ntions. In the following a number of examples are given\nfrom layers which are also described later in detail. All\nvalues are obtained for Damon Eshbach modes. The\nresults strongly di\u000ber because the lifetime is inversely\nproportional to damping and frequency, respectively (eq.\n3). So Talalaevskij et al. [17] use a 49 nm thick \flm\nwhich due to metal coverage and spin-pumping only has\na damping of \u000b= 2:8\u000210\u00003. At a frequency of 6 GHz\nand an external \feld \u00160H= 160 mT they obtain a de-\ncay length of ldecay = 3:6\u0016m. No values for the magnon\nlifetime or group velocity are presented.\nA very detailed investigation is presented by Qin et\nal.[18]. They investigate magnon propagation at various\nmagnetic \felds, frequencies, and k-vectors. The results\nclearly show that due to the dispersion relation (Fig. 1),\nthat has the highest slope close to the uniform mode,\nsmall k-vectors show a larger group velocity than large\nones. Similarly for constant k-vector smaller magnetic\n\felds also yield larger group velocities, mainly because\nthe shape of the dispersion relation changes for di\u000berent\nmagnetic \felds. In addition lower magnetic \felds which\ngo along with lower frequency for constant k-vector also\nlead to an increasing lifetime which is inversely propor-\ntional to the frequency (eq. 3). For a 40 nm thick \flm\nwith a damping of \u000b= 3:5\u000210\u00004they \fnd a magnon\nlifetime of up to \u001c= 500 ns and group velocities up to\nvg= 3000 m/s. From these values a decay length of\nldecay = 1:5 mm can be inferred.\nBased on this analysis also the following two results can\nbe understood. Collet et al. [19] use a 20 nm thick \flm3\nand perform their investigation at f= 6.6 GHz and \u00160H\n= 150 mT. For the relatively thin \flm the group velocity\nobserved is approx. vg\u0019320 m/s. The observed decay\nlength is only ldecay = 25\u0016m corresponding to a magnon\nlifetime of\u001c= 78 ns. Especially the small magnon life-\ntime can be related to the high frequency and magnetic\n\feld which are used.\nYuet al. [16] achieve large magnon lifetimes up to\n620 ns working in the frequency range between 0.7 and\n1.5 GHz. Although the YIG \flm which is used is only\n20 nm thick they achieve group velocities up to vg=\n1200 m/s which decrease to 600 m/s for higher k-vectors.\nThese values are determined at a relatively low magnetic\n\feld of\u00160H= 5 mT. The maximum propagation length\nresulting from these properties is ldecay = 580\u0016m.\nSo even for very thin YIG \flms it is possible to achieve\npropagation lengths which are su\u000eciently large for inte-\ngrated magnonics. However, it also becomes clear from\nthese results that the propagation length is only of lim-\nited use to determine the Gilbert damping. Although the\nmagnon lifetime is directly related to the damping the\ngroup velocity strongly depends on magnetic \feld, fre-\nquency and k-vector making the extraction of the damp-\ning itself rather complicated.\nAfter discussing the lifetime, it makes sense to have\na closer look at the linewidth. Because the linewidth\nis often measured at a \fxed frequency by sweeping the\nmagnetic \feld we discuss the linewidth in units of the\nmagnetic \feld. In an ideal system the linewidth in FMR\n\u00160\u0001His proportional to the frequency and the linewidth\nfor zero frequency \u00160\u0001H0is zero:\nFIG. 1. Calculated dispersion relation for a 100 nm thick\nand a 1\u0016m thick YIG \flm, respectively, with backward vol-\nume mode (BVM), Damon Eshbach mode (DEM), and for-\nward volume mode (FVM). It is clearly visible that j@!=@kj\nis smaller for the thinner \flm, at least for small k-vectors.\n\u00160\u0001HFMR HWHM =2\u0019\u000bf res\n\r(4)with\r=2\u0019= 28 GHz/T being the gyromagnetic ratio\nand\u000bbeing the Gilbert damping. This equation uses\nthe half width at half maximum (HWHM) value of the\nlinewidth. As mentioned before, however, the magnon\nlifetime is the relevant parameter for magnonics applica-\ntions. Using eqs. 4 and 3 we are allowed to directly con-\nvert linewidth into lifetime. The latter is no longer pos-\nsible if\u00160\u0001H06= 0. Unfortunately a vanishing \u00160\u0001H0\nis unrealistic and it needs to be understood how \u00160\u0001H,\n\u001cand\u000bare interrelated and how this needs to be taken\ninto account when assessing the layer quality.\nFrom eq. 4 we can see that a certain linewidth at\na \fxed frequency always imposes an upper limit to the\ndamping because it assumes \u00160\u0001H0= 0. This upper\nlimit corresponds to a damping of approx. 2 :8\u000210\u00004\nper 100\u0016T linewidth at 10 GHz.\nIf\u00160\u0001H0is \fnite the following equation may be ap-\nplied:\n\u00160\u0001HFMR HWHM =\u00160\u0001H0HWHM +2\u0019\u000bf res\n\r(5)\nwhere this equation uses again the HWHM value of the\nlinewidth.\nIt should be noted that this equation assumes that\nthe inhomogeneous linewidth broadening \u00160\u0001H0is com-\npletely frequency independent, an assumption which is\ndi\u000ecult to prove in the experiment (if at all). An inho-\nmogeneous broadening involving two-magnon scattering\nfor example would be frequency dependent. So in this\ncase something intuitively odd can be observed. When\nfor a constant linewidth \u00160\u0001Hat a given frequency the\ninhomogeneous linewidth \u00160\u0001H0is increased the slope\nof\u00160\u0001H(f) decreases which corresponds to a nominally\nreduced damping (eq. 5). This happens despite the fact\nthat we apparently introduce physics which at low fre-\nquency broaden the lines and thus seem unfavorable for\nlong lived magnons.\nSo it is theoretically possible to extract ultra low damp-\ning values from very broad lines if the linewidth does\n(almost) not change with frequency. Nevertheless, the\nbroadening can have multiple reasons. For example the\nlayer can be inhomogeneous or one can observe a line\nwhich in reality is composed of several lines and the\nchange in linewidth with changing frequency is merely\ncaused by the frequency dependent line position of the\nindividual lines. For any discussion one also needs to\nconsider that eq. 5 is based on a single spin approxi-\nmation and it is at least debatable whether this approx-\nimation holds for an inhomogeneous sample. It is thus\nof utmost importance to determine whether the magnon\nlifetime\u001cmagnon is only given by \u000bas determined from\neq. 5 or whether the physics leading to a large \u00160\u0001H0in\nFMR can reduce the magnon lifetime, a question which\nin some cases may only be answered by investigating\nmagnon propagation or time domain FMR.\nAs a consequence it is realistic to state that a very nar-\nrow linewidth is a reasonable indicator for long magnon4\nFIG. 2. Examples for di\u000berent combinations of linewidth (at\n9.5 GHz) and damping. The dashed line shows a very small\nlinewidth. The corresponding damping is also very small,\nalthough it is the maximum possible value for this linewidth\nbecause\u00160\u0001H= 0. The dash-dotted line shows a much\nlarger damping, although with 150 \u0016T the linewidth is still\nsmall. For the solid line the damping is again lower, although\nwith 350\u0016T the linewith is much larger. In this case the low\ndamping is only due to the intercept of the plot with the\ny-axis corresponding to \u00160\u0001H0= 300\u0016T.\nlife times and always must go along with a reasonably\nlow damping. What damping is extracted in the anal-\nysis, is then determined by \u00160\u0001H0which for a linear\ndependence of linewidth on frequency also determines\nthe slope. On the other hand, a small damping can be\nconsidered a necessary but not always su\u000ecient condi-\ntion for long lived magnons. Ideally always both values,\nlinewidth and damping should be mentioned. Further-\nmore, when the damping is determined by measuring the\nfrequency dependence of the FMR linewidth it is good\nto check whether properties of a single line and of a ho-\nmogeneous layer are observed. This can be achieved by\nfor example doing measurements over a wide frequency\nrange and by doing experiments on samples of di\u000berent\nsize. For these reasons also our following discussion of\nthin \flm YIG the properties will always discuss damping\nand FMR linewidth (if given in the respective publica-\ntions). It should be noted that although the linewidth is\nmentioned in most of the relevant publications a direct\ncomparison needs to be done with caution. In di\u000berent\nexperiments the linewidth may be determined in a dif-\nferent way and even the de\fnition of the linewidth can\nbe di\u000berent. In most cases the relevant linewidth is the\nhalf width at half maximum (HWHM). In some cases,\nalso the full width at half maximum (FWHM) may be\nmentioned which intuitively is more like the width of the\nline. A third alternative results from the way the ferro-\nmagnetic resonance is measured. Quite often the sensi-\ntivity of the FMR detection is enhanced by modulating\nthe external magnetic \feld and using lock-in detection.As a consequence the measured signal is the derivative of\nthe RF-absorption. From this data usually the peak-to-\npeak (p-p) linewidth is extracted and sometimes listed as\nthe relevant linewidth. There is, however, a conversion\nfactor ofp\n3=2 which needs to be applied to the peak to\npeak value to get to the HWHM. So the p-p value over-\nestimates the linewidth compared to the HWHM. Quite\noften it is not explicitly if it is not mentioned what def-\ninition of the linewidth is used. However, in many cases\nthis can be concluded from the way the linewidth is con-\nverted into a damping. So while eq. 5 is to be used\nwith HWHM the relevant equation for the p-p linewidth\nwould be\n\u00160\u0001HFMR p-p=\u00160\u0001H0p-p+2p\n32\u0019\u000bf res\n\r(6)\nand for FWHM\n\u00160\u0001HFMR FWHM =\u00160\u0001H0FWHM +4\u0019\u000bf res\n\r(7)\nFrom the changing prefactor one can also deduce the\nmeaning of \u00160\u0001H0in the equation which in eq. 5 would\nbe\u00160\u0001H0HWHM while in eq. 6 it means \u00160\u0001H0p-pand in\neq. 7\u00160\u0001H0FWHM .\nFinally it should me mentioned that for the extraction\nof the linewidth a \ft by a Lorentzian line shape should\nbe done in order to make sure that indeed a single line is\npresent and not multiple overlapping lines.\nIII. GROWTH METHODS\nIn the following, three di\u000berent growth methods are\ndescribed and compared in terms of results, namely high\ntemperature PLD, room temperature sputtering with\nsubsequent annealing, and room temperature PLD with\nsubsequent annealing. For all three of them, growth of\nsub-100 nm YIG \flms with extraordinary properties has\nbeen published some with outstanding results and sev-\neral more which still can be considered as high quality\n\flms. It is noteworthy that in all of these experiments\ngallium gadolinium garnet (GGG) has been used as a\nsubstrate. Besides the fact that the garnet structure of\nGGG is favorable for YIG growth, the lattice constant of\nthe GGG substrate (1.2383 nm) is very close to the YIG\nbulk value of 1.2376 nm. As expected, most layer grow\npseudomorphic and are fully strained. Although most\nexperiments use (111) orientation a few exceptions show\nthat the crystalline orientation of the substrate does not\nhave a clear in\ruence on the magnetic layer quality, see\nTable 1. In order to place the values given below into\nthe right context it should be noted that for thick \flms\ngrown by LPE a FWHM linewidth of 15 \u0016T @ 9.5 GHz\nwas reported [12] which as described above corresponds\nto an upper limit for the damping of \u000b= 2\u000210\u00005.5\nFor sake of completeness in Table 1 we also list the\nvalues of the determined saturation magnetization in the\nvarious experiments which can be compared to the bulk\nvalue for YIG which is \u00160MS\u0019180 mT [20]. However,\nit turns out that although there is a huge variation of\n\u00160MSno clear relation between the saturation magneti-\nzation and the damping can be observed. Also the values\nneed to be taken with a grain of salt. In some cases the\nsaturation magnetization is obtained by a \ft to the Kittel\nformula [21] which leaves an uncertainty of the crystalline\nanisotropy which in some cases may not be negligible [14].\nIn those cases \u00160Me\u000bwill be given instead.\nA. Pulsed Laser Deposition\nHigh temperature PLD was used for the fabrication of\nthin \flm YIG already in the nineties [13]. Already in\n1993 the growth of YIG on GGG by PLD was demon-\nstrated. In that case no value for the damping was de-\ntermined. Nevertheless, a linewidth of \u00160\u0001H0FWHM =\n100\u0016T @ 9:5 GHz which sets the damping to \u000b\u00141:5\u0002\n10\u00004assuming\u00160\u0001H0= 0. Obviously the real damp-\ning is even lower than this, most likely below 1 \u000210\u00004.\nIn this case, the thickness of the layer was 1 \u0016m which\nis above the range that we are discussing here. Never-\ntheless, as an early and extraordinary result it can serve\nas a reference of what is possible using PLD. Similar re-\nsults were obtained later by Manuilov [22] who achieved\n\u00160\u0001H= 90\u0016T @ 9:5 GHz for a 1.22 \u0016m thick \flm, how-\never, only for measurements with the magnetization satu-\nrated perpendicular to the \flm. This measurement geom-\netry can avoid two magnon scattering and in many cases\ncan yield damping values below those measured with in-\nplane magnetization [23]. As mentioned both these ex-\nperiments used \flm thicknesses much larger than 100 nm\nand more results can be found in literature for this thick-\nness range.\nFor thinner \flms grown at high temperature a \frst out-\nstanding result was published in 2012 by Sun et al. [24].\nIn this publication a p-p linewidth of \u00160\u0001Hp-p= 340\u0016T\n@9:5 GHz was achieved for an as-grown YIG \flm of\n19 nm thickness grown by high temperature PLD on\n(111)-oriented GGG substrates. Because \u00160\u0001H0is al-\nmost 300\u0016T the damping is also very small with \u000b=\n2:3\u000210\u00004. In this work the correlation of growth con-\nditions, structural and chemical properties of the layers\nand damping is investigated. After deposition an anneal-\ning step in oxygen at growth temperature for 10 min is\nperformed. The authors observe that low growth rate\nand higher substrate temperature (maximum substrate\ntemperature is TS= 850\u000eC) yield the smallest linewidth.\nThey attribute this mainly to the lowering of the sur-\nface roughness and Fe de\fciency at the surface which\ndecreases for increasing growth temperature and decreas-\ning growth rate. The Fe de\fciency and its change with\ngrowth parameters is evidenced by XPS. The Fe de\f-\nciency and the roughness should increase two magnonscattering and thus cause the linewidth broadening. This\ntheory is further supported by removal of the top surface\nby soft ion bombardment which indeed within certain\nlimits reduces the FMR linewidth of a sample, Fig. 3.\nThe surface roughness of the samples as-grown was as\nsmall as 0.16 nm. Based on these results the authors also\nclaim that the trend of higher damping for thinner \flms\nwhich is also observed by other groups can be attributed\nto the decreasing contribution of the bulk compared to\nthe detrimental in\ruence of the surface layer. No lattice\nconstant of the YIG layers is explicitely mentioned but\nthe X-ray di\u000braction shows a larger interlayer distance\nfor the strained YIG \flm than for the GGG substrate\nmeaning that in the relaxed state the YIG would also\nhave a larger lattice constant than the GGG. At \frst\nglance this is surprising because the lattice constant of\nbulk YIG is smaller than the one of GGG. However, this\ne\u000bect is observed for all experiments discussed below (as\nfar as can be determined from the information supplied).\nThe two layers for which \u00160Me\u000bis listed show values of\n167 mT (11 nm thickness) and 188 mT (19 nm thickness).\nThe second value is even above the bulk value. As shown\nin the following, a trend of larger Mfor thicker \flms is\noften observed.\nFIG. 3. Reproduced from Sun et al. , Applied Physics Letters\n101(15), 152405 (2012) [24], with the permission of AIP Pub-\nlishing. (a)-(c) FMR pro\fles for an 11 nm \flm before and after\netching, as indicated. (d)-(f) FMR linewidth, FMR \feld, and\ne\u000bective saturation induction as a function of etching time.\nIn 2013 d'Allivy Kelly and coworkers published an even\nsmaller linewidth for similarly thin YIG \flms [25]. Here,\nlayers with three di\u000berent respective thicknesses were in-\nvestigated (4 nm, 7 nm, 20 nm). Even the thickest of\nthose layers is among the thinnest for which a linewidth6\nof approx. 200 \u0016T has ever been reported. The p-p\nlinewidth at 6 GHz for the 20 nm \flm is as small as\n190\u0016T, Fig. 4. For comparison: taking into account\nthe extracted damping this corresponds to a HWHM of\napprox. 200 \u0016T at 10 GHz. The corresponding value for\nthe damping is \u000b= 2:3\u000210\u00004which is larger than for\nthicker \flms but up to that time together with the result\nfrom Sun et al. the best value observed for that thick-\nness range. The fact that despite the smaller linewidth\ncompared to Sun et al. the damping is not decreased is\ndue to the smaller zero frequency linewidth. The lay-\ners were deposited on (111)-oriented GGG substrates at\na temperature of 650\u000eC. It is interesting to note that\nthe substrate temperature is much lower than the opti-\nmum value determined by Sun et al. and the linewidth is\nachieved despite a surface roughness of 0.23 nm which is\nlarger than for the aforementioned layers. The cubic lat-\ntice constant of the 20 nm thick \flm is 1.2459 nm and thus\nconsiderably larger than that of the substrate. While for\na thickness of 20 nm the layer quality is excellent, for the\nthinner \flms presented by d'Allivy Kelly et al. , however,\nthe magnetic properties quickly start to degrade. For\nthese \flms \u00160MSwas determined by SQUID magnetom-\netry. With a value of 210 mT for \flms of 20 nm and 7 nm\nthickness the values are much higher than the bulk value\nand only for 4 nm thickness \u00160MSgoes down to 170 mT.\nThese results are exceptional because in all experiments\ndiscussed here \u00160MSis not or only slightly larger than\nin bulk YIG. In a subsequent publication [16], a magnon\ndecay length of 580 \u0016m was estimated for a \flm with\nidentical properties fabricated by the same process.\nOne year later low damping and linewidth for PLD\ngrown thin YIG \flms were also reported by Onbasli et\nal.[26]. Among the results discussed here it is the only\none where (001)-oriented GGG substrates were used.\nHere a linewidth of 300 \u0016T at 10 GHz is mentioned for\na 79 nm thick layer, however, the FWHM is given. So\nwith\u00160\u0001HHWHM = 150\u0016T the linewidth is even lower\nthan for d'Allivy Kelly [25]. The corresponding damping\nvalue is\u000b= 2:2\u000210\u00004. Onbasli et al. investigate sev-\neral thicknesses and also observe a clear trend towards\nhigher damping for thinner \flms (Fig. 5). For compari-\nson a 34 nm \flm prepared by the same method exhibits\n\u000b= 5:8\u000210\u00004. As in [25] the \flms were deposited at a\nsubstrate temperature of 650\u000eC. For layer thicker than\n50 nm the out-of-plane lattice constant was determined\nby X-ray di\u000braction, which varied from 1.2391 nm to\n1.2408 nm without a clear dependence on the thickness.\nFor layers with thicknesses between 34 nm and 190 nm\nthickness\u00160MSvaried between 167 mT and 172 mT with\nno systematic thickness dependence. Only for a very thin\n\flm (17 nm) \u00160MSdropped to 158 mT.\nIn 2015 Howe et al. also published data from 23 nm\nthick PLD deposited \flms [27]. In this case the damping\nwas even lower than for [25] with \u000b\u00191:8\u000210\u00004with\nslight variations depending on the measurement tech-\nnique. With \u00160\u0001Hp-p= 200\u0016T the linewidth at 10 GHz\nis also smaller than that reported in [25], Fig. 6. Howe\nFIG. 4. Reproduced from d'Allivy Kelly et al. , Applied\nPhysics Letters 103(8), 082408 (2013) [25], with the permis-\nsion of AIP Publishing. (a) and (b) FMR absorption deriva-\ntive spectra of 20 and 4 nm thick YIG \flms at an excitation\nfrequency of 6 GHz. (c) rf excitation frequency dependence\nof FMR absorption linewidth measured on di\u000berent YIG \flm\nthicknesses with an in-plane oriented static \feld. The black\ncontinuous line is a linear \ft on the 20 nm thick \flm from\nwhich a Gilbert damping coe\u000ecient of 2 :3\u000210\u00004can be in-\nferred (\u0001Hp\u0000p= \u0001H0+\u000b4\u0019\n\rp\n3f). The damping of the 7 nm\nand 4 nm \flms is signi\fcantly larger but most o\u000b all the fre-\nquency dependence is not linear.\nand coworkers used a higher deposition temperature of\n825\u000eC. The lattice constant that they obtained by x-ray\ndi\u000braction (1.2525 nm) is even larger than that observed\nin [25].\u00160MSwas measured by vibrating sample magne-\ntometry (VSM) to 160 mT.\nAnother interesting result with low damping was ob-\ntained by Tang et al. [28] in 2016. They deposited YIG\n\flms on (110)-oriented substrates at a temperature of\n750\u000eC. By annealing the substrates at high temperature\nprior to growth they achieve a very smooth substrate\nsurface and as a consequence also very \rat layers. With\n0.067 nm the root mean square (RMS) value of the sur-\nface roughness is the smallest for high temperature PLD\ngrown \flms discussed here. Damping and linewidth of\nthe \flms show a peculiar dependence. For a thin \flm\nof 17 nm thickness the authors observe a damping of\n\u000b= 7:2\u000210\u00004, while for a 100 nm thick \flm the damping\nis\u000b= 1:0\u000210\u00004Nevertheless, for the thick \flm the zero\nfrequency linewidth is \u00160\u0001H0\u0019700\u0016T while for the\nthin \flm it is \u00160\u0001H0\u0019250\u0016T. Even up to a frequency\nof 8 GHz the linewidth for the thin \flm remains below\nthe one measured for the thick \flm although the damp-\ning of the thick \flm nominally is 7 times smaller. Still the\nobservation of Sun et al. [24] seems to be con\frmed that\nlow surface roughness is a necessary ingredient for low\ndamping. One more result from this work should be men-\ntioned. For the \flms grown on (110)-oriented substrate\nhysteresis loops taken by vibrating sample magnetometry\nat room temperature indicate a large in-plane anisotropy\nand in certain in-plane directions almost 20 mT are nec-7\nFIG. 5. Reproduced from Onbasli et al. , APL Materials 2(10),\n106102 (2014) [26], with the permission of AIP Publishing. (a)\n\u0001Has a function of the resonance frequency. The inset shows\none example of the measurement for a resonance frequency of\n10.704 GHz. All these data were recorded for the 92 nm thick\nYIG \flm. Damping ( \u000b) is 3:4\u000210\u00004and \u0001H0= 1.2 Oe\nfor this sample. (b) Damping parameter of YIG \flms as a\nfunction of \flm thickness.\nessary to fully saturate the \flms while for \flms grown on\n(111)-surfaces the anisotropy is usually only a few hun-\ndred\u0016T. Tang et al. do not mention an explicit value\nfor\u00160MSbut from a hysteresis loop a value of approx.\n180 mT can be extracted which is close to the bulk value.\nFurther results were reported in the last \fve years,\nbut for PLD at elevated temperatures to the best of our\nknowledge none of them show similar combinations of\nlow damping and small linewidth. Nevertheless, we will\ndiscuss the best results known to us.\nIn 2014 Hahn et al. [29] reported on 20 nm thick YIG\n\flms and nanostructures fabricated by the same process\nas used in [25]. The nanopatterning was performed by\nelectron beam lithography and ion-milling. Interestingly\nthe damping that is obtained for the continuous \flm is\nonly\u000b= 4\u000210\u00004compared to \u000b= 2:2\u000210\u00004in [25] for\na similar \flm nominally fabricated by the same process.\nFor a nanodisk of 700 nm diameter, no damping is given,\nFIG. 6. c\r[2015] IEEE. Reprinted, with permission, from\nHowe et al. IEEE Magnetics Letters 6, 3500504 (2015) [27].\n(a, b) FMR spectra measured with a broadband FMR system:\n(a) \feld-sweep spectrum with in-plane bias \feld at \fxed f=\n15 GHz, and (b) frequency-sweep spectrum with \fxed out-\nof-plane bias \feld H= 7710 Oe. (c,d) Resonance \feld HFMR\nversus microwave frequency for in-plane bias \feld (c) and out-\nof-plane bias \feld (d). (e, f) Peak-to peak linewidth \u0001 Hp-p\nversus microwave frequency f for in-plane bias \feld (e) and\nout-of-plane bias \feld (f). All data are measured from a 23 nm\nthick YIG \flm. Solid green symbols in (c) and (e) indicate\nvalues measured in a cavity operated at f= 9.56 GHz.\nhowever, the linewidth at 8.2 GHz is smaller than for the\ncontinuous \flm. It should, however, be noted that the\nFMR measurements on the disk were done in a perpen-\ndicular bias \feld while those for the \flm were performed\nwith in-plane \feld. Depending on the layer the measure-\nment in perpendicular \feld can yield a smaller linewidth\nthan for the in-plane \feld due to the absence of two-\nmagnon scattering as mentioned in [24] and also observed\nby Manuilov et al. [22]. Nevertheless, the linewidth ob-\nserved for the disk is so small that in the limit of \u0001 H0= 0\nthe damping of the disk cannot be larger than the one\ndetermined for the \flm. \u00160MSof the \flm is 210 mT as\nin [25].\nIn 2017 two more papers appeared by Collet and\ncoworkers in which also 20 nm thick \flms fabricated as\ndescribed in [25] are used. In one of them, the layers\nshow a damping of \u000b= 4\u000210\u00004with\u00160Me\u000bof 213 mT\n[19], in the other one the damping is \u000b= 4:8\u000210\u00004[30].\nIn 2015 another publication by Jung\reisch et al. [31]\nreported damping values for layers grown by the process8\npresented in 2014 by Onbasli et al. [26]. Also in this case\nthe damping obtained is not as good as the one reported\nin the original paper. The best value is achieved for a\n75 nm thick \flm with a value of \u000b= 4:89\u000210\u00004compared\nto\u000b= 2:2\u000210\u00004published in [26]. Here the values for\n\u00160MSpartly di\u000ber from those obtained in [26]. For the\n75 nm \flm they observe \u00160MS= 166 mT which is close to\nthe value of the original paper. For a 20 nm \flm, however\n\u00160MSdrops dramatically to 103 mT.\nA \fnal example from 2018 used YIG thin \flms for the\ninvestigation of spin wave propagation. Qin et al. [18]\nalso deposit the \flms by PLD on (111)-oriented GGG\nsubstrates. The deposition temperature is 800\u000eC and\nthe layer thickness is 40 nm. With 144 mT \u00160MSis con-\nsiderably smaller than the bulk value. X-ray di\u000braction\nagain shows the YIG lattice constant to be larger than\nthat of the substrate.\nSummarising these results, it is obvious that depending\non the process details it is possible to obtain high qual-\nity YIG at least in a substrate temperature range from\n650\u000eC to 850\u000eC. Although most publications present\ngrowth on (111)-oriented GGG substrates, low damp-\ning was also obtained for \flms grown on (011) or (001)-\noriented GGG. For (011), however, the linewidth was rel-\natively large. For all \flms the lattice constant is larger\nthan for bulk YIG and even larger than for the GGG sub-\nstrate. In view of these results the assumption by Sun et\nal.that surface roughness and damping are related are at\nleast not disproved. All \flms with low damping also have\na very low surface roughness. The second assumption of\na change in stoichiometry at the surface, however, is de-\nbatable. None other of the publications presents XPS\ndata. Some at least show X-ray rocking curves with very\nsmall FWHM indicating a homogeneous lattice constant\nthroughout the \flm. As we will show later, however,\nFe de\fciency at the surface can go along with a narrow\npeak in the rocking curve. However, this Fe de\fciency\nis even observed for the \flms with the lowest damping\ndemonstrated so far.\nBesides this, neither lattice constant nor saturation\nmagnetization can be correlated to the magnetization dy-\nnamics. Among layers with high quality the values of\n\u00160MSvary between 160 mT and 210 mT, the \frst value\nwell below, the second well above the bulk value. The\nonly clear trend is that for the thinnest \flms the mag-\nnetization seems to drop rapidly, however in some cases\nthis drop happens already at 20 nm thickness, in other\ncases well below this value.\nB. O\u000b-axis sputtering\nA special technique for growth of thin \flm YIG mainly\ndeveloped by F. Yang and presented for example in [32]\nis o\u000b-axis sputtering. The \flms which are grown by this\ntechnique distinguish themselves from other results in\nseveral ways. The saturation magnetization for a 160 nm\nthick \flm was determined to \u00160MS= 202 mT which iseven higher than the bulk value. Also the X-ray di\u000brac-\ntion data is di\u000berent from typical results for sputtering\nor PLD growth. In [33] the group also presents X-ray\ndi\u000braction data for various \flm thicknesses. They \fnd\nthat depending on \flm thickness the respective lattice\nconstant perpendicular to plane can be either larger than\nthat of GGG or smaller. This is not consistent with\na layer of constant composition and in di\u000berent states\nof relaxation but actually can only be explained by dif-\nferent bulk lattice constants. FMR linewidth is given\nfor a 30 nm thick \flm as \u00160\u0001Hp-p= 275\u0016T corre-\nsponding to \u00160\u0001HHWHM = 238\u0016T. Unfortunately no\nGilbert damping is extracted for this \flm thickness. Nev-\nertheless, based on our initial discussion we can state\nthat even for an unrealistically small inhomogeneous\nlinewidth of \u00160\u0001H0= 0 the damping must be smaller\nthan\u000b= 6:7\u000210\u00004and in reality a damping in the lower\n10\u00004range can be assumed. For a 16 nm thick \flm the\ndamping is determined to \u000b= 6:1\u000210\u00004. In this case the\nlinewidth at 10 GHz is closer to \u00160\u0001Hp-p= 600\u0016T and\nthe inhomogeneous linewidth is \u00160\u0001H0p-p\u0019360\u0016T. Be-\nsides these values the layers show exceptional e\u000eciency in\nspin pumping experiments. The ISH-voltages measured\nusing even 20 nm thin YIG \flms covered by metals with\nlarge spin orbit coupling can be as high as 5 mV. This\nallows to determine the ISHE not only for materials like\nPt or W but also for materials with low SOC as for Cu,\nAg, or Ti [32].\nC. Room temperature sputtering\nTo the best of our knowledge the \frst publication\nwhich mentions high quality thin \flm YIG by room\ntemperature sputtering and subsequent annealing is by\nChang et al. in 2014 [15]. At the same time it also reports\nthe lowest damping not only for layers fabricated this way\nbut also for any sub-100 nm YIG \flm. The layers were\nobtained by sputtering YIG from a stoichiometric target\nusing ordinary magnetron sputtering in Ar atmosphere.\nSubsequently the \flms were annealed in pure oxygen at a\ntemperature of 800\u000eC for 4 hours. All \flms were grown\non (111)-oriented GGG substrates. The resulting \flms\nare distinguished by a very low surface roughness. The\nlattice constant is again larger than for GGG. Five di\u000ber-\nent layers grown at di\u000berent Ar-pressures are presented,\nall of them with a damping of \u000b\u00143:1\u000210\u00004. The best\nvalue is obtained for a 22 nm thick \flm with a damping\nof\u000b\u00148:6\u000210\u00005. This record value goes along with a\nsurface roughness of 0.13 nm. FMR results are presented\nfor perpendicular and in-plane orientation of the external\n\feld, respectively. For both cases similar values for the\ndamping are obtained ( \u000b\u00148:58\u000210\u00005for perpendicu-\nlar \feld and \u000b\u00148:74\u000210\u00005for in-plane \feld), Fig. 7.\nSurprisingly the relatively large p-p linewidth of approx.\n670\u0016Tat 10 GHz (extrapolated from Fig. 7) is even big-\nger for perpendicular \feld indicating little in\ruence of\ntwo magnon scattering which may be related to the low9\nsurface roughness. The authors attribute the high quality\nto the optimization of the Ar pressure during deposition.\nFor the best \flm they obtain \u00160MS= 177 mT which is\nidentical to the bulk value of YIG within the measure-\nment uncertainty.\nFIG. 7. c\r[2014] IEEE. Reprinted, with permission, from\nChang et al., IEEE Magnetics Letters 5, 6700104 (2014) [15].\nFMR data obtained with the same YIG \flm cited in Fig. 1\n(of Chang et al. [15], layer thickness is 22.3 nm). The left and\nright columns show the FMR data measured with a static\nmagnetic \feld applied perpendicular to and in the plane of\nthe YIG \flm, respectively.\nIn 2017 more experiments on \flms grown by the same\nparameters were reported [34]. All layers described there\nwere also of a thickness of approx. 20 nm. Four out\nof six \flms showed a damping of \u000b < 2\u000210\u00004, three\nout of those four even had a damping below 10\u00004repro-\nducing the former results. The FMR linewidth of these\n\flms, however, was even bigger than reported in [15].\nWhile in 2014 Chang et al. reported 690 \u0016T at 16.5 GHz\nthe linewidth at 16.5 GHz for the \flms reported in 2017\nranges from 850 to 1200 \u0016T. The values of \u00160Me\u000bfor\nall \flms vary between 175 mT and 192 mT so on average\nthey are slightly above the bulk value.\nAlready in 2014 another group also published data on\n\flms grown by room temperature sputtering and post\nannealing [35]. The \flms were grown by on-axis sput-\ntering on (111)-oriented GGG substrates and annealedin Air for 24 hours. The surface roughness is extremely\nsmall with an RMS value of 0.008 nm. The interface be-\ntween YIG and substrate, however, had a much larger\nroughness of 0.6 nm and also transmission electron mi-\ncroscopy showed a large number of spherical defects with\na diameter of 10 nm or more, not observed by other\ngroups. The smallest linewidth obtained at 9.5 GHz is as\nsmall as\u00160\u0001Hp\u0000p= 380\u0016T but for a broader range of\nsamples a linewidth between 400 \u0016T and 600\u0016T is men-\ntioned. The best damping value obtained in this case is\n\u000b\u00147:0\u000210\u00004.\nIn 2017 Talalaevskij et al [17] also reported growth of\nhigh quality thin YIG \flms by room temperature sput-\ntering and annealing in air. The annealing was done at\nT = 850\u000eC for 120 minutes. Unfortunately no X-ray\ndi\u000braction data or lattice constant are supplied, how-\never, X-ray re\rectometry shows extremely smooth in-\nterfaces and surfaces. The \flms which are investigated\nvary in thickness between 19 and 49 nm. In contrast to\nother groups magnetization measurements indicate a 4-\n6 nm thick magnetically dead layer at the interface to\nthe substrate leading to very low \u00160MS= 100 mT for\nthe 19 nm thick \flm. \u00160MSincreases with thickness over\n\u00160MS= 140 mT (29 nm), \u00160MS= 150 mT (38 nm), to\n\u00160MS= 160 mT for a 49 nm thick \flm. Assuming a\ndead layer of 5 nm the last value corresponds to the mag-\nnetic part of the layer reaching the magnetization value\nof bulk material. With \u000b= 2:4\u000210\u00004for the 49 nm\nthick layer the damping is not as good as reported by\nChang et al. [15], however, the linewidth at 10 GHz is\nsmaller with \u00160\u0001HHWHM\u0019400\u0016T. A similar damping\n(\u000b= 2:6\u000210\u00004) is achieved for 38 nm thickness while\nfor 29 nm ( \u000b= 5:8\u000210\u00004) and 19 nm \flm thickness\n(\u000b= 8:1\u000210\u00004) the damping is considerably increased\nfollowing the trend observed by most groups.\nEspecially the publications by Chang et al. show that\nroom temperature sputtering and annealing can repro-\nducibly deliver YIG thin \flms with extremely low damp-\ning, although the linewidth for these low damping \flms\nis typically much bigger than for high quality PLD grown\nsamples. There is, however, no-other group that has\npublished similarly good layers fabricated by the same\nmethod.\nD. Room temperature PLD\nIn 2016 results were published from our group on YIG\nthin \flms deposited at room temperature by PLD on\n(111)-oriented GGG substrates [14]. Transmission elec-\ntron microscopy on the deposited layers showed that they\nwere almost amorphous. The absence of magnetism was\ncon\frmed by SQUID magnetometry within the measure-\nment limits. After annealing at temperatures between\n800\u000eC and 900\u000eC in pure oxygen at ambient pressure the\nlayers became ferrimagnetic with a saturation magnetiza-\ntion which is typically 10% smaller than the bulk value\nknown for YIG. After annealing, transmission electron10\nmicroscopy shows an apparently \rawless \flm. No defects\nare visible, neither in the layer nor at the GGG/YIG\ninterface. The lattice constant is again larger than for\nthe GGG substrate with a lattice mismatch of approx.\n0.06% to the GGG substrate. The surface roughness de-\ntermined by X-ray re\rectometry is at least better than\n0.2 nm. An X-ray rocking curve shows a FWHM for\nthe YIG (444) di\u000braction peak of 0.015\u000eindicating that\nthe layer is very homogeneous. Although one would ex-\npect that this result excludes a surface depletion of Fe\nwhich was suggested by Sun et al. [24] as a source of\ntwo magnon scattering, recent XPS measurements have\nshown that even in layers fabricated by this method the\nY/Fe ration is strongly increased at the surface. So\nit seems on one hand that the Fe de\fciency does not\nchange the lattice quality on a noticeable level. On the\nother hand in constrast to the assumption by Sun et al.\nthe dynamic properties of these layers are very good. A\n56 nm thick layer fabricated this way exhibited a mini-\nmum linewidth of \u00160\u0001HHWHM = 130\u0016T at 9.6 GHz and\na damping of \u000b\u00146:5\u000210\u00005. Fig. 8. Up to now\nthese are the lowest values for linewidth and damping\never reported for sub 100 nm \flms. This sample was\nannealed at 800\u000eC for 30 minutes. Another 20 nm thick\n\flm was annealed also at 800\u000eC but for 3 hours. For this\n\flm 20 nm thick \flm the linewidth at 9.6 GHz is larger\n(\u00160\u0001HHWHM = 325\u0016T but still the damping is as low\nas\u000b\u00147:39\u000210\u00005which is also lower than other values\npreviously reported. A higher annealing temperature re-\nsulted in a linewidth of \u00160\u0001HHWHM = 160\u0016T at 9.6 GHz\nbut no damping could be determined because of overlap-\nping spin waves in the accessible frequency range. Ex-\nperience shows, however, that for the temperature range\nfrom 800\u000eC to 900\u000eC and for annealing times from 30\nminutes to 4 hours the variations are merely statistical.\nTypically the damping is as good as \u000b= 2\u000210\u00004or\nbetter. Although values lower than \u000b= 10\u00004can be\nachieved these extraordinary results cannot be repeated\nintentionally. The coercive \feld of these \flms for in-plane\n\feld as determined by SQUID magnetometry are below\n100\u0016T.\u00160MSfor the two \flms is 144 mT (56 nm) and\n131 mT. Both values are well below the bulk magneti-\nsation, which apparently does not a\u000bect magnetization\ndynamics.\nIn 2017 we reported studies on YIG \flms deposited at\nroom temperature by PLD but annealed in Ar [36]. Sur-\nprisingly these experiments also yield excellent quality,\nalthough not as good as for annealing in oxygen. The\nbest values in this set of experiments are obtained for a\n65 nm thick sample annealed for 3 hours. The linewidth\nat 9.6 GHz is \u00160\u0001HHWHM = 226\u0016T and the damping is\n\u000b= 1:61\u000210\u00004. For this \flm the rocking curve showed a\nFWHM of 0.014\u000eand the surface roughness is as small as\n0.05 nm RMS. For a thicker \flm the linewidth is of sim-\nilar magnitude but because of numerous additional lines\ncaused by spin waves damping could not be determined.\nAll these \flms had a coercive \feld below the resolution\nlimit of the SQUID magnetometer of 30 \u0016T. Because the\nFIG. 8. Reproduced from Hauser et al. , Scienti\fc Reports 6,\n20827 (2016) [14] (a) FMR data obtained at 9.6 GHz for a\n56 nm thick YIG layer after annealing. The main resonance\nline has a peak-to-peak linewidth of 150 \u00065\u0016T. This peak-to-\npeak linewidth corresponds to a true linewidth of 130 \u00065\u0016T.\n(b) Frequency dependence of the FMR linewidth for the same\nsample. The \ft is a straight line corresponding to a damping\nof\u000b= (6.15\u00061.50)\u000210\u00005.\nresults from Chang et al. [15] were based on sputtering\nin Ar and annealing in oxygen also other parameter sets\nwere tested. In one experiment deposition in Ar with\nsubsequent annealing in oxygen was investigated. X-ray\ndi\u000braction data for this \flm only indicated the presence\nof a polycristalline YIG phase but no monocrystalline\nYIG \flm. This can be understood as oxygen needs to be\nincorporated into the \flm during growth. When in a pro-\ncess suitable for the deposition of YIG most of the oxy-\ngen present is replaced by Ar this e\u000bect can be expected.\nIn another experiment we checked the necessity of a gas\npressure during annealing by depositing a YIG \flm under\nsuitable conditions in oxygen but annealing in vacuum.\nThe resulting \flm was smooth and showed a crystalline\nphase di\u000berent from YIG. Also no magnetic phase could11\nbe detected. So it stands to reason that during anneal-\ning an atmosphere is necessary to avoid the outdi\u000busion\nof oxygen. Interestingly this atmosphere does not need\nto contain oxygen. Although no further tests were per-\nformed, it is likely that also other non-reactive gases can\nbe used.\nAlso in 2017 Kryszto\fk et al. [37] reported on lift-\no\u000b patterned structures which were deposited by PLD\nat room temperature and subsequently annealed. The\nlayers were deposited on (001)-oriented GGG and were\nannealed for 30 minutes at 850\u000eC. The lattice constant\nof the strained \flms according to X-ray di\u000braction was\n1.2428 nm (larger than GGG) and the surface rough-\nness was approx. 0.3 nm. The microstructures fabri-\ncated by optical lithography and lift-o\u000b were as large\nas 0.5 mm\u00020.5 mm and can almost be considered as ex-\ntended \flms. They show a slightly smaller linewidth in\nFMR than the full \flms which may be attributed to the\nabsence of long range spin wave propagation and re\rec-\ntion. At 10 GHz the linewidth is 470 \u0016T and the damping\nis\u000b\u00195\u000210\u00004.\nIV. NANOPATTERNING AND LIFT-OFF\nIn the following we want to describe results related to\nnew opportunities arising from room temperature depo-\nsition of YIG. Making YIG nanostructures can be at-\ntractive for integrated magonics. For the patterning of\nnanostructures a number of aspects need to be consid-\nered. Although dry etching has been demonstrated in\nthe few 100 nm range [29] making smaller nanostructures\nis not straight-forward. Ion beam etching leads to non-\nvertical sidewalls and redeposition and can also damage\nthe material. The structures shown by Hahn et al. [29]\nwere 700 nm disks in a 20 nm \flm, which corresponds to\nan aspect ration of 1:35. For higher aspect ratio it is\nnecessary to use other techniques. It is also known that\nYIG can be etched by phosphoric acid. Nevertheless,\nwet chemical etching su\u000bers from isotropic etch charac-\nteristics leading to under-etching and non-vertical side-\nwalls. Because of the isotropic etching also the aspect\nratio of the fabricated structures always is smaller than\n1. With the introduction of room temperature deposi-\ntion there is a new path to achieving high quality nanos-\ntructures. Patterning by electron beam lithography and\nlift-o\u000b avoids the etch damage and can be used to ob-\ntain very small structures with almost vertical sidewalls.\nLift-o\u000b processes are well established in nanopatterning.\nThe sample surface is \frst covered with a resist \flm wich\nsubsequently is patterned by optical or e-beam lithog-\nraphy. The material which is to be patterned is then\ndeposited onto the sample. After dissolving the resist\n(lift-o\u000b) the material inside the resist openings remains\non the sample while the material deposited on the resist\n\roats away. Because of the limited temperature stability\nof typical resists the process is limited to room temper-\nature deposition. Hence the introduction of room tem-perature deposition of YIG lead to several attempts of\nMicro or nanopatterning by lift-o\u000b with di\u000berent results.\nIn 2016 and 2017 two publications came from the group\nof Axel Ho\u000bmann [38, 39] in both of which the described\ntechnique was used. In [31] YIG stripes with a width of\n765 nm were fabricated but no details on linewidth or\ndamping were given. Li et al. [38] presented arrays of\nnanostructures varying in size from 300 nm to 1800 nm\nrespectively (Fig. 9). For all wire structures the damp-\ning was well above \u000b= 1\u000210\u00003which is much larger\nthan for the extended \flm which exhibited \u000b\u00193\u000210\u00004.\nThe authors do not attribute this result to damage or de-\nfects induced by the patterning process but to additional\nloss channels because di\u000berent spin wave modes (namely\nedge modes) start to couple to the main resonance.\nFIG. 9. Republished with permission of Royal Society of\nChemistry (Great Britain), from Li et al. , Nanoscale 8, 388\n(2016) [38]. (a) FMR 1D-spectrum of Fm1 (extended \flm)\nand NW (nanowire) series samples recorded at zero external\n\feld showing the evolution of the main FMR mode. (b) Per-\ncentage change in the resonance frequency of the main FMR\nmode at di\u000berent external applied \felds, H= 0, -500, -1000,\nand -2000 Oe for di\u000berent widths of the nanowire. (c) Res-\nonance linewidth versus frequency of the di\u000berent samples.\n(d) Extracted magnetic damping values for nanowires with\ndi\u000berent widths. Dashed line indicates the damping value for\nthe continuous \flm.\nThe fact that the patterning itself does not decrease\nthe quality seems to be con\frmed by our own results. Us-\ning electron beam lithography, room temperature PLD\nand lift-o\u000b as described in [14] we have fabricated ar-\nrays of 1000 nominally identical structures. The dimen-\nsions of each structure are 500 \u00024000 nm with a thick-\nness of 30 nm. Onto the sample a coplanar waveguide\nwas deposited in a way that the array was placed in\nthe gap between central conductor and ground plane.\nHence, FMR measurements always show an average over\nall structures. Despite this fact we could observe a mode\nwith a linewidth of \u00160\u0001HHWHM = 210\u0016T at 9.6 GHz12\n(Fig. 10a). As we are averaging over 1000 structures the\nlinewidth can well be even smaller than this. Also we\nwere able to determine the damping for another sample\nof similar dimensions to \u000b= 2:11\u000210\u00004(Fig. 10b) which\ncan even be considered a very good value for a 30 nm ex-\ntended \flm. Apparently as suggested by Li et al. the\npatterning does not in\ruence the magnonic properties,\nbut in our experiments the magnon frequencies might be\nmore favourable than in [38].\nFIG. 10. (a) FMR measurement at 9.6 GHz on an array of\n1000 nanostructures (500 nm \u00024000 nm\u000230 nm). Besides\nthe main resonance which exhibits a linewidth of \u00160\u0001Hp-pof\napprox. 250 \u0016T several lines at higher and lower respective\nfrequency appear which are due to con\fned spin wave modes\nin the structures. (b) For another array of similar dimensions\nthe damping could be determined to \u000b= (2.11\u00060.44)\u000210\u00004\n.\nBesides the investigation of damping and spin-wave\nmodes this kind of nanopatterning has also been used to\nengineer anisotropy in nanostructures. In 2017 Zhu et al.\n[40] demonstrated a clear shape anisotropy in YIG \flms\nfabricated by electron beam lithography, room temper-\nature sputtering, lift-o\u000b and subsequent annealing. Thestructures had a size of 3 \u0016m\u00020.8\u0016m and a thickness of\n75 nm. These rectangles showed a clear hard axis along\nthe short side (Fig. 11) with a saturation \feld of more\nthan 10 mT. Interestingly, they also showed a largely in-\ncreased coercive \feld along the easy axis (4 mT compared\nto 0.1 mT for the extended \flm) which can probably be\nexplained by the increasing domain wall nucleation en-\nergy which is well known for ferromagnetic nanostruc-\ntures.\nFIG. 11. Reproduced from Zhu et al. , Applied Physics Let-\nters 110(25), 252401 (2017) [40], with the permission of AIP\nPublishing. (a)-(c) Room temperature hysteresis loops of the\nYIG nanobars measured at di\u000berent magnetic \feld orienta-\ntions. The insets are the FMR spectra measured at the cor-\nresponding \feld directions.\nV. ANNEALING AND INTERDIFFUSION\nA. Annealing\nAs we have shown, a number of processes use room\ntemperature deposition and annealing. Here, the an-\nnealing promotes the necessary recrystallization. When13\ngrowth is performed at elevated substrate temperatures\nthe annealing step usually can be avoided. Neverthe-\nless, there are also reports where annealing after high\ntemperature growth is bene\fcial for the realization of\nsmooth surfaces and small FMR linewidth [24]. Sun et\nal.also show that when lower temperatures are used the\nsurface roughness increases. At the same time the au-\nthors observe a large Fe de\fciency at the YIG surface\nwhich becomes even larger for lower growth and anneal-\ning temperatures.\nHowever, annealing can also be responsible for the for-\nmation of a dead interface layer which is discussed below.\nYIG \flms can su\u000ber from gallium or gadolinium di\u000busion\nfrom the GGG substrate during an annealing step as re-\nported by Mitra et al. [41]. Furthermore, the existence\nY2O3overlayer [42] was attributed to the annealing. Fur-\nther annealing of the YIG \flms in vacuum at tempera-\ntures between 300\u000eC and 400\u000eC after growth and cool-\ndown were performed by Bai et al. [43]. Although no dis-\ncernible in\ruence on composition and surface roughness\nwas seen a number of changes took place. The damping of\nthe \flms and the coercivity were considerably increased\nafter the annealing. The authors attribute this to the in-\ntroduction of oxygen vacancies which is understandable\nas annealing is performed in vacuum. Also in YIG/Pt hy-\nbrid structures the interface spin transport was modi\fed.\nWhile the ISHE decreased considerably after annealing\nthe spin Seebeck e\u000bect increased. At the same time the\nauthors found Y 2O3at the YIG surface as also observed\nin [42].\nIn conclusion, one can say that for both room temper-\nature or high temperature deposition a post-deposition\nannealing can be mandatory or at least useful to achieve\nYIG \flms with low damping. In these cases the anneal-\ning is usually done at elevated pressures and in almost\nall cases in air or oxygen. For a \flm after deposition and\ncool down to the best of our knowledge no positive ef-\nfects of annealing on magnetic properties were reported.\nOn the contrary, an annealing step in vacuum even at\nmedium temperatures seems to be detrimental for the\ndamping of thin \flm YIG.\nB. Interdi\u000busion\nDead layers or interdi\u000busion at the YIG/GGG inter-\nface have also been reported by other groups [41{44].\nThe dead layer was found to be either nonmagnetic, or\nto have a very small moment. The thickness of this layer\ncan range from 1.2 nm [43] to 5-7 nm [41]. The origin of\nthe interlayer was suggested to be either Gd [41, 42] or\nGa atoms [44], that di\u000buse from the substrate into the\nYIG layer due to the high growth and annealing tem-\nperature usually above 700\u000eC, or due to resputtering of\nthe substrate. It should be noted that the origin of the\ndead layer may di\u000ber depending on the respective deposi-\ntion technique. In those cases where a dead layer exists,\nmagnetization measurements typically result in an un-derestimation of \u00160MSif the dead layer is not taken into\naccount. It comes to mind that the fact that quite of-\nten for thin \flm YIG \u00160MSoften is well below the bulk\nvalue (see table I) might be related to dead layers. In\nsome cases this may not be completely excluded. Never-\ntheless, in most cases the evidence of extremely smooth\ninterfaces and a value of \u00160MSthat does not vary with\nYIG \flm thickness seem to contradict the presence of an\ninterlayer with reduced magnetization.\nVI. CONCLUSION\nIn Table I we have assembled the most useful parame-\nters for the layers discussed by the various authors.\nThe results presented here clearly show that for ultra-\nthin YIG \flms other methods than LPE may be more\nsuitable. PLD at elevated temperatures yields layers\ndown to 20 nm thickness with a damping in the lower\n10\u00004range exhibiting linewidths which may be smaller\nthan 200\u0016T at 9.5 GHz. Room temperature deposition\nand annealing either by sputtering or by PLD yields even\nlower damping, however the linewidth with sputtering is\nslightly higher than the best values for PLD at elevated\nsubstrate temperature. The best values in terms of both,\ndamping and linewidth have been achieved by room tem-\nperature PLD and annealing. In all cases (as also in high\nquality LPE thick \flms) GGG was used as a substrate be-\ncause it presents almost perfect lattice matching to YIG.\nInterestingly within certain limits, the saturation magne-\ntization and also the lattice constant of the \flms do not\nseem to in\ruence the dynamic properties of the \flms. In\ntotal, the best results are obtained by room temperature\nmethods which as a bonus also o\u000ber the possibility to\nfabricate YIG nanostructures by lift-o\u000b. Although it is\ndi\u000ecult to say what parameters determine whether the\nquality of a grown layer is high or low one can at least\nstate that all \flms with low damping also show low sur-\nface roughness which is consistent with the assumption of\ntwo magnon scattering as one of the critical processes in-\n\ruencing linewidth and damping. Also, when measured,\nPLD grown \flms showed an Fe de\fciency at the surface,\nhowever, this does not to be critical as it was also ob-\nserved in the very best layers.\nACKNOWLEDGMENTS\nThis work was funded by the Deutsche Forschungsge-\nmeinschaft in the SFB 762.14\nTABLE I. Summarized results of di\u000berent YIG properties. For better comparison we have converted values to SI units wherever\nnecessary. Values marked with an * have been extrapolated from data given in the respective publication or from a graph.\nWherever necessary the linewidth has been converted to \u00160\u0001HHWHM .\nDeposition GGG Film\nThickness\u00160MS \u00160\u0001HHWHM Gilbert damping Ref.\ntechnique [nm] [mT] [ \u0016T] \u000b =10\u00004\nPLD @ 650\u000eC (111) 20 210 \u00065 165 @6 GHz 2.3 [25]\nPLD @ 650\u000eC (111) 7 210 \u00065 16 [25]\nPLD @ 650\u000eC (111) 4 170 \u00065 38 [25]\nPLD @ 650\u000eC (111) 20 210 4 [29]\nPLD @ 650\u000eC (100) 20 \u00063 103\u000615 21.69 \u00060.69 [31]\nPLD @ 650\u000eC (100) 75 \u000610 166\u000622 4.89 \u00060.07 [31]\nPLD @ 650\u000eC (100) 17 \u00061 158\u00064 450\u000640 @ 10 GHz 7.0 \u00060.7 [26]\nPLD @ 650\u000eC (100) 34 \u00061 170\u00064 265\u000650 @ 10 GHz 5.8 \u00060.5 [26]\nPLD @ 650\u000eC (100) 49 \u00062 170\u00064 240\u000650 @ 10 GHz 4.1 \u00060.5 [26]\nPLD @ 650\u000eC (100) 64 \u00062 167\u00064 220\u000630 @ 10 GHz 3.8 \u00060.2 [26]\nPLD @ 650\u000eC (100) 79 \u00062 172\u00064 150\u000620 @ 10 GHz 2.2 \u00060.2 [26]\nPLD @ 650\u000eC (100) 92 \u00062 172\u00064 185\u000620 @ 10 GHz 3.4 \u00060.3 [26]\nPLD @ 650\u000eC (111) 20 4.8 \u00060.5 [30]\nPLD @ 650\u000eC (111) 20 213 ( Me\u000b) 4.0 [19]\nPLD @ 750\u000eC (110) 100 \u0019180(*) 1.0 [28]\nPLD @ 750\u000eC (110) 17 7.2 [28]\nPLD @ 750\u000eC (111) 1220 178 78 @ 9.1 GHz [22]\nPLD @ 790\u000eC (111) 11 167 ( Me\u000b) 520 @ 9.5 GHz 3.2 \u00060.3 [24]\nPLD @ 790\u000eC (111) 19 188 ( Me\u000b) 294 @ 9.5 GHz 2.3 \u00060.1 [24]\nPLD @ 800\u000eC (111) 40 144 3.5 \u00060.3 [18]\nPLD @ 825\u000eC (111) 23 160 173-268 @ 9.5 GHz 1.8 [27]\nPLD @ 700\u0000850\u000eC (111) 1000 50 @ 9.5 GHz [13]\nPLD @ RT (111) 20 130.7 \u00060.25 349\u000610 @ 9.6 GHz 0.739 \u00060.14 [14]\nPLD @ RT (111) 56 144 \u00060.25 130\u00065 @ 9.6 GHz 0.615 \u00060.15 [14]\nPLD @ RT (111) 65 226 @ 9.6 GHz 1.61 \u00060.25 [36]\nPLD @ RT (111) 65 511 @ 9.6 GHz [36]\nPLD @ RT (111) 65 709 @ 9.6 GHz [36]\nPLD @ RT (111) 130 179.6 603 @ 9.6 GHz [36]\nPLD @ RT (111) 130 229 @ 9.6 GHz [36]\nPLD @ RT (001) 70 148 470 @ 10 GHz 5.0 \u00060.1, 5.5\u00060.1 [37]\nSputter @ RT (111) 19 100 \u000612 8 \u00062 [17]\nSputter @ RT (111) 29 140 \u000620 5.8 \u00060.7 [17]\nSputter @ RT (111) 38 150 \u000610 2.6 \u00060.3 [17]\nSputter @ RT (111) 49 160 \u000610 400 @10 GHz 2.4 \u00060.3 [17]\nSputter @ RT (111) 40 153 \u00060.4 2.77 \u00060.49 [31]\nSputter @ RT (111) 75 346 @ 9.868 GHz [40]\nSputter @ RT (111) 40 163 2.93, 3.53, 7.56 [38]\nSputter @ RT (111) 22 177 641 @ 16.5 GHz 0.858 \u00060.21 [15]\nSputter @ RT (111) 23.4 175 - 192 ( Me\u000b) 850 - 1200 @ 16.5 GHz 0.85- 0.94 \u00060.2 [34]\nSputter @ RT (111) 96 129 \u00065 329 @ 9.45 GHz 7.0 \u00061.0 [35]\nO\u000b-axis Sputter @ 750\u000eC (111) 30 238 @ 9.6 GHz [32]15\n[1] V. V. Kruglyak, S. O. Demokritov, and D. Grundler,\nMagnonics, Journal of Physics D: Applied Physics 43,\n264001 (2010).\n[2] A. Khitun, Multi-frequency magnonic logic circuits for\nparallel data processing, Journal of Applied Physics 111,\n054307 (2012), https://doi.org/10.1063/1.3689011.\n[3] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and\nB. Hillebrands, Magnon spintronics, Nature Physics 11,\n453 (2015).\n[4] B. Lenk, H. Ulrichs, F. Garbs, and M. M unzenberg, The\nbuilding blocks of magnonics, Physics Reports 507, 107\n(2011).\n[5] A. Khitun, M. Bao, and K. L. Wang, Magnonic logic cir-\ncuits, Journal of Physics D: Applied Physics 43, 264005\n(2010).\n[6] T. Fischer, M. Kewenig, D. A. Bozhko, A. A. Serga, I. I.\nSyvorotka, F. Ciubotaru, C. Adelmann, B. Hillebrands,\nand A. V. Chumak, Experimental prototype of a spin-\nwave majority gate, Applied Physics Letters 110, 152401\n(2017), https://doi.org/10.1063/1.4979840.\n[7] M. Krawczyk and D. Grundler, Review and prospects\nof magnonic crystals and devices with reprogrammable\nband structure, Journal of Physics: Condensed Matter\n26, 123202 (2014).\n[8] A. V. Chumak, A. A. Serga, and B. Hillebrands, Magnon\ntransistor for all-magnon data processing, Nature Com-\nmunications 5, 4700 (2014).\n[9] H. Yu, O. d'Allivy Kelly, V. Cros, R. Bernard,\nP. Bortolotti, A. Anane, F. Brandl, F. Heimbach, and\nD. Grundler, Approaching soft x-ray wavelengths in\nnanomagnet-based microwave technology, Nature Com-\nmunications 7, 11255 (2016).\n[10] S. Urazhdin, V. E. Demidov, H. Ulrichs, T. Kendzior-\nczyk, T. Kuhn, J. Leuthold, G. Wilde, and S. O.\nDemokritov, Nanomagnonic devices based on the spin-\ntransfer torque, Nature Nanotechnology 9, 509 EP\n(2014).\n[11] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Coherent coupling\nbetween a ferromagnetic magnon and a superconducting\nqubit, Science 349, 405 (2015), arXiv:1410.3781 [quant-\nph].\n[12] H. Glass and M. Elliot, Attainment of the intrinsic fmr\nlinewidth in yttrium iron garnet \flms grown by liquid\nphase epitaxy, Journal of Crystal Growth 34, 285 (1976).\n[13] P. C. Dorsey, S. E. Bushnell, R. G. Seed, and C. Vittoria,\nEpitaxial yttrium iron garnet \flms grown by pulsed laser\ndeposition, Journal of Applied Physics 74, 1242 (1993),\nhttps://doi.org/10.1063/1.354927.\n[14] C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt,\nM. Qaid, H. Deniz, D. Hesse, M. Sawicki, S. G. Ebbing-\nhaus, and G. Schmidt, Yttrium iron garnet thin \flms\nwith very low damping obtained by recrystallization of\namorphous material, Scienti\fc Reports 6, 20827 (2016).\n[15] H. Chang, P. Li, W. Zhang, T. Liu, A. Ho\u000bmann,\nL. Deng, and M. Wu, Nanometer-thick yttrium iron gar-\nnet \flms with extremely low damping, IEEE Magnetics\nLetters , IEEE Magnetics Letters 5, 1 (2014).\n[16] H. Yu, O. d'Allivy Kelly, V. Cros, R. Bernard, P. Bor-\ntolotti, A. Anane, F. Brandl, R. Huber, I. Stasinopou-\nlos, and D. Grundler, Magnetic thin-\flm insulator withultra-low spin wave damping for coherent nanomagnon-\nics, Scienti\fc Reports 4, 6848 (2014).\n[17] A. Talalaevskij, M. Decker, J. Stigloher, A. Mitra, H. S.\nK orner, O. Cespedes, C. H. Back, and B. J. Hickey, Mag-\nnetic properties of spin waves in thin yttrium iron garnet\n\flms, Physical Review B 95, 064409 (2017).\n[18] H. Qin, S. J. H am al ainen, K. Arjas, J. Witteveen, and\nS. van Dijken, Propagating spin waves in nanometer-\nthick yttrium iron garnet \flms: Dependence on wave\nvector, magnetic \feld strength, and angle, Phys. Rev.\nB98, 224422 (2018).\n[19] M. Collet, O. Gladii, M. Evelt, V. Bessonov, L. Soumah,\nP. Bortolotti, S. O. Demokritov, Y. Henry, V. Cros,\nM. Bailleul, V. E. Demidov, and A. Anane, Spin-\nwave propagation in ultra-thin yig based waveg-\nuides, Applied Physics Letters 110, 092408 (2017),\nhttps://doi.org/10.1063/1.4976708.\n[20] P. Hansen, P. Rschmann, and W. Tolksdorf, Satura-\ntion magnetization of galliumsubstituted yttrium iron\ngarnet, Journal of Applied Physics 45, 2728 (1974),\nhttps://doi.org/10.1063/1.1663657.\n[21] C. Kittel, On the theory of ferromagnetic resonance ab-\nsorption, Phys. Rev. 73, 155 (1948).\n[22] S. A. Manuilov, R. Fors, S. I. Khartsev, and A. M.\nGrishin, Submicron y3fe5o12 \flm magnetostatic wave\nband pass \flters, Journal of Applied Physics 105, 033917\n(2009), https://doi.org/10.1063/1.3075816.\n[23] R. D. McMichael and P. Krivosik, Classical Model of Ex-\ntrinsic Ferromagnetic Resonance Linewidth in Ultrathin\nFilms, IEEE Transactions on Magnetics 40, 2 (2004).\n[24] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek,\nM. Jantz, W. Schneider, M. Wu, H. Schultheiss,\nand A. Ho\u000bmann, Growth and ferromagnetic reso-\nnance properties of nanometer-thick yttrium iron gar-\nnet \flms, Applied Physics Letters 101, 152405 (2012),\nhttps://doi.org/10.1063/1.4759039.\n[25] O. d'Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef,\nC. Hahn, A. H. Molpeceres, C. Carrtro, E. Jacquet,\nC. Deranlot, P. Bortolotti, R. Lebourgeois, J.-C. Mage,\nG. de Loubens, O. Klein, V. Cros, and A. Fert, In-\nverse spin hall e\u000bect in nanometer-thick yttrium iron\ngarnet/pt system, Applied Physics Letters 103, 082408\n(2013), https://doi.org/10.1063/1.4819157.\n[26] M. C. Onbasli, A. Kehlberger, D. H. Kim, G. Jakob,\nM. Klui, A. V. Chumak, B. Hillebrands, and C. A.\nRoss, Pulsed laser deposition of epitaxial yttrium\niron garnet \flms with low gilbert damping and bulk-\nlike magnetization, APL Materials 2, 106102 (2014),\nhttps://doi.org/10.1063/1.4896936.\n[27] B. M. Howe, S. Emori, H. Jeon, T. M. Oxholm, J. G.\nJones, K. Mahalingam, Y. Zhuang, N. X. Sun, and G. J.\nBrown, Pseudomorphic yttrium iron garnet thin \flms\nwith low damping and inhomogeneous linewidth broad-\nening, IEEE Magnetics Letters , IEEE Magnetics Letters\n6, 1 (2015).\n[28] C. Tang, M. Aldosary, Z. Jiang, H. Chang, B. Madon,\nK. Chan, M. Wu, J. E. Garay, and J. Shi, Exquisite\ngrowth control and magnetic properties of yttrium iron\ngarnet thin \flms, Applied Physics Letters 108, 102403\n(2016), https://doi.org/10.1063/1.4943210.\n[29] C. Hahn, V. V. Naletov, G. de Loubens, O. Klein,16\nO. d'Allivy Kelly, A. Anane, R. Bernard, E. Jacquet,\nP. Bortolotti, V. Cros, J. L. Prieto, and M. Muoz,\nMeasurement of the intrinsic damping constant in\nindividual nanodisks of y3fe5o12 and y3fe5o12|pt,\nApplied Physics Letters 104, 152410 (2014),\nhttps://doi.org/10.1063/1.4871516.\n[30] M. Collet, L. Soumah, P. Bortolotti, M. Muoz, V. Cros,\nand A. Anane, Spin seebeck e\u000bect in nanometer-thick yig\nmicro-fabricated strips, AIP Advances 7, 055924 (2017),\nhttps://doi.org/10.1063/1.4976332.\n[31] M. B. Jung\reisch, W. Zhang, W. Jiang, H. Chang,\nJ. Sklenar, S. M. Wu, J. E. Pearson, A. Bhattacharya,\nJ. B. Ketterson, M. Wu, and A. Ho\u000bmann, Spin waves\nin micro-structured yttrium iron garnet nanometer-thick\n\flms, Journal of Applied Physics 117, 17D128 (2015),\nhttps://doi.org/10.1063/1.4916027.\n[32] F. Yang and P. C. Hammel, FMR-driven spin pumping in\nY3Fe5O12-based structures, Journal of Physics D Applied\nPhysics 51, 253001 (2018).\n[33] H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Ham-\nmel, and F. Y. Yang, Large spin pumping from epitaxial\nY3Fe5O12thin \flms to Pt and W layers, Physical Review\nB88, 100406 (2013), arXiv:1307.1172 [cond-mat.mtrl-\nsci].\n[34] H. Chang, P. A. Praveen Janantha, J. Ding,\nT. Liu, K. Cline, J. N. Gelfand, W. Li, M. C.\nMarconi, and M. Wu, Role of damping in spin\nseebeck e\u000bect in yttrium iron garnet thin \flms,\nScience Advances 3, 10.1126/sciadv.1601614 (2017),\nhttps://advances.sciencemag.org/content/3/4/e1601614.\n[35] J. Lustikova, Y. Shiomi, Z. Qiu, T. Kikkawa, R. Iguchi,\nK. Uchida, and E. Saitoh, Spin current generation from\nsputtered y3fe5o12 \flms, Journal of Applied Physics 116,\n153902 (2014), https://doi.org/10.1063/1.4898161.\n[36] C. Hauser, C. Eisenschmidt, T. Richter, A. Mller,\nH. Deniz, and G. Schmidt, Annealing of amor-\nphous yttrium iron garnet thin \flms in argon atmo-\nsphere, Journal of Applied Physics 122, 083908 (2017),\nhttps://doi.org/10.1063/1.4999829.\n[37] A. Kryszto\fk, L. E. Coy, P. Kuswik, K. Zaleski,\nH. Glowinski, and J. Dubowik, Ultra-low damp-ing in lift-o\u000b structured yttrium iron garnet thin\n\flms, Applied Physics Letters 111, 192404 (2017),\nhttps://doi.org/10.1063/1.5002004.\n[38] S. Li, W. Zhang, J. Ding, J. E. Pearson, V. Novosad, and\nA. Ho\u000bmann, Epitaxial patterning of nanometer-thick\ny3fe5o12 \flms with low magnetic damping, Nanoscale 8,\n388 (2016).\n[39] M. B. Jung\reisch, J. Ding, W. Zhang, W. Jiang, J. E.\nPearson, V. Novosad, and A. Ho\u000bmann, Insulating nano-\nmagnets driven by spin torque, Nano Letters , Nano Let-\nters17, 8 (2017).\n[40] N. Zhu, H. Chang, A. Franson, T. Liu, X. Zhang,\nE. Johnston-Halperin, M. Wu, and H. X. Tang,\nPatterned growth of crystalline y3fe5o12 nanostruc-\ntures with engineered magnetic shape anisotropy,\nApplied Physics Letters 110, 252401 (2017),\nhttps://doi.org/10.1063/1.4986474.\n[41] A. Mitra, O. Cespedes, Q. Ramasse, M. Ali, S. Marmion,\nM. Ward, R. M. D. Brydson, C. J. Kinane, J. F. K.\nCooper, S. Langridge, and B. J. Hickey, Interfacial Origin\nof the Magnetisation Suppression of Thin Film Yttrium\nIron Garnet, Scienti\fc Reports 7, 11774 (2017).\n[42] J. F. K. Cooper, C. J. Kinane, S. Langridge, M. Ali,\nB. J. Hickey, T. Niizeki, K. Uchida, E. Saitoh, H. Am-\nbaye, and A. Glavic, Unexpected structural and magnetic\ndepth dependence of YIG thin \flms, Physical Review B\n96, 104404 (2017), arXiv:1703.08752 [cond-mat.mtrl-sci].\n[43] H. Bai, X. Z. Zhan, G. Li, J. Su, Z. Z. Zhu, Y. Zhang,\nT. Zhu, and J. W. Cai, Characterization of YIG thin \flms\nand vacuum annealing e\u000bect by polarized neutron re\rec-\ntometry and magnetotransport measurements, Applied\nPhysics Letters 115, 182401 (2019).\n[44] S. M. Suturin, A. M. Korovin, V. E. Bursian, L. V.\nLutsev, V. Bourobina, N. L. Yakovlev, M. Montec-\nchi, L. Pasquali, V. Ukleev, A. Vorobiev, A. De-\nvishvili, and N. S. Sokolov, Role of gallium di\u000busion\nin the formation of a magnetically dead layer at the\nY3Fe5O12/Gd 3Ga5O12epitaxial interface, Physical Re-\nview Materials 2, 104404 (2018), arXiv:1811.01321 [cond-\nmat.mtrl-sci]." }, { "title": "2004.07763v1.A_Magnon_Scattering_Platform.pdf", "content": "A Magnon Scattering Platform \n \nAuthors : Tony X. Zhou1,2,†, Joris J. Carmiggelt1,3,†, Lisa M. Gächter1,4,†, Ilya Esterlis1, Dries Sels1, \nRainer J. Stöhr1,5, Chunhui Du1,6,8, Daniel Fernandez1, Joaquin F. Rodriguez -Nieva1, Felix \nBüttner7, Eugene Demler1 and Amir Yacoby1,2,*. \n \nAffiliations \n1 Department of Physics, Harvard University, 17 Oxford Street, Cambridge, Massachusetts 02138, \nUSA. \n \n2 John A. Paulson School of Engineering and Applied Sciences, Harvard University, Cambridge, \nMassachusetts 02138, USA. \n \n3 Department of Quantum Nanoscience, Kavli Institute of Nanoscience, Delft University of \nTechnology, Lorentzweg 1, 2628 CJ Delft, The Netherlands . \n \n4 Solid State Physics Laboratory, ETH Zurich, 8093 Zurich, Switzerland. \n \n5 Center for Applied Quantum Technology and 3rd Institute of Physics, University of Stuttgart, \n70569 Stuttgart, Germany. \n \n7 Department of Materials Science and Engineering, Massachusetts Institute of Technology, \nCambridge, MA 02139, USA . \n \n8 Department of Physics, University of California, San Diego, La Jolla, California 92093 . \n \n* Correspondence to: yacoby@physics.harvard.edu \n† These authors contributed equally to this work. \n \nAbstract : Scattering experiments have revolutionized our understanding of nature. Examples \ninclude the discovery of the nucleus, crystallography, and the discovery of the double helix \nstructure of DNA. Scattering techniques differ by the type of the particles used, the interaction \nthese particles have with target materials and the range of wavelengths used. Here, we demonstrate \na new 2 -dimensional table -top scattering platform for exploring magnetic properties of materials \non mesoscopic length scales. Long lived, coherent magnonic excitations are generated in a thin \nfilm of YIG and scattered off a magnetic target deposited on its surface. The scattered waves are \nthen recorded using a scanning NV center magnetometer that allows sub -wavelength im aging and operation under condition s ranging from cryogenic to ambien t environment . While most scattering \nplatforms measure only the intensity of the scattered waves, our imaging method allows for spatial \ndetermination of both amplitude and phase of the sc attered waves thereby allowing for a systematic \nreconstruction of the target scattering potential. Our experimental results are consistent with \ntheoretical predictions for such a geometry and reveal several unusual features of the magnetic \nresponse of the target, including suppression near the target edges and gradient in the direction \nperpendicular to the direction of surface wave propagation. Our results establish magnon scattering \nexperiments as a new platform for studying correlated many -body systems. \n \nMain Text: \n Scattering experiments use a coherent source of waves or particles that impinge on a \nspecimen with well -defined energy and momentum . The scattered waves form a unique fingerprint \nof the specimen that can then be used to reconstruct certain underlying material properties. For \nexample, o ptical scattering has provided deep insight into the underlying dielectric response of \nmaterials and has enabled the exploration of dipole -coupled excitations such as exciton s (1), \npolariton s (2), and nonlinear optic al phenomena (3). At short wavelengths, x -ray scattering can \nreveal the underlying atomic structure of materials , and n eutron scattering provides the ability to \nstudy magnetic order down to the atomic scale (4). Often, however, existing scattering methods \nrequire large quantities of material in order to have an appreciable scattering intensity. Materials \nsuch as 2 -dimensional (2D) layered materials, for example, pose a severe challenge to traditional \nscattering pl atforms since they are only a few monolayers thick and typically only a few \nmicrometers wide. Developing alternative table -top scattering techniques for mesoscopic samples \nis therefore required. Here , we demonstrate for the first time a table -top scatteri ng platform (Fig. 1A) that uses coherent magnonic waves as the impinging particles. To establish this as a new \nscattering platform we need to demonstrate : 1) The ability to launch coherent waves with well \ndefined energy and momentum; 2) A ccurate detection of scattered waves, ideally, both amplitude \nand phase ; 3) Show that we have appreciable interaction of magnons with the target material ; and \n4) Achieve reliable extraction of target material properties. Below , we demonstrate that we have \nsuccessfully achieved all of these goals . \nLaunching Magnons - Since magnons cannot propagate in free space, w e use a thin film of Yttrium \nIron Garnet (YIG) as the ‘vacuum’ supporting coherent long lived magnonic excitations wit h well -\ndefined energy and momentum. Coherent generation of magnons in YIG is well established \nscientifically (5) with high degree of control and tunability in phase , amplitude, and wavelength \nspanning several nanometers to hundreds of micrometers. We generate magnons in YIG using a \nmicro stripline deposited on the surface of a 100 nm thick YIG grown on Gd 3Ga5O12 (GGG) \nsubstrate (6) (Fig. 1A). By driving a microwave current through the stripline, coherent magnonic \nexcitations are launched at the frequency of the microwaves and at a wavelength set by the \nunderlying magnonic dispersion, (k), in YIG (7). In the presence of an external magnetic field \npointing along the stripline (Fig. 1B, left) , this geometry launch es magnons with k -vector \nperpendicular to the microwave current direction . \nDetection of scattered waves - A key component of a scattering platform is the ability to image the \nscattered waves. Currently , there are several established techniq ues for imaging magnons in YIG \nincluding Brillouin light scattering (8, 9), optical Kerr microscopy (10), and resonant X-ray \nmicroscopy (11). Here , we demonstrate the use of a single nitrogen vacancy (NV) center in \ndiamond as a local sensor for magnonic excitations sensitive to both amplitude and phase with \nnanometer resolution. The low -energy manifold of an NV center consists of an S=1 spin triplet. Its ground state \ncorresponds to 𝑚𝑠=0 and its excited states consist of 𝑚𝑠=±1 states. At zero magnetic field , \nthe 𝑚𝑠=0 state is split from the excited state by 2.87 GHz. Application of a finite magnetic field \nalong the NV axis splits the 𝑚𝑠=±1 states by 2𝛾𝑒𝐵𝑒𝑥𝑡 allowing for static magnetic field \ndetection (Fig. 1B, right ). As a scanning probe, NV center microscopy (12, 13) has recently been \nused to image spin texture s of skyrmion s (14), non -collinear antiferromagnets (15), magnetic \ndomains in 2D material (16), and viscous current flow (17). \nPropagating magnons a nd the scattered magnons due to the target generate local time \nvarying magnetic fields above the YIG. These can be detected by an NV center if the frequency of \nthe magnons matches that of the electron spin resonance (ESR) of the NV center. We use an \nextern al magnetic field to tune the ESR frequency of the NV center to match that of the excited \nmagnons (Fig. 1B) . Under these conditions , the NV center undergoes transitions from its ground \nstate to one of its excited states (typically the 𝑚𝑠=−1 state ) and its corresponding fluorescence \nwill reflect the occupation of the NV center. In the 𝑚𝑠=0 state, the fluorescence is strong , and it \nis weaker in the excited states. Under weak continuous drive, the fluorescence is proportional to \nthe intensity of the driving field which in turn is directly proportional to the amplitude of the \nmagnonic excitation at that location. Fig. 1E shows the fluorescence of an NV center as a function \nof the magnon frequency and 𝐵𝑒𝑥𝑡. A clear decrease in NV fluorescence can be seen when the \nmagnon frequency matches the NV ESR frequenc y. When the driving AC magnetic field generated \nby the magnons is strong and coherent, Rabi oscillation of the NV center can be detected (Fig. 1E, \ninset). W hen the excitation frequency matches the ferromagnetic resonance (FMR) of YIG , \nadditional suppression of fluorescence can be observed in Fig. 1 E. This effect results from FMR \ngenerated magnon s and associated magnetic field noise at the NV ESR frequencies (18). We determine the phase of the propagating coherent magnon s using an interference scheme \n(6). In th e rotating frame of the NV center, the phase of the oscillating field determines the axis \nalong which the spin rotates ( Fig. 1C ). To determine this axis , and hence the phase , we apply \nanother RF reference field that is uniform in space, both in amplitude a nd phase, and has the same \nESR frequency . This is achieved using a wire antenna situated several tens of micrometers away \nfrom the sample (loop in Fig. 1A ). The total AC field driving the NV center is a vector sum of the \nfield generated locally by the magnon and the reference field: \n 𝐵𝑡𝑜𝑡𝑎𝑙 =𝐵𝑚𝑎𝑔𝑛𝑜𝑛 +𝐵𝑟𝑒𝑓=𝑅𝑒{𝑒𝑖(𝑘𝑥−𝜔𝑡)+𝑒𝑖(−𝜔𝑡+𝜑)}=𝑅𝑒{[𝑒𝑖𝑘𝑥+𝑒𝑖𝜑)](𝑒−𝑖𝜔𝑡)} (1) \nHere, k is the wavenumber, ω is the drive frequ ency and φ is the phase difference between \nreference field and magnon field. T he amplitude of both signals is normalized to 1 for intuitive \nillustration (Fig. 1, C and D) . By scanning the NV probe across the sample, we observe an increase \nof fluorescence at locations where the magnon field is exactly out of phase with the reference field \n(Fig. 2A) . These peaks in fluorescence recur each time we move a distance corresponding to one \nwavelength of the magnons. As we vary the phase difference of t he two microwave sources we \nare able to capture the real space propagating component of the magnons (Fig. 2B) . A full movie \ncan be seen in supplementary information (6). Extracting the wavelength of magnons as a function \nof frequency allows us to directly extract th e dispersion relation of the magnons (Fig. 2, C and F). \nWe determine the dispersion down to a wavelength of 640 nm (Fig. 2D and E) limited only by the \ninefficient generation of magnons by the stripline at short er wavelengths described in detail below. \nEven with this simple RF waveguide design (6), we nevertheless are on par with the shortest \nmagnon wavelength detected using visible optical tech niques (19–22). We first employ our NV magnon detection scheme to characterize the generation of \nmagnons from the stripline (23, 24). At a given drive frequency, ω, only magnons of wavevector \nk that satisfy the dispersion relation of the magnetic medium are launched. However, the excitation \nefficiency associated with a particular k is also set by the spatial geometry of the stripline. While \nmagnons with wavelengths larger than the width of the stripline can be easily excited, this is not \ntrue for magnons with wavelengths considerably shorter than this width. The excitation efficiency \nof the stripline as a function of k is governed by the normalized Fourier transform of the spatial \nmicrowave field generated by the rectangular stripline, 𝐻(𝑘) (Fig. 3A). Besides the fac t that NV \ncenter measures the magnetic field generated by magnons of this wavevector , however, our \nmeasured value is further scaled by 𝐷(𝑘,𝑧) ∝ 𝑘𝑒−𝑘𝑧 (25) also known as the fi lter function. Both \n𝐻(𝑘) and 𝐷(𝑘,𝑧) are shown in Fig. 3A. The total measured magnetic field is therefore expected \nto be given by: \n|𝐵𝑚𝑎𝑔𝑛𝑜𝑛 |=𝐴×𝐷(𝑘,𝑧)×𝐻(𝑘) (2) \nwhere 𝐴 is a pre-factor accounting for the NV axis oriented at an angle relative to the YIG and z \nis the distance between NV and YIG surface (Fig 1B, left inset) . Fig. 3D shows both ESR and Rabi \nmeasurements due to magnons excited at different frequenc ies. The oscillatory function due to \n𝐻(𝑘) is clearly visible. Fig. 3B shows the ESR response along the NV ESR line taken at different \nNV heights above the YIG. A shift in weight of the ESR spectrum to lower k is visible for higher \nz in accordance with the expected NV filter function. Ultimately, what we are doing in the section \nis to experimentally determine the point spread function of the “detector” to be used in following \nscattering experiment. Interaction of magnons with a target material - We now turn to describe the interaction of \nmagnonic waves with a target material. For our target , we use a 100-nm thick Py disk with 5-\nmicron diameter deposited directly onto the YIG. Coherent magnonic plane waves are launched \nusing our microwave stripl ine. Upon impinging on the Py disk as described below , magnons are \nscattered, and the coherent sum of the scattered and unscattered magnons is measured (Fig. 4A). \nThe modulation in intensity observed suggests that the scattering of magnons is a coherent , \ninelastic process. Additionally, we find that the scattered magnons are confined within an angular \nopening 2𝜃𝑐 which is a direct consequence of the chiral nature of the magnons excited (26–28). A \nsecond scattering map containing information on the local scattering phase is generated by \napplying an additional RF signal from the distant antenna (Fig. 4D) . The RF field due to the \nantenna interferes with the magnetic field generated by the scattered and unscattered waves thereby \nproducing an image that encodes information about the local phase of the scattered waves. \nReliable extraction of target material properties - The most prominent features in the wave pattern \nof Fig. 4 A and D are (i) negligible back scattering and ( ii) confinement of the scattered wave to a \ncone ahead of the target . These qualitative features of the scattered wave are determined entirely \nby the dispersion relation of the Damon -Eshbach surface waves (DESW), as has been extensively \nstudied in (5, 29–32). The negligible backscattering is due to the “field displacement \nnonreciprocity\" of the free DESW, which implies that waves will be localized on either the top or \nbottom surfaces of the magnetic film, depending on the direction of propagation. The scattering \ncone is a direct consequence of the specific dispersion relation of the DESW. The isofrequency \ncurves of the DESW dispersion asymptote to a cone in momentum space whose opening angle is \ngiven by: 𝜃𝑐=sin−1(𝜔+√𝜔2−𝜔0(𝜔0+𝜔𝑀)\n𝜔0+𝜔𝑀). (3) \nHere , 𝜔 is the mode frequency, 𝜔0=𝛾𝑒𝐵𝑒𝑥𝑡 is and 𝜔𝑀=𝛾𝑒𝑀𝑆 (6). The g roup velocity 𝐯𝑔 is \nnormal to isofrequency curves , and energy flow will therefore be limited to a cone in real space, \nwith opening angle 𝜃𝑐 with respect to the 𝑥-axis. For the frequency shown in Fig. 4A (𝑓=2.18 \nGHz) , the opening angle obtained using Eq.3 is 𝜃𝑐≈34∘. This is in reasonable agreement with \nthe measured opening angle, 𝜃𝑐≈28∘±2∘. Furthermore, it can be shown (29) that the mode \ndensity of DESW diverges upon approaching 𝜃𝑐, which explains the precipitous rise in the \namplitude of the scattered wave observed near the critical angle in Fig. 4 A and D . Beyond these \ngross features, an intricate structure in the phase profile and contrast of the scattered wave can be \neasily disce rned. These details provide unique and detailed information about the target which we \nexploit below. \nTo provide a quantitative model of the magnon scattering by the Py dis k, we add a spatially \nbounded AC source term to the wave equation for the DESW. We no te that this simple model \nignores any DC coupling between the target and the YIG. Physically, this means that we ignore \nmodifications of the local magnetic permeability seen by the magnons in the vicinity of the target \n– i.e., we neglect effects of the target on the magnon “vacuum.\" We then compute the Green’s \nfunction for this wave equation, from which we can determine the scattered wave for an arbitrary \nsource. Conversely, equipped with the Green’s function and experim ental wave patterns, we can \ninvert the problem to determine the scattering potential. In the spirit of conventional scattering \nexperiments, we adopt the latter approach to interrogate magnetic properties of the target. \n In general, the scattered wave is gi ven by the convolution 𝐵𝑠𝛼(𝐫)=∫𝑟′ 𝑑3𝐫′ 𝐺(𝐫−𝐫′\n) 𝜕𝛼[ ∇⋅𝐦(𝑟′) ], where 𝐺(𝐫) is the Green’s function, ∇⋅𝐦 is the source , and subscript 𝛼 denotes a direction orthogonal to the NV axis . In principle, given 𝐵𝑠𝛼 and 𝐺, the source term is completely \ndetermined by inverting the convolution. However, for the current experimental setup we find that \nthe presence of significant background as well as noise prohibit carrying out this procedure \nexplicitly (6). The inversion is therefore done by fitting th e “source”, i. e. ∇⋅𝐦, to best match the \nintensity pattern seen in the experiment . As basis functions for the fit we take the Gaussian function \n𝑒−(𝑥2+𝑦2)/𝜎2 and its derivatives up to 8th order in both directions , where is fixed to be the radius \nof the Py disk. We separate the source into real and imaginary components (Fig. 4 B and C, left \nand right insets ), to reflect a possible phase shift of the scattered wave with respect to the incident \nwave. Results of the analysi s for the phase -resolved images are presented in F igure 4. Note that \nwe shifted the overall phase of the source in a way that makes it easier to separate components \nwith different symmetries. The simplest model of magnetization dynamics of the target excited \nby the DESW corresponds to magnetic moment of the disk precessing around the direction of the \nstatic field, i.e. in the xz -plane. Since the disk is very thin in the z -direction, the dominant \ncontribution to ∇⋅𝐦 should come from the x -derivative of the x -component of magnetization. \nThis should produce a dipolar pattern for the source aligned along the x -axis. Figure 4B, right inset \nshows that the imaginary part of the source is indeed of the dipolar type, and it is almost an order \nof magnitude larger than the real part (Fig. 4 B, left inset) . The r eal part of the source has a \nquadrupolar character which implies that the source has an additional gradient along the y -axis. \nWe remind the readers that incident wave is propagating along the x -axis, hence this y -gradient \ncannot be related to the spatial profi le of the incident wave. It appears to be an intrinsic feature of \nthe target revealed by our scattering experiment. We comment on several other interesting features \nof our analysis. While we achieve excellent fit of our model to the phase images, using the same \nparameters for the corresponding amplitude images does not automatically produce a good fit. We expect that understanding this discrepancy requires introducing a more sophisticated version of \nthe Py disk/YIG interaction, including renormalization of static susceptibility parameters of YIG \nbelow the target . Secondly, we find the best agreement between theoretically simulated phase \nimages and the experimental data when the target is represented using Gaussian based functions, \nrather than functions with sharper edges. This suggests that response of the Py target near the disk \nedges is strongly suppressed. Finally, experiments have been done in the regime where the \ndiameter of the target is of the order of the magnon wavelength. One can then expect the dis c to \ndevelop spatial gradients in the direction of propagation of DESW. Surprisingly, we find that the \nmain response of the target corresponds to magnetization of the entire disk oscillating as a whole. \nOur magnonic scattering platform provides a new way for exploring mesoscopic materials. \nWhile clearly suited for magnetic target materials, the time varying magnetic fields generated by \nthe magnons can also lead to strong interaction with other phases of m atter such as superconductors, \ntopological insulators with conducting surface states, spin liquids and more, thereby providing new \ninsights into such phases that are unattainable by other methods. References and Notes: \n1. F. Rossi, Theory of Semiconductor Quantum Devices (Springer Berlin Heidelberg, Berlin, Heidelberg, \n2011; http://link.springer.com/10.1007/978 -3-642-10556 -2), NanoScience and Technology . \n2. S. Kawata, Ed., Near -Field Optics and Surface Plasmon Polaritons (Springer Berlin Heidelberg, \nBerlin, Heidelberg, 2001; http://link.springer.com/10.1007/3 -540-44552 -8), vol. 81 of Topics in \nApplied Physics . \n3. R. W. Boyd, Nonlinear optics (Academic Press: Elsevier, Amsterdam; Boston (Mass.); Paris, 2010). \n4. S. W. Lovesey, Theory of neutron scattering from condensed matter (Clarendon Press; Oxford \nUniversity Press, Oxford [Oxfordshire]: New York, 1984), International series of monographs on \nphysics (Oxford, England) . \n5. D. D. Stancil, A. Prabhakar, Spin waves: theory and applications (Springer, New York, 2009). \n6. Materials and methods are available as supplementary materials. \n7. J. R. Eshbach, R. W. Damon, Surface Magnetostatic Modes and Surface Spin Waves. Phys. Rev. 118, \n1208 –1210 (1960). \n8. V. E. Demidov, S. O. Demokritov, Magnonic Waveguides Studied by Microfocus Brillouin Light \nScattering. IEEE Transactions on Magnetics . 51, 1–15 (2015). \n9. S. O. Demokritov, B. Hillebrands, A. N. Slavin, Brillouin light scattering studies of confined spin \nwaves: linear and nonlinear confinement. Physics Reports . 348, 441 –489 (2001). \n10. S. Mansfeld, J. Topp, K. Martens, J. N. Toedt, W. Hansen, D. Heitmann, S. Mendach, Spin Wave \nDiffraction and Perfect Imaging of a Grating. Phys. Re v. Lett. 108, 047204 (2012). \n11. S. Bonetti, R. Kukreja, Z. Chen, F. Macià, J. M. Hernàndez, A. Eklund, D. Backes, J. Frisch, J. Katine, \nG. Malm, S. Urazhdin, A. D. Kent, J. Stöhr, H. Ohldag, H. A. Dürr, Direct observation and imaging of \na spin -wave solit on with p -like symmetry. Nature Communications . 6, 1–6 (2015). \n12. T. X. Zhou, R. J. Stöhr, A. Yacoby, Scanning diamond NV center probes compatible with \nconventional AFM technology. Appl. Phys. Lett. 111, 163106 (2017). \n13. L. Xie, T. X. Zhou, R. J. Stö hr, A. Yacoby, Crystallographic Orientation Dependent Reactive Ion \nEtching in Single Crystal Diamond. Advanced Materials . 30, 1705501 (2018). \n14. Y. Dovzhenko, F. Casola, S. Schlotter, T. X. Zhou, F. Büttner, R. L. Walsworth, G. S. D. Beach, A. \nYacoby, Magnetostatic twists in room -temperature skyrmions explored by nitrogen -vacancy center \nspin texture reconstruction. Nature Communications . 9, 2712 (2 018). \n15. I. Gross, W. Akhtar, V. Garcia, L. J. Martínez, S. Chouaieb, K. Garcia, C. Carrétéro, A. Barthélémy, P. \nAppel, P. Maletinsky, J. -V. Kim, J. Y. Chauleau, N. Jaouen, M. Viret, M. Bibes, S. Fusil, V. Jacques, \nReal -space imaging of non -collinear ant iferromagnetic order with a single -spin magnetometer. \nNature . 549, 252 –256 (2017). 16. L. Thiel, Z. Wang, M. A. Tschudin, D. Rohner, I. Gutiérrez -Lezama, N. Ubrig, M. Gibertini, E. \nGiannini, A. F. Morpurgo, P. Maletinsky, Probing magnetism in 2D materials at the nanoscale with \nsingle -spin microscopy. Science , eaav6926 (2019). \n17. M. J. H. K u, T. X. Zhou, Q. Li, Y. J. Shin, J. K. Shi, C. Burch, H. Zhang, F. Casola, T. Taniguchi, K. \nWatanabe, P. Kim, A. Yacoby, R. L. Walsworth, Imaging Viscous Flow of the Dirac Fluid in Graphene \nUsing a Quantum Spin Magnetometer. arXiv:1905.10791 [cond -mat, ph ysics:quant -ph] (2019) \n(available at http://arxiv.org/abs/1905.10791). \n18. C. Du, T. van der Sar, T. X. Zhou, P. Upadhyaya, F. Casola, H. Zhang, M. C. Onbasli, C. A. Ross, R. L. \nWalsworth, Y. Tserkovnyak, A. Yacoby, Control and local measurement of the sp in chemical \npotential in a magnetic insulator. Science . 357, 195 –198 (2017). \n19. V. E. Demidov, S. Urazhdin, S. O. Demokritov, Control of spin -wave phase and wavelength by \nelectric current on the microscopic scale. Appl. Phys. Lett. 95, 262509 (2009). \n20. J. Förster, J. Gräfe, J. Bailey, S. Finizio, N. Träger, F. Groß, S. Mayr, H. Stoll, C. Dubs, O. Surzhenko, \nN. Liebing, G. Woltersdorf, J. Raabe, M. Weigand, G. Schütz, S. Wintz, Direct observation of \ncoherent magnons with suboptical wavelengths in a sing le-crystalline ferrimagnetic insulator. Phys. \nRev. B . 100, 214416 (2019). \n21. T. Schaffers, R. Meckenstock, D. Spoddig, T. Feggeler, K. Ollefs, C. Schöppner, S. Bonetti, H. Ohldag, \nM. Farle, A. Ney, The combination of micro -resonators with spatially resol ved ferromagnetic \nresonance. Review of Scientific Instruments . 88, 093703 (2017). \n22. B. Divinskiy, N. Thiery, L. Vila, O. Klein, N. Beaulieu, J. Ben Youssef, S. O. Demokritov, V. E. \nDemidov, Sub -micrometer near -field focusing of spin waves in ultrathin Y IG films. Appl. Phys. \nLett. 116, 062401 (2020). \n23. P. Andrich, C. F. de las Casas, X. Liu, H. L. Bretscher, J. R. Berman, F. J. Heremans, P. F. Nealey, D. D. \nAwschalom, Long -range spin wave mediated control of defect qubits in nanodiamonds. npj \nQuantum Information . 3, 28 (2017). \n24. D. Kikuchi, D. Prananto, K. Hayashi, A. Laraoui, N. Mizuochi, M. Hatano, E. Saitoh, Y. Kim, C. A. \nMeriles, T. An, Long -distance excitation of nitrogen -vacancy centers in diamond via surface spin \nwaves. Appl. Phys. E xpress . 10, 103004 (2017). \n25. F. Casola, T. van der Sar, A. Yacoby, Probing condensed matter physics with magnetometry based \non nitrogen -vacancy centres in diamond. Nature Reviews Materials . 3, 17088 (2018). \n26. T. Schneider, A. A. Serga, A. V. Chumak, C. W. Sandweg, S. Trudel, S. Wolff, M. P. Kostylev, V. S. \nTiberkevich, A. N. Slavin, B. Hillebrands, Nondiffractive Subwavelength Wave Beams in a Medium \nwith Externally Controlled Anisotropy. Physical Review Letters . 104 (2010), \ndoi:10.1103/PhysRevLett.104 .197203. \n27. V. Veerakumar, R. E. Camley, Magnon focusing in thin ferromagnetic films. Phys. Rev. B . 74, \n214401 (2006). 28. S. Z. Baba, Y. Nakata, Y. Ito, R. Hisatomi, Y. Nakamura, K. Usami, Optical heterodyne imaging of \nmagnetostatic modes in one -dimens ional magnonic crystals. Phys. Rev. B . 100, 104437 (2019). \n29. S. Tamaru, J. A. Bain, M. H. Kryder, D. S. Ricketts, Green’s function for magnetostatic surface waves \nand its application to the study of diffraction patterns. Phys. Rev. B . 84, 064437 (2011). \n30. M. J. Hurben, C. E. Patton, Theory of magnetostatic waves for in -plane magnetized anisotropic \nfilms. Journal of Magnetism and Magnetic Materials . 163, 39–69 (1996). \n31. M. Mohseni, R. Verba, T. Brächer, Q. Wang, D. A. Bozhko, B. Hillebrands, P. Pirr o, Backscattering \nImmunity of Dipole -Exchange Magnetostatic Surface Spin Waves. Phys. Rev. Lett. 122, 197201 \n(2019). \n32. R. W. Damon, J. R. Eshbach, Magnetostatic modes of a ferromagnet slab. Journal of Physics and \nChemistry of Solids . 19, 308 –320 (1961). \n \n \n \nAcknowledgments \nThis work was primarily supported by the U.S. Department of Energy, Basic Energy Sciences \nOffice, Division of Materials Sciences and Engineering under award DE -SC0001819. A. Y. is also \npartly supported by ARO Grants No. W911NF -17-1-0023 and the Gordon and Betty Moore \nFoundation’s EPiQS Initiative through Grant No. GBMF4531. Fabrication of samples was \nsupported by the U.S. Department of Energy, Basic Energy Sciences Office, Division of Materials \nSciences and Engineering under award DE -SC0019300. A.Y. also ac knowledges support from \nARO grants W911NF -18-1-0316, and W911NF -1-81-0206. J.C. was supported by the Netherlands \nOrganisation for Scientific Research (NWO/OCW), as part of the Frontiers of Nanoscience \nprogram. L.G. was supported by the Zeno -Karl-Schindler Master Thesis Grant. I.E., D.S., J.R -N. \nand E.D. acknowledge support from Harvard -MIT CUA, AFOSR -MURI Photonic Quantum Matter (award FA95501610323), DARPA DRINQS program (award D18AC00014), and Harvard \nQuantum Initiative. D.S. acknowledges support from the FWO as post -doctoral fellow of the \nResearch Foundatio n Flanders. D.F acknowledges the support by the National Science Foundation \nunder Grant No. EFMA -1542807. Sample fabrication was performed at the Center for Nanoscale \nSystems (CNS), a member of the Nati onal Nanotechnology Coordinated Infrastructure (NNCI), \nwhich is supported by the National Science Foundation un -der NSF award no. ECCS -1541959. \nCNS is part of Harvard University. We thank Mathew Markham and Element Six (UK) for \nproviding diamond samples. We also thank Ronald Walsworth and Matthew Turner for annealing \ndiamonds, and Pablo Andrich and Sungkun Hong for fruitful discussions. \n \nFig. 1. Magnon scattering platform and coherent sensing with a single spin magnetometer \n(A) Sketch of the magnon -based scattering platform, comprising a microwave stripline as a source, \na single NV on a scanned tip as a detector, 100-nm thick YIG as the ‘vacuum’ supporting long -\nlived propagating magnons , and a disk-shaped target . The single NV magnetometer allo ws \ndetection of both amplitude and phase of the scattered magnons. (B) Left - Sketch of the magnon \ndispersion shown in solid blue . Right - NV center energy diagram as function of external field (top) \nand magnon spin gap (bottom shaded region) . For any given magnetic field, there is a unique \nfrequency that matches the NV ESR frequency (e.g. 𝑚𝑠=0 ↔−1) and a corresponding magnon \nwith the same frequency and a unique wavevecto r k determined from the dispersion of YIG, ω(k). \nInset: cross -sectional sketch of the YIG, the stripline indicat ing direction of magnon propagation \n(blue arrow pointing towards right ). The external magnetic field is applied along the NV axis which \nis oriented parallel to the microwave stripline and tilted upwards along the diamond <111> \ndirection 35.26 degrees out of plane (light blue arrow pointing into the page and slightly upward ). \n(C) Bloch sphere representation of the NV spin state under the influence of an AC magnetic field \ngenerated by the magnons and reference microwave radiation. The z axis of the sphere is in the \ndirection of NV axis , <111> in diamond. The green arrow represents the green light exciting the \nNV center and the red arrows represent the different intensity of the emitted red light in each of \nthe spin states of the NV center. (D) Schematic p hasor representation of the AC magnetic field \ngenerated by magnons and referenc e microwave radiation. (E) Normalized fluorescence of the NV \ncenter as a function of 𝐵𝑒𝑥𝑡 and frequency. Diminished fluorescence is observed when the \nexcitation matches the ESR frequency of the NV center and along the ferromagnetic resonance. \nInset: Observed Rabi oscillation along the NV ESR transition confirm ing the coherent nature of \nthe field generated by magnon. \nFig. 2. Phase imaging of coherent magnons and their dispersion. \n(A) Spatial image of NV fluorescence under continuous drive of both the stripline and remote \nantenna. The bright fluorescence signal corresponds to destructive interference of the reference \nRF signal from the antenna and magnon signals (6). (B) Evolution of the magnon wavefront \nobserved by shifting the relative phase ( 0 to 2) of the reference source relative to the signal \nsupplied to the stripline. (C) Linecut of an interference pattern generated with magnon frequency \nat 2.3 GHz corresponding to a wavelength of 2.35 μm. (D) Imaging magnons with short \nwavelength. Magnons with wavelength down to 660 nm can easily be resolved . (E) Line average \nof image from (D) . (F) Magnon dispersion extracted from the fluorescence phase maps . \nFig. 3. Characterization of magnons generated by the microwave stripline \n(A) Sketch in k space of the NV filter function 𝐷(𝑘,𝑧) (blue, left axis ) and magnetic field \ngenerated by the microwave stripline 𝐻(𝑘) (black , right axis ). Each k value is uniquely matched \nby the external magnetic field and the corresponding ESR frequency. (B) ESR fluorescence of the \nNV center as a function of k for various distances z of the NV center above the YIG. A clear \noscillation is observed in accordance with the expected behavior of 𝐻(𝑘). Solid lines are the \npredicted filter function 𝐷(𝑘,𝑧) (6). (C) Normalized fluorescence of the NV center as a function \nof 𝐵𝑒𝑥𝑡 and frequency. The dashed straight lines correspond to the nodes in the Fourier spectrum \nof the simulated H(k) (6). Inset: Vertical linecut in the color map showing an ESR measurement \nat 𝐵𝑒𝑥𝑡 = 132 G. Its contrast is directly proportional to magnon field amplitude . (D) Detailed ESR \nand Rabi measurements along the NV ESR transition. Peaks in the oscillations correspond to \nmagnon modes that are excited efficiently by the microwave stripline. Arrows indicate magnonic \nmodes that are inefficiently excited according to our numerical simulation (6). \n \nFig. 4. Magnon scattering off a target \nMagnons are launched from a microwave stripline on the bottom. While they propagate in the x-\ndirection, the y impinge on a Py disk that was deposited on the surface of the YIG (indicated by \nthe white circle). (A) The incoming plane wave scatters from the defect and the magnetic field \nfluctuations caused by the interference of this scattered wave with the incident wave is picked up \nby the NV. The data is averaged of 39 runs and smoothened over a 100 nm Gaussian window to \nreduce the noise. Close to the Py di sk we observe a “flower” shaped magnetization profile, \nconsistent with static field from a saturated magnetic disk shifting the ESR frequency of NV center \nto modulate fluoresce . A clear cone is observed, as expected from DESW theory. (B) Best fit for \na truncated basis set of localized sources. Inset, source image, left: real component, right: \nimaginary component. (C) Theoretical prediction of the observed intensity if the source would be \ndescribed by a simple dipole (see inset, left: real component, right: imaginary component). \nTheoretical model parameters are fit to the data as described in the supplement. (D) An additional \nhomogenous microwave field is superimposed on the magnon field. The resulting fringes clearly \nindicate the plane wave nature of the magnons outside the Damon -Eshbach cone. Additional \nfringes in the cone provide valuable information about the nature of the scatter. (E) Best fit for a \ntruncated set of localized sources. (F) Theoretical prediction of the observed intensity in panel D \nfor an optimized dipolar source. \n \n " }, { "title": "0907.2902v1.Reverse_Doppler_effect_in_backward_spin_waves_scattered_on_acoustic_waves.pdf", "content": "arXiv:0907.2902v1 [cond-mat.other] 16 Jul 2009Reverse Doppler effect in backward spin waves scattered on ac oustic waves\nA. V. Chumak,1P. Dhagat,2A. Jander,2A. A. Serga,1and B. Hillebrands1\n1Fachbereich Physik and Forschungszentrum OPTIMAS,\nTechnische Universit¨ at Kaiserslautern, 67663 Kaisersla utern, Germany\n2School of Electrical Engineering and Computer Science, Ore gon State University, Corvallis, OR, USA\n(Dated: October 29, 2018)\nWe report on the observation of reverse Doppler effect in back ward spin waves reflected off of sur-\nface acoustic waves. The spin waves are excited in a yttrium i ron garnet (YIG) film. Simultaneously,\nacoustic waves are also generated. The strain induced by the acoustic waves in the magnetostrictive\nYIG film results in the periodic modulation of the magnetic an isotropy in the film. Thus, in effect,\na travelling Bragg grating for the spin waves is produced. Th e backward spin waves reflecting off\nof this grating exhibit a reverseDoppler shift: shifting downrather than up in frequency when\nreflecting off of an approaching acoustic wave. Similarly, th e spin waves are shifted up in frequency\nwhen reflecting from receding acoustic waves.\nPACS numbers: 75.30.Ds, 76.50.+g, 85.70.Ge\nThe Doppler effect (or Doppler shift) is a well known\nphenomenon in which a wave emitted from a moving\nsource or reflected off of a moving boundary is shifted\nin frequency [1, 2]. When the source or reflector is ap-\nproaching the receiver, the frequency of received wave is\nshifted up in frequency. Similarly, the frequency shifts\ndown if the source or reflector is moving away from the\nobserver. The effect iswidelyused inradarsystems, laser\nvibrometry and astronomical observations.\nIn, so called, left-handed media [3] the reverse (or\nanomalous) Doppler effect occurs [3, 4, 5, 6, 7, 8]. This\neffect is characterized by the opposite frequency shift:\nwaves reflect from an approaching boundary with low-\nered frequency. Conversely, waves reflect from a receding\nboundary with higherfrequency. The explanation for the\nreversal Doppler shift is that in left-handed media, the\ngroup and phase velocities of the waves are in opposite\ndirections [9]. The frequency at which the reflector pro-\nduces wavesis determined bythe rateatwhich it encoun-\nters the wave crests from the source. For a wave group\napproaching the reflector in a left-handed medium, the\nwave crests are actually moving away from the reflector.\nThus, the reflector encounters fewer (more) crests per\nsecond if it is moving towards (away from) the source\nthan if it were stationary, resulting in a lower (higher)\nfrequency of the reflected wave.\nMagnetostaticspin wavestravellingin a thin film mag-\nnetic material, saturated by a magnetic field along the\ndirection of propagation, are known to have negative dis-\npersion. That is, the phase velocity and group velocity\nare in opposite directions. Such waves are termed back-\nward volume magnetostatic waves (BVMSW) [10]. Stan-\ncilet al.previously observed the reverse Doppler effect\nin BVMSW for the case where the receiver is moving\nrelative to the source [8]. We report here the observa-\ntion of a reverse Doppler effect for BVMSW reflecting off\nof a moving target, namely a travelling surface acoustic\nwave. These results are interesting for both fundamental\nFIG. 1: (Color online) Experimental setup. Spin waves are\nexcited and received in the YIG film by stripline antennae\n(Port 1 and Port 2). The SAW is excited on the YIG/GGG\nsubstrate by a piezoelectric quartz crystal and an acrylic\nwedge transducer.\nresearchonlinearandnonlinearwavedynamics,magnon-\nphonon interactions and for signal processing in the mi-\ncrowave frequency range. Microwave devices such as fre-\nquency shifters, adaptive matched filters and phonon de-\ntectorsmaybeconceivedusinginelasticscatteringofspin\nwaves on acoustic waves.\nThe experiments were performed using 6 µm-thick yt-\ntrium iron garnet (YIG) films, which were epitaxially\ngrown on 500 µm-thick, (111) oriented gadolinium gal-\nlium garnet (GGG) substrates. The substrates were cut\ninto strips approximately 3 mm wide and 2 cm long. To\nproduce the conditions for backward volume magneto-\nstatic wave propagation, an external bias magnetic field\nofH0= 1640 Oe was applied in the plane of the YIG\nfilm strip along its length and parallel to the direction\nof spin-wave and SAW propagation (see Fig. 1). BVM-\nSWs were excited and detected in the YIG film using\nmicrowave stripline antennae spaced 8 mm apart (shown\nas Port 1 and Port 2 in Fig. 1). The spin waves were\ngenerated by driving the antennae with the microwave\nsource of a network analyzer (model Agilent N5230C).\nThemicrowavesignalpower,at 1mW, waslowenoughto\navoidnon-linearprocesses. Themicrowavefrequencywas\nswept through the range 6.4-6.6 GHz. Simultaneously,\nsurface acoustic waves were launched to propagate along2\nFrequency, f\nWavevector, kx/c100f =10 MHz\nfSAW=10 MHzSpin waves\ntravelling in\n+x directionSpin waves\ntravelling in\n-x direction\nFIG. 2: (Color online) Schematic of dispersion curves for\nBVMSW and SAW. Circles indicate the waves that partic-\nipate in Bragg scattering. Red solid arrows show the process\nof scattering of BVMSW on co-propagating SAW resulting in\nspin-waves shifted up in frequency while a phonon is anni-\nhilated. Blue dashed arrows show the process of scattering\nof BVMSW on counter-propagating SAW with the resulting\nspin-wave frequency shifted down while a phonon is gener-\nated.\nthe same path on the YIG/GGG sample. Longitudinal\ncompressional waves at frequency fSAW= 10 MHz were\ngenerated using a piezoelectric quartz crystal and cou-\npled to surface modes in the YIG/GGG with an acrylic\nwedge transducer [11]. The wedge was machined to 51o\nfor most efficiently transforming bulk acoustic waves into\nsurface acoustic waves. A transformer and resonant cir-\ncuit were used for impedance matching between the 50\nΩ source and the piezoelectric crystal. The ends of the\nYIG/GGG sample were cut at a 45oangle and coated\nwith a silicone acoustic absorber to avoid reflections (see\nFig. 1).\nThe acoustic waves interact with the spin waves\nthroughthemagnetostrictiveeffectinthemagneticmate-\nrial[12, 13]. The strainofthe acousticwavetherebyperi-\nodicallymodulatesthemagneticpropertiesofthefilm,ef-\nfectivelyproducingatravellingBragggratingoffofwhich\nthe spin waves are reflected. Fig. 2 shows schematically\nthe dispersion curves for both the BVMSW and SAW.\nOnecanseethat thegroupvelocityofBVMSW, asdeter-\nmined from the slope of the dispersion curve, is negative\nfor positive wave vectors and vice versa. Thus, points on\nthe BVMSW curve to the left of the axis represent waves\npropagating or carrying energy to the right from Port 2\nto Port 1. Conversely, spin waves propagating to the left\nfrom Port1 to Port 2 appear on the right side of the plot.\nThe surface acoustic waves have a normal, linear disper-\nsion relation: SAW travelling to the right from the prism\nare indicated by points on the right side of the plot.\nThe scattering process of spin waves on the acous-\ntic waves must conserve energy and momentum. Fig. 2\nshows schematically the transitions allowed by the con-servation laws. The annihilation of a phonon (red solid\narrows in Fig. 2) corresponds to the generation of a\nmagnonofhigherfrequencyandtravellingintheopposite\ndirection of the original spin wave. It is clear that for the\nexperimental setup shown in Fig. 1, this interaction can\nbe realized only for the spin wave which propagates in\nthe +xdirection, i.e., in the same direction as the SAW.\nOne can see that the Doppler effect is reversed since the\nreflected spin wave has higher frequency. Another pro-\ncess is realized with the generation of the phonon (blue\ndashed arrows in Fig. 2), which corresponds to the gen-\neration of a magnon of lower frequency travelling in the\nopposite direction of the original spin wave. This process\ntakes place between counter-propagatingspin and acous-\ntic waves. The Doppler shift, δf, is equal to the SAW\nfrequency in both cases.\nFig. 3(a) shows the experimentally measured BVMSW\ntransmission characteristic for the YIG film as deter-\nmined from the S 21parameter (power received at Port 2\nrelative to the power delivered to Port 1). The spin-wave\ntransmissionbandisboundedabovebytheferromagnetic\nresonancefrequency and below by the antenna excitation\nefficiency. It has a maximum just below the point of fer-\nromagnetic resonance ( fFMR= 6577 MHz).\nFig. 3(b) shows the reflection characteristics for Port 1\n(S11parameter) due to spin waves generated at Port 1\nbeing reflected back to the same antenna. The Doppler\nshifted frequencies were measured by tuning the network\nanalyzertodetectsignalsatfrequenciesoffsetbyplusand\nminus 10 MHz ( i.e.,±fSAW) from the swept source fre-\nquency. Similarly, the reflection characteristicsfor Port 2\nare shown in Fig. 3(c). In each case, the frequency axis\nis the swept source frequency.\nOne can see from Fig. 3(b) that both up and down-\nshifted frequencies exist for the reflected spin waves ( P+\nandP−signals in figure). The reason is as follows: with\nthe microwave signal applied to Port 1, the antenna ex-\ncites spin waves propagating outwards in both directions\nfrom the antenna. The spin waves propagating to the\nleft, towards the acoustic source, encounter approaching\nsurface acoustic waves and are partially scattered back\ntowards the source antenna with a reverse Doppler shift\ndown in frequency. The spin waves propagating to the\nright, away from the acoustic source, encounter receding\nacoustic waves and are scattered back with an up-shift\nin frequency due to the reverse Doppler effect.\nThe allowed transitions shown in Fig. 2 are equiva-\nlent to the Bragg reflection conditions. For frequencies\nmeetingthese conditions, the reflectedspinwavepoweris\nmaximized. Thus, thepeaksinthe P+andP−curvescor-\nrespond to the phonon annihilating up-shift and phonon\ngenerating down-shift processes respectively. The down-\nshift process must start at a higher spin wave source fre-\nquency and, in the reverse transition, the up-shift pro-\ncess must start from a loweroriginalspin wavefrequency.\nThus, the difference in source frequency for the up-shift3\n6450 6500 6550 660001(a)\nP - down-shift-\nP - up-shift+\nSource Frequency (MHz)fFMR= 6577 MHz-50-40-30\n0,00,1\nReflection S (a.u.)22Reflection S (a.u.)11Transmission S (a.u.)21\n(b)\n(c)\nFIG. 3: (Color online) (a) BVMSW transmission characteris-\ntic for the YIG film. (b) and (c) The reflection characteristic s\nfor Port 1 and Port 2 respectively. The solid blue curve, P+,\nis for the detector frequency set 10 MHz below the source\nfrequency. The dashed red curve, P−, is for the detector fre-\nquency set 10 MHz above the source frequency. In each case,\nthe frequency axis is the swept source frequency.\nand down-shift process, δf, should be equal to the SAW\nfrequency, fSAW. This is seen in the experimental results\nshown in Fig. 3(b): the source frequency at which the P+\nsignal reaches a maximum is 10 MHz lower as compared\nto theP−signal. The peak of the down-shifted reflec-\ntion is larger and narrower because the path length over\nwhich the acoustic and spin wavescan interact is approx-\nimately two times longer on the left side of the antenna.\nAlthough both up-shifted and down-shifted signals are\npresent in the experimental results, it is clear from the\nrelative amplitudes that the up-shifted signal is due to\nthe co-propagating waves, verifying the reverse Doppler\neffect.\nThe reflection characteristics for Port 2 are presented\nin Fig. 3(c). One can see that for Port 2, the up-shifted\nreflectionisstrongerbecausetheright-wardspropagating\nspin waves (which reflect off of receding acoustic waves)\nhavealongerinteractionpath length. Note thedifference\nin the scale between Fig. 3(b) and (c); the Port 2 signals\narestrongerbecausethe acousticamplitudeis largernear\nthe source. Similar to Port 1 results, the positions of\nthe peaks differ by approximately fSAW. The frequencydifference between the maxima in P−andP+signals is\nδf1= 10 MHz and δf2= 15 MHz for Port 1 and Port 2\nrespectively. The discrepancy in δf2from the expected\n10 MHz is likely due to part of the interaction occur-\nring under the wedge: here, the SAW wavelength differs\nfrom that in the unloaded YIG film. Thus, the spin wave\nfrequencies at which the Bragg conditions are met are\ndifferent for the co- and counter-propagating cases. It\nshould be noted that the actual Doppler frequency shift\ninthereflectedspinwaveisexactly10MHzinbothcases,\nas determined by the detector frequency offset.\nTo construct a simple and representative theoretical\nmodel, we consider the BVMSW dispersion relation to\nbe nearly linear for small wavenumbers ( kd≪1, where\ndis the thickness of the YIG film). Thus, we can write\nfSW(k) =fFMR+υSW·k,\nwhere\nυSW=−fHfM\n4fFMR·d\nis the group velocity of BVMSW. Here fH=γH0,\nfM= 4πγM0, whereγ= 2.8 MHz/Oe is the gyromag-\nnetic ratio.\nThe dispersion relation for the SAW is nearly linear\nwithfSAW(k) =υSAW·k, whereυSAWis the phase and\ngroup velocity of the acoustic wave. Fulfilling laws of\nenergy and momentum conversation by the transitions\nindicated in Fig. 2, a simple equation can be derived for\nthe initial spin-wave frequencies f+andf−which core-\nspond to the maxima of P+andP−:\nf±=fFMR−fSAW\n2(υSW\nυSAW±1)\nUsing saturation magnetization 4 πM0= 1750 G for\nthe YIG film, BVMSW group velocity υSW= 3.2 cm/µs,\nand SAW velocity υSAW= 0.5 cm/µs this equation gives\nthe values for f+= 6537 MHz and f−= 6547 MHz\nwhich is in good agreement with the experimental data\n(see Fig. 3).\nIn conclusion, we haveobservedthe reverseDoppleref-\nfect in backward spin wavesreflected off of surface acous-\ntic waves. Both possible situations were analyzed: the\nscattering of BVMSW from co-propagating and counter-\npropagating SAW. It was shown that the frequencies of\nscatteredspin wavesin both caseswereshifted by the fre-\nquency of SAW according to the reverse Doppler effect.\nThe results are in good agreement with the theoretical\nanalysis based on the dispersion curves of spin wavesand\nacoustic waves. Similar reverse Doppler effects are to be\nexpected in other left-handed media.\nThis work was partially supported by the DFG SE\n1771/1-1, and NSF ECCS 0645236. Special acknowledg-\nments to Prof. G. A. Melkov for valuable discussions.4\n[1] C. Doppler, Abh. Koniglichen Bohmischen Ges. Wiss. 2,\n465 (1843).\n[2] C. H. Papas, Theory of Electromagnetic Wave Propaga-\ntion (McGraw-Hill, New York, 1965).\n[3] V. E. Pafomov, JETP, 36, 1853 (1959).\n[4] V. G. Veselago, FTT, 8, 3571 (1966).\n[5] N. Seddon and T. Bearpark, Science 302, 1537 (2003).\n[6] E. Reed, M. Soljacic, and J. Joannopoulous, Phys. Rev.\nLett.,91, 133901 (2003).\n[7] K. Leong, A. Lai and T. Itoh, Microw. Opt. Tech. Lett.,48545 (2006).\n[8] D. Stancil, B. Henty, A. Cepni, and J. Van’tHof, Phys.\nRev. B,74, 060404 (2006).\n[9] V. G. Veselago, Usp. Fiz. Nauk 92, 517 (1967).\n[10] R.W. Damon and J.R. Eshbach, Phys. Chem. of Solids\n19308 (1961).\n[11] S. Hanna, G. Murphy, K. Sabetfakhri, and K. Stratakis,\nProc. Ultrason. Sym. 209 (1990).\n[12] S. M. Hanna and G.P. Murphy, IEEE Trans. Magnetics,\n24, 2814 (1988).\n[13] Yu.V. Gulyaev and S.A. Nikitov, Sov. Phys. Solid State,\n26, 1589 (1984)." }, { "title": "2303.03833v2.Magnon_currents_excited_by_the_spin_Seebeck_effect_in_ferromagnetic_EuS_thin_films.pdf", "content": "1 \n \n Magnon currents excited by the spin Seebeck \neffect in ferromagnetic EuS thin films \n \n‡M. Xochitl Aguilar -Pujol1, ‡Sara Catalano1, Carmen González -Orellana2, Witold \nSkowronski1,3, Juan M. Gómez -Pérez1, Maxim Ilyn2, Celia Rogero2, Marco Gobbi1,2,4, \nLuis E. Hueso1,4 and Fèlix Casanova1,4,* \n \n1CIC NanoGUNE BRTA, 20018 Donostia -San Sebastian, Basque Country, Spain \n2Centro de Física de Materiales CSIC -UPV/EHU, 20018 Donostia -San Sebastian, \nBasque Country, Spain \n3AGH University of Science and Technology, Institute of Electronics, 30 -059 Krakow, \nPoland \n4IKERBASQUE , Basque Foundation for Science, 48009 Bilbao, Basque Country, Spain \n*E-mail: f.casanova@nanogune.eu \n‡These authors contributed equally \nABSTRACT \nA magnetic insulator is an ideal platform to propagate spin information by exploiting \nmagnon currents . However, until now, most studies have focused on Y3Fe5O12 (YIG) and \na few other ferri - and antiferromagnetic insulators, but not on pure ferromagnets. In this \nstudy, we demonstrate that magnon currents can propagate in ferromagnetic insulat ing \nthin films of EuS. By perform ing both local and non -local transport measurements in 18 -\nnm-thick films of EuS using Pt electrodes, we detect magnon currents arising from \nthermal generation by the spin Seebeck effect. By comparing the dependence of the local \nand non-local signals with the temperature (< 30 K) and magnetic field (< 9 T), we \nconfirm the magnon transport origin of the non-local signal. Finally, w e extract the \nmagnon diffusion length in the EuS film (~140 nm) , a short value in good correspondence \nwith the large Gilbert damping measured in the same film . \nI. INTRODUCTION \n \nMagnons, the collective bosonic excitations in magnetically ordered systems , can \npropagate and transport spin angular momentum even in insulators [1,2] . However, t o \npave the wa y for pure spin -based information , spin signals in magnetic insulators must \nintegrate with conventional electronics [1]. Therefore, t he ideal plat form for these devices \ncomprises the interface between a metal an d a magnetic insulator . This arrangement \nenables the transport of spin angular momentum between the electrons of the metal and \nthe magnons in the magnetic insulator via the interfacial exchange interaction , which is \nquantified by the spin -mixing conductance . Incoherent magnon currents can be excited \nboth electrically, by means of the spin Hall effect (SHE), or thermally, due to the spin \nSeebeck effect (SSE) [1–3]. In order to detect these magnon currents, the inverse spin \nHall effect (ISHE) can be used, since it transform s back a spin current into a charge \ncurrent , enabling all -electrical access to spin current physics [2,3] . 2 \n \n So far, magnon transport in magnetic insulators has been stud ied mainly through \nferrimagne tic garnets with the prototypical example being Y3Fe5O12 (YIG), whose \nexceptionally small Gilbert damping results in a magnon diffusion length of several \nmicrons [4–6]. Magnon transport has also been reported in some antiferromagnet ic \ninsulators , showing characteristic magnon diffusion length s of few hundreds of nm [7,8] . \nIndeed , there is increasing interest in extending the knowledge of magnon transport to \nother magnetic compounds. For example, recent studies have focused on magnon \nexcitations in Van der Waals magnetic insulato rs [9–12]. In this context , spin Hall \nmagnetoresistance (SMR) measurements on Eu-based insulating ferromagnets have \nrecently demonstrated intriguing spin -transport properties at the interface with heavy \nmetal film s, suggesting that they could also be employed as carriers of magnon spin \ncurrents [13–15]. \n \nEuropium sulphide ( EuS), one of the few example s of isotropic Heisenberg \nferromagnetic insulator (FI) [16,17] , can be grown as thin films exhibit ing the \nferromagnetic ground state below the Curie temperature 𝑇𝐶≈ 18 K, which can be tuned \nby chemical doping or strain [18]. Below 𝑇𝐶, it behave s as a soft ferromagnet with \nextremely small coercive fields, simila r to YIG. EuS films have been used to introduce \nstrong magnetic exchange fields within interfacial layers suc h as metal s, superconductors, \nand topological insulators , and manipulate their electronic phases by the magnetic \nproximity effect [15,19 –30]. Specifically, EuS/Pt interfaces have recently been studied \nby means of SMR measurements, revealing a strong exchange field into the heavy metal \nlayer , even for polycrystalline EuS films [15]. \n \nIn this paper , we demonstrate the propagation of magnon spin currents in a \nferromagnetic insulating thin film of EuS . We use Pt nano structures to generate and detect \nmagnon currents through evaporated polycrystalline EuS films. Below 𝑇𝐶 of EuS , we \nshow that magnon currents generated by the SSE at the Pt/EuS interface can propagate \nthrough the EuS films . We study such an effect by electrically detecting the magnon \ncurrents at the Pt/EuS interface considering both the local and the non-local configuration . \nWe study t he temperature, magnetic field, and length dependence of the signal , which \nindicate that thermally induced magnon currents propagate in the diffusive transport \nregime in our samples. We extra ct a thermal magnon diffusion length ℓ𝑚𝑡ℎ of ~140 nm, \nmuch smaller than the one observed in YIG, suggesting that magnons are strongly \ndamped in the studied EuS films , in good correspondence with the measured Gilbert \ndamping 𝛼𝐺 (~0.04). Our work further expand s the present knowledge of magnon \ntransport to a broader class of materials. \n \nII. EXPERIMENTAL DETAILS \n \nPt/EuS heterostructures have been fabricated on top of insulating Pyrex substrates, \nfollowing the procedure presented in our previous work [15]. First, 5 nm of Pt were \ndeposited with DC magnetron sputtering on top of the Pyrex substrate [31]. Subsequently, \nthe Pt/EuS magnon spin transport (MST) devices were defined by e -beam lithography. \nEach MST device consists of two or three Pt strips (width of 300 nm and length of 70 \nμm) separated by different distances d (0.8 μm < d < 2 μm). Afterwards, 18 nm of EuS \nwere evaporated ex situ on top of the Pt contacts, with the same deposition method \nreported by Gomez -Perez et al. [15]. Since the top surface of the EuS film oxidizes when 3 \n \n it is exposed to air, the final film corresponds to around 14 nm of insulating EuS capped \nby ~4 nm of EuO x, which is also insulating [32]. An optical image of a representative \ndevice is shown in Fig. 1(d). \n \nTransport measurements were carried out using a Quantum Design Physical Property \nMeasurement System (PPMS) covering the temperature range 2 K < 𝑇 < 300 K. We \napplied magnetic fields 𝐻 up to 𝜇0𝐻 = 9 T and sample was rotated in the xy plane [𝛼 \nplane, inset of Fig. 1(a)]. We applied a DC current 𝐼 in the range 4 μA < 𝐼 < 100 μA with \na Keithley 6221 current source meter and measured the voltage with a Keithley 2182 \nnanovoltmeter. As sketched in Fig. 1(d), 𝐼 is applied through one Pt strip, and we studied \nthe voltage response in two configurations. We either detect the voltage along the same \nstrip, which we refer to as local voltage 𝑉𝐿𝑂𝐶, or we detect it in a second Pt strip separated \nby a distance d, that we denote as a non -local voltage 𝑉𝑁𝐿. We analysed the first and \nsecond harmonic components of the detected voltages 𝑉𝐿𝑂𝐶 and 𝑉𝑁𝐿 by applying the DC \ncurrent reversal technique, or delta mode [33,34] . We extracted the first harmonic or \nelectrical response component from 𝑉𝐿𝑂𝐶 ,𝑁𝐿𝑒=(𝑉𝐿𝑂𝐶 ,𝑁𝐿(𝐼+)−𝑉𝐿𝑂𝐶 ,𝑁𝐿(𝐼−))/2. The \nsecond harmonic component, or thermal voltage response, is provided by 𝑉𝐿𝑂𝐶 ,𝑁𝐿𝑡ℎ=\n(𝑉𝐿𝑂𝐶 ,𝑁𝐿(𝐼+)+𝑉𝐿𝑂𝐶 ,𝑁𝐿(𝐼−))/2 [4,33 –35]. \n \nThe magnetic properties of the EuS film have been measured with the vibrating sample \nmagnetometer (VSM) and ferromagnetic resonance (FMR) options of the Quantum \nDesign PPMS. \n \nIII. RESULTS AND DISCUSSION \n \nWe studied the g eneration and transport of spin angular momentum in EuS films by \nincoherent magnon currents , which can be driven by non-equilibrium magnon density \nand temperature gradients [36]. In fact, a magnon spin current 𝑗𝑚 can propagate in a \nmagnetic medium according to the equation : \n \n2𝑒\nℏ𝑗𝑚=(−𝜎𝑚𝛻𝜇𝑚+𝐿\n𝑇𝛻𝑇𝑚) \nwhere 𝜎𝑚 is the magnon spin conductivity, 𝜇𝑚 is the non-equilibrium magnon chemical \npotential, 𝐿 is the spin Seebeck coefficient of the m edium , 𝑇 is the average equilibrium \ntemperature of the magnon bath and 𝛻𝑇𝑚 the temperature gradient applied to the \nsystem [37]. \n \nIn our experiment, we adopt ed the same measurement configuration used by \nCornelissen et al . [4]. The magnon spin transport ( MST ) devices consist of a EuS thin \nfilm deposited on top of the Pt strips. As sketched in Fig. 1(d) , a charge current 𝐼 is applied \nthrough a metallic Pt strip (injector) and the voltage response is measured along the same \nstrip (local voltage, 𝑉𝐿𝑂𝐶) or at a different strip (non -local voltage, 𝑉𝑁𝐿). We record ed \nboth the first (𝑉𝐿𝑂𝐶𝑒, 𝑉𝑁𝐿𝑒) and second harmonic (𝑉𝐿𝑂𝐶𝑡ℎ, 𝑉𝑁𝐿𝑡ℎ) response with the DC current \nreversal technique [33,34] , as described in Sec. II . \n 4 \n \n \nFIG. 1. (a) Schematic representation of the physical processes that occur in the Pyrex/Pt/EuS \nsystem. Two Pt strips are embedded in the magnetic insulator (EuS) with magnetization 𝑀 \nfollowing the in -plane magnetic field 𝐻 applied, which can rotate an angle 𝛼 in the sample plane. \nWhen a charge current density 𝑗𝑞,𝑖𝑛 is applied through the left Pt strip, a radial thermal gradient \n𝛻𝑇 appears due to Joule heating, generating non -equilibrium magnons (black arrows) that diffuse \naway. This leads to a magnon accumulation at the second Pt strip that interact with the electron -\nspins of the heavy metal creating a spin accumulation that induce s a spin current density 𝑗𝑠. Due \nto the ISHE, 𝑗𝑠 is transformed into a charge current density 𝑗𝑞,𝑑𝑒𝑡 that can be electrically detected. \n(b) The applied 𝑗𝑞,𝑖𝑛 generates a thermal gradient due to ohmic losses that gives rise to a magnon \nflow away from the injector. (c) Spin -flip scattering process leading to a transfer of spin angular \nmomentum between the Pt electrons and the EuS magnons at the Pt/EuS interface. (d) Optical \nmicroscope image of a device, showing the measurement configuration where 𝐼 denotes the \ncharge current applied, and 𝑉𝐿𝑂𝐶, 𝑉𝑁𝐿 the local and non -local voltages measured, respectively. (e) \nTemperature dependence of the magnetic moment measured at a magnet ic field of 2 mT in the \nPyrex/Pt/EuS sample. (f) Magnetic hysteresis loop measured in the Pyrex/Pt/EuS sample at 2 K, \nwith a step size of 2.5 mT. \n \nThe measurements principle is illustrated in Fig. 1(a) . The applied 𝐼 along the x -axis of \nthe Pt wire corresponds to a charge current density 𝑗𝑞,𝑖𝑛 that generate s a spin accumulation \npolarized along the y -axis at the Pt/E uS interface thanks to the SHE . The effects of the \ninteraction between the spin current flowing in the Pt strip in z-axis and the interfacial \nmagnetic layer can be read out in the first order , or electrical , response of the system as \na voltage 𝑉𝐿𝑂𝐶 ,𝑁𝐿𝑒. By measuring 𝑉𝐿𝑂𝐶𝑒 we study the spin Hall magnetoresistance (SMR) \neffect in our samples, that is the modulation of the Pt resistance due to the torque exerted \nby the magnetization (𝑀) of the EuS on th e spin current flowing through Pt [38,39] . \nMoreover, the spin current can also generate magnons at the Pt/EuS interface by spin -flip \nscattering process es, as sketched in Fig. 1(c) . Furthermore , when the magnons diffuse \naway and reach a second Pt strip , they can transfer spin angular momentum to the Pt \nelectrons due to the same spin -flip scattering process [Fig. 1(c)]. This produc es a spin \ncurrent through the Pt/EuS interface and, consequently, a voltage in the Pt wire, due to \nthe ISHE. Thus, the electrically injected magnon currents are detected as 𝑉𝑁𝐿𝑒 in the \nsecond Pt wire . \n \nMost important for our work, the applied 𝑗𝑞,𝑖𝑛 also generates Joule heating at the Pt/EuS \ninterface, so that a temperature gradient proportional to the square of the current ( 𝐼2) is \nintroduced in the system [Fig. 1(b)]. The second order or thermal response of the system \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n5 \n \n to such a gradient is detected as 𝑉𝐿𝑂𝐶 ,𝑁𝐿𝑡ℎ. When the thermal gradient appears, the magnon \npopulation is driven out of equilibrium and a magnon current can flow between the hot \nand the cold side of the system [black arrows in Figs. 1(a), 1(b), and 1(c)], resulting in \nthe SSE . At the interface with the Pt wire, the magnon spin angular momentum is \ntransferred to the Pt electrons through spin -flip scattering process es [Fig. 1(c)], yielding \na thermal voltage respons e 𝑉𝐿𝑂𝐶 ,𝑁𝐿𝑡ℎ. We note here that the thermal voltage due to the SSE \ncan be detected both local ly (𝑉𝐿𝑂𝐶𝑡ℎ) and non -local ly (𝑉𝑁𝐿𝑡ℎ), as thermal ly induce d magnon \ncurrents [black arrows in figure s 1(a), 1(b), and 1(c)] diffus e through the EuS \nfilm [1,40,41] . \n \nThe geometry of the experiment allows the SMR and magnon -induced voltage s to be \nprobed through the Pt wires. We take into account that both phenomena depend on the \norientation between the spin polarization 𝒔 of the electrons in the Pt (fixed along y axis ), \nand 𝑀 of EuS . For that reason , we saturate 𝑀 in the plane of the film (xy -plane) with an \nexternal magnetic field 𝐻 [inset of figure 1 (a)], and we rotate it. Thus, since the generated \nspin current is absorbed by 𝑀 as a spin -transfer torque if 𝑀⊥𝒔 , SMR results in a cos2𝛼 \nangular dependence when 𝑀 of the EuS film is rotated in plane [Fig. 1(a)] [42]. However, \nspin-flip scattering processes depends on the scalar product between 𝑀 and 𝒔, causing the \nelectrical ly inject ed and detect ed magnon currents to exhibit a sin2𝛼 dependence [4]. \nFinally , since spin-flip only occurs at the detector for the thermal injection , it results in a \nsin𝛼 dependence [4]. \n \nThe magneti c properties of the studied EuS film are exemplified in Figs. 1(e) and 1(f), \nwhich present the total magnetic moment (𝑚) of the sample as a function of temperature \nand applied magnetic field , respectively . As shown in Fig. 1(e), EuS exhibits a clear \nferromagnetic behavi or with a 𝑇𝐶≈19 𝐾, in agreement with previous reports [15,18] . \nThe hysteresis loop at 2 K in Fig. 1(f), with a coercive field around 3 mT, confirms the \nferromagnetic behavior of the EuS film (see the Supplemental Material [43], Sec. S 5 for \nmore details ) [15]. \n \nA. Angle dependence of the electrical and thermal response. \nFigure 2 (a) presents the angular -dependen t magnetoresistance (ADMR) measured in \nMST1 as a function of the in -plane angle 𝛼, at 𝑇 = 2 K, below 𝑇𝐶 of EuS . We saturate 𝑀 \nin plane by applying a small external field (𝜇0𝐻 = 0.1 T). The data are extracted from the \nelectrical local response 𝑉𝐿𝑂𝐶𝑒 in order to provide the SMR signal ∆𝜌𝐿/𝜌= [𝑅𝐿(𝛼)−\n𝑅𝐿(90º)]/𝑅𝐿(90º), where 𝑅𝐿=𝑉𝐿𝑂𝐶𝑒/𝐼 is the longitudinal resistance . We observe a clear \ncos2(𝛼) modulation of the Pt resistance, as expected for the SMR effect [38,39,47] . The \nsignal amplitude [double arrow in fig 2 (a)] is of the order of 10-4, consistent with previous \nresults in EuS /Pt interfaces and comparable to the magnitude of the SMR measured in \nPt/YIG interfaces [15,47,48] . Field -dependent magnetoresistance (FDMR) \nmeasurements confirm the typical 𝑀 behavior of EuS, displaying the SMR gap and \nmagnetoresistance peaks in correspondence with the expected magnetization reversal of \nEuS ( see the Supp lemental Material [43], Sec. S1). The large SMR amplitude and clear \ncorrelation with 𝑀 of EuS indicate an efficient spin transfer at the EuS/Pt interface, in \nother words, a favorable spin -mixing conductance [14,15,49] . We note that, from the \nSMR measurements , we can infer the spin transfer efficiency of each device, which we 6 \n \n use to normalize the data to compare the response of different devices, as describe d in the \nSupplemental Material [43], Sec. S2. Hereafter, we label the normalized measured \nvoltage 𝑉𝐿𝑂𝐶∗ and 𝑉𝑁𝐿∗. \n \nNext, we study the magnon currents. For these measurements , a current 𝐼 ≤ 20 μA is \napplied through an injector strip as sketched in the right panel of Figs. 2(b) and 2(c). Note \nthat the injected current is chosen to be small in order to keep the local temperature below \nthe Curie point of the EuS film, as verified by measuring the four -point resistance of the \nPt injector. A detailed calibration of the injector temperature with respect to the applied \ncurrent is provided in the Supp lemental Material [43], Sec. S2. \n \n \nFIG. 2. Data corresponds to device MST1. Representative (a) ADMR of the SMR at a fixed \nmagnetic field of 𝜇0𝐻 = 0.1 T. (b) Local SSE and (c) non -local SSE at 0.8 μm, both at 𝜇0𝐻 = \n0.3 T, where the voltage is normalized to the square of the applied current. The measurement \ntemperature is 2 K and the current applied is 20 μA. The arrows indicate the amplitude of the \nsignal. A schematic illustration of the effect measured is included on the right side of the \ncorresponding panel. \nFirst, we measure the thermal local amplitude 𝑉𝐿𝑂𝐶𝑡ℎ (which we normalize to 𝐼2) as a \nfunction of 𝛼 at 𝑇 = 2 K and 𝜇0𝐻 = 0.3 T. 𝑉𝐿𝑂𝐶𝑡ℎ/𝐼2 shows a clear sin𝛼 modulation, as \npresented in Fig. 2(b) for device MST1. The sin𝛼 angular dependence is consistent with \nthe symmetry expected for the SSE [4]. A constant angle -independent voltage offset is \n \n \n \n \n \n \n \n \n7 \n \n also present due to other thermoelectric effects [4,6,8,50] . Secondly, we study the \npropagation of magnon currents through the non -local voltage. For the electrically \ninjected magnon currents , that follows a sin2𝛼 dependence , we found no signal in 𝑉𝑁𝐿𝑒 \n(Supp lemental Material [43], Sec. S3) at any of the measured distances 0.8 μm < d < 2 \nμm. In contrast, 𝑉𝑁𝐿𝑡ℎ/𝐼2 signal is similar to the local 𝑉𝐿𝑂𝐶𝑡ℎ/𝐼2 with the same clear sin𝛼 \nmodulation expected for the SSE, but with opposite sign , as shown in Fig. 2(c) for MST1 \nwith d = 0.8 μm . The offset signal due to other thermoelectric effects is also present for \nthe non -local case . The sin𝛼 angular dependence, verified at all the measured distances \nfor 𝑉𝑁𝐿𝑡ℎ/𝐼2, is consistent with the symmetry expected for SSE -induced magnon \ncurrents [4,34,35] . However , the inverted sign suggest s that magnon currents at the \ninjector/detector strips are flowing in opposite direction s [Figs. 2(b) and 2(c)]. In fact, \nsuch a sign change is expected to occur between the SSE induced magnon currents \nmeasured locally and non -locally, due to the redistribution of the magnon population \ninduced by Joule heating [51–53]. As Joule heating deplete the magnon distribution at the \ninjector site, the magnon currents are expected to flow towards (away from) the detector \n(the injector) as also illustrated by the direction of the black arrows in Figs. 1(b) and \n1(c) [37,51,52] . For all the devices measured, w e observed a positive local 𝑉𝐿𝑂𝐶𝑡ℎ/𝐼2 \namplitude and a negative non -local 𝑉𝑁𝐿𝑡ℎ/𝐼2 amplitude . \n \nAll things considered , the Pt/EuS devices reveal a magnon -induced response to Joule \nheating, consistent with the symmetry of the SSE. The absence of an analogue signal in \nthe non-local electrical response 𝑉𝑁𝐿𝑒, in contrast, suggest s that the magnon population \nredistribution induced by the spin -flip scattering process at the injector Pt/EuS interface \nis too small to produce a measurable signal at the detector. We note here that 𝑉𝑁𝐿𝑒/𝐼 has \nbeen reported to vanish as the temperature is lowered below 50 K in Pt/YIG interfaces by \ndifferent groups [35,54,55] . Thus, the absence of a signal 𝑉𝑁𝐿𝑒/𝐼2 for our samples is \nexpected in the measured temperature range. \n \nB. Temperature dependence \n \nSubsequently, we analyze the temperature dependence of the SMR , local SSE and non-\nlocal SSE amplitudes [defined in Fig. (2)], which are presented in Fig. 3 for devices MST1 \nand MST3 . In all cases , the amplitude of the signal is maximum for the lowest temperature \nmeasured (2 K) and decreases w ith increasing temperature. However, we observe a clear \ndifference between the SMR curve and the thermal amplitude s 𝑉𝐿𝑂𝐶∗𝑡ℎ/𝐼2 and 𝑉𝑁𝐿∗𝑡ℎ/𝐼2, as \ncan be observed in Fig. 3(d). The temperature dependence of the SMR amplitudes [Fig. \n3(a)] follows the expected trend vanishing as the temperature is raised above the Curie \npoint of the EuS film [see Fig. 1(e) and the Supplemental Material [43], Fig. S7]. In fact, \nwe note here that we can measure an SMR signal even above the 𝑇𝐶 of the EuS films . The \npresence of such a finite SMR response above 𝑇𝐶 is a consequence of the sensitivity of \nthe SMR to the magnetic correlations [56], which are present even above 𝑇𝐶 in our films , \naccording to our VSM characterization ( Supp lemental Material [43], Sec. S5). The \nobserved temperature dependence of SMR in our EuS thin films can be fully explained \nin terms of the microscopic theory developed by Zhang et al. [15,57] . Instead, the \ntemperature dependence of 𝑉𝐿𝑂𝐶∗𝑡ℎ/𝐼2 [Fig. 3(b)] and 𝑉𝑁𝐿∗𝑡ℎ/𝐼2 [Fig. 3(c)] show s similar \ntrend with a substantially different decrease from the SMR curve as 𝑇𝐶 is approached \n[Fig. 3(d)]. Such a temperature dependence may seem surprising for SSE induced thermal 8 \n \n voltages , for which the SSE theory predicts a linear dependence with the system \nmagnetization [58]. However, a similar behavior has been reported in other experimental \nstudies of the SSE at low temperatures [35,54] and can be qualitatively understood with \nthe following considerations. First, the Joule heating induced temperature gradient is very \nlikely temperature dependent , as the thermal conductivities of Pt, EuS and the Pyrex \nsubstrate may strongly change and at different rates as the temperature is lowered. \nSecond, the Gilbert damping of the EuS fil m also varies at such temperatures (see the \nSupplemental Material, Fig. S8) due to the very low 𝑇𝐶 of the films, which consequently \naffect s the propagation of magnon currents. Elabor ating a model that captures such \ntemperature dependent effects on the measured thermal voltages is a challenging task , \nwhich goes beyond the scope of this work. Instead , we remark that all the measured \nsignal s disappear above the 𝑇𝐶 of the EuS film, confirming the magnetic origin of the \nstudied voltages . \n \n \nFIG. 3. Data corresponds to devices MST1 (blue open symbols) and MST3 (pink solid symbols). \n(a) SMR amplitude s [as defined in Fig. 2(a)] at 𝜇0𝐻 = 0.1 T and 𝐼 = 4 μA , (b) local SSE amplitude s \n[as defined in Fig. 2(b)] at 𝜇0𝐻 = 0.3 T and 𝐼 = 4 μA , and (c) non-local SSE amplitu des [as defined \nin Fig. 2(c)], at 𝜇0𝐻 = 0.3 T and 𝐼 = 20 μA for two different distances , as a function of temperature. \n(d) Comparison of SMR , local SSE and non-local SSE amplitudes normalized to their maximum \nvalues . \n \nC. Magnetic field dependence \n \n \n \n 9 \n \n \nFIG. 4. Data corresponds to devices MST1 (blue open symbols) and MST3 (pink solid symbols). \n(a) SMR, (b) local SSE, and (c) non -local SSE amplitudes (as defined in Fig. 2) as a function of \nthe magnetic field at 𝑇 = 2 K and 𝐼 = 20 μA . (d) Comparison of SMR, local SSE, and non -local \nSSE amplitudes normalized to their maximum values. Colored shadows are a guide to the eye, \nbeing green for the SMR amplitudes, orange for the local amplitudes and blue for the non -local \namplitudes. \n \nWe also examine the magnetic field dependence of the SMR , local SSE and non -local \nSSE amplitudes , as illustrated in Fig. 4. Initially, both the SMR and SSE signals increase \nas 𝑀 of the system develops , since both effect s are related to the interaction of the spins \nof the electrons in Pt with the magnetic moments in the magnetic layer ; but as 𝑀 continues \nto grow their behavior diverges. The SMR response tends to saturate with the magnetic \nfield [Fig. 4(a)], in correspondence with the saturation of 𝑀 in the EuS films . Note here \nthat the saturation field of EuS is much higher than the observed coercive field [Fig. 1(f)], \nas already observed in films of EuS deposited at room temperature [20,59] . In contrast to \nthe SMR , both SSE curves [Figs. 4(b) and 4(c)] reach a maximum at 𝜇0𝐻 ≈ 0.5 T, \nfollowed by a gradually reduction of the SSE amplitude for higher magnetic fields. Such \na decay is characteristic of SSE induced voltages , for which the opening of the Zeeman \ngap affect s the magnon population . According to the SSE theory , when the Zeeman \nenergy 𝑔𝜇𝐵𝐻 is larger than the thermal energy 𝑘𝐵𝑇, magnons cannot be thermally \nexcited, leading to the suppression of the local SSE, where 𝑔, 𝜇𝐵 and 𝑘𝐵 are the 𝑔-factor, \nBohr magneton and Boltzmann constant, respectively [60–62]. More interestingly, we \nnote that the local SSE signal suppression at the maximum applied field (𝜇0𝐻 = 9 T) is \nalmost 50% of the maximum signal whereas non-local SSE signal suppression reaches \n \n \n \n10 \n \n 80%, as is shown in Fig. 4(d). Such a difference can be accounted for by the distinct way \nmagnon currents reach the EuS/Pt interface in the local and non -local case. In fact, the \nmagnon induced non-local voltage should decay exponentially on the scale of the magnon \ndiffusion length, in contrast to the local voltage case . Therefore, as the magnon diffusion \nlength is also suppressed as the magnetic field is increased, a stronger suppression of the \nsignal should be expected for the non -local case [63]. \n \nD. Magnon diffusion length \n \nFinally, we study the dependence of the non-local SSE amplitude on the injector -\ndetector distance d, to unravel the mechanism by which the magnon currents propagate \nthrough the EuS medium. As shown in Fig. 5, the data can be fit ted with an exponential \ndecay law , characteristic of thermally generated magnons in the relaxation regime [4,64] . \nMoreo ver, we note that the data could not be fitted by power law s, indicating that the \nsignal is not driven by the radial decay of a thermal gradient thr ough the sample, but by \nthe redistribution of the magnon population (see Supp lemental Material [43], Sec. S4). \nFrom the exponential fit we extract a magnon diffusion length ℓ𝑚𝑡ℎ = 140 ± 30 nm at 2 K \nand 0.3 T. This value is of the same order as the one reported by Gao et al. in the \nferrimagnet TmIG, where a 15-nm-thick film results in ℓ𝑚𝑡ℎ = 300 nm at 0.5 T and room \ntemperature [65]. In compar ison to the best YIG samples , where ℓ𝑚𝑡ℎ ~ 7 μm at low \ntemperatures in a 210-nm-thick film and the electrical magnon diffusion length ℓ𝑚 𝑒~ 3 \nμm in 10−15-nm-thick film s [6,54] , the EuS value is more than one order of magnitude \nsmaller . However, we note here that several studies in YIG films reported ℓ𝑚𝑡ℎ values at \nroom temperature comparable with the ℓ𝑚𝑡ℎ value that we observe in EuS [66–69]. At this \npoint, it is important to consider the Gilbert damping (𝛼𝐺) since it is linked to the magnon \ndiffusion length . Reducing 𝛼𝐺 implies increasing the magnon spin -relaxation time and , \ntherefore , the magnon diffusion length [2,37] . To verify this relation ship, we performed \nFMR measurements in the very same sample where the measured devices are located . \nOur results in the EuS thin film yields 𝛼𝐺𝐸𝑢𝑆=4×10−2 at 2 K (see the Supp lemental \nMaterial [43], Sec. S5), which is two orders of magnitude higher than the case of YIG \nthin films (𝛼𝐺𝑌𝐼𝐺~10−4) [4,6] , in agreement with the difference observed in the magnon \ndiffusion length . \n \nFIG. 5. Amplitude of the non -local SSE as a function of injector -detector separation distance \nmeasured at 𝑇 = 2 K, 𝜇0𝐻 = 0.3 T and 𝐼 = 4 μA . Black solid line is a fit to an exponential decay. \n11 \n \n \nIV. CONCLUSIONS \n \nTo summarize, w e demonstrated the transport of incoherent magnon currents in \npolycrystalline films of EuS. Below 𝑇𝐶, we observe a thermally induced response in both \nlocal and non -local transport measurements . Considering the angular, temperature and \nmagnetic field dependence of such signals , we ascribe the measured thermal voltage s to \nthe SSE. By studying the length dependence of the non -local transport signal, we extract \na magnon diffusion length of ℓ𝑚𝑡ℎ = 140 ± 30 nm at 2 K and 0.3 T . This value , short \ncompared to other studied materials such as YIG, correlates well with an enhanced Gilbert \ndamping caused by the polycrystalline structure of the studied EuS films . While we \nexpe ct that a significantly smaller Gilbert damping in epitaxial EuS films could lead to \nan improvement of the magnon diffusion length, we highlight the observation of magnon \ncurrents propagating even through a polycrystalline ferromagnetic insulator film, as \ncompared to the case of YIG films . Despite the relatively short ℓ𝑚𝑡ℎ observed, our work \nshows that the transport of spin currents by incoherent magnons through EuS films sh ould \nbe taken into account when studying EuS -based heterostructures. As for pe rspectives , our \nresults evidence the opportunit y of studying the interaction between magnon currents and \nsuperco nductivity in s ystems comprising, for example, superconductor/EuS interfaces, \nfollowing recent experimental and theoretical works [70,71] . Moreove r, as si zeable \nthermoelectric effects may occur in spin-split superconductors , such as Al/EuS bila yers, \nthe role of the observed SSE could be further explored in such a context [72–75]. \n \nACKNOWLEDGEMENT S \nWe would like to thank Mihail Ipatov for technical expertise in the FMR measurements. \nWe acknowledge funding by the Spanish MICINN (project No. PID2021 -122511OB -I00 \nand Maria de Maeztu Units of Excellence Programme No. CEX2020 -001038 -M) and by \nthe European Union H2020 Programme (Projects No. 965046 -INTERFAST and 964396 -\nSINFONIA). M.X.A. -P. thanks the Spanish MICINN for a Ph.D. fellowship (grant No. \nPRE-2019 -089833). S.C. acknowledges support from the European Commission for a \nMarie Sklodowska -Curie individu al fellowship (Grant No. 796817 -ARTEMIS). W.S. \nacknowledges financial support from Polish National Agency for Academic Exchange \n(PPN/BEK/2020/1/00118/DEC/1). \n \nREFERENCES \n[1] A. Brataas, B. van Wees, O. Klein, G. de Loubens, and M. Viret, Spin \nInsulatronics , Phys Rep 885, 1 (2020). \n[2] M. Althammer, All-Electrical Magnon Transport Experiments in Magnetically \nOrdered Insulators , Physica Status Solidi - Rapid Research Letters 15, 2100130 \n(2021). \n[3] M. Althammer, Pure Spin Currents in Magnetically Ordered Insulator/Normal \nMetal Heterostructures , J Phys D Appl Phys 51, 313001 (2018). 12 \n \n [4] L. J. Cornelissen, J. Liu, R. A. Duine, J. Ben Youssef, and B. J. Van Wees, Long -\nDistance Transport of Magnon Spin Information in a Magnetic Insulator at Room \nTemperature , Nat Phys 11, 1022 (2015). \n[5] J. Shan, P. Bougiatioti, L. Liang, G. Reiss, T. Kuschel, and B. J. Van Wees, \nNonlocal Magnon Spin Transport in NiFe2O4 Thin Films , Appl Phys Lett 110, \n132406 (2017). \n[6] X.-Y. Wei, O. A. Santos, C. H. S. Lusero, G. E. W. Bauer, J. Ben Youssef, and B. \nJ. van Wees, Giant Magnon Spin Conductivity in Ultrathin Yttrium Iron Garnet \nFilms , Nat Mater 21, 1352 (2022). \n[7] R. Lebrun, A. Ross, S. A. Bender, A. Qaiumzadeh, L. Baldrati, J. Cramer, A. \nBrataas, R. A. Duine, and M. Kläui, Tunable Long -Distance Spin Transport in a \nCrystalline Antiferromagnetic Iron Oxide , Nature 561, 222 (2018). \n[8] P. Muduli, R. Schlitz, T. Kosub, R. Hübner, A. Erbe, D. Makarov, and S. T. B. \nGoennenwein, Local and Nonlocal Spin Seebeck Effect in Lateral Pt -Cr2O3 -Pt \nDevices at Low Temperatures , APL Mater 9, 021122 (2021). \n[9] N. Ito, T. Kikkawa, J. Barker, D. Hirobe, Y. Shiomi, and E. Saitoh, Spin Seebeck \nEffect in the Layered Ferromagnetic Insulators CrSiTe3 and CrGeTe3 , Phys Rev \nB 100, 060402 (2019). \n[10] W. Xing, L. Qiu, X. Wang, Y. Yao, Y. Ma, R. Cai, S. Jia, X. C. Xie, and W. Han, \nMagnon Transport in Quasi -Two-Dimensional van Der Waals Antiferromagnets , \nPhys Rev X 9, 011026 (2019). \n[11] S. Qi, D. Chen, K. Chen, J. Liu, G. Chen, B. Luo, H. Cui, L. Jia, J. Li, M. Huang \net al., Giant Electrically Tunable Magnon Transport Anisotropy in a van Der \nWaals Antiferromagnetic Insulator , Nat Commun 14, 2526 (2023). \n[12] D. K. De Wal, A. Iwens, T. Liu, P. Tang, G. E. W. Bauer, and B. J. Van Wees, \nLong -Distance Magnon Transport in the van Der Waals Antiferromagnet CrPS4 , \nPhys Rev B 107, L180403 (2023). \n[13] K. Mallick, A. A. Wagh, A. Ionescu, C. H. W. Barnes, and P. S. Anil Kumar, \nMagnetoresistance Effects in Pt/EuO1 -x, Appl Phys Lett 116, 202405 (2020). \n[14] P. Rosenberger, M. Opel, S. Geprägs, H. Huebl, R. Gross, M. Müller, and M. \nAlthammer, Quantifying the Spin Mixing Conductance of EuO/W Heterostructures \nby Spin Hall Magnetoresistance Experiments , Appl Phys Lett 118, 192401 (2021). \n[15] J. M. Gomez -Perez, X. P. Zhang, F. Cavalle, M. Ilyn, C. González -Orellana, M. \nGobbi, C. Rogero, A. Chuvilin, V. N. Golovach, L. E. Hueso et al., Strong \nInterfacial Exchange Field in a Heavy Metal/Ferromagnetic Insulator System \nDetermined by Spin Hall Magnetoresistance , Nano Lett 20, 6815 (2020). \n[16] T. R. McGuire, B. E. Argyle, M. W. Shafer, and J. S. Smart, Magnetic Properties \nof Some Divalent Europium Compounds , J Appl Phys 34, 1345 (1963). 13 \n \n [17] L. Passell, O. W. Dietrich, and J. Als -Nielsen, Neutron Scattering from the \nHeisenberg Ferromagnets EuO and EuS. I. The Exchange Interactions , Phys Rev \nB 14, 4897 (1976). \n[18] K. Y. Ahn, M. W. Shafer, and T. J. Watson, Preparation and Properties of Eus \nFilms and Effects of Fe Doping , IEEE Trans Magn 7, 394 (1971). \n[19] P. Wei, S. Lee, F. Lemaitre, L. Pinel, D. Cutaia, W. Cha, F. Katmis, Y. Zhu, D. \nHeiman, J. Hone et al., Strong Interfacial Exchange Field in the Graphene/EuS \nHeterostructure , Nat Mater 15, 711 (2016). \n[20] A. Hijano, S. Ilić, M. Rouco, C. González -Orellana, M. Ilyn, C. Rogero, P. \nVirtanen, T. T. Heikkilä, S. Khorshidian, M. Spies et al., Coexistence of \nSuperconductivity and Spin -Splitting Fields in Superconductor/Ferromagnetic \nInsulator Bilayers of Arbitrary Thickness , Phys Rev Res 3, 023131 (2021). \n[21] J. S. Moodera, X. Hao, G. A. Gibson, and R. Meservey, Electron -Spin Polarization \nin Tunnel Junctions in Zero Applied Field with Ferromagnetic EuS Barriers , Phys \nRev Lett 61, 637 (1988). \n[22] X. Hao, J. S. Moodera, and R. Meservey, Spin-Filter Effect of Ferromagnetic \nEuropium Sulfide Tunnel Barriers , Phys Rev B 42, 8235 (1990). \n[23] E. Strambini, M. Spies, N. Ligato, S. Ilić, M. Rouco, C. González -Orellana, M. \nIlyn, C. Rogero, F. S. Bergeret, J. S. Moodera et al., Superconducting Spintronic \nTunnel Diode , Nat Commun 13, 2431 (2022). \n[24] Y. Liu, S. Vaitiekėnas, S. Martí -Sánchez, C. Koch, S. Hart, Z. Cui, T. Kanne, S. \nA. Khan, R. Tanta, S. Upadhyay et al., Semiconductor -Ferromagnetic Insulator -\nSuperconductor Nanowires: Stray Field and Exchange Field , Nano Lett 20, 456 \n(2020). \n[25] G. De Simoni, E. Strambini, J. S. Moodera, F. S. Bergeret, and F. Giazotto, Toward \nthe Absolute Spin -Valve Effect in Superconducting Tunnel Junctions , Nano Lett \n18, 6369 (2018). \n[26] S. Diesch, P. Machon, M. Wolz, C. Sürgers, D. Beckmann, W. Belzig, and E. \nScheer, Creation of Equal -Spin Triplet Superconductivity at the Al/EuS Interface , \nNat Commun 9, 5248 (2018). \n[27] E. Strambini, V. N. Golovach, G. De Simoni, J. S. Moodera, F. S. Bergeret, and F. \nGiazotto, Revealing the Magnetic Proximity Effect in EuS/Al Bilayers through \nSuperconducting Tunneling Spectroscopy , Phys Rev Mater 1, 054402 (2017). \n[28] S. Vaitiekėnas, Y. Liu, P. Krogstrup, and C. M. Marcus, Zero -Bias Peaks at Zero \nMagnetic Field in Ferromagnetic Hybrid Nanowires , Nat Phys 17, 43 (2021). \n[29] Y. liu, A. Luchini, S. Martí -Sánchez, C. Koch, S. Schuwalow, S. A. Khan, T. \nStankevič, S. Francoual, J. R. L. Mardegan, J. A. Krieger et al., Coherent Epitaxial \nSemiconductor -Ferromagnetic Insulator InAs/EuS Interfaces: Band Alignment \nand Magnetic Structure , ACS Appl Mater Interfaces 12, 8780 (2020). 14 \n \n [30] Z. Geng, A. Hijano, S. Ilic, M. Ilyn, I. J. Maasilta, A. Monfardini, M. Spies, E. \nStrambini, P. Virtanen, M. Calvo et al., Superconductor -Ferromagnet Hybrids for \nNon-Reciprocal Electronics and Detectors , Supercond Sci Technol (2023). \n[31] E. Sagasta, Y. Omori, M. Isasa, M. Gradhand, L. E. Hueso, Y. Niimi, Y. Otani, \nand F. Casanova, Tuning the Spin Hall Effect of Pt from the Moderately Dirty to \nthe Superclean Regime , Phys Rev B 94, 060412 (2016). \n[32] J. M. Gómez Pérez, Spin Transport in Magnetic Insulator/Heavy Metal \nHeterostructures, PhD Thesis, CIC nanoGUNE -UPV/EHU ( 2020 ). \n[33] F. Casanova, A. Sharoni, M. Erekhinsky, and I. K. Schuller, Control of Spin \nInjection by Direct Current in Lateral Spin Valves , Phys Rev B 79, 184415 (2009). \n[34] M. Schreier, N. Roschewsky, E. Dobler, S. Meyer, H. Huebl, R. Gross, and S. T. \nB. Goennenwein, Current Heating Induced Spin Seebeck Effect , Appl Phys Lett \n103, 242404 (2013). \n[35] J. M. Gomez -Perez, S. Vélez, L. E. Hueso, and F. Casanova, Differences in the \nMagnon Diffusion Length for Electrically and Thermally Driven Magnon Currents \nin Y3 F E5 O12 , Phys Rev B 101, 184420 (2020). \n[36] P. Pirro, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands, Advances in Coherent \nMagnonics , Nat Rev Mater 6, 1114 (2021). \n[37] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A. Duine, and B. J. Van Wees, \nMagnon Spin Transport Driven by the Magnon Chemical Potential in a Magnetic \nInsulator , Phys Rev B 94, 014412 (2016). \n[38] H. Nakayama, M. Althammer, Y. T. Chen, K. Uchida, Y. Kajiwara, D. Kikuchi, \nT. Ohtani, S. Geprägs, M. Opel, S. Takahashi et al., Spin Hall Magnetoresistance \nInduced by a Nonequilibrium Proximity Effect , Phys Rev Lett 110, 206601 (2013). \n[39] Y. T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T. B. Goennenwein, \nE. Saitoh, and G. E. W. Bauer, Theory of Spin Hall Magnetoresistance , Phys Rev \nB 87, 144411 (2013). \n[40] K. I. Uchida, Transport Phenomena in Spin Caloritronics , Proc Jpn Acad Ser B \nPhys Biol Sci 97, 69 (2020). \n[41] H. Adachi, K. I. Uchida, E. Saitoh, and S. Maekawa, Theory of the Spin Seebeck \nEffect , Reports on Progress in Physics 76, 036501 (2013). \n[42] M. Isasa, A. Bedoya -Pinto, S. Vélez, F. Golmar, F. Sánchez, L. E. Hueso, J. \nFontcuberta, and F. Casanova, Spin Hall Magnetoresistance at Pt/CoFe2O4 \nInterfaces and Texture Effects , Appl Phys Lett 105, 142402 (2014). \n[43] See Supplemental Material for additional longitudinal magnetoresistance \nmeasurements, current -dependent measurements, electrical and thermal excitation \nof magnons, magnetization measurements, and ferromagnetic resonance \nmeasurements . It also includes Refs [ 44-46]. 15 \n \n [44] P. K. Muduli, M. Kimata, Y. Omori, T. Wakamura, S. P. Dash, and Y. Otani, \nDetection of the Interfacial Exchange Field at a Ferromagnetic Insulator -\nNonmagnetic Metal Interface with Pure Spin Currents , Phys Rev B 98, 024416 \n(2018). \n[45] S. Azzawi, A. T. Hindmarch, and D. Atkinson, Magnetic Damping Phenomena in \nFerromagnetic Thin -Films and Multilayers , J Phys D Appl Phys 50, 473001 \n(2017). \n[46] C. Bilzer, T. Devolder, J. Von Kim, G. Counil, C. Chappert, S. Cardoso, and P. P. \nFreitas, Study of the Dynamic Magnetic Properties of Soft CoFeB Films , J Appl \nPhys 100, 053903 (2006). \n[47] S. Vélez, A. Bedoya -Pinto, W. Yan, L. E. Hueso, and F. Casanova, Competing \nEffects at Pt/YIG Interfaces: Spin Hall Magnetoresistance, Magnon Excitations, \nand Magnetic Frustration , Phys Rev B 94, 174405 (2016). \n[48] M. Althammer, S. Meyer, H. N akayama, M. Schreier, S. Altmannshofer, M. \nWeiler, H. Huebl, S. Geprägs, M. Opel, R. Gross et al., Quantitative Study of the \nSpin Hall Magnetoresistance in Ferromagnetic Insulator/Normal Metal Hybrids , \nPhys Rev B 87, 224401 (2013). \n[49] M. Weiler, M. Althammer, M . Schreier, J. Lotze, M. Pernpeintner, S. Meyer, H. \nHuebl, R. Gross, A. Kamra, J. Xiao et al., Experimental Test of the Spin Mixing \nInterface Conductivity Concept , Phys Rev Lett 111, 176601 (2013). \n[50] R. Schlitz, S. Vélez, A. Kamra, C. H. Lambert, M. Lammel, S. T. B. Goennenwein, \nand P. Gambardella, Control of Nonlocal Magnon Spin Transport via Magnon \nDrift Currents , Phys Rev Lett 126, 257201 (2021). \n[51] K. Ganzhorn, T. Wimmer, J. Cramer, R. Schlitz, S. Geprägs, G. Jakob, R. Gross, \nH. Huebl, M. Kläui, and S. T. B. Goennenwein, Temperature Dependence of the \nNon-Local Spin Seebeck Effect in YIG/Pt Nanostructures , AIP Adv 7, 085102 \n(2017). \n[52] J. Shan, L. J. Cornelissen, N. Vlietstra, J. ben Youssef, T. Kuschel, R. A. Duine, \nand B. J. van Wees, Influence of Yttrium Iron Garnet Thickness and Heater \nOpacity on the Nonlocal Transport of Electrically and Thermally Excited \nMagnons , Phys Rev B 94, 174437 (2016). \n[53] X. J. Zhou, G. Y. Shi, J. H. Han, Q. H. Yang, Y. H. Rao, H. W. Zhang, L. L. Lang, \nS. M. Zhou, F. Pan, and C. Song, Lateral Transport Properties of Thermally \nExcited Magnons in Yttrium Iron Garnet Films , Appl Phys Lett 110, 062407 \n(2017). \n[54] L. J. Cornelissen, J. Shan, and B. J. Van Wees, Temperature Dependence of the \nMagnon Spin Diffusion Length and Magnon Spin Conductivity in the Magnetic \nInsulator Yttrium Iron Garnet , Phys Rev B 94, 180402 (2016). 16 \n \n [55] S. T. B. Goennenwein, R. Schlitz, M. Pernpeintner, K. Ganzhorn, M. Althammer, \nR. Gross, and H. Huebl, Non-Local Magnetoresistance in YIG/Pt Nanostructures , \nAppl Phys Lett 107, 172405 (2015). \n[56] K. Oyanagi, J. M. Gómez -Pérez, X. P. Zhang, T. Kikkawa, Y. Chen, E. Sagasta, \nA. Chuv ilin, L. E. Hueso, V. N. Golovach, F. S. Bergeret et al., Paramagnetic Spin \nHall Magnetoresistance , Phys Rev B 104, 134428 (2021). \n[57] X. P. Zhang, F. S. Bergeret, and V. N. Golovach, Theory of Spin Hall \nMagnetoresistance from a Microscopic Perspective , Nano Lett 19, 6330 (2019). \n[58] H. Adachi, Y. Yamamoto, and M. Ichioka, Spin Seebeck Effect in a Simple \nFerromagnet near T c: A Ginzburg -Landau Approach , J Phys D Appl Phys 51, \n144001 (2018). \n[59] G. X. Miao and J. S. Moodera, Controlling Magnetic Switching Properties of EuS \nfor Constructing Double Spin Filter Magnetic Tunnel Junctions , Appl Phys Lett \n94, 182504 (2009). \n[60] T. Kikkawa, K. I. Uchida, S. Daimon, Z. Qiu, Y. Shiomi, and E. Saitoh, Critical \nSuppression of Spin Seebeck Effect by Magnetic Fields , Phys Rev B 92, 064413 \n(2015). \n[61] K. Oyanagi, T. Kikkawa, and E. Saitoh, Magnetic Field Dependence of the \nNonlocal Spin Seebeck Effect in Pt/YIG/Pt Systems at Low Temperatures , AIP Adv \n10, 015031 (2020). \n[62] T. Kikkawa, K. I. Uchida, S. Daimon, and E. Saitoh, Complete Suppression of \nLongitudinal Spin Seebeck Effect by Frozen Magnetization Dynamics in \nY3Fe5O12 , J Physical Soc Japan 85, 065003 (2016). \n[63] L. J. Cornelissen and B. J. Van Wees, Magnetic Field Dependence of the Magnon \nSpin Diffusion Length in the Magnetic Insulator Yttrium Iron Garnet , Phys Rev B \n93, 020403 (2016). \n[64] J. Shan, L. J. Cornelissen, J. Liu, J. Ben Youssef, L. Liang, and B. J. Van Wees, \nCriteria for Accurate Determination of the Magnon Relaxation Length from the \nNonlocal Spin Seebeck Effect , Phys Rev B 96, 184427 (2017). \n[65] J. Gao, C. H. Lambert, R. Schlitz, M. Fiebig, P. Gambardella, and S. Vélez, \nMagnon Transport and Thermoelectric Effects in Ultrathin Tm3Fe5 O12 /Pt \nNonlocal Devices , Phys Rev Res 4, 043214 (2022). \n[66] T. B. Noack, H. Y. Musiienko -Shmarova, T. Langner, F. Heussner, V. Lauer, B. \nHeinz, D. A. Bozhko, V. I. Vasyunchka, A. Pomyalov, V. S. L´Vov et al., Spin \nSeebeck Effect and Ballistic Transport of Quasi -Acoustic Magnons in Room -\nTemperature Yttrium Iron Garnet Films , J Phys D Appl Phys 51, 234003 (2018). \n[67] E. Chavez -Angel, R. A. Zarate, S. Fuentes, E. J. Guo, M. Kläui, and G. Jakob, \nReconstruction of an Effective Magnon Mean Free Path Distribution from Spin \nSeebeck Measurements in Thin Films , New J Phys 19, 013011 (2017). 17 \n \n [68] A. Kehlberger, U. Ritzmann, D. Hinzke, E. J. Guo, J. Cramer, G. Jakob, M. C. \nOnbasli, D. H. Kim, C. A. Ross, M. B. Jungfleisch et al., Length Scale of the Spin \nSeebeck Effect , Phys Rev Lett 115, 096602 (2015). \n[69] U. Ritzmann, D. Hinzke, and U. Nowak, Propagation of Thermally Induced \nMagnonic Spin Currents , Phys Rev B 89, 024409 (2014). \n[70] K. R. Jeon, J. C. Jeon, X. Zhou, A. Migliorini, J. Yoon, and S. S. P. Parkin, Giant \nTransition -State Quasiparticle Spin -Hall Effect in an Exchange -Spin-Split \nSuperconductor Detected by Nonlocal Magnon Spin Transport , ACS Nano 14, \n15874 (2020). \n[71] L. G. Johnsen, H. T. Simensen, A. Brataas, and J. Linder, Magnon Spin Current \nInduced by Triplet Cooper Pair Supercurrents , Phys Rev Lett 127, 207001 (2021). \n[72] F. Giazotto and F. S. Bergeret, Very Large Thermal Rectification in Ferromagnetic \nInsulator -Based Superconducting Tunnel Junctions , Appl Phys Lett 116, 192601 \n(2020). \n[73] F. Giazotto, P. Solinas, A. Braggio, and F. S. Bergeret, Ferromagnetic -Insulator -\nBased Superconducting Junctions as Sensitive Electron Thermometers , Phys Rev \nAppl 4, 044016 (2015). \n[74] Z. Geng, A. P. Helenius, T. T. Heikkilä, and I. J. Maasilta, Superconductor -\nFerromagnet Tunnel Junction Thermoelectric Bolometer and Calorimeter with a \nSQUID Readout , J Low Temp Phys 199, 585 (2020). \n[75] P. Machon, M. Eschrig, and W. Belzig, Giant Thermoelectric Effects in a \nProximity -Coupled Superconductor - Ferromagnet Device , New J Phys 16, 073002 \n(2014). \n \n \n \n \n \n \n \n \n 18 \n \n SUPPLEMENTAL MATERIAL \n \nS1. Longitudinal magnetoresistance measurements. \n \nFigure S1(a) shows a characteristic longitudinal field -dependent magnetoresistance \n(FDMR) measurement performed in device MST2 at 𝑇 = 2 K with the magnetic field \napplied in x and y direction. A clear gap appears between 𝐻𝑥 and 𝐻𝑦 curves with a peak \n(in 𝐻𝑦) or dip (in 𝐻𝑥) around zero field which correspond to the reversal magnetization \nof EuS and follows the SMR behavior for in -plane magnetic fields [1]. Figure S1(b) \nshows the angular -dependent magnetoresistance (ADMR) measurement for the same \ndevice at 𝑇 = 2 K and 𝜇0𝐻 = 0.1 T, where the amplitude of the signal corresponds to the \nmagnitude of the FDMR gap at the same magnetic field. \n \n \n \nFIG. S 6. Longitudinal measurements of the (a) FDMR at 𝑇 = 2 K and (b) ADMR in 𝛼 plane at 𝑇 \n= 2 K and 𝜇0𝐻 = 0.1 T in device MST2. The orange line is a 𝑐𝑜𝑠2𝛼 fitting. The double arrows in \n(a) and (b) mark the same SMR amplitude ∆𝜌𝐿/𝜌. \n \nS2. Current -dependent measurements \n \nFigure S2(a) shows the ADMR measurement performed for each device (MST1, MST2 \nand MST3) at 𝐼 = 4 𝜇A, 𝜇0𝐻 = 0.1 T and 𝑇 = 2 K. The different amplitude among the \ndevices implies a different spin transfer at the EuS/Pt interface (i.e., a different effective \nspin-mixing conductance). Therefore, in order to normalize the measured voltage 𝑉𝐿𝑂𝐶 ,𝑁𝐿 \nat a given current, we use the following formula: \n \n(𝑉𝐿𝑂𝐶 ,𝑁𝐿∗)𝑀𝑆𝑇𝑥 =(𝑉𝐿𝑂𝐶 ,𝑁𝐿)𝑀𝑆𝑇𝑥 ∙(∆𝜌𝐿/𝜌)𝑀𝑆𝑇 2\n(∆𝜌𝐿/𝜌)𝑀𝑆𝑇𝑥 (S1) \n \nwhere ∆𝜌𝐿/𝜌 is the amplitude of the SMR signal and 𝑀𝑆𝑇𝑥 a device. As shown in Fig. \nS2(b), the amplitude of the SMR signal decreases as the current is increased. \n \nSince the injected current heats up the Pt injector and the Curie temperature ( 𝑇𝐶) of the \nEuS is low, we calibrate the temperature of the injector to stay below 𝑇𝐶. To do so, first \nwe have measured the temperature dependence of the Pt at the lowest current applied (4 \n𝜇A), a representative curve for device MST2 is shown in figure S3(a). Then, from the \n 19 \n \n minimum of the SMR curve at higher currents, up to 100 𝜇A, we estimate the effective \ntemperature of the system [see figures S3(b) and S3(c)]. This way we make sure that we \nare not above the Curie temperature of the EuS, neither increasing too much the \ntemperature of the system. As shown in figure S3(c), a linear dependence between the \napplied current and the effective temperature is found. We as cribe the linear relationship \nnot only to Joule heating, which is proportional to 𝐼2, but also to the heat removed from \nthe sample surface by the cooling capacity of the He -vapor based cryostat, which is also \nstrongly temperature dependent below 20 K. \n \n \n \nFIG. S 7. Data corresponds to devices MST1 (blue open stars), MST2 (green open stars) and \nMST3 (pink solid stars). (a) ADMR at 𝑇 = 2 K, 𝜇0𝐻 = 0.1 T and the smallest current applied (4 \n𝜇A). (b) Current dependence of the ADMR amplitude at 𝑇 = 2 K and 𝜇0𝐻 = 0.1 T. \n \n \n \n \n \n 20 \n \n FIG. S 8. (a) Resistance of Pt as a function of temperature at 𝐼 = 4 𝜇A measured in the longitudinal \nconfiguration. (b) ADMR measurements in 𝛼 plane for 𝐼 = 10, 30 and 50 𝜇A. The minimum of \nthe signal (at 𝛼 = 90º) changes with the applied current. The SMR amplitude decreases with \nincreasing applied current, which can be better seen in Fig. S2(b). (c) Correspondence between \nthe resistance of Pt as a function of temperature at 4 𝜇A (grey line) and the minimum of the SMR \ncurves measured at different currents (colored symbols). Data in panels (a), (b), and (c) \ncorrespond to device MST2. (d) Relation between the current applied and the effective \ntemperature [extracted from panel (c) for the exemplary case of MST2]. The same linear relation \n(grey solid line) is obtained for the three studied devices (MST1, MST2, and MST3). \n \nMoreover, due to the relatively low 𝑇𝐶 of the EuS films, the second harmonic voltage is \nparticularly affected by Joule heating. As can be seen in Fig. S4, the voltage versus 𝐼2 \ncharacteristics reveals two competing regimes. At low currents, we see an increase of \nvoltage with the squared current, but as we continue increasing the current, the signal \nreaches a maximum and starts decreasing. This is most likely due to overheating o f the \nEuS layer, and in consequence, reducing the magnetization of the film. For that reason, \nwe keep at 𝐼 ≤ 20 μA for the local and non -local measurements. \n \n \n \nFIG. S 9. Amplitude of the a) local SSE and b) non -local SSE as a function of the square of the \ncurrent, at 2 K and 0.3 T. \n \nS3. Electrical excitation of magnons \n \nThe electrical excitation of magnons through the non -local voltage 𝑉𝑁𝐿𝑒 have been verified \nfor different injector -detector distances an d no signal has been found. A representative \nmeasurement for device MST1 is shown in Fig. S5, in which we are not able to detect any \n𝑠𝑖𝑛2𝛼 modulation expected for the electrically driven magnons. The magnon population \ndecreases as we approach zero temperature and thus the magnon accumulation and \n𝑉𝑁𝐿𝑒vanishes [2–4]. The absence of an electrically driven signal can thus be explained by \ntaking into account the range of temperatures involved (below ~ 30 K) as well as the fact \nthat 𝑉𝑁𝐿𝑒 is linear with the applied current (while 𝑉𝑁𝐿𝑡ℎ is quadratic with the current, leading \nto larger values above the detection limit). \n \n \n \n \n 21 \n \n \n \nFIG. S 10. Angular -dependent non -local signal detected for electrically injected magnon currents \nat d = 0.8 μm, 𝑇 = 2 K, I= 20 μA and 𝜇0𝐻 = 0.3 T for device MST1. \n \nS4. Thermal excitation of magnons \n \nDifferent regimes have been proposed for the propagation of magnons [5–7]. At short \ndistances, 𝑑≪𝑙𝑚𝑡ℎ, the system is in the diffusive regime, and it decays as 1/d. Then, for \nhigher distances we enter in the exponential decay or relaxation regime. Finally, for \n𝑑≫𝑙𝑚𝑡ℎ the system enters the 1/𝑑2 regime, where the signal reduction no longer depends \non 𝑙𝑚𝑡ℎ. Figure S6 shows the different fittings according to these three regimes. From here, \nwe conclude that the best fit is found for the exponential decay. \n \n \n \nFIG. S 11. Amplitude of the non -local SSE as a function of the injector -detector separation \ndistance measured at 𝑇 = 2 K, 𝜇0𝐻 = 0.3 T and 𝐼 = 4 μA . Black solid line is a fit to an exponential \ndecay, green dashed line corresponds to 1/𝑑 fit and red dotted line to 1/𝑑2 fit. \n \nS5. Magnetic characterization \n \nThe magnetic properties of the EuS thin film are determined by the vibrating sample \nmagnetometry (VSM) and ferromagnetic resonance (FMR) techniques. Figure S 7(a) \nshows the temperature dependence of the EuS magnetic moment ( 𝑚) for different applied \nmagnetic fields. EuS shows a clear ferromagnetic behavior with a broad transition to the \nparamagnetic state. From the temperature derivative of 𝑚, we found a Curie temperature \n𝑇𝐶≈19 𝐾 for an applied magnetic field of 20 Oe [1,8] . Besides, an increase of the \n22 \n \n magnetic field applied shifts the transition to the paramagnetic state towards higher \ntemperatures. The hysteresis loops recorded between 2 K and 30 K show very low \ncoercive fields, around 3 mT at 2 K [see Fig. S6(b)], supporting the soft ferromagnetic \nbehavior of the EuS films also observed in FDMR measurements [Fig. S1(a)]. For the \nprobed magnetic field range, the magnetization increases with field, and we do not \nobserve saturation. \n \n \n \nFIG. S 12. (a) Magnetic moment of EuS thin film as a function of temperature for different applied \nmagnetic fields 𝜇0𝐻 = 2 mT, 0.1 T and 0.3 T. (b) Hysteresis loops measured at different \ntemperatures from 2 K to 30 K with zoom to observe the small field regime, note that the serrated \nshape is due to the step size of 2.5 mT used during the measurement. \n \nFMR measurements were performed at constant temperature by sweeping an external \nmagnetic field at several fixed microwave frequencies in the range of 7 -22 GHz. Each \nFMR spectrum has been analyzed by subtracting a background and fitting it to a \nLorentzian curve [see Fig. S8(a)]. The resonance field ( 𝐻𝑟𝑒𝑠) as a function of microwave \nfrequency is shown in Fig. S8(b), which is fitted using the Kittel equation [solid lines in \nFig. S8(b)]. According to Kittel formula, the precession frequency ( 𝑓) is related to the \nmaterial parameters by: \n \n𝑓= 𝛾𝜇0\n2𝜋√𝐻𝑟𝑒𝑠(𝐻𝑟𝑒𝑠+𝑀𝑒𝑓𝑓) (S2) \n \nwhere 𝛾 is the gyromagnetic radio and 𝑀𝑒𝑓𝑓 is the effective magnetization [9,10] . Due to \na small magnetic anisotropy of the EuS we can assume that the saturation of \nmagnetization 𝑀𝑠𝑎𝑡=𝑀𝑒𝑓𝑓. As depicted in Fig . S8(c), the temperature behavior of 𝑀𝑒𝑓𝑓 \nshows the same trend as the magnetic moment [see Fig. S7(a)], disappearing around 𝑇𝐶. \nAt 𝑇 = 2 K we found a saturation magnetization of 1163 kA /m, which is close to the \nreported bulk value of 1240 kA/m [11]. The FMR linewidth ∆H dependence on the \nexcitation frequency is related to the Gilbert damping ( 𝛼𝐺), and it is described by the \nformula: \n∆𝐻= ∆𝐻0+4𝜋𝛼𝐺\n𝛾𝑓 (S3) \n \n 23 \n \n where ∆𝐻0 is termed the inhomogeneous linewidth [9]. From the linear fittings (solid \nlines in Fig . S8(d), we extract 𝛼𝐺 = (40 ± 4) ×10−3 at 2 K. The values at other \ntemperatures are plotted in Fig. S8(e ), which is at least one order of magnitude higher \nthan the damping of YIG. \n \n \n \nFIG. S 13. (a) FMR spectras as a function of external magnetic field at 2 K for different \nfrequencies. Dashed lines represent the fittings to a Lorentzian curve. (b) Frequency as a function \nof the resonant field at different temperatures. Solid lines correspond to t he fit of experimental \ndata to the Kittel formula (Eq. S2). (c) Effective saturation magnetization extracted from the \nfitting in panel (b). (d) FMR linewidth ( ∆H) as a function of frequency. Solid lines correspond to \na linear fit (see Eq. S3) of the experi mental data. (e) Gilbert damping of the EuS sample as a \nfunction of temperature, extracted from the slope of panel (d). \n \nSUPPLEMENTAL REFERENCES \n \n[1] J. M. Gomez -Perez et al., Strong Interfacial Exchange Field in a Heavy \nMetal/Ferromagnetic Insulator System Determined by Spin Hall Magnetoresistance , \nNano Lett 20, 6815 (2020). \n[2] L. J. Cornelissen, J. Shan, and B. J. van Wees, Temperature Dependence of the \nMagnon Spin Diffusion Length and Magnon Spin Conductivity in the Magnetic \nInsulator Yttrium Iron Garnet , Phys Rev B 94, 180402 (2016). \n[3] S. T. B. Goennenwein, R. Schlitz, M. Pernpeintner, K. Ganzhorn, M. Althammer, R. \nGross, and H. Huebl, Non-Local Magnetoresistance in YIG/Pt Nanostructures , Appl \nPhys Lett 107, 172405 (2015). \n[4] J. M. Gomez -Perez, S. Vélez, L. E. Hueso, and F. Casanova, Differences in the \nMagnon Diffusion Length for Electrically and Thermally Driven Magnon Currents \nin Y3 F E5 O12 , Phys Rev B 101, 184420 (2020). \n[5] L. J. Cornelissen, J. Liu, R. A. Duine, J. Ben Youssef, and B. J. Van Wees, Long -\nDistance Transport of Magnon Spin Information in a Magnetic Insulator at Room \nTemperature , Nat Phys 11, 1022 (2015). \n[6] J. Shan, L. J. Cornelissen, N. Vlietstra, J. Ben Youssef, T. Kuschel, R. A. Duine, and \nB. J. Van Wees, Influence of Yttrium Iron Garnet Thickness and Heater Opacity on \n \n 24 \n \n the Nonlocal Transport of Electrically and Thermally Excited Magnons , Phys Rev B \n94, 174437 (2016). \n[7] J. Shan, L. J. Cornelissen, J. Liu, J. Ben Youssef, L. Liang, and B. J. Van Wees, \nCriteria for Accurate Determination of the Magnon Relaxation Length from the \nNonlocal Spin Seebeck Effect , Phys Rev B 96, 184427 (2017). \n[8] P. K. Muduli, M. Kimata, Y. Omori, T. Wakamura, S. P. Dash, and Y. Otani, \nDetection of the Interfacial Exchange Field at a Ferromagnetic Insulator -\nNonmagnetic Metal Interface with Pure Spin Currents , Phys Rev B 98, 024416 \n(2018). \n[9] S. Azzawi, A. T. Hindmarch, and D. Atkinson, Magnetic Damping Phenomena in \nFerromagnetic Thin -Films and Multilayers , J Phys D Appl Phys 50, 473001 (2017). \n[10] C. Bilzer, T. Devolder, J. von Kim, G. Counil, C. Chappert, S. Cardoso, and P. P. \nFreitas, Study of the Dynamic Magnetic Properties of Soft CoFeB Films , J Appl Phys \n100, 053903 (2006 ). \n[11] W. Zinn, Microscopic Studies of Magnetic Properties and Interactions Recent \nResults on Europium -Monochalcogenides , J Magn Magn Mater 3, 23 (1976). " }, { "title": "2404.15011v1.Shaping_non_reciprocal_caustic_spin_wave_beams.pdf", "content": "Shaping non-reciprocal caustic spin-wave beams\nDinesh Wagle,1Daniel Stoeffler,2Loic Temdie,3Mojtaba Taghipour Kaffash,1Vincent Castel,3H. Majjad,2R.\nBernard,2Yves Henry,2Matthieu Bailleul,2M. Benjamin Jungfleisch,1,∗and Vincent Vlaminck3,†\n1Department of Physics and Astronomy, University of Delaware, Newark, DE 19716, USA\n2IPCMS - UMR 7504 CNRS Institut de Physique et Chimie des Materiaux de Strasbourg, France\n3IMT- Atlantique, Dpt. MO, Technopole Brest-Iroise CS83818, 29238 Brest Cedex 03, France\n(Dated: April 24, 2024)\nA caustic is a mathematical concept describing the beam formation when the beam envelope is\nreflected or refracted by a manifold. While caustics are common in a wide range of physical systems,\ncaustics typically exhibit a reciprocal wave propagation and are challenging to control. Here, we\nutilize the highly anisotropic dispersion and inherent non-reciprocity of a magnonic system to shape\nnon-reciprocal emission of caustic-like spin wave beams in an extended 200 nm thick yttrium iron\ngarnet (YIG) film from a nano-constricted rfwaveguide. We introduce a near-field diffraction\nmodel to study spin-wave beamforming in homogeneous in-plane magnetized thin films, and reveal\nthe propagation of non-reciprocal spin-wave beams directly emitted from the nanoconstriction by\nspatially resolved micro-focused Brillouin light spectroscopy (BLS). The experimental results agree\nwell with both micromagnetic simulation, and the near-field diffraction model. The proposed method\ncan be readily implemented to study spin-wave interference at the sub-micron scale, which is central\nto the development of wave-based computing applications and magnonic devices.\nCaustics are mathematical concepts describing beam-\nforming in a variety of physical systems, ranging from op-\ntics [1] and dark matter physics [2, 3] to condensed matter\nphysics, including phononics [4–6], plasmonics [7, 8], elec-\ntronics [9–11], and magnonics [12–23]. While the concept\nof caustics in optics refers to the concentration of light\nrays due to reflection or refraction within a heterogeneous\nsystem, caustic beams in condensed matter physics are\nrelated to the anisotropy of the dispersion relation in a\nhomogeneous system, where the direction of the group\nvelocity and wavevector do not coincide. More specifi-\ncally, the existence of inflection points in the isofrequency\ncurve – also known as the slowness curve in optics – of an\nanisotropic system leads to a range of wavevectors having\nthe same group velocity. This results in the generation\nof a highly focused beam – the caustic.\nIn the last decade, caustics in spin systems have been\nextensively studied theoretically [12, 13, 23], and experi-\nmentally for their advantages with respect to the develop-\nment of wave-based computing applications such as reser-\nvoir computing [24–26], holographic memory [27, 28], and\nneuromorphic computing [29, 30]. They provide the abil-\nity to control the formation and steering of well-defined\nspin-wave beams in adjustable frequency bands and with\na higher power density than conventional plane waves.\nThe most established technique to excite well-defined\ncaustic beams is to launch spin waves in a narrow fer-\nromagnetic waveguide that enters a semi-infinite plane\n[14, 15], where the junction acts as a point-like diffract-\ning source. Other methods to create spin-wave caus-\ntics include the utilization of a collapsing bullet mode\n[16], nonlinear higher harmonic generation from local-\nized edge modes [17], or are based on all-optical point-\nlike sources based on frequency comb rapid demagnetiza-\ntion [21]. Recently, the excitation of spin-wave causticshas been achieved in extended films from the edges of a\nstraight segment via NV magnetometry [31] or in a cir-\ncular stripline antenna in both the Damon-Eshbach [23],\nand the backward-volume wave geometry [19]. However,\nbeam-forming of spin-wave caustics from a microwave an-\ntenna in an extended thin film and the utilization of the\nnon-reciprocal spin-wave properties for a controlled spin-\nwave caustic emission has not been demonstrated until\nnow.\nHere, we demonstrate the non-reciprocal emission of\ncaustic-like spin-wave beams in an extended yttrium iron\ngarnet (YIG) film from a nano-constricted rf waveguide.\nWe extend the previously developed near-field diffraction\nmodel (NFD) of spin waves in out-of-plane magnetized\nfilms [32, 33] to in-plane magnetized films, which we use\nto identify the most suitable antenna designs for shap-\ning spin-wave caustic beams. The predictions are then\nexperimentally tested using micro-focused Brillouin light\nscattering (BLS) by mapping the spin-wave beam emis-\nsion. Our experiments reveal a non-reciprocity in the\ncaustic emission that depends on the relative orientation\nbetween microwave and biasing magnetic fields. The ex-\nperimentally obtained spin-wave maps agree well with\nmicromagnetic modeling and NFD simulations. Our re-\nsults highlight the possibility to shape and steer spin-\nwave caustic beams, which feature a narrow bandwidth\nand long-range propagation.\nExperimentally, the excitation of coherent spin-waves\nis achieved within a range of wavevector for which the dy-\nnamic dipolar interaction usually plays a major role, i.e.,\nk≤100 rad/ µm. For thin films magnetized in-plane, it is\nspecifically this dipolar term that leads to an anisotropic\ndispersion relation, where the frequency of the spin wave\namong other parameters depends on the relative orienta-\ntion between magnetization and wavevector [34] [see alsoarXiv:2404.15011v1 [cond-mat.mes-hall] 23 Apr 20242\nHext\nkx[rad/µm]ky[rad/µm](a) (b)\nHext\nHext\nkcvg\nHext500 nmxy(c) (d)\n (e)\nHext\nx [µm]y [µm]\nx [µm]y [µm]\nx [µm] x [µm]𝑚𝑥[mT]\n0 4 1 3 2𝑚𝑥[mT]\n0 1.5 0.5 1𝑚𝑥[mT] 𝑚𝑥[mT]\nFIG. 1. ( a) Slowness curve at 7.9 GHz for a 50 nm thick YIG film magnetized along y-axis under a bias field of 200 mT,\nsuperimposed with the Fourier transform of the excitation field from a 500 nm square segment. ( b) NFD, ( c) MuMax3\nsimulations in the DE-configuration, and ( d) NFD, ( e) MuMax3 simulations in the BVW-configuration.\nSupplementary Material (SM)]. For suitable combina-\ntions of field, frequency and wavevector, the isofrequency\ncurve in reciprocal space possesses an inflection point,\nwhich is referred to as caustic point: (d2ky\ndk2x)k=kc= 0. In\nthe vicinity of this point, kcof zero curvature, a finite\nrange of wavevectors exhibits the same group velocity\n[⃗ vg=⃗∇k(ω)], which can lead to the focused emission\nof a spin-wave beam. The larger the extent of this zero\ncurvature section, the stronger the caustic beam defini-\ntion. Another requirement for caustic beam formation\nbesides the aforementioned conditions is a sufficiently\nconstrained (ideally point-like) spin-wave source. Fig-\nure 1(a) shows the slowness curve at 7.9 GHz for a 50 nm-\nthick YIG film magnetized along the y-axis by a bias field\nof 200 mT, on top of which we superimpose the Fourier\ntransform of the excitation field from a 500 nm square\n[Fig. 1(a)] spin-wave source. One can see the necessary\ncondition of having the caustic point located within an\neffective region of the 2D emission spectrum in order to\ngenerate well-defined beams; see also SM for the results of\na rectangular segment of 5 µm length and 500 nm width.\nIn the following, we adapt the NFD approach, which\nwas shown to benchmark spin-wave diffraction in out-\nof-plane magnetized films [32], for the investigation of\nthe beam-forming in an in-plane configuration. The ap-\nproach consists of defining the dynamic susceptibility\ntensor χ(kx, ky) in reciprocal space obtained by inverting\nthe linearized Landau-Lifschitz-Gilbert (LLG) equation\n(see SM) [35, 36]. For simplicity, we ignore magneto-\ncrystalline anisotropy, and consider unpinned conditions\n[\u0000∂ ⃗ m\n∂z\u0001\n±t/2=⃗0] at both top and bottom surfaces. We also\nrestrict ourselves to the fundamental mode ( n= 0), for\nwhich the spin-wave profile is uniform across the thick-\nness, and assume no coupling with higher-order modes.\nThis last assumption is all the more relevant, the thin-\nner the film is, for which higher-order modes are fairly\ndecoupled.\nThe diffraction pattern from an arbitrarily shaped\ncoplanar waveguide (CPW) can then be obtained by com-\nputing the inverse Fourier transform of the product ofsusceptibility tensor in reciprocal space χ(kx, ky) with\nthe Fourier transform of the exciting magnetic field in\nthe 2D plane ⃗h(kx, ky):\n⃗ m(x, y, t ) =eiωtZZ+∞\n−∞dkxdkyχij⃗h(kx, ky)e−i(kxx+kyy).\n(1)\nThe two components ⃗h= (hin, hout) of the microwave\nmagnetic field produced by the CPW are obtained\nusing the expressions of the Oersted field for an infinite\nstraight conductor with a rectangular section, for which\nwe adjust the current value to match typical input power\nlevels and impedance of the antennas. The in-plane\ncomponent of the excitation field is weighted with the\nsine of its angle with respect to the equilibrium direction\nof the magnetization.\nFigure 1 shows the spin-wave diffraction patterns\nobtained for the 500 nm square segment on top of\na 50 nm-thick YIG film with a Gilbert damping\nα=2×10−4. In all simulations, we used a thickness of\n80 nm for the stripline, consistent with typical device\ndimensions. We simulate both limiting cases: (1)\nwhen the bias field is perpendicular to the in-plane\ndynamic field [Fig. 1(b), “Damon-Eshbach”-like (DE)\nconfiguration], and (2) when the bias field is parallel to\nthe in-plane dynamic field [(Fig. 1(d), “backward volume\nwave”-like (BVW) configuration]. Alongside the NFD\nmapping, we also show in Figs. 1(c,e) the corresponding\nmicromagnetic simulations using MuMax3 taking into\naccount the same set of parameters and excitation\ngeometry. One can clearly appreciate the excellent\nquantitative agreement between both methods, even in\nthe smallest details of the diffraction pattern. We note\nthat the NFD mapping is approximately 3000 times\nfaster than its corresponding micromagnetic simulations\nand, hence, these simple tests validate the NFD ap-\nproach for sufficiently thin in-plane magnetized films.\nBy comparing the two constriction configurations, i.e.,\nrectangular segment (see SM) and square (Fig. 1), we\ndemonstrate that a point-like source is essential for good\ncaustic definition. Furthermore, a pronounced chiral3\n(a) (b) (c)\nx [µm]5 0\nx [µm]5 0\nx [µm]5 0\nx [µm]5 0\nx [µm]5 0\nx [µm]5 015\n-150y [µm]15\n-150y [µm]15\n-150y [µm]Hext>0 Hext<0(d)\n1µmHext\nxy\n𝒉𝑤\n𝑴𝒆𝒒\nYIG𝑡\n𝑘𝑟𝒎𝑘𝑙(g)\n (h)\n(e) (f)Hext>0 Hext<0 Hext>0 Hext<0\nFIG. 2. ( a) BLS measurement at 7.5 GHz, and a bias field µ0Hext=±188 mT perpendicular to the in-plane component of the\ndriving field. ( b) Corresponding MuMax3 simulations for the top cell for both bias field polarities and ( c) corresponding NFD\nsimulations. y= 0 corresponds to the position of the constriction in (a-c) . Dark color represents minimum signal, light color\nrepresents maximum signal in all false-color coded images. ( d) Scanning microscope image of a 400 nm-wide and 2 µm-long\nconstricted stripline. Amplitude of the out-of-plane component of the excitation field around the constriction used for ( e)\nMuMax 3 simulations, and ( f) for NFD simulations. ( g) Sketch of the chiral excitation indicating the spin-wave localization.\n(h) Cross-section along the y-axis of the spin-wave amplitude |mx|atx=10µm for the top and bottom cells of the MuMax3\nsimulations for both field polarities.\ncoupling occurs in the DE-like configuration, for which\nno beam is observed on the left-hand side with respect\nto the bias field direction [Figs. 1(b,c)]. As is shown in\nFig. 2(g), this field-dependent non-reciprocity is due to\nthe non-negligible aspect ratio of the thickness/width of\nthe stripline, which results in an out-of-plane microwave\nfield distribution that is only favorable to single-sided\npropagating waves [37, 38]. In contrast, the caustic\nbeams appear fully symmetrical on either side of the\nbias field when the bias field is parallel to the in-plane\ndynamic field [Figs. 1(d,e)]. An analogous behavior is\nfound for the 5 µm-long rectangular segment, although\nthe beamforming is not well defined [see SM].\nTo confirm our theoretical modeling results, we ex-\nperimentally investigate the generation of caustic beams\nfrom a nano-constricted stripline patterned on the top\nof an extended 200-nm thick single-crystal YIG film by\nmicro-focused BLS spectroscopy (see SM for details).\nWe fabricated two different combinations of constric-\ntions with varying lengths Land widths wusing electron\nbeam lithography, followed by ebeam-evaporation of 6-\nnm Ti/80-nm Au: (A) L= 2µm/w= 400 nm, and (B)\nL= 1µm/w= 200 nm. Figure 2(d) shows an exemplary\nscanning electron image of the antenna structure (A) on\nthe top of the extended YIG thin film. In each case, we\nfabricated two sets of antenna designs to study the two\ndifferent orientations: (1) DE-like configuration (Fig. 2),\nand (2) BVW-like configuration (Fig. 3).\n(1) Damon-Eshbach-like configuration: We firstpresent the BLS measurement for the geometry where\nthe excitation field is perpendicular to the static ap-\nplied field. Figure 2(a) shows the 2D BLS maps ob-\ntained for antenna design (A) carrying a continuous mi-\ncrowave power of −3 dBm at 7.5 GHz and an applied\nfield of µ0Hext=±188 mT. For the positive polarity, e.g.,\nfield pointing upward [left panel of Fig. 2(a)], we observe\ntwo well-defined beams propagating symmetrically away\nfrom the constriction at about 58◦with respect to the\nx-axis. Conversely, for the negative polarity, e.g., field\npointing downward [right panel of Fig. 2(b)], the beams\nare much less defined, and the signal rapidly decays as we\nmove away from the constriction. This field-dependent\nnon-reciprocity is due to an out-of-plane microwave field\ndistribution favoring single-sided propagating waves as\nsketched in Fig. 2(g) [37, 38]. This effect is much more\npronounced in the corresponding MuMax3 and NFD sim-\nulations, shown respectively in Fig. 2(b) and Fig. 2(c).\nThese differences are likely due to the difficulty of defin-\ning the microwave field distribution of the real device.\nOne can also notice slight differences in the beam shape\nbetween the MuMxa3 and NFD simulations, which are\nlikely due to the smoother transition of the microwave\nfield at the constriction used for the MuMax3 simulations\nas shown in Figs. 2(e,f) (see SM for further details). De-\nspite these differences, a reasonable agreement between\nBLS measurements and simulations is found, demon-\nstrating the control of caustic spin-wave beam excitation\ndirectly from an antenna. We also show in Fig. 2(h) a\ncross-section along the y-axis of the spin-wave amplitude4\n(a)\nHext>0 Hext<0\nx [µm]5 0\nx [µm]5 015\n-150y [µm](b)\nx [µm]10 015\n-150y [µm]\nx [µm]10 0\n(d)\nHext 𝑘𝑦>0vg\n𝑘𝑦<0\n0 5 10 15 -5 10 15-1010\n-55\n0\nkx[rad.µm-1]ky[rad.µm-1](c)\nx [µm]10 015\n-150y [µm]\n(e)Hext>0 Hext>0 Hext<0\nFIG. 3. ( a) BLS measurement on a (A)-type device [see\nFig. 2(d)] at 7.5 GHz, and a bias field parallel to the in-\nplane component of the driving field of +188 mT (left) and\n-188 mT (right). ( b) Corresponding MuMax3 simulations for\nboth bias field polarities. ( c) Corresponding NFD simulation\nforHext>0. (d) Isofrequency curve for the corresponding\nexperimental conditions showing the relevant caustic points\nfor each field polarity. The Fourier transform of the exci-\ntation field is superimposed on the isofrequency curve. ( e)\nCross-sections of the spin-wave amplitude profile |mx|along\nthey-axis at x=10µm for the top and bottom cells of the\nMuMax3 simulations for both field polarities.\natx=10µm for the top and bottom cells of the MuMax3\nsimulations, which reveals a slightly larger beam ampli-\ntude on the bottom surface. We note that the beam\nlocalization follows the opposite of the Damon-Eshbach\nproduct rule, namely, ⃗k⊥/k⊥=−⃗ n0×⃗M/M s, where n0\nis the internal normal to the film surfaces, consistently\nwith the observations reported on mode profile of dipole-\nexchange spin waves [39, 40].\n(2) Backward-volume-wave-like configuration:\nIn this configuration, the bias field is parallel to the\nin-plane component of the microwave magnetic field at\nthe constriction, for which only the out-of-plane com-\nponent of the microwave field excites spin waves in the\nlinear regime. Figure 3(a) shows 2D BLS maps ob-\ntained for antenna structure (A). The results were ob-\ntained using identical experimental conditions, e.g., an\nexcitation frequency of 7.5 GHz, and an external field of\nµ0Hext=±188 mT. As is evident from the figure, a dras-\ntically different behavior is observed when hrf∥Hext.\nSurprisingly, the experiments show that only one caustic\nbeam is emitted, which exhibits a non-reciprocal behav-\nior upon bias magnetic field reversal. If the magnetic\nfield is positive along the x-axis [ Hext>0, left panel ofFig. 3(a)], a single spin-wave beam propagating in the\npositive y-direction (upward) is detected. On the other\nhand, when Hext<0 [right panel of Fig. 3(b)], a single\ndownward propagating spin-wave beam is detected. Each\nbeam is comparable in intensity, and both have similar\ncaustic angles ≈ ±45◦.\nWe attribute this field-dependent non-reciprocity in\nthis configuration to a sign change of the perpendic-\nular component of the wavevector with respect to the\nbias field direction: kyis expected to be positive (neg-\native, respectively) for the beam going upward (down-\nward, respectively) as represented in the slowness curve\nin Fig. 3(d). Namely, the YIG film is sufficiently thick\nto have a disparity in the spin-wave amplitude across its\nthickness between the spin-wave beam propagating to-\nward the left (right, respectively) hand-side of the equi-\nlibrium direction in a similar fashion as the mode lo-\ncalization observation from Fig. 2(h). Nevertheless, the\ncorresponding MuMax3 simulations shown in Fig. 3(b)\nreveal a similar (although less pronounced) reversal in\nthe caustic-beam amplitudes when the bias field polarity\nis switched, see also Fig. 3(e) for the cross-section along\nthey-axis of the beam amplitude at x=10µm for the top\nand bottom cells of the MuMax3 simulations. The dif-\nferences between upward and downward beams contrast\nobtained between BLS measurement and MuMax3 simu-\nlations could be attributed in part to the non-negligible\n16.6 nm cell thickness of the micromagnetic simulations,\nwhile the magneto-optical coupling in the BLS technique\nmostly senses the very top surface of the film. This\ncould explain the sharp contrast measured between the\ntwo bias field polarities. As expected, the corresponding\nNFD simulation shown in Fig. 3(c) reveals two identical\nbeams for both bias field polarities, since it considers the\ncoupling of a field distribution to the fundamental mode\n(n= 0), for which the spin-wave profile is uniform across\nthe thickness.\nIn the following, we analyze the angular dependence\nof the beam formation as a function of the bias field and\nexcitation frequency, as well as the frequency dependence\nof the beam intensity at a given field. We refer to the SM\nfor a discussion of the long-range propagation of spin-\nwave caustic beams excited by a nanoconstriction.\nFigure 4(a) shows 2D BLS maps at several bias fields\n(188, 88, 58, 30) mT, respectively at (7.5, 4.4, 3.3,\n2.2) GHz for both configurations. We observe that the\nbeams can be gradually steered toward the equilibrium\ndirection as the frequency is increased. In the DE-like\nconfiguration (field pointing along the y-axis), the an-\ngle of the caustic beam with respect to the x-axis in-\ncreases with frequency, while in the BVW-like config-\nuration (field pointing along the x-axis), the angle de-\ncreases with increasing frequency. Figure 4(b) compares\nthe experimentally obtained with the theoretically ex-\npected caustic angles, which we derive numerically from\nthe isofrequency curves at the inflection point. We find a5\n(b)\n (c)(a) 188mT 30mT 88mT\nHext Hext Hext\n7.5 GHz 4.4 GHz 2.2 GHz\ny [µm ]15\n10\n5\n0\n-15-10-5188mT 88mT 58mT\nHext Hext Hext\n5x [µm ]07.5 GHz 4.4 GHz 3.3 GHz\ny [µm ]15\n10\n5\n0\n-15-10-5\n5x [µm ]0\nFIG. 4. ( a) BLS measurement showing the angular depen-\ndence of the field and frequency for a (A)-type constriction.\n(b) Summary of caustic existence conditions showing the fre-\nquency dependence of the caustic angle θcand amplitude\n|mx|max, which corresponds to the maximum amplitude of\n|mx|atx=10µm in the NFD simulations. (black dashed\nline) Caustic angle at field and frequency of FMR, extracted\nfrom the isofrequency curve. ( c) Frequency dependence of the\ncaustics wavevector kCwhen the field is chosen to meet FMR\nconditions.\nreasonable agreement within the error bars for the DE-\nlike configuration, while the measured angles are system-\natically lower than the theoretically expected ones for\nthe BVW-like configuration. We suspect that the actual\nmicrowave field distribution has a stronger effect on the\nshort-range shape of the beam in this configuration.\nAdditionally, we performed 50 NFD simulations for\neach field value over a 700 MHz frequency span to plot\nthe frequency dependence of the caustic-beam amplitude,\nas presented in the upper panel of Fig. 4(b). Strikingly,\nwe find that the caustic-beam amplitude peaked right\naround the field-frequency value corresponding to the fer-\nromagnetic resonance (FMR) condition. This observa-\ntion is consistent with the fact that the inflection point\n(d2ky/dk2\nx∼0) of the slowness curve extends over a\nbroader range of wavevectors at the FMR frequency for\na given field. Furthermore, Fig. 4(b) shows that the peak\namplitude of the caustic beams is more efficiently excitedat lower frequencies. Figure 4(c) illustrates the frequency\ndependence of the caustic wavevector, while fixing the\nfield to the FMR conditions for each frequency. As is ev-\nident from the figure, we can identify a cut-off frequency\naround 900 MHz for the existence of caustic formation,\nand see that the evolution of the caustic wavevector is\nnon-monotonous over the frequency range with a maxi-\nmum value of around 3 GHz.\nIn conclusion, we harnessed the unique properties of\nspin waves to demonstrate the non-reciprocal emission\nof caustic-like beams in a ferromagnetic thin film that\ncan be controlled by the biasing magnetic field direc-\ntion and magnitude. First, we introduced a model that\nefficiently and accurately predicts spin-wave diffraction\nin a homogeneous in-plane magnetized thin film. This\nmodel enables us to predict and realize the direct excita-\ntion of caustic spin-wave beams from a nano-constricted\nstripline. We find that the direct excitation of caustic\nspin-wave beams can be shaped from a sufficiently lo-\ncalized constriction in a stripline. Using micromagnetic\nmodeling and microfocused BLS, we confirmed the pre-\ndictions of the model, revealing spin-wave caustic emis-\nsion from nanometric constrictions. We studied two mea-\nsurement configurations, one for which the in-plane mi-\ncrowave field was perpendicular to the magnetization\n(DE), and the other one for which they were parallel\nto each other (BVW). In the DE configuration, we ob-\nserved a chiral excitation of two spin-wave beams for\na given field polarity. In the BVW configuration, we\nobserved a single non-reciprocal upward- or downward-\ngoing beam that can be controlled at ease by changing the\npolarity and magnitude of the bias field. Our work pro-\nvides deep insight into tailoring spin-wave caustics using\nnano-constricted waveguides, which we expect will ac-\ncelerate the development of interference-based magnonic\nlogic and computing devices.\nThe authors would like to acknowledge the financial\nsupport from the French National research agency (ANR)\nunder the project MagFunc , the D´ epartement du Fin-\nist` ere through the project SOSMAG , and the Transat-\nlantic Research Partnership, a program of FACE Founda-\ntion and the French Embassy under the project Magnon\nInterferometry . We also acknowledge financial support\nby the Interdisciplinary Thematic Institute QMat, as\npart of the ITI 2021-2028 Program of the University of\nStrasbourg, CNRS and Inserm, IdEx Unistra (ANR 10\nIDEX 0002), SFRI STRAT’US Project (ANR 20 SFRI\n0012) and ANR-17-EURE-0024 under the framework of\nthe French Investments for the Future Program. Re-\nsearch at the University of Delaware was supported by\nthe U.S. Department of Energy, Office of Basic Energy\nSciences, Division of Materials Sciences and Engineering\nunder Award DE-SC0020308. The authors acknowledge\nthe use of facilities and instrumentation supported by\nNSF through the University of Delaware Materials Re-\nsearch Science and Engineering Center, DMR-2011824.6\nWe thank Anish Rai for characterizing the YIG films by\nFMR.\n∗mbj@udel.edu\n†vincent.vlaminck@imt-atlantique.fr\n[1] Y. A. Kravtsov and Y. I. Orlov,\nCaustics, Catastrophes and Wave Fields (Springer\nBerlin Heidelberg, 1993).\n[2] D. Davydov and S. Troitsky, Testing universal dark-\nmatter caustic rings with galactic rotation curves,\nPhysics Letters B 839, 137798 (2023).\n[3] S. D. M. White and M. Vogelsberger, Dark matter caus-\ntics, Monthly Notices of the Royal Astronomical Society\n392, 281 (2009).\n[4] A. G. Every, Formation of phonon-focusing caustics in\ncrystals, Physical Review B 34, 2852 (1986).\n[5] H. J. Maris, Enhancement of heat pulses in crystals due\nto elastic anisotropy, The Journal of the Acoustical So-\nciety of America 50, 812–818 (1971).\n[6] B. Taylor, H. J. Maris, and C. Elbaum, Phonon focusing\nin solids, Physical Review Letters 23, 416–419 (1969).\n[7] X. Shi, X. Lin, F. Gao, H. Xu, Z. Yang, and B. Zhang,\nCaustic graphene plasmons with Kelvin angle, Physical\nReview B 92, 081404 (2015).\n[8] I. Epstein and A. Arie, Arbitrary bending plasmonic light\nwaves, Physical Review Letters 112, 023903 (2014).\n[9] J. Spector, H. L. Stormer, K. W. Baldwin, L. N.\nPfeiffer, and K. W. West, Electron focusing in two-\ndimensional systems by means of an electrostatic lens,\nApplied Physics Letters 56, 1290–1292 (1990).\n[10] V. V. Cheianov, V. Fal’ko, and B. L. Altshuler, The fo-\ncusing of electron flow and a veselago lens in graphene\np-n junctions, Science 315, 1252–1255 (2007).\n[11] J. Cserti, A. P´ alyi, and C. P´ eterfalvi, Caustics due\nto a negative refractive index in circular graphene\njunctions, Physical Review Letters 99, 10.1103/phys-\nrevlett.99.246801 (2007).\n[12] O. B¨ uttner, M. Bauer, S. O. Demokritov, B. Hillebrands,\nY. S. Kivshar, V. Grimalsky, Y. Rapoport, and A. N.\nSlavin, Linear and nonlinear diffraction of dipolar spin\nwaves in yttrium iron garnet films observed by space- and\ntime-resolved brillouin light scattering, Physical Review\nB61, 11576–11587 (2000).\n[13] V. Veerakumar and R. E. Camley, Magnon focusing in\nthin ferromagnetic films, Physical Review B 74(2006).\n[14] V. E. Demidov, S. O. Demokritov, D. Birt, B. O’Gorman,\nM. Tsoi, and X. Li, Radiation of spin waves from the open\nend of a microscopic magnetic-film waveguide, Physical\nReview B 80(2009).\n[15] T. Schneider, A. A. Serga, A. V. Chumak, C. W.\nSandweg, S. Trudel, S. Wolff, M. P. Kostylev, V. S.\nTiberkevich, A. N. Slavin, and B. Hillebrands, Non-\ndiffractive subwavelength wave beams in a medium with\nexternally controlled anisotropy, Physical Review Letters\n104(2010).\n[16] M. P. Kostylev, A. A. Serga, and B. Hillebrands, Radia-\ntion of caustic beams from a collapsing bullet, Physical\nReview Letters 106, 134101 (2011).\n[17] T. Sebastian, T. Br¨ acher, P. Pirro, A. A. Serga, B. Hille-\nbrands, T. Kubota, H. Naganuma, M. Oogane, andY. Ando, Nonlinear emission of spin-wave caustics from\nan edge mode of a microstructured Co 2Mn0.6Fe0.4Si\nwaveguide, Physical Review Letters 110, 067201 (2013).\n[18] R. Gieniusz, H. Ulrichs, V. D. Bessonov, U. Guzowska,\nA. I. Stognii, and A. Maziewski, Single antidot as a pas-\nsive way to create caustic spin-wave beams in yttrium\niron garnet films, Applied Physics Letters 102, 102409\n(2013).\n[19] M. Madami, Y. Khivintsev, G. Gubbiotti, G. Dudko,\nA. Kozhevnikov, V. Sakharov, A. Stal’makhov, A. Khi-\ntun, and Y. Filimonov, Nonreciprocity of backward vol-\nume spin wave beams excited by the curved focusing\ntransducer, Applied Physics Letters 113(2018).\n[20] Y. Shiota, S. Funada, R. Hisatomi, T. Moriyama, and\nT. Ono, Imaging of caustic-like spin wave beams using op-\ntical heterodyne detection, Applied Physics Letters 116,\n192411 (2020).\n[21] S. Muralidhar, R. Khymyn, A. A. Awad, A. Alem´ an,\nD. Hanstorp, and J. ˚Akerman, Femtosecond laser pulse\ndriven caustic spin wave beams, Physical Review Letters\n126, 037204 (2021).\n[22] R. A. Gallardo, P. Alvarado-Seguel, A. K´ akay, J. Lind-\nner, and P. Landeros, Spin-wave focusing induced by\ndipole-dipole interaction in synthetic antiferromagnets,\nPhysical Review B 104(2021).\n[23] A. Wartelle, F. Vilsmeier, T. Taniguchi, and C. H. Back,\nCaustic spin wave beams in soft thin films: Properties\nand classification, Phys. Rev. B 107, 144431 (2023).\n[24] A. Papp, G. Csaba, and W. Porod, Characterization of\nnonlinear spin-wave interference by reservoir-computing\nmetrics, Applied Physics Letters 119, 112403 (2021).\n[25] M.-K. Lee and M. Mochizuki, Reservoir computing with\nspin waves in a skyrmion crystal, Physical Review Ap-\nplied 18(2022).\n[26] O. Lee, T. Wei, K. D. Stenning, J. C. Gartside, D. Prest-\nwood, S. Seki, A. Aqeel, K. Karube, N. Kanazawa,\nY. Taguchi, C. Back, Y. Tokura, W. R. Branford, and\nH. Kurebayashi, Task-adaptive physical reservoir com-\nputing, Nature Materials (2023).\n[27] A. Khitun, Magnonic holographic devices for special type\ndata processing, Journal of Applied Physics 113, 164503\n(2013).\n[28] A. Khitun, Parallel database search and prime factoriza-\ntion with magnonic holographic memory devices, Journal\nof Applied Physics 118, 243905 (2015).\n[29] ´A. Papp, W. Porod, and G. Csaba, Nanoscale neural\nnetwork using non-linear spin-wave interference, Nature\nCommunications 12(2021).\n[30] D. V. Christensen, R. Dittmann, B. Linares-Barranco,\nA. Sebastian, M. Le Gallo, A. Redaelli, S. Slesazeck,\nT. Mikolajick, S. Spiga, S. Menzel, I. Valov, G. Mi-\nlano, C. Ricciardi, S.-J. Liang, F. Miao, M. Lanza, T. J.\nQuill, S. T. Keene, A. Salleo, J. Grollier, D. Markovi´ c,\nA. Mizrahi, P. Yao, J. J. Yang, G. Indiveri, J. P. Stra-\nchan, S. Datta, E. Vianello, A. Valentian, J. Feldmann,\nX. Li, W. H. P. Pernice, H. Bhaskaran, S. Furber,\nE. Neftci, F. Scherr, W. Maass, S. Ramaswamy, J. Tap-\nson, P. Panda, Y. Kim, G. Tanaka, S. Thorpe, C. Bar-\ntolozzi, T. A. Cleland, C. Posch, S. Liu, G. Panuccio,\nM. Mahmud, A. N. Mazumder, M. Hosseini, T. Mohs-\nenin, E. Donati, S. Tolu, R. Galeazzi, M. E. Christensen,\nS. Holm, D. Ielmini, and N. Pryds, 2022 roadmap on\nneuromorphic computing and engineering, Neuromorphic7\nComputing and Engineering 2, 022501 (2022).\n[31] I. Bertelli, J. J. Carmiggelt, T. Yu, B. G. Simon, C. C.\nPothoven, G. E. W. Bauer, Y. M. Blanter, J. Aarts, and\nT. van der Sar, Magnetic resonance imaging of spin-wave\ntransport and interference in a magnetic insulator, Sci-\nence Advances 6, eabd3556 (2020).\n[32] V. Vlaminck, L. Temdie, V. Castel, M. B. Jungfleisch,\nD. Stoeffler, Y. Henry, and M. Bailleul, Spin wave diffrac-\ntion model for perpendicularly magnetized films, Journal\nof Applied Physics 133, 053903 (2023).\n[33] L. Temdie, V. Castel, V. Vlaminck, M. Jungfleisch,\nR. Bernard, H. Majjad, D. Stoeffler, Y. Henry, and\nM. Bailleul, Probing spin wave diffraction patterns of\ncurved antennas, Phys. Rev. Appl. 21, 014032 (2024).\n[34] B A Kalinikos and A N Slavin, Theory of dipole-exchange\nspin wave spectrum for ferromagnetic films with mixed\nexchange boundary conditions, Journal of Physics C:\nSolid State Physics 19, 7013 (1986).\n[35] B. A. Kalinikos, Spectrum and linear excitation of spin\nwaves in ferromagnetic films, Soviet Physics Journal 24,\n718 (1981).\n[36] B. A. Kalinikos and A. N. Slavin, Theory of dipole-\nexchange spin wave spectrum for ferromagnetic films\nwith mixed exchange boundary conditions, Journal of\nPhysics C: Solid State Physics 19, 7013 (1986).\n[37] L. Temdie, V. Castel, C. Dubs, G. Pradhan, J. Solano,\nH. Majjad, R. Bernard, Y. Henry, M. Bailleul, and\nV. Vlaminck, High wave vector non-reciprocal spin wave\nbeams, AIP Advances 13, 025207 (2023).\n[38] L. Temdie, V. Castel, T. Reimann, M. Lindner, C. Dubs,G. Pradhan, J. Solano, R. Bernard, H. Majjad, Y. Henry,\nM. Bailleul, and V. Vlaminck, Chiral excitation of ex-\nchange spin waves using gold nanowire grating, Magne-\ntochemistry 9, 199 (2023).\n[39] M. Kostylev, Non-reciprocity of dipole-exchange spin\nwaves in thin ferromagnetic films, Journal of Applied\nPhysics 113(2013).\n[40] M. Haidar, M. Bailleul, M. Kostylev, and Y. Lao, Nonre-\nciprocal oersted field contribution to the current-induced\nfrequency shift of magnetostatic surface waves, Physical\nReview B 89(2014).\n[41] T. Sebastian, K. Schultheiss, B. Obry, B. Hillebrands,\nand H. Schultheiss, Micro-focused brillouin light scatter-\ning: imaging spin waves at the nanoscale, Frontiers in\nPhysics 3(2015).\n[42] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hilli-\nbrands, Nat. Phys. 11, 453 (2015).\n[43] G. Csaba, ´A. Papp, and W. Porod, Perspectives of using\nspin waves for computing and signal processing, Physics\nLetters A 381, 1471 (2017).\n[44] P. Pirro, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands, Advances in coherent magnonics, Nature Re-\nviews Materials 6, 1114 (2021).\n[45] M. Benjamin Jungfleisch, Inelastic scattering of light by\nspin waves, in Optomagnonic Structures, Chap. 4, pp.\n175–211.\n[46] A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen,\nF. Garcia-Sanchez, and B. Van Waeyenberge, The design\nand verification of MuMax3, AIP Advances 4, 107133\n(2014)." }, { "title": "2312.13372v2.Nonequilibrium_Criticality_at_the_Onset_of_Time_Crystalline_Order.pdf", "content": "Nonequilibrium criticality at the onset of time-crystalline order\nRomain Daviet, Carl Philipp Zelle, Achim Rosch, and Sebastian Diehl\nInstitut f¨ ur Theoretische Physik, Universit¨ at zu K¨ oln, 50937 Cologne, Germany\n(Dated: May 6, 2024)\nWe explore the phase transitions at the onset of time-crystalline order in O(N) models driven\nout-of-equilibrium. The spontaneous breaking of time-translation symmetry and its Goldstone mode\nare captured by an effective description with O(N)×SO(2) symmetry, where the emergent exter-\nnalSO(2) results from a transmutation of the internal symmetry of time translations. Using the\nrenormalization group and the ϵ= 4−dexpansion in a leading two-loop analysis, we identify a new\nnonequilibrium universality class. Strikingly, it controls the long-distance physics no matter how\nsmall the microscopic breaking of equilibrium conditions is. The O(N= 2)×SO(2) symmetry group\nis realized for magnon condensation in pumped yttrium iron garnet films and in exciton-polariton\nsystems with a polarization degree of freedom.\nIntroduction – Temporal pattern formation, associ-\nated with instabilities at a finite frequency such as waves,\nfronts, or oscillatory behaviors in classical systems [1],\nrepresents a class of genuine nonequilibrium phenom-\nena. In a many-body setting, these patterns can become\nrobust against fluctuations and synchronize over large\ntime and spacescales. The ensuing long-range order is\nthen associated with the spontaneous breaking of time-\ntranslation symmetry. When the system retains a peri-\nodic motion, the order parameter describes a limit cycle.\nIn other words, it corresponds to a time crystal [2] for\nwhich a quantum version was proposed [3], and rapidly\nshown to exist only out of equilibrium [4, 5]. Because\nthey provide a generic way of breaking equilibrium con-\nditions at microscopic scales, driven open systems turned\nout to be a prolific arena to study and realize finite fre-\nquency instabilities [6], limit cycles [7–10] and discrete\ntime crystals [11, 12], characterized by a subharmonic\nresponse at a multiple of the period of an external pe-\nriodic drive [13–18]. These systems also opened up the\nsearch for dissipative continuous time crystals [10, 19, 20],\nwhich were experimentally realized [21]. At the same\ntime, active matter systems [22] have become platforms\nto describe many-body temporal instabilities, as they\nshare the generic breaking of equilibrium conditions with\ndriven systems.\nThe phase transition into a time crystal, concomitant\nwith the spontaneous breaking of time-translation sym-\nmetry, must be expected to display phenomena that fall\nbeyond equilibrium classifications, by the very nature\nof the adjacent nonequilibrium phase. In this Letter,\nwe study these for paradigmatic O(N) symmetric mod-\nels in the absence of conservation laws. Once suitably\nbrought out of equilibrium, they develop instabilities to-\nward time-crystalline long-range orders, which emerge in\nnonreciprocal active matter [23, 24] as well as in more\ngeneric driven quantum and solid-state platforms [24, 25].\nTime translation symmetry breaking occurs in two dis-\ntinct scenarios, one generalizing Van der Pol oscillations\nand another one describing an order parameter rotat-\ning in the O(N) manifold. A key insight of our ap-proach lies in a fruitful mapping to an effective theory\nwith an O(N)×SO(2) symmetry, where the emergent\nSO(2) arises from time-translations combined with the\nfinite frequency scale set by the periodicity of the limit\ncycles [1]. This setup provides us with a complete under-\nstanding of the Goldstone modes and their dynamics, in-\ncluding the one associated with broken time-translation\nsymmetry [26]. The interplay of the latter with those\nstemming from the broken O(N) symmetry [24] materi-\nalizes differently in the Van der Pol oscillating and the\nrotating phases. By performing a perturbative renor-\nmalization group (RG) analysis of the effective theory,\nwe obtain the full phase diagram beyond mean field by\nincluding fluctuation effects. It leads us to our main re-\nsults: The onset of the Van der Pol oscillations is gov-\nerned by fluctuation-induced first-order transitions for\nN > 1. Conversely, the transition to the rotating phase\nresides in a fundamentally new nonequilibrium universal-\nity class, not smoothly connected to any known equilib-\nrium class. We also exhibit realization of the symmetry\ngroup O(N= 2)×SO(2) in exciton-polariton systems\nwith a polarization degree of freedom and in magnon con-\ndensation in pumped yttrium iron garnet (YIG) films.\nTime-crystalline orders– Our starting point is the\nfollowing Langevin equation describing the dynamics of\nanO(N) symmetric order parameter ϕ(x, t)∈RNind\nspatial dimensions,\n∂2\ntϕ+ (2γ+uρ−Z1∇2)∂tϕ+u′\n2∂tρϕ\n+ (r+λρ−Z2∇2)ϕ+ξ= 0,(1)\nwhere ρ=ϕ·ϕandξ(x, t) is a Gaussian white noise with\nzero mean and variance ⟨ξi(x, t)ξj(x′, t′)⟩= 2Dδi,jδ(x−\nx′). This constitutes a generalization of the Van der Pol\noscillator [27], often advocated to describe both classical\nand quantum limit cycles [28–32], with an N-component\nfield, an extensive number of spatial degrees of freedom,\nand noise. We consider the most relevant operators com-\npatible with the O(N) symmetry – they are generated\nupon coarse-graining. In addition to the potential r+λρ,\nthere are nonlinear dampings uandu′, a spatially depen-arXiv:2312.13372v2 [cond-mat.stat-mech] 3 May 20242\ndent damping Z1, and diffusion Z2. The noise encodes\nrandom fast fluctuations coming from the environment\n(e.g., drive, bath). While these fluctuations are typically\ncounteracted by a finite gap ror a finite damping γ, they\nstrongly affect the dynamics near a phase transition.\nFor negative values of rin Eq. (1), the usual static\nequilibrium O(N) order parameter ϕS=⟨ϕ(x, t)⟩builds\nup,|ϕS|=p\n−r/λ. The O(N) symmetry is sponta-\nneously broken to O(N−1) via the equilibrium model\nA transition [33]. Here, we follow a different route to\ngenerate order, and tune the damping γto negative val-\nues while keeping rstrictly positive, r >0. In a driven\nsetting, such an antidamping, γ <0, lends itself to the\nintuition for a nonequilibrium situation, where losses are\nsuperseded by pumping.\nForγ < 0, there are two time-periodic solutions\nof the noise-averaged version of Eq. (1), stabilized by\nthe additional nonlinearities uandu′[24]. The first\none exists only for N > 1, and is a rotating phase\nwhere the order parameter traces out a circle on the N-\nsphere whose orbit is spontaneously chosen, see Fig. 1,\nϕS(t) =p\n−2γ/u(cos(ω0t),−sin(ω0t),0, . . . , 0)Twith\nω0=q\nr−2γλ\nu. The second phase is characterized\nby an oscillation of the order parameter along one di-\nrection, and exists for any N. We refer to it as the\nVan der Pol phase since the order parameter reads as\nϕS(t) =ϕ0(t)(1,0, . . . , 0)T, where ϕ0(t) is the limit cy-\ncle of the Van der Pol equation [34]. At lowest order in\n|γ| ≪√r[35], the solution is ϕ0(t)≈2q\n−2γ\n(u+u′)cos(ω0t),\nwith ω0=√r. For γ <0, only one of the phases is stable\nfor a given set of parameters. A stability analysis reveals\nthat the rotating phase is stable for sufficiently large val-\nues of u′[24]. For γ→0 and r >0, the rotating phase\nis stable for u′> u. This leads to the phase diagram in\nFig. 1.\nSymmetry breaking patterns and Goldstone modes –\nThe Van der Pol phase spontaneously breaks the O(N)\nsymmetry to O(N−1), leading to N−1 Goldstone modes,\nwhile the rotating phase breaks O(N) toO(N−2), lead-\ning to 2 N−3 Goldstone modes [24]. In both phases, time-\ntranslation symmetry is spontaneously broken to discrete\ntime-translation symmetry. Indeed, the solutions are pe-\nriodic, and a time translation t→t+ 2πn/ω 0, n∈Z\nleaves them invariant. They are, therefore, nonequilib-\nrium time-crystal phases. This is striking for N= 1,\nwhere a continuous symmetry is broken rather than the\ndiscrete O(N= 1)≃Z2symmetry [36].\nTime translation is a continuous symmetry, and a\nGoldstone mode arises from its spontaneous breaking.\nWe show in the Supplemental Materials (SM) [37] that\nthe Goldstone theorem gives an additional Goldstone\nmode in the Van der Pol phase, which therefore has a N\nGoldstone modes. In the rotating phase, a distinct sce-\nnario occurs: a rotation along the orbit is fully equivalent\nto a time translation. The rotating phase has a remaining\nγ\nu′−uO(N) symmetric ϕs= 0\nVan der Pol phase\nO(N)→O(N−1)\nRotating phase\nO(N)→O(N−2)A A’\nBFIG. 1. Mean-field phase diagram for r > 0. Tuning the\ndamping to negative values stabilizes two time-crystal phases,\ndepending on the sign of u′−u. The symmetric and limit-\ncycle phases are separated by the transition lines A and A’,\nwhich are second-order transitions at mean field. The line\nB separates the ordered phases and is a first-order transition,\nsince the order parameter jumps from one configuration to the\nother. It is well described within mean-field approximation,\nunlike the other two transitions. Analysis of the latter is the\nmain focus of this Letter.\nSO(2) symmetry that acts via ϕ→R(α)ϕ, t→t−α\nω0,\nwith α∈R, R∈SO(2), and no independent Goldstone\nmode arises: The Goldstone mode of time-translation\nsymmetry is redundant and reflects the activation of a\nrotational Goldstone mode.\nGaussian fluctuations phase transitions – The tran-\nsitions from the disordered regime into the limit-cycle\nphases are reached as the damping γgoes to zero, see\nFig. 1. The amplitudes of the order parameters are con-\ntinuous at the transitions, but the oscillations immedi-\nately start with a frequency ω0∼√r, which acts as a\nfinite and fast timescale close to the transition, where\n|γ| ≪√r. We first neglect the effects of the nonlineari-\nties. It is a valid assumption for d > d c, the upper critical\ndimension, which we determine to be equal to four below.\nThe transition is characterized by the retarded re-\nsponse to an external field h,χR(x, t) =δ⟨ϕi(x,t)⟩\nδhi(0,0)and\nthe correlation function\n⟨ϕi(q, t)ϕi(−q,0)⟩ ∼De−(Z1\n2q2+γ)|t|\nZ1\n2q2+γcos(√rt+Z2\n2√rq2t),\n(2)\nwith q=|q|. The correlation function displays an al-\ngebraic divergence as q→0, characteristic of a second-\norder phase transition, at γ= 0. The diverging cor-\nrelation length, ξc∼γ−1/2/Z1, leads to the mean-field\ncritical exponent ν= 1/2. There are, however, oscil-\nlations with frequency ω0∼√r, and the divergence\noccurs at finite frequencies ω∼ ±ω0. This hinders a\ndirect RG analysis of the transition [38], and we first\nneed to distill the slow degrees of freedom, eliminat-3\ning the fast scale ω0[1]. To do this, we first pass to a\nsystem of first order differential equations by introduc-\ningΠ=∂tϕ/ω0. We then define new O(N) variables\n(χ1,χ2) via a rotation by an angle ω0tof the original\nvariables ( ϕ,Π)T=R(ω0t)(χ1,χ2)T, or explicitly\nϕ(x, t) =χ1(x, t) cos( ω0t) +χ2(x, t) sin(ω0t),\nΠ(x, t) =−χ1(x, t) sin(ω0t) +χ2(x, t) cos( ω0t),(3)\nwhere χ1,2vary slowly compared to the scale ω0, with\ntheir main fluctuations concentrated around zero mo-\nmentum and frequency. Subsequently, since the critical\nbehavior occurs on timescales tc∼γ−1≫ω−1\n0, we treat\nthe fast oscillating terms that appear by averaging over\ntime in a rotating wave approximation (RWA) [35]. The\nresulting near-critical Langevin equations for χ1andχ2\nare then no longer explicitly time dependent.\nEffective O(N)×SO(2)model – Remarkably, the\ndynamics obtained after the RWA display an emergent\nSO(2) symmetry on top of the O(N) symmetry,\n\u0012χ1\nχ2\u0013\n→R(α)\u0012χ1\nχ2\u0013\n,where R(α)∈SO(2) (4)\nis a rotation by an angle α. It roots in the fact that a\nconstant arbitrary shift ω0t→ω0t+αin the rotation (3)\ndefining χ1andχ2does not change the final equations\nof motion. We observe a transmutation of the external\ntime-translation symmetry to an internal SO(2) [1] act-\ning in the space of fields χ1,χ2. This is rationalized by\nthe fact that time translations can be identified modulo\n2π/ω 0upon approaching a limit cycle: The group of time\ntranslations is isomorphic to R, while the discrete group\nthat leaves the limit cycles invariant has a Zstructure.\nIt follows that the relevant subpart of time translations\nthat gets broken is R/Z≃SO(2).\nThe equations of motion are\n∂tχa+δHd\nδχa+ϵabδHc\nδχb+ξa= 0,(a, b)∈ {1,2} (5)\nHl=Z\nddxZl\n2h\n(∇χ1)2+ (∇χ2)2i\n+γl\n2ρ+gl\n8ρ2+κl\n2τ,\nwith l∈ {c, d},ξatwo independent noises, and the\ntwoO(N)×SO(2) invariants: ρ=χ2\n1+χ2\n2andτ=\n1\n4\u0000\nχ2\n1−χ2\n2\u00012+ (χ1·χ2)2. From Eq. (1), one obtains\nγc= 0, γd=γ,Zc=Z2/2ω0,Zd=Z1/2,gd=u/2,\nκd= (u′−u)/4,gc=λ/2ω0andκc=λ/4ω0. The fast\nscale is implicit, confirming that we have isolated the slow\ndegrees of freedom.\nWe note here a parallel to frustrated magnets and heli-\nmagnets [39] at equilibrium, for which the order parame-\nters take a similar form as (3), with space playing the role\nof time. These systems have an emergent O(N)×O(2)\nsymmetry, and Hamiltonian Hd. This suggests that the\ndifference with an equilibrium situation is linked to Hc\nin Eq. (5), which describes coherent effects rather than\nFIG. 2. Flow diagram at criticality ( γ= 0) projected on\nthe ( gd, κd, gc) manifold, for N= 24 and ϵ= 0.1. Similar\nflows are obtained for all N > 1. In addition to the Gaussian\nfixed point, there are three equilibrium fixed points [39, 43,\n44], labeled Wilson-Fisher (WF), chiral ( C+), and antichiral\n(C−). They lie in the gc= 0 gray plane. C+is attractive\nat equilibrium, and the equilibrium black-dashed trajectory is\nattracted toward it. However, a small breaking of equilibrium\ngrows at larger scale, and the gray solid trajectories go to the\nnew nonequilibrium complex conjugated fixed points N±.\ndissipation. Indeed, it is this term that explicitly breaks\nO(2) to SO(2). Its presence is closely, but not exactly,\nrelated to the breaking of equilibrium conditions: The\nsystem is in thermal equilibrium if and only if Hcis pro-\nportional to Hd[37, 40–42].\nWe can obtain an equivalent complex representation\nof Eq. (5), which makes contact with the semiclassical\ndynamics of driven open quantum systems [45, 46]. In\nterms of the complex vector field ψ=χ1+iχ2∈CN,\nand noise ξ∈CN, Eq. (5) becomes\n(i∂t−Z∇2+iγ)ψ+g\n2(ψ·ψ∗)ψ+κ\n2(ψ·ψ)ψ∗+ξ= 0,\n(6)\nwith Z=iZd+Zc,g=igd+gcandκ=iκd+κc.\nEq. (6) is a generalized noisy Gross-Pitaevskii equation,\nwhere the imaginary parts encode the effect of drive and\ndissipation on top of the coherent Hamiltonian dynamics.\nTheN= 1 case, with U(1)≃SO(2) symmetry [47], de-\nscribes the dynamics of driven-dissipative Bose-Einstein\ncondensates [40, 41, 48], and those of collections of clas-\nsical oscillators [49, 50].\nWe therefore obtain a first nontrivial result. The tran-\nsition to the Van der Pol phase for N= 1 coincides with\nthe transition in those systems: It has an emergent ther-\nmal equilibrium and falls into the O(2) model A univer-\nsality class, albeit with an additional exponent describing\nthe fade out of coherent dynamics [40, 41, 48]. The N > 1\ncase, however, differs notably as we will see.\nPhase diagram revisited – The mean-field analysis is\nfully recovered from the effective Langevin equation (5)4\nN ν−1−2 η=ηc 103(z−2) 102η′\n22−0.942ϵ−0.142ϵ25.5ϵ2(0.030 + 1 .8i)ϵ2\n3−1.27ϵ−1.49ϵ2−1.7ϵ2(−3.5 + 6 .7i)ϵ2\n2−0.853ϵ−0.353ϵ27.2ϵ2(1.0 + 0 .70i)ϵ2\nTABLE I. Critical exponents of the attractive fixed points N±\ncontrolling the transition to the rotating phase for different\nvalues of Nto lowest order in ϵ.\nor (6). The limit cycles are described by finite expecta-\ntion values of χ1andχ2, see Eq. (3). They are found by\nsolving δHd/δϕa= 0, while the nonzero remaining part\ncoming from Hccan always be canceled by a redefinition\nofω0, see SM [37]. There are two ways of minimizing\nHdforγ <0, depending on the value of κd: If it is posi-\ntive, the rotating phase is favored with τ= 0 i.e., χ1,χ2\nnonzero and orthogonal. When κd<0, the stable state\nhasτ̸= 0 i.e, χ1andχ2parallel, which corresponds to\nthe Van der Pol phase.\nThe correlation functions agree as well,\nC(q, t) =⟨ψiψ∗\ni⟩(q, t)∝D e−(Zdq2+γ−iZcq2)|t|\nZdq2+γ,(7)\nand Eq. (2) is reproduced up to the, now implicit, fast\nscale. This allows us to make a scaling ansatz [37, 41, 51],\nχR(q, t)∼q−2+η′+z˜χR(tqz, iqη−ηc, qγ−ν), (8)\nC(q, t)∼q−2+η˜C(tqz, iqη−ηc, qγ−ν), (9)\nwith critical exponents ν= 1/2,z= 2, η=η′=ηc=\n0 at mean field. ηcencodes the competition between\ncoherent and dissipative effects [48], while η′̸=ηentails a\nviolation of fluctuation-dissipation relations, which relate\nresponses and correlations in equilibrium. Below, we will\ncompute the fluctuation corrections.\nBesides recovering the number of Goldstone modes, we\nobtain their explicit dynamics and dispersion relations\nω(q), see SM [37]. They are dissipative in the Van der\nPol phase, ω(q) =−iZdq2. In the rotating phase, the\nGoldstone mode associated with time-translation break-\ning is also dissipative, while the others display both dissi-\npative and coherent parts, ω(q) =−iZdq2±Zcq2. These\ndispersions imply divergent fluctuations in d≤2, which\ndestroy the ordered phases at long distances, even for\nN= 1.\nPhase transition in d <4–We now use dynamical\nRG to treat the effects of interactions and fluctuations. It\nallows us to identify nontrivial scaling regimes as well as\nfluctuation-induced first-order phase transitions, which\nare found whenever no fixed point can be reached within\nthe RG flow [52]. We compute the flow equations in\ntheϵ= 4−dperturbative expansion. The parameter\nrK=Zc/Zdenters the RG equations, and a two-loop\nanalysis of the self-energies is needed to fully characterize\nthe fixed points. The flow equations are derived in theSM [37]. Interactions are irrelevant above dc= 4, but\nnontrivial fixed points emerge below it. A flow diagram\nis displayed in Fig. 2.\nFirst, equilibrium fixed points, associated with the\nO(N)×O(2) symmetry [39, 43, 44], are still solutions\nwith gc=κc=rK= 0. Now, if the initial conditions\ncorrespond to equilibrium, i.e., gc/gd=κc/κd=rK, the\nsystem remains at equilibrium at all scales. However,\none key finding is that the equilibrium fixed points are\nunstable against any, even infinitesimal, nonequilibrium\nperturbations. Indeed, these equilibrium fixed points\nacquire a relevant direction, associated with the micro-\nscopic breaking of equilibrium conditions, and none of\nthem controls the transition. This highly unusual behav-\nior at a second-order phase transition can be rationalized\nby the fact that we describe the onset of genuine nonequi-\nlibrium phases.\nInstead, the flow is attracted toward a pair of new fixed\npoints N±, not present in the O(N)×O(2) case, that\ngovern the transition. Since the couplings do not have a\nfixed ratio between imaginary and real parts e.g., gc/gd̸=\nrK, thermal conditions are violated at the fixed points.\nThey thus define a new nonequilibrium universality class,\nuniquely associated with the O(N)×SO(2) symmetry.\nThey exist for any value of N, in sharp contrast with the\nequilibrium ones [39, 43, 44]. They are complex conju-\ngates, i.e., they describe mutually time-reversed coherent\ndynamics in Eq. (6) [53–55]. We find that the attractive\nfixed points have κd>0 for N > N c∼1.6 +O(ϵ) and\nκd<0 otherwise. It means that the transition to the Van\nder Pol phase is fluctuation-induced first-order for every\nN > 1 (and described by the equilibrium O(2) transition\nforN= 1), while the transition to the rotating phase is\nsecond-order and described by the uncovered universal-\nity class for all N, at least close to four dimensions. The\ncritical exponents to leading order in ϵof this universality\nclass, distinguishing it from any known class, are given\nin Tab. I. Its nonequilibrium nature is reflected in η′̸=η.\nConclusion – Our results can be applied to a wide\nrange of physical situations. In addition to the O(N)\nsymmetric systems described by Eq. (1), one can start\ndirectly from Eq. (6). For N= 2, one needs two driven-\ndissipative complex bosonic degrees of freedom ψ±con-\nnected by an exchange symmetry ψ+↔ψ−. Together,\nthis forms the group O(2)×SO(2), see SM [37]. Remark-\nably, this symmetry is obtained in existing platforms,\nknown to exhibit driven Bose-Einstein condensation de-\nscribed by noisy Gross-Pitaevskii equations. A point in\ncase are exciton-polaritons, where ψ±are the polariza-\ntion degrees of freedom [56] with spin exchange sym-\nmetry. Another experimental realization is the magnon\ncondensation observed in microwave-pumped YIG films\n[57, 58], where the two modes arise from two minima in\nthe band structure linked by inversion symmetry. Both\nthese cases realize our model (6) for N= 2 without fine-\ntuning, see SM [37]. Observable hallmarks are a first-5\norder transition into the Van der Pol phase, and a uni-\nversally divergent effective temperature, experimentally\naccessible through measuring the non-thermal mode oc-\ncupation [37], with an exponent η−Re(η′) [48, 55] at the\nsecond-order transition.\nA striking aspect of our findings is that equilibrium\nfixed points can be unstable against any nonequilibrium\nperturbation. The only parallel example in the absence\nof conservation law that we are aware of is the Kardar-\nParisi-Zhang equation in dimensions d≤2 [59], char-\nacterizing a gapless nonequilibrium phase instead of a\ncritical point. Identifying the general principles behind\ndestabilizing equilibrium fixed points in favor of nonequi-\nlibrium ones is an intriguing direction for future re-\nsearch – such a mechanism would enable strong universal\nnonequilibrium effects under near equilibrium conditions.\nIn turn, this can pave the way to genuine nonequilib-\nrium scenarios in solid state, where usually nonequilib-\nrium perturbations are leveled out by the opposite phe-\nnomenon, rapid thermalization.\nAcknowledgments– We thank J. Lang, M. Tsitsishvili\nand B. Delamotte for useful discussions. We acknowledge\nsupport by the Deutsche Forschungsgemeinschaft (DFG,\nGerman Research Foundation) CRC 1238 project C04\nnumber 277146847.\n[1] M. C. Cross and P. C. Hohenberg, Pattern formation\noutside of equilibrium, Reviews of Modern Physics 65,\n851 (1993).\n[2] A. Shapere and F. Wilczek, Classical time crystals, Phys-\nical Review Letters 109, 160402 (2012).\n[3] F. Wilczek, Quantum time crystals, Physical Review Let-\nters109, 160401 (2012).\n[4] P. Bruno, Impossibility of spontaneously rotating time\ncrystals: A no-go theorem, Physical Review Letters 111,\n070402 (2013).\n[5] H. Watanabe and M. Oshikawa, Absence of quantum\ntime crystals, Physical Review Letters 114, 251603\n(2015).\n[6] O. Scarlatella, R. Fazio, and M. Schir´ o, Emergent finite\nfrequency criticality of driven-dissipative correlated lat-\ntice bosons, Physical Review B 99, 064511 (2019).\n[7] F. Piazza and H. Ritsch, Self-ordered limit cycles, chaos,\nand phase slippage with a superfluid inside an optical\nresonator, Physical Review Letters 115, 163601 (2015).\n[8] N. Dogra, M. Landini, K. Kroeger, L. Hruby, T. Donner,\nand T. Esslinger, Dissipation-induced structural instabil-\nity and chiral dynamics in a quantum gas, Science 366,\n1496 (2019).\n[9] B. Buˇ ca, C. Booker, and D. Jaksch, Algebraic theory of\nquantum synchronization and limit cycles under dissipa-\ntion, SciPost Physics 12, 10.21468/scipostphys.12.3.097\n(2022).\n[10] B. Buˇ ca and D. Jaksch, Dissipation induced nonstation-\narity in a quantum gas, Physical Review Letters 123,\n260401 (2019).\n[11] Z. Gong, R. Hamazaki, and M. Ueda, Discrete time-crystalline order in cavity and circuit QED systems,\nPhysical Review Letters 120, 040404 (2018).\n[12] H. Keßler, P. Kongkhambut, C. Georges, L. Mathey,\nJ. G. Cosme, and A. Hemmerich, Observation of a dissi-\npative time crystal, Physical Review Letters 127, 043602\n(2021).\n[13] V. Khemani, A. Lazarides, R. Moessner, and S. Sondhi,\nPhase structure of driven quantum systems, Physical Re-\nview Letters 116, 250401 (2016).\n[14] D. V. Else, B. Bauer, and C. Nayak, Floquet time crys-\ntals, Physical Review Letters 117, 090402 (2016).\n[15] S. Choi, J. Choi, R. Landig, G. Kucsko, H. Zhou, J. Isoya,\nF. Jelezko, S. Onoda, H. Sumiya, V. Khemani, C. von\nKeyserlingk, N. Y. Yao, E. Demler, and M. D. Lukin, Ob-\nservation of discrete time-crystalline order in a disordered\ndipolar many-body system, Nature 543, 221 (2017).\n[16] J. Zhang, P. W. Hess, A. Kyprianidis, P. Becker, A. Lee,\nJ. Smith, G. Pagano, I.-D. Potirniche, A. C. Potter,\nA. Vishwanath, N. Y. Yao, and C. Monroe, Observation\nof a discrete time crystal, Nature 543, 217 (2017).\n[17] N. Y. Yao, C. Nayak, L. Balents, and M. P. Zaletel,\nClassical discrete time crystals, Nature Physics 16, 438\n(2020).\n[18] M. P. Zaletel, M. Lukin, C. Monroe, C. Nayak,\nF. Wilczek, and N. Y. Yao, Colloquium : Quantum\nand classical discrete time crystals, Reviews of Modern\nPhysics 95, 031001 (2023).\n[19] F. Iemini, A. Russomanno, J. Keeling, M. Schir` o, M. Dal-\nmonte, and R. Fazio, Boundary time crystals, Physical\nReview Letters 121, 035301 (2018).\n[20] H. Keßler, J. G. Cosme, M. Hemmerling, L. Mathey, and\nA. Hemmerich, Emergent limit cycles and time crystal\ndynamics in an atom-cavity system, Physical Review A\n99, 053605 (2019).\n[21] P. Kongkhambut, J. Skulte, L. Mathey, J. G. Cosme,\nA. Hemmerich, and H. Keßler, Observation of a continu-\nous time crystal, Science 377, 670 (2022).\n[22] M. C. Marchetti, J. F. Joanny, S. Ramaswamy, T. B.\nLiverpool, J. Prost, M. Rao, and R. A. Simha, Hydrody-\nnamics of soft active matter, Reviews of Modern Physics\n85, 1143 (2013).\n[23] M. Fruchart, R. Hanai, P. B. Littlewood, and V. Vitelli,\nNon-reciprocal phase transitions, Nature 592, 363\n(2021).\n[24] C. P. Zelle, R. Daviet, A. Rosch, and S. Diehl, Universal\nphenomenology at critical exceptional points of nonequi-\nlibrium O(N) models, arXiv:2304.09207 [cond-mat.stat-\nmech] (2023).\n[25] R. Hanai, A. Edelman, Y. Ohashi, and P. B. Littlewood,\nNon-hermitian phase transition from a polariton bose-\neinstein condensate to a photon laser, Physical Review\nLetters 122, 185301 (2019).\n[26] T. Hayata and Y. Hidaka, Diffusive nambu-goldstone\nmodes in quantum time-crystals, arXiv:1808.07636 [hep-\nth] (2018).\n[27] B. van der Pol, A theory of the amplitude of free and\nforced triode vibrations, Radio Review 1, 701 (1920).\n[28] S. Walter, A. Nunnenkamp, and C. Bruder, Quan-\ntum synchronization of a driven self-sustained oscillator,\nPhysical Review Letters 112, 094102 (2014).\n[29] S. Walter, A. Nunnenkamp, and C. Bruder, Quantum\nsynchronization of two van der pol oscillators, Annalen\nder Physik 527, 131 (2014).\n[30] T. E. Lee and H. R. Sadeghpour, Quantum synchroniza-6\ntion of quantum van der pol oscillators with trapped ions,\nPhysical Review Letters 111, 234101 (2013).\n[31] S. Dutta and N. R. Cooper, Critical response of a quan-\ntum van der pol oscillator, Physical Review Letters 123,\n250401 (2019).\n[32] L. Ben Arosh, M. C. Cross, and R. Lifshitz, Quantum\nlimit cycles and the rayleigh and van der pol oscillators,\nPhysical Review Research 3, 013130 (2021).\n[33] P. C. Hohenberg and B. I. Halperin, Theory of dynamic\ncritical phenomena, Reviews of Modern Physics 49, 435\n(1977).\n[34] This is formally true only when λ= 0, but this term does\nnot impact the mean-field picture.\n[35] F. Verhulst, Nonlinear Differential Equations and Dy-\nnamical Systems (Springer Berlin Heidelberg, 1996).\n[36] A O(1) = Z2transformation ϕS→ −ϕScan always be\ncompensated by a time translation by half the period.\nTheZ2symmetry is therefore unbroken.\n[37] See Supplemental Material at [URL], which includes\nRefs. [60–77], for a detailed discussion of the field the-\noretical treatment of the Langevin equations discussed\nin the text.\n[38] We explicitly checked that the two frequencies spoil the\ngeneric structure of RG study based on series expansion\naround a given momentum and frequency. This leads to\nnonphysical divergences, technically reminiscent of what\nwas found in [24].\n[39] H. Kawamura, Universality of phase transitions of frus-\ntrated antiferromagnets, Journal of Physics: Condensed\nMatter 10, 4707 (1998).\n[40] L. M. Sieberer, S. D. Huber, E. Altman, and S. Diehl,\nDynamical critical phenomena in driven-dissipative sys-\ntems, Physical Review Letters 110, 195301 (2013).\n[41] U. C. T¨ auber and S. Diehl, Perturbative field-theoretical\nrenormalization group approach to driven-dissipative\nbose-einstein criticality, Physical Review X 4, 021010\n(2014).\n[42] C. D. Dominicis, E. Br´ ezin, and J. Zinn-Justin, Field-\ntheoretic techniques and critical dynamics. i. ginzburg-\nlandau stochastic models without energy conservation,\nPhysical Review B 12, 4945 (1975).\n[43] P. Calabrese and P. Parruccini, Five-loop ϵexpansion for\nO(N)×O(M) spin models, Nuclear Physics B 679, 568\n(2004).\n[44] B. Delamotte, D. Mouhanna, and M. Tissier, Nonper-\nturbative renormalization-group approach to frustrated\nmagnets, Physical Review B 69, 134413 (2004).\n[45] L. M. Sieberer, M. Buchhold, and S. Diehl, Keldysh field\ntheory for driven open quantum systems, Reports on\nProgress in Physics 79, 096001 (2016).\n[46] L. M. Sieberer, M. Buchhold, J. Marino, and S. Diehl,\nUniversality in driven open quantum matter (2023),\narXiv:2312.03073 [cond-mat.stat-mech].\n[47] Again, the O(1) = Z2symmetry is redundant [36].\n[48] L. M. Sieberer, S. D. Huber, E. Altman, and S. Diehl,\nNonequilibrium functional renormalization for driven-\ndissipative bose-einstein condensation, Physical Review\nB89, 134310 (2014).\n[49] T. Risler, J. Prost, and F. J¨ ulicher, Universal critical be-\nhavior of noisy coupled oscillators, Physical Review Let-\nters93, 175702 (2004).\n[50] T. Risler, J. Prost, and F. J¨ ulicher, Universal critical\nbehavior of noisy coupled oscillators: A renormalization\ngroup study, Physical Review E 72, 016130 (2005).[51] U. C. T¨ auber, Critical Dynamics (Cambridge University\nPress, 2014).\n[52] D. J. Amit and V. Martin-Mayor, Field Theory,\nthe Renormalization Group, and Critical Phenomena\n(WORLD SCIENTIFIC, 2005).\n[53] J. Marino and S. Diehl, Quantum dynamical field the-\nory for nonequilibrium phase transitions in driven open\nsystems, Physical Review B 94, 085150 (2016).\n[54] J. Marino and S. Diehl, Driven markovian quantum crit-\nicality, Physical Review Letters 116, 070407 (2016).\n[55] J. T. Young, A. V. Gorshkov, M. Foss-Feig, and M. F.\nMaghrebi, Nonequilibrium fixed points of coupled ising\nmodels, Physical Review X 10, 011039 (2020).\n[56] I. Carusotto and C. Ciuti, Quantum fluids of light, Re-\nviews of Modern Physics 85, 299 (2013).\n[57] S. O. Demokritov, V. E. Demidov, O. Dzyapko, G. A.\nMelkov, A. A. Serga, B. Hillebrands, and A. N.\nSlavin, Bose–einstein condensation of quasi-equilibrium\nmagnons at room temperature under pumping, Nature\n443, 430 (2006).\n[58] P. Nowik-Boltyk, O. Dzyapko, V. E. Demidov, N. G.\nBerloff, and S. O. Demokritov, Spatially non-uniform\nground state and quantized vortices in a two-component\nbose-einstein condensate of magnons, Scientific Reports\n2, 482 (2012).\n[59] M. Kardar, G. Parisi, and Y.-C. Zhang, Dynamic scaling\nof growing interfaces, Physical Review Letters 56, 889\n(1986).\n[60] J. Zinn-Justin, Quantum Field Theory and Critical Phe-\nnomena (4th edition) , International Series of Monographs\non Physics, Vol. 113 (Clarendon Press, Oxford, 2002).\n[61] H. Kleinert and V. Schulte-Frohlinde, Critical Properties\nof Phi 4-Theories (WORLD SCIENTIFIC, 2001).\n[62] N. Dupuis, Field Theory of Condensed Matter and Ultra-\ncold Gases (WORLD SCIENTIFIC (EUROPE), 2022).\n[63] R. Bausch, H. K. Janssen, and H. Wagner, Renormalized\nfield theory of critical dynamics, Zeitschrift f¨ ur Physik B\nCondensed Matter and Quanta 24, 113 (1976).\n[64] A. Andreanov, G. Biroli, and A. Lef` evre, Dynamical field\ntheory for glass-forming liquids, self-consistent resumma-\ntions and time-reversal symmetry, Journal of Statisti-\ncal Mechanics: Theory and Experiment 2006 , P07008\n(2006).\n[65] C. Aron, G. Biroli, and L. F. Cugliandolo, Symmetries\nof generating functionals of langevin processes with col-\nored multiplicative noise, Journal of Statistical Mechan-\nics: Theory and Experiment 2010 , P11018 (2010).\n[66] G. Gy¨ orgyi, I. Kondor, L. Sasv´ ari, and T. T´ el, From\nPhase Transitions to Chaos: Topics in Modern Statis-\ntical Physics (WORLD SCIENTIFIC, 1992).\n[67] C. Aron, D. G. Barci, L. F. Cugliandolo, Z. G. Arenas,\nand G. S. Lozano, Dynamical symmetries of markov pro-\ncesses with multiplicative white noise, Journal of Statis-\ntical Mechanics: Theory and Experiment 2016 , 053207\n(2016).\n[68] H. Ohadi, A. Dreismann, Y. Rubo, F. Pinsker, Y. del\nValle-Inclan Redondo, S. Tsintzos, Z. Hatzopoulos,\nP. Savvidis, and J. Baumberg, Spontaneous spin bi-\nfurcations and ferromagnetic phase transitions in a\nspinor exciton-polariton condensate, Physical Review X\n5, 031002 (2015).\n[69] A. Pelissetto and E. Vicari, Critical phenomena and\nrenormalization-group theory, Physics Reports 368, 549\n(2002).7\n[70] P. C. Martin, E. D. Siggia, and H. A. Rose, Statistical\ndynamics of classical systems, Physical Review A 8, 423\n(1973).\n[71] H.-K. Janssen, On a lagrangean for classical field dynam-\nics and renormalization group calculations of dynamical\ncritical properties, Zeitschrift f¨ ur Physik B Condensed\nMatter and Quanta 23, 377 (1976).\n[72] C. de Dominicis, Techniques de renormalisation de la the-\norie des champs et dynamique des phenomenes critiques,\nLe Journal de Physique Colloques 37, C1 (1976).\n[73] H. Kawamura, Renormalization-group analysis of chiral\ntransitions, Physical Review B 38, 4916 (1988).\n[74] T. Ohmi and K. Machida, Bose-einstein condensation\nwith internal degrees of freedom in alkali atom gases,\nJournal of the Physical Society of Japan 67, 1822 (1998).\n[75] T.-L. Ho, Spinor bose condensates in optical traps, Phys-\nical Review Letters 81, 742 (1998).\n[76] T. Debelhoir and N. Dupuis, Simulating frustrated mag-\nnetism with spinor bose gases, Physical Review A 93,\n051603 (2016).\n[77] S. M. Rezende, Theory of coherence in bose-einstein con-\ndensation phenomena in a microwave-driven interacting\nmagnon gas, Phys. Rev. B 79, 174411 (2009).1\nSupplemental Material: Nonequilibrium criticality at the onset of\ntime-crystalline order\nIn this Supplemental material, we present details about the field theoretical techniques used to study the stochastic\nLangevin equations. We concentrate on the complex Langevin equation\n(∂t−Z∇2+γ)ψ+g\n2(ψ·ψ∗)ψ+κ(ψ·ψ)ψ∗+ξ= 0, (S1)\nwith Z=Zd+iZc,g=igd+igcandκ′=κd+iκc, i.e., Eq. (6) up to the change i→ − ithat we perform for\nconvenience. ξ∈CNis a Gaussian noise with zero mean, variance ⟨ξi(x, t)ξ∗\nj(x, t)⟩=Dδi,jδ(x−x′)δ(t−t′), and\n⟨ξi(x, t)ξj(x, t)⟩= 0. The Langevin equation (5) is fully equivalent to it and gives the dynamics of the real and\nimaginary parts of the fields, ψ=χ1+iχ2. This equation can be equivalently recast as\n∂tψ+δHd\nδψ∗+iδHc\nδψ∗+ξ= 0,with Ha=ψ∗·(−Za∇2+γa)ψ+ga\n4(ψ·ψ∗)2+κa\n2(ψ·ψ)(ψ∗·ψ∗), a∈ {c, d},\n(S2)\nandγc= 0,γd=γ. To make the link with the main text, one can also use ρ=ψ·ψ∗andτ= (ψ·ψ)(ψ∗·ψ∗)/4.\nIn the absence of noise, this equation of motion is solved for configurations that verify δHd/δψ= 0 i.e., by\nconfigurations that minimize Hd, while the nonzero remaining part coming from Hccan always be canceled by\nan oscillation of the form exp( i∆ωt) (for spatially homogeneous field configurations) [S41, S45]. This oscillation\ncorresponds to a redefinition of the original finite frequency scale, ω0→ω0+ ∆ω, see Eq. (3). Indeed, ψ(x, t)→\nexp(i∆ωt)ψ(x, t) translates to ( χ1,χ2)T→R(∆ωt)(χ1,χ2)T=R((ω0+ ∆ω)t)(ϕ,Π)T. The stable states can thus\nbe found as in an equilibrium problem, despite the nonequilibrium nature.\nExplicitly, for γ >0, the stable state is ψS=⟨ψ⟩= 0, while for γ <0, it has ρ̸= 0. For κd>0, the stable state has\nρ̸= 0, τ= 0, i.e., ψ·ψ= 0 or equivalently χ1⊥χ2. This corresponds to the rotating phase. The equation of motion\nis, for example, solved by ψS(t) = exp( i∆ωt)p\n−γ/gd(1, i,0, . . . , 0)Twith ∆ ω=−γgc/gd. For κd<0, the stable\nconfigurations have τ̸= 0, e.g., ψS(t) = exp( i∆ωt)p\n−2γ/(gd+κd)(1,0, . . . , 0)Twith ∆ ω=−γ(gc+κc)/(gd+κd).\nThis corresponds to the Van der Pol phase.\n1. FUNCTIONAL INTEGRAL FORMALISM\na. MSRJD construction\nUsing the Martin-Siggia-Rose-Janssen-de Dominicis (MSRJD) construction [S70–S72], Eq. (S1) corresponds to the\nfollowing functional integral [S51]:\nZ[j,˜j] =Z\nDψD˜ψe−S[ψ,˜ψ]+R\nx,t˜j†ψ+j†˜ψ, (S3)\nwhere the action is given by, usingR\nx,t=R\nddxdt,\nS=Z\nx,t˜ψ∗·(∂tψ−(1 +irK,B)∇2ψ+γBψ) + c.c.−2˜ψ∗·˜ψ+gB\n2(˜ψ∗·ψ)(ψ∗·ψ) +κB\n2(˜ψ∗·ψ∗)(ψ·ψ) + c.c.\n(S4)\nIn comparison with Eq. (S1), we set Zd=D= 1 , and thus rK,B=Zc/Zd=Zc, since these coefficients can be\nabsorbed into a redefinition of the fields. We include a Bindex to all parameters of the action here, to indicate that\nthey are bare microscopic quantities. The MSRJD procedure can be applied to the original Langevin equation (1) of\nthe main text that involve real fields similarly, see [S24].\nThe functional integral ln Z[j,˜j] can be used to generate all noise-averaged connected correlation and response\nfunctions of the problem. In particular, absent symmetry breaking, the two-point (retarded) response function and\ncorrelation functions are\nχR\nij(q, ω) =δ2lnZ\nδ˜ji(q, ω)δj∗\nj(q, ω)\f\f\f\nj=˜j=0≡GR(q, ω)δij,Cij(q, ω) =δ2lnZ\nδ˜ji(q, ω)δ˜j∗\nj(q, ω)\f\f\f\nj=˜j=0≡GK(q, ω)δij. (S5)2\nWe used time and space translation invariance to obtain diagonal propagators in Fourier space. The time-crystal\nphases break time translation invariance, but it is recovered in the effective O(N)×SO(2) theory, where the finite\noscillating scale is implicit. The two-point functions can be collected into a matrix in Fourier space G(q, ω), which\nabsent symmetry breaking, is a 2 ×2 matrix in Keldysh space ( ψ,˜ψ),\nG(q, ω) =\u0012GK(q, ω)GR(q, ω)\nGA(q, ω) 0\u0013\n, (S6)\nwhere GA= (GR)∗,GK= 2D|GR|2. At the Gaussian level, we have, from the action (S4),\nGR(q, ω) =1\n−iω+q2(1 +irK,B) +γB. (S7)\nTo ease the analysis, it is convenient to work with the effective action Γ[ Ψ,˜Ψ], with Ψ=⟨ψ⟩and˜Ψ=⟨˜ψ⟩, defined\nas the Legendre transform of ln Z[j,˜j] [S51, S60],\nΓ[Ψ,˜Ψ] =−lnZ[j,˜j] +Z\nx,t˜j†Ψ+j†˜Ψ,where j=δΓ\nδ˜Ψ∗,˜j=δΓ\nδΨ∗. (S8)\nThe effective action is beneficial conceptually since it can be seen as a renormalized version of the action upon\nincluding the effect of interactions. It is also technically convenient because it is the generating functional of one-\nparticle irreducible vertices, for which the perturbative computations done below are efficiently performed [S51, S60].\nb. Thermal symmetry\nThe MSRJD representation is also well suited to detect whether the system is in thermal equilibrium or not. In\nthermal equilibrium, all n-point correlation and response functions obey fluctuation-dissipation relations (FDR). In\nthe field theoretical formalism, the presence of the FDR are equivalent to the existence of a symmetry of the MSRJD\naction and effective action [S45, S63–S67, S71].\nFor a complex field, the thermal symmetry is given by [S45]\nΨ(x, t)→Ψ(x,−t)∗,˜Ψ(x, t)→˜Ψ(x,−t)∗+1\n2T∂tΨ(x,−t)∗, (S9)\nwhere Tdenotes the equilibrium temperature. Evidently, the thermal symmetry of the original fields is broken in the\nlimit-cycle phases [S24]. This propagates to the effective theory, and Eq. (S9) is not a symmetry of the action (S4)\neither. However, one can allow for a more general thermal symmetry in the presence of coherent and dissipative\ndynamics [S48],\nΨ(x, t)→Ψ(x,−t)∗,˜Ψm(x, t)→˜Ψm(x,−t)∗+1\n2T∂tΨ(x,−t)∗,where ˜Ψm(x, t) = (1 + ib)˜Ψ(x, t),(S10)\nwith ban additional parameter. The action Eq. (S4) is now symmetric under Eq. (S10) if and only if Hc=bHd(which\nfixes the parameter b) with temperature T=D/2 = 1 /2 in our units. This implies a fixed ratio between the real and\nimaginary parts of the couplings, rK=gc/gd=κc/κd. There is no condition between the real and imaginary parts of\nγ=iγd+γcbecause we can always shift the value of γc→γc+ ∆ωviaψ(t)→exp(i∆ωt)ψ(t), i.e., a redefinition of\nthe finite frequency parameter ω0as discussed above. (This means that the corresponding effective thermal behavior\nis found in a rotating frame.)\nThe presence of this symmetry can be rationalized by noting that, if Hc=bHd, we can rewrite the Langevin\nequation (6) as\n∂tψ\n1−ib+δHd\nδψ∗+ξ\n1−ib= 0, (S11)\nand we in fact recover a purely conservative Hamiltonian dynamics that describe thermal equilibrium with a noise\n(i.e., ˜ψ) rescaled by (1 −ib), in agreement with Eq. (S10).\nLimit cycles are nonequilibrium phases. But this does not preclude the possibility of an effective equilibrium (in a\nrotating frame) at the transition, even if the starting microscopic model breaks equilibrium conditions. In particular,\nthis happens in the N= 1 case of the model we are considering here.3\nI. GOLDSTONE THEOREM AND DISPERSIONS\na. Spontaneous breaking of time-translation symmetry and Goldstone theorem\nIn this section, we analyze the original equation (1), and discuss the Goldstone modes associated with time-\ntranslation breaking. A continuous symmetry with generators Tij, in its infinitesimal version, acts on the field, ϕ, as\nϕi→(1 +ϵTij)ϕj. The Goldstone theorem reads as, for a space independent order parameter ϕS(t) =⟨ϕ(x, t)⟩,\nX\ni,jZ\nt′(GR−1)ki(q= 0, t, t′)TijϕS,j(t′) = 0 . (S12)\nFor a broken generator,P\njTijϕS,j(t′) is nonzero, and GRnecessarily has a (eigen-)mode with a vanishing dispersion\natq= 0, i.e., a Goldstone mode.\nIt is shown in [S24] that the Goldstone theorem leads to 2 N−3 Goldstone modes associated with the breaking of\ntheO(N) symmetry in the rotating phase. The breaking of the O(N) symmetry in the Van der Pol phase gives N−1\nGoldstone modes, as in the usual static phase of O(N) models.\nThe MSRJD functional integral equivalent to the initial Langevin equation Eq. (1) of the main text is invariant\nunder infinitesimal time translations t→t+ϵ,ϕ′→ϕ+ϵ∂tϕwhose only generator is Tij=δij∂t. We therefore get\none Goldstone mode from its breaking whenever the order parameter is time-dependent,\nX\niZ\nt′(GR−1)ki(q= 0, t, t′)∂′\ntϕS,i(t′) = 0 . (S13)\nIn the Van der Pol phase, this gives one Goldstone mode that arises solely from this spontaneous breaking of\ntime-translation symmetry. On the contrary, in the rotating phase the associated Goldstone mode is equivalent to\nthe one arising from the rotation along the limit cycle [S24] since the Goldstone theorem applied for the associated\nbroken generator of O(N) leads to the same expression as the one obtained from (S13).\nAll the Goldstone modes can be also obtained from the O(N)×SO(2) theory in a close manner to the equilibrium\ncase. In particular, the Van der Pol phase corresponds to the breaking pattern O(N)×SO(2)→O(N−1). There\nare again N−1 Goldstones arising from the breaking of O(N), and one Goldstone from the breaking of the SO(2)\nsymmetry. In the rotating phases, the breaking pattern is O(N)×SO(2)→O(N−2)×SO(2)d(the additional\nindex in SO(2)dunderlines that it differs from the original one [S44]). There are 2 N−3 Goldstones coming from the\nbreaking of O(N) toO(N−2), while again the Goldstone of the broken SO(2) is not an independent one.\nb. Dispersions of the Goldstone modes\nThe effective O(N)×SO(2) theory allows us to recover effective time-independent dynamics for the Goldstone modes\nbecause we can now expand around time-independent solutions. In turn, we can get explicit dispersion relations ω(q)\nfor the Goldstone modes. This is rationalized by the Floquet theorem, which tell us that there exist periodic functions\nP(t) such that the linearized solutions of the equation of motion are of the form\nδϕ(q, t) =P(t) exp(−iω(q)t). (S14)\nThis confirms that we were able to work in the “rotating frames” around the two limit-cycle phases. We now derive\nthe dispersion relations by specifying explicit forms for the real and imaginary parts of ψ=χ1+iχ2in the broken\nphase.\nRotating phase – One of the possible choices is to parameterize the fields by introducing amplitude δρi(x, t) and\nangular fluctuations θi,j(x, t) (with i∈ {1,2}andj∈ {3. . . N}):\nχ1(x, t) =p\nρ0+δρ1exp[θ1,1T1,2+NX\ni=3θ1,iT1,N]ˆe1,χ2(x, t) =p\nρ0+δρ2exp[θ2,1T2,1+NX\ni=3θ2,iT1,N]ˆe2.(S15)\nThe amplitude modes are gapped in the broken phases and can be safely integrated out. In addition, because χ1\nandχ2are orthogonal, only rotations that keep their relative angle fixed lead to a soft mode. Therefore, the relative4\nangle mode θ1,2+θ2,1is also gapped, while θ−= 1/√\n2(θ1,2−θ2,1) is gapless. Its linearized dynamics and dispersion\nrelation are given by\n∂tθ−−Zd∇2θ−+ξ= 0 = ⇒ω(q) =−iZdq2. (S16)\nAll the other θmodes are also gapless and coupled by pairs at the linear level:\n∂tθ1,i−Zd∇2θ1,i+Zc∇2θ2,i+ξ= 0, ∂ tθ2,i−Zd∇2θ2,i−Zc∇2θ2,i+ξ= 0,∀i∈[3. . . N ]. (S17)\nInstead of purely overdamped motion, this leads to two modes, ω±(q) =−iZdq2±Zcq2.\nThese modes were found in [S24] with an additional finite gap equal to the frequency of the order parameter ω0,\nwhose absence here is due to the rotation (3). This can again be rationalized in the Floquet language. Indeed, from\nEq. (S14), we can write\nδϕ(q, t) =P(t) exp(−iω(q)t) =P2(t) exp(−iω(q)t−iω0t), (S18)\nwhere P2(t) is still periodic with frequency ω0. Therefore, if a mode oscillates at ±ω0as the Goldstone modes in [S24],\nit is possible to transfer the oscillation into P(t), and get a non-oscillating mode instead.\nVan der Pol phase – The effective dynamics for the Goldstone modes are obtained by writing the fields as\nχ1(x, t) = (χ0+σ1(x, t), θ2(x, t), . . . , θ N(x, t)),χ2(x, t) = (θ1(x, t), σ2(x, t), . . . σ N(x, t)). (S19)\nAll the σmodes have a finite mass at the Gaussian level, while the θmodes are gapless with\n∂tθi−Zd∇2θi+ξ= 0. (S20)\nFrom the point of view of the original equation of motion (1), the effective O(N)×SO(2) theory describes the\nVan der Pol phase only to the lowest order in γ. In principle, the Van der Pol limit cycle can be obtained to any\norder in perturbation theory [S35], around which the Floquet exponents, or equivalently stated, the dispersion of the\nGoldstone modes can be extracted. We can expect the linearized Langevin equations to still be of the form (S20)\nbased on symmetry breaking pattern considerations.\nThe Gaussian fluctuations of these Goldstone modes, ⟨θ(x, t)2⟩ ∼R\nq,ωGK\nθ(q, ω)∼R\nddq/q2, diverge in d≤2. They\nthus destroy any order in two dimensions, and the Mermin-Wagner theorem applies. This is true even for N= 1,\nwhere this is due solely to the Goldstone mode associated with the continuous time-translation symmetry.\nII. DETAILS ABOUT THE RG PROCEDURE\n1. Scaling hypothesis and dimensionless action\nTo take into account interaction effects in perturbation theory, it is sufficient to parameterize the effective action\nas Γ = Γ 0+ Γintwith\nΓ0=Z\nx,t˜ψ∗·(Z−1\nt∂t−Z−1\nx∇2+γ)ψ+ c.c.−2Z−1\nD˜ψ∗·˜ψ, (S21)\nΓint=Z\nx,tg\n2(˜ψ∗·ψ)(ψ∗·ψ) +κ\n2(˜ψ∗·ψ∗)(ψ·ψ) + c.c., (S22)\nwhere all parameters, except the real valued ZD, are complex numbers. This form involves only relevant and marginal\noperators in four dimensions, following power counting arguments. We will determine the renormalization group\nequations, which describe how the effective couplings entering the effective action change upon variation of the\nmomentum scale µat which we define them.\nThe prefactor of the time derivative does not remain real upon coarse-graining, even if initialized as such on the\nmicroscopic scale. In order to restore the form of the bare action, it is therefore convenient to first rescale the response\nfield to eliminate the Ztfactor by introducing ˜ψ′= (Z−1\nt)∗˜ψ,\nΓ0=Z\nx,t˜ψ′∗·(∂t−Z−1\nx\nZ−1\nt∇2+r\nZ−1\nt)ψ+ c.c.−2Z−1\nD\n|Zt|−2˜ψ′∗·˜ψ′. (S23)5\nWe define Z−1\nx/Z−1\nt≡K≡Kd+iKc. The γparameter does not either remain real, but its imaginary part can\nalways be eliminated by a redefinition of the finite frequency, ω0, of the order parameter, see above.\nTo make the scale invariance of the fixed points explicit, the action has to be put in a dimensionless form by\nrescaling the fields, space, and time to absorb bare and anomalous dimensions,\nxR=µx, t R=µ2X−1t,˜ψR=µ−d+2\n2q\nZ−1\n˜ψ˜ψ′,ψR=µ−d−2\n2q\nZ−1\nψψ. (S24)\nThe effective action is then dimensionless upon choosing\nX=1\nKd, Z ˜ψ=ZD\n|Zt|2X, Z ψ=Z−1\n˜ψ, (S25)\nand reads as\nΓ =Z\nxR,tR˜ψ∗\nR·(∂tψR−(1 +irK)∇2ψR+γ˜ψR) + c.c.−2˜ψ∗\nR·˜ψR+˜g\n2(˜ψ∗\nR·ψR)(ψ∗\nR·ψR) +˜κ\n2(˜ψ∗\nR·ψ∗\nR)(ψR·ψR) + c.c.,\n(S26)\nwhere\n˜γ=γXZ t/µ2,˜g=µd−4gZψZtX, ˜κ=µd−4κZψZtX. (S27)\nThe additional parameter rK=Kc/Kd, which cannot be eliminated by the rescaling, describes the relative strength\nof coherent couplings vs. dissipative couplings in the two-point functions.\nAll critical exponents can then be defined in the standard way from the dynamical scaling hypothesis [S41, S51],\nGK(q, t) =q−2+η˜GK(tqz(1 +iqη−ηc), γq−1/ν) =q−2+η˜GK(tqz, iqη−ηc, γq−1/ν), (S28)\nGR(q, t) =q−2+η′+z˜GR(tqz, iqη−ηc, γq−1/ν), (S29)\nwhere the additional independent exponent ηcallows for a possibly different scaling between coherent and dissipative\ncouplings [S41]. It is associated with the scaling behavior of the parameter rK∼µη−ηc. At equilibrium, the FDR\nimply η=η′, and there is one less independent critical exponent. This ansatz encompasses the Gaussian case Eq. (S7),\nfor which ν= 1/2,z= 2 and η=η′=ηc= 0.\nBeyond mean field, we can use the dimensionless effective action (S26) to write\nGK(q, t) =⟨ψ∗\ni(q, t)ψi(q,0)⟩=µ−2Zψ⟨ψ∗\nR,i(q, t)ψR,i(q,0)⟩=µ−2Zψ˜GK(qR=q/µ, t R=tµ2X−1, irK,˜γ),(S30)\nGR(q, t) =⟨˜ψ∗\ni(q, t)ψi(q,0)⟩=Zt⟨˜ψ∗\nR,i(q, t)ψR,i(q,0)⟩=Zt˜GR(qR, tR, irK,˜γ). (S31)\nIn these expressions, the RG parameter µplays a similar role as qsince it is also a momentum scale. Based on the\nscaling hypothesis, we can then use the standard RG matching procedure, q∼µ, to read off scaling from the RG\nflow. We therefore have\nν−1=−µ∂µln(˜γ), η =µ∂µlnZψ, z = 2−µ∂µlnX, η′=µ∂µln(Zt) +µ∂µlnX, η c=η−µ∂µln(rK).(S32)\nIn equilibrium, the FDR for the two-point response and correlation functions reads as\nGR(q, t)−GA(q, t) =−1\n2T∂tGK(q, t). (S33)\nThis can be taken as the definition of the temperature via T=−∂tGK(q, t)/2GR(q, t) for t >0. Out-of-equilibrium,\nthis FDR does not hold anymore. If one insists on the definition (S33), this formally shows up as a scale dependent\ntemperature Tµ. Specifically, we find from (S30) and (S31) that, in the scaling regime where q≲µandt≲µ−z,\nTµ=\f\f\f\f∂tGK(q, t)\n2GR(q, t)\f\f\f\f∼ |ZψZ−1\ntµ−2+z| ∼µRe(η−η′). (S34)\nAt equilibrium η=η′and a true (scale free) temperature is found. Reciprocally, we see that a nonequilibrium\nsituation can possibly be detected by measuring the ratio between response and correlation functions, or equivalently\nthe distribution of excitations, in a time or space resolved way.6\nGR(q, ω) =\n(a) Retarded propagatorGA(q, ω) =\n(b) Advanced\npropagatorGK(q, ω) =\n(c) Keldysh propagator\ng\n2(δa,cδb,d+δa,dδb,c) +κδabδcd=\n(d) Four-point vertex\nFIG. S1. Diagrammatic representation of the elements entering perturbation theory. The propagators are defined in Eq. (S6).\nThe complex conjugated vertex, obtained by reverting the direction of the arrows in (d), is not shown.\n2. Perturbation theory\nIn the spirit of renormalized perturbation theory, it is convenient to work directly in renormalized dimensionless\nvariables by first performing the transformation (S24), and then to define the renormalized quantities by introducing\nmultiplicative Zfactors via\nγB=Z′\nγ˜γ, r K,B=ZrKrK, g B=Z′\ng˜g, κ B=Z′\nκ˜κ. (S35)\nIn terms of these variables, the action reads\nS=Z\nx,t˜ψ∗·(Zt∂t−ZtX(1 +iZKrK)∇2+Zγ˜γ)ψ+ c.c.−2ZD˜ψ∗·˜ψ, (S36)\nwhere we additionally define Zγ=µ−2ZtXZ′\nγ,Zg=µd−4Z′\ngZtZ2\nψusing Eqs. (S27). We dropped all Rindices for\nsimplicity. All counterterms are of the form Za= 1 + δa, where the δa, defined through these relations, have an\nexpansion in terms of the coupling constants that starts at least at order one.\nDefining Zx=ZtX(1 +iZrKrK) =Zd+iZcrK, we can alternatively use the counterterms Zd= 1 + δd,Zc= 1 + δc.\nWe will need the following relation\nδrK=δc\nrK−δd−Im(δt)1 +r2\nK\nrK, (S37)\nvalid at second-order in the interactions. The action can then be written as S=S0+SPwith\nS0=Z\nx,t˜ψ∗·(∂t−(1 +irK)∇2+ ˜γ)ψ+ c.c.−2˜ψ∗·˜ψ (S38)\nSP=Z\nx,t˜ψ∗·(δt−δx∇2+δγ˜γ)ψ−2δD˜ψ∗·˜ψ\n+˜g(1 +δg)\n2(˜ψ∗·ψ)(ψ∗·ψ) +˜κ(1 +δκ)\n2(˜ψ∗·ψ∗)(ψ·ψ) + c.c., (S39)\nand the loop corrections can be computed by treating SPas a perturbation. We use dimensional regularization with\nϵ= 4−dand the minimal subtraction scheme where only the poles in 1 /ϵare incorporated into the Zfactors, see\ne.g., [S51, S60, S61]. The elements entering perturbation theory are displayed in Fig. S1.\na. One-loop\nWe use the following notation to denote functional derivatives δ2Γ/δ˜ψ∗\na(p, ω)ψb(p, ω) = Γ(2)\n˜ψ∗aψb(p, ω) and its gener-\nalizations to higher order. The only one-loop contribution to the self-energy is the tadpole diagram Fig. S2a, which\ngives\nΓ(2)\n˜ψ∗aψa(p, ω) =−iω+p2+ ˜γ+δγ˜γ+ (˜gN+ 1\n2+ ˜κ)Z\nq,ωGK(q, ω) +O(I2), (S40)7\n(a)\n (b)\n (c)\n(d)\nFIG. S2. Loop diagrams considered in the text. The first three graphs renormalize the self-energies. (a) and (b) correct\nthe retarded part of the action Γ(2)\n˜ψ∗aψa, while (c) corrects the noise part Γ(2)\n˜ψ∗a˜ψa. The one-loop diagrams (d) renormalize the\ninteraction. The red arrows indicate that diagrams with both arrow directions have to be considered.\nwhere O(I2)≡O(g2, κ2, gκ) andR\nq,ω=R\nddqdω/(2π)d+1. The frequency integrals can be performed in all loops\nwithout generating any divergence, while the divergent parts of the remaining momentum integrals are obtained in\nthe standard way. All the poles of the integrals that we will need can also be found in [S41, S50]. The divergences\nare the same as in the N= 1 case, but the additional structure of the interactions for N > 1 induces more complex\nflow equations as well as different prefactors of the loops. This rationalizes why we can get novel fixed points, and a\nnovel universality class. The pole in Eq. (S40) is given by\nZ\nq,ωGK(q, ω) =Z\nq1\nq2+ ˜γ=2\n(4π)2ϵ˜γ+O(ϵ0). (S41)\nThe renormalization of the four-point functions is given by the diagrams in Fig. S2d evaluated at zero momenta\nand frequencies. We obtain\nΓ(4)\n˜ψ∗aψ∗\nbψcψd=\u0012\n˜g+δg˜g−1\n(4π)2ϵ\u0014(N+ 3)˜g\n2(˜g+ ˜g∗) + 2˜κ˜κ∗+ 2˜g˜κ+g∗˜κ+ ˜g˜κ∗+1\n1 +irK˜g2\u0015\u00131\n2(δa,cδb,d+δa,dδb,c)\n+\u0012\n˜κ+δκ˜κ−1\n(4π)2ϵ\u0014\n2˜g˜κ+ ˜g∗˜κ+ ˜g˜κ∗+1\n1 +irK(N˜κ2+ 2˜κg)\u0015\u0013\nδabδcd+O(I2, ϵ0).\n(S42)\nBy absorbing the poles in ϵin the countertems, we have at one-loop order,\nZγ= 1 +2\nϵ(˜gN+ 1\n2+ ˜κ)˜γ+O(I2), (S43)\nZg= 1 +1\nϵ\u0014(N+ 3)\n2(˜g2+ ˜g˜g∗) + 2˜κ˜κ∗+ 2˜g˜κ+ ˜g∗˜κ+ ˜g˜κ∗+1\n1 +irK˜g2\u0015\n+O(I2), (S44)\nZκ= 1 +1\nϵ\u0014\n2˜g˜κ+ ˜g∗˜κ+ ˜g˜κ∗+1\n1 +irK(N˜κ2+ 2˜κ˜g)\u0015\n+O(I2). (S45)\nWe redefine the couplings from now on via g→g(4π)2andκ→κ(4π)2to absorb all factors of 4 π. The RG equations\nare then obtained by using the fact that the bare quantities are independent of the RG scale µ. For example, using\nZg˜gµϵ=gBat one-loop (where Zg=Z′\ng), we get µ∂µ˜g=−ϵ−µ∂µZg/Zg. Taking real and imaginary part of the8\nensuing equations, we finally obtain\nµ∂µ˜γ=−2˜γ+˜g(N+ 1) + 2˜ κ\n2˜γ, (S46)\nµ∂µ˜gd=−ϵ˜gd+ \nrK˜gc˜gd+1\n2\u0000\n˜g2\nd−˜g2\nc\u0001\nr2\nK+ 1+ 2˜gd˜κd+1\n2(N+ 3)˜g2\nd+ 2˜κ2\nd!\n, (S47)\nµ∂µ˜gc=−ϵ˜gc+ \n˜κc˜gd+ ˜gc˜κd+1\n2(N+ 3)˜gc˜gd+1\n2rK\u0000\n˜g2\nc−˜g2\nd\u0001\n+ ˜gc˜gd\nr2\nK+ 1+ 2˜κc˜κd!\n, (S48)\nµ∂µ˜κd=−ϵ˜κd+ \nrK(˜κc˜gd+ ˜gc˜κd+N˜κc˜κd) +1\n2N\u0000\n˜κ2\nd−˜κ2\nc\u0001\n−˜gc˜κc+ ˜gd˜κd\nr2\nK+ 1+ 2˜gd˜κd!\n, (S49)\nµ∂µ˜κc=−ϵ˜κc+ \n˜κc˜gd+ ˜gc˜κd+˜κc˜gd+ ˜gc˜κd+rK\u00001\n2N\u0000\n˜κ2\nc−˜κ2\nd\u0001\n+ ˜gc˜κc−˜gd˜κd\u0001\n+N˜κc˜κd\nr2\nK+ 1!\n. (S50)\nThe one-loop fixed points are obtained by solving these flow equations. We have ˜ γ= 0 at all fixed points. The\nequilibrium fixed points found from the O(N)×O(2) RG equations [S39] solve our equations with g∗\nc/g∗\nd=κ∗\nc/κ∗\nd=rK.\nThese equilibrium fixed points all acquire an additional relevant direction, as discussed in the main text, and the fixed\npoint that describes the phase transition at equilibrium becomes multicritical. This relevant direction is associated\nwith the microscopic breaking of equilibrium conditions, which thus grows at large distances with a universal exponent.\nThere are two new additional nonequilibrium fixed points toward which the flow is attracted, see Fig. S4, and which\ntherefore control the phase transition. At these fixed points, the ratios g∗\nc/g∗\nd,κ∗\nc/κ∗\ndandrKare not equal, and\nequilibrium conditions are violated. They exist for every N > 1, which is not the case for the equilibrium ones [S39].\nHowever, there is no running of rKat one-loop order, and the values of the couplings at the fixed points all depend\non the bare value rK,B. There is therefore a (spurious) line of fixed points, which is a shortcoming of the one-loop\nequations. It is necessary to perform a two-loop analysis of the self-energies in order to obtain values of rKat the\nfixed points, and to fully characterize the latter even at first-order in ϵ. This will also allow us to get the lowest-order\nexpression of all critical exponents.\nb. Two-loop\nWe now only consider the renormalization of Zt,Zx,ZDto get nontrivial renormalization of all parameters to\nlowest-order, which in turn allows us to get the running of rKviaµ-differentiation of (S37). We thus only have to\ncompute the contributions coming from the sunset diagrams, Fig. S2b and S2c. The first one contributes to Γ(2)\n˜ψ∗ψ(p, ω)\na term\nδΓS(p, ω) =−(˜g2N+ 1\n2+N˜κ2+ 2˜g˜κ)I1(p, ω)−1\n2(˜g˜g∗N+ 1\n2+ ˜κ˜κ∗+ ˜g˜κ∗+ ˜g∗˜κ)I2(p, ω), (S51)\nwith\nI1(p, ω) =Z\nQ1,Q2GR(−Q1−Q2+P)GK(Q1)GK(−Q2) (S52)\n=Z\nq1,q21\nq2\n1+ ˜γ1\nq2\n2+ ˜γ1\n−iω+ 3˜γ+ (1 + irK)(q2\n2+ (q1+q2−p)2) + (1 −irK)q2\n1, (S53)\nI2(p, ω) =Z\nQ1,Q2GR(Q1+Q2−P)GK(Q1)GK(Q2) (S54)\n=Z\nq1,q21\nq2\n1+ ˜γ1\nq2\n2+ ˜γ1\n−iω+ 3˜γ+ (1 + irK)(q2\n1+q2\n2) + (1 −irK)(q1+q2−p)2, (S55)\nwhere Qi= (qi, ωi) and P= (p, ω). We only need the divergent parts at linear order in frequency and second order\ninpthat arise from the momentum integrations. They can be extracted using Feynman’s parametrization and read9\n-0.4 -0.2 0.0 0.2 0.4-0.04-0.020.000.020.04\nFIG. S3. β∗(rK) =βrK(rK,˜g∗(rK),˜κ∗(rK)), plotted for different fixed points, i.e., different values of ˜ g∗(rK) and ˜ κ∗(rK))\n(N= 24). The values of rKat the different fixed points are given by the zero of this function. The solid black line corresponds\nto any of the equilibrium fixed points up to a prefactor. Its zero is always found at r∗\nK= 0. The gray dashed and dashed-dotted\nlines correspond to the two nonequilibrium fixed points, for which r∗\nK̸= 0. The fact that r∗\nKassumes opposite values at the\ntwo fixed points ensures that they are complex conjugates of each other.\nas\n∂−iωI1=−1\n(4π)4ϵ1\n(1 +irK)2ln(4\n3−irK), ∂−iωI2=−1\n(4π)4ϵ1\n(1−irK)2ln(4\n(3−irK)(1 + irK)), (S56)\n∂p2I1=−1\n(4π)4ϵ2−irK\n4(3−irK), ∂ p2I2=−1\n(4π)4ϵ1−irK\n6−2irK. (S57)\nFrom ∂p2Γ(2)\n˜ψ∗ψ(p, ω) = 1 + δx−∂p2δΓs|sing.and∂−iωΓ(2)\n˜ψ∗ψ(p, ω) = 1 + δt−∂−iωδΓs|sing., we obtain\nZx= 1−1\nϵ\u0014\n(˜g2N+ 1\n2+N˜κ2+ 2˜g˜κ)2−irK\n4(3−irK)−1\n2(˜g˜g∗N+ 1\n2+ ˜κ˜κ∗+ ˜g˜κ∗+ ˜g∗˜κ)1−irK\n6−2irK\u0015\n, (S58)\nZt=1−log\u0010\n4\n(3−irK)(1+irK)\u0011\u0000\n˜κ˜g∗+ ˜g˜κ∗+1\n2|˜g|2(N+ 1) + N|˜κ|2\u0001\n2(1−irK)2ϵ−log\u0010\n4\n3−irK\u0011\u00001\n2˜g2(N+ 1) + 2˜ g˜κ+ ˜κ2N\u0001\n(1 +irK)2ϵ.\n(S59)\nSimilarly, evaluating the second sunset Fig. S2c, we find\nΓ(2)\n˜ψ∗a˜ψa(0,0) =−2(1 + δD) +\u0012\n˜g˜g∗(N+ 1)\n2+ ˜κ˜κ∗N+ ˜g˜κ∗+ ˜κg∗\u0013\n×\nRe Z\nq1,q21\nq2\n1+ ˜γ1\nq2\n2+ ˜γ1\n(q1+q2)2+ ˜γ1\n3˜γ+ (1 + irK)(q2\n1+q2\n2) + (1 −irK)(q1+q2)2!\n.(S60)\nThe poles of this integral can be found in [S50] and in [S41] together with a detailed calculation (the function L(rK)\nwhich appears in (A16) of [S41] is obtained for rK>0 and is in fact zero; its analytic continuation to negative rKis\nalso zero). We finally obtain\nZD= 1−\u00001\n2˜g˜g∗(N+ 1) + ˜ κ˜κ∗N+ ˜g˜κ∗+ ˜κg∗\u0001\n4ϵ(1 +r2\nK)\u0012\n3 log\u001216\n(9 +r2\nK)(1 + r2\nK)\u0013\n+ 2rK\u0010\narctan( rK) + arctan\u0010rK\n3\u0011\u0011\u0013\n.\n(S61)\nWe are now in position to get ZrKusing Eq. (S37), from which we determine the β−function of rK,βrK=∂µrK=10\n(a)\n (b)\nFIG. S4. Flow diagram on the critical surface (˜ γ∗= 0) projected on the (a) (˜ gd,˜κd) plane and (b) (˜ gd,˜gc) plane for N= 24\nandϵ= 0.1. In addition to the Gaussian fixed point, there are three equilibrium fixed points (with r∗\nK=g∗\nc=g∗\nd= 0),\nlabelled Wilson-Fisher (WF), chiral ( C+), and anti-chiral ( C−). The chiral one is attractive precisely at equilibrium, and\nthe corresponding black dashed trajectory is attracted toward it. However, a small breaking of equilibrium conditions grows\nat larger scale, and the gray solid flow trajectories are attracted toward the new nonequilibrium fixed points N±. They are\ncomplex conjugates of each other, see (b).\n−rKµ∂µZrK/ZrK,\nβrK=rK\n2 (r2\nK+ 1)n\u0000\n−log\u0000\nr2\nK+ 1\u0001\u0001\u0000\n2\u0000\n˜κ2\nc+ ˜κ2\nd\u0001\n+ 4˜gc˜κc+ 2˜g2\nc+ 4˜gd˜κd+ 2˜g2\nd\u0001\n+ log\u0000\nr2\nK+ 9\u0001\u0010\n2˜gc\u0000\n−6˜κcrK+ 2˜gd\u0000\nr2\nK−1\u0001\n−2˜κd+ 2˜κdr2\nK\u0001\n+ 4˜gd\u0000\n˜κc\u0000\nr2\nK−1\u0001\n+ ˜κdrK\u0001\n+ 2\u0000\n−2˜κc˜κd+ 2˜κc˜κdr2\nK+rK\u0000\n˜κ2\nd−3˜κ2\nc\u0001\u0001\n−6˜g2\ncrK+ 2˜g2\ndrK\u0011\n−2 log(4)\u0010\n2˜gc\u0000\n−6˜κcrK+ 2˜gd\u0000\nr2\nK−1\u0001\n−2˜κd+ 2˜κdr2\nK\u0001\n+ 4˜gd\u0000\n˜κc\u0000\nr2\nK−1\u0001\n+ ˜κdrK\u0001\n+ 2\u0000\n−2˜κc˜κd+ 2˜κc˜κdr2\nK+rK\u0000\n˜κ2\nd−3˜κ2\nc\u0001\u0001\n−6˜g2\ncrK+ 2˜g2\ndrK\u0011\n+1\nr2\nK+ 9\u0010\u0000\nr2\nK+ 1\u0001\u0000\n−2˜gc(2˜κcrK+ 6˜gd+ 6˜κd) + 4˜gd\u0000\n˜κdrK\u0000\nr2\nK+ 6\u0001\n−3˜κc\u0001\n+ 2\u0000\n−6˜κc˜κd−˜κ2\ncrK+ ˜κ2\ndr3\nK+ 6˜κ2\ndrK\u0001\n−2˜g2\ncrK+ 2˜g2\ndrK\u0000\nr2\nK+ 6\u0001\u0001\n+\u0000\nr4\nK+ 8r2\nK−9\u0001\narctan ( rK)\u0000\n2\u0000\n˜κ2\nc+ ˜κ2\nd\u0001\n+ 4˜gc˜κc+ 2˜g2\nc+ 4˜gd˜κd+ 2˜g2\nd\u0001\n+\u0000\nr2\nK+ 9\u0001\narctan\u0010rK\n3\u0011\u0000\n4˜gc\u0000\n˜κc\u0000\nr2\nK−1\u0001\n+ 4˜gdrK+ 4˜κdrK\u0001\n−4˜gd\u0000\n−4˜κcrK−3˜κd+ 3˜κdr2\nK\u0001\n+ 2\u0000\n8˜κc˜κdrK+ ˜κ2\nc\u0000\nr2\nK−1\u0001\n−3˜κ2\nd\u0000\nr2\nK−1\u0001\u0001\n+ 2˜g2\nc\u0000\nr2\nK−1\u0001\n−6˜g2\nd\u0000\nr2\nK−1\u0001\u0001\u0011o\n.(S62)\nThe full fixed points can now be obtained by using the following procedure: (i) The one-loop flow equations\nare solved as a function of rK, e.g., ˜ g∗(rK). Note that these solutions are not fully fixed, since they still depend\nonrK. (ii) The solutions can be directly fed into Eq. (S62) because only the one-loop corrections to interactions\ncontribute to βat two-loop order (i.e., at second order in ϵ). (iii) The fixed point value r∗\nKis then obtained by solving\nβ∗(rK) =βrK(rK,˜g∗(rK),˜κ∗(rK)) = 0. This can finally be injected back into the one-loop results to fully fix the\nsolutions. We finally get the values of the coupling constants at the fixed point to order O(ϵ), e.g., ˜ g∗(r∗\nK) =O(ϵ),\nand anomalous dimensions to order O(ϵ2). We emphasize that we obtain r∗\nK=r∗\nK,2l+O(ϵ) at two-loop order.11\nN Phase ν−1−2 η z−2 η′ηc\n22, eq. Rot. −27/50ϵ0.0207ϵ20.0207cϵ2η −0.0207c′ϵ2\n22, neq. Rot. −0.942ϵ−0.142ϵ20.0055ϵ2(0.00030 + 0 .018i)ϵ2η\n3, eq. None X X X X X\n3, neq. Rot. −1.27ϵ−1.49ϵ2−0.017ϵ2(−0.035 + 0 .067i)ϵ2η\n2, eq. vdP −ϵ/2 ϵ2/48 cϵ2/48 η −c′ϵ2/48\n2, neq. Rot. −0.853ϵ−0.353ϵ20.0072ϵ2(0.010 + 0 .0070i)ϵ2η\nTABLE S1. Critical exponents for different values of Nin- and out-of-equilibrium to lowest nontrivial order in ϵ. The\nequilibrium static results are reproduced, see [S73]. The column “Phase” indicates the transition into which phase (rotating or\nVan der Pol (vdP)) is second order, while the other one is fluctuations induced first-order. For the N= 3 equilibrium case, no\nattractive fixed point exists, and both phase transitions are first-order. We use c= (6 log(4 /3)−1) and c′= (4 log(4 /3)−1).\nFor all equilibrium fixed points, i.e., for ˜ g∗\nc/˜g∗\nd= ˜κ∗\nc/˜κ∗\nd=rK, we find\nβ∗(rK) =1\n2\u0000\n4˜g∗\nd˜κ∗\nd+ (N+ 1)˜g∗2\nd+ 2N˜κ∗2\nd\u0001\nf(rK), (S63)\nf(rK) =\u0012\nrKlog(16\n(r2\nK+ 1)( r2\nK+ 9)) +\u0000\nr2\nK−1\u0001\narctan ( rK) +\u0000\nr2\nK+ 3\u0001\narctan\u0010rK\n3\u0011\u0013\n, (S64)\nwhich agrees with Eq. (89) of [S41] when we set N= 1. Since the only zero of f(rK) isrK= 0 and dβ∗(rK= 0)/drK>\n0, we find that r∗\nK= 0 for all equilibrium fixed points, no matter N. This reflects the fact that the equilibrium fixed\npoints all have a purely dissipative dynamics. The fact that all imaginary parts are zero at the equilibrium fixed\npoints, gc∗=κ∗\nc=r∗\nK= 0, also means that these fixed points display an O(N)×O(2) symmetry, even if flow is\ninitiated at equilibrium with O(N)×SO(2) symmetric initial conditions.\nAway from equilibrium, the zero of β∗(rK) moves away of the origin, and rKreaches nonzero fixed-point values at\nthe nonequilibrium fixed points, see Fig. S3. This implies that ηc=η, which means that coherent and dissipative\nparts have the same scaling. We get opposite nonzero values of rKfor the two nonequilibrium fixed points that\ncontrol the phase transition, ensuring that they are complex conjugates of each other. They thus describe mutually\ntime-reversed coherent parts of the dynamics (e.g., Zc→ − Zc). The structure of the flow diagram is plotted in\nFig S4. Since the imaginary couplings do not vanish at these fixed points, they do not have an emergent O(N)×O(2)\nsymmetry contrary to the equilibrium ones. They therefore describe a new universality class uniquely associated\nwith the O(N)×SO(2) symmetry that controls the phase transition. The nonequilibrium fixed points have κd>0\nforN > N c∼1.6 +O(ϵ) and κd<0 otherwise. Since the Van der Pol phase exists only for κd<0, there is no\nfixed point associated with its onset. In perturbation theory, this is characteristic of a fluctuation-induced first-order\ntransition [S52]. The transition to the Van der Pol phase is therefore first-order for every N > 1. Conversely, the\ntransition to the rotating phase is second-order for all N, at least close to four dimensions, and described by the new\nuniversality class we find.\nOur calculation allows us to obtain all critical exponents to lowest order in ϵ, see Tab. I in the main text, and Tab. S1.\nThe equilibrium exponents are obtained from analytical expressions, while the nonequilibrium ones are derived from\nnumerical evaluation of the zeros of (S62). In the equilibrium case where gc=gd=rK= 0, our equations reduce\nto the known two-loop O(N)×O(2) equations [S73], and the critical exponents agree as well. We obtain the ηc\nexponents linked to the fade out of coherent dynamics, which was not known at equilibrium. The critical exponents\nof the new fixed points differ from the equilibrium case, as well as any other known universality class, confirming\nthat we get a new universality class. We find that η′̸=η, which is only possible outside of equilibrium. Interestingly,\nη′is complex and r∗\nKdoes not vanish. This gives rise to intriguing physical phenomena, such as renormalization\ngroup (RG) limit-cycle oscillations and absence of asymptotic decoherence [S53–S55]. This also implies a divergent\ntemperature Tµ∼µη−Re(η′), which can measured through correlation and response functions as shown above.\nIII.N= 2REALIZATIONS\nStarting directly from the noisy Gross-Pitaevksii equation (S1), one can identify additional realizations. Indeed,\nas its equilibrium counterpart, the N= 1 version is known to give a Landau-type and widely applicable description\nof Bose-Einstein condensate with U(1) symmetry in driven open dissipative systems [S45]. In these systems, the12\ndrive pumps excitations, while the coupling with the environment opens the possibility for losses. In a semiclassical\ndescription, this two effects show up as noise and dissipation, the latter being associated with the imaginary part\nof the couplings. Since the number of excitation is not conserved, the dynamics is then given by a Gross-Pitaevksii\nequation of the form (S1) with additive noise. When pumping exceeds loss, a bosonic mode condenses at a finite\nenergy ω0, leading to a condensate that spontaneously breaks time-translation invariance, ⟨Ψ⟩ ∝e−iω0t.\nAs far as the universal behavior is concerned, the correct effective field theory can thereby be identified by analyzing\nthe symmetries of the system, and the construction is therefore robust. We thus generically expect condensation\nmechanisms in driven dissipative bosons with an O(N)×U(1)≃O(N)×SO(2) symmetry to be described by the\nnew universality class discussed above.\nA general scenario to get the noisy Gross-Pitaevskii equation (S1) with an O(N= 2)×SO(2) symmetry is provided\nby driving two complex bosonic degrees of freedom ψ±connected by an exchange symmetry ψ+↔ψ−, as we now\ndiscuss in details in the light of two cases.\n1. Driven open exciton-polariton systems\nExciton-polaritons are quasi-particles arising from light-matter interactions in a quantum-well placed inside a cavity.\nUsing a laser field, the exciton-polaritons can be pumped into the system. They are also subject to loss processes such\nas photon leakage outside the cavity. They have a polarization degree of freedom [S56] that gives a two component\ncomplex bosonic field: ψ= (ψ+, ψ−). Depending on the experimental settings, there are situations where one\nonly consider one of the polarization, and some cases where the two polarizations are used, see e.g., [S68] where a\nferromagnetic transition is induced in polarization space. The former case corresponds to N= 1 and has a U(1)\nsymmetry. The incoherent pumping and losses can be taken into account using a description in terms of a Lindblad\nequation [S45, S56]. This equation can then be recast as a path integral using the Keldysh formalism. The U(1) case\nis discussed in details in [S45]. At large scale, the description becomes effectively semiclassical, and one recovers (S1)\nwith N= 1. This approach can be directly generalized in the presence of the polarization degree of freedom. In this\ncase, the generic interacting Hamiltonian takes the form, for a contact interaction [S56],\nHint=Z\nddxX\nσ,σ′ψ∗\nσ(x)ψσ(x)Vσ,σ′ψ∗\nσ′(x)ψσ′(x), V =\u0012VtVs\nVsVt\u0013\n, (S65)\nwhere VsandVtrespectively describe scattering in the singlet and triplet polarization channel, and are generically\ndifferent [S56]. The procedure discussed above leads to an action of the form\nS=Z\nx,tX\nσ˜ψ∗\nσ(i∂t− ∇2+γc+iγd)ψσ+ c.c−2D˜ψσ˜ψ∗\nσ+X\nσ,σ′ψ∗\nσψσVσ,σ′ψ∗\nσ′ψσ′, (S66)\nwhere all parameters (but D) now have an imaginary part arising from the drive and the dissipation, which respect\nthe symmetry of the Hamiltonian. The single particle loss γland pumping γpare related to the gap γd=γl−γp, and\nnoise level D=γl+γp[S45]. The transition is therefore reached when pumping exceed losses, i.e., when γd<0.\nLet us now analyze the symmetry class of this model. For Vt̸=Vs, there are two U(1) symmetries, U(1)±, and a\nZ2symmetry:\nU(1)+:ψ+→exp(iθ+)ψ+, U (1)−:ψ−→exp(iθ−)ψ−,Z2:\u001a\nψ+→ψ−\nψ−→ψ+, θ±∈]−π, π]. (S67)\nOne can alternatively write the U(1) symmetries as\nU(1)s:ψ±→exp(iθs)ψ±, U (1)a:ψ±→exp(±iθa)ψ±,Z2:\u001aψ+→ψ−\nψ−→ψ+, θ±∈]−π, π]. (S68)\nWe can now use the fact that U(1)s≃SO(2) and U(1)a⋊Z2≃O(2). The semi-direct product, ⋊, reflects that the\nZ2andU(1)atransformations do not commute (while they commute with U(1)s), exactly as rotations and reflections\ninO(2). This additional O(2) symmetry arises from the polarization degree of freedom. The model therefore has\nO(2)×SO(2) symmetry. The driven Bose-Einstein condensation of polarized exciton polariton must therefore be in\nthe universality class found in the main text for N= 2. To make this fully explicit, we now show that Eq. (S66) can13\nbe mapped to Eq. (S4). Guided by equation (S68), we introduce symmetric and antisymmetric combinations of the\nfields [S69],\nψ+→1√\n2(ψ++iψ−), ψ−→1√\n2(ψ−−iψ+), (S69)\nand the same relation for response fields. This directly transforms (S67) into (S4) with g=Vs, and κ= (Vt−Vs)/2 (or\nequivalently the Hamiltonian (S65) into (S2)). These systems therefore realize our symmetry class for N= 2 without\nany fine-tuning. Following this construction, driven-dissipative bosonic condensates with spins are good candidates to\nobtain O(N)×SO(2) symmetric complex Gross-Pitaevksii equations, much like spin one equilibrium bosons realize\ntheO(3)×O(2) case, see e.g., [S74–S76].\n2. Magnon condensation in YIG\nYttrium iron garnet (YIG) is a ferromagnetic insulator with an exceptional long magnon lifetime. In µm thick\nYIG films pumped by microwaves, a variant of the driven Bose-Einstein condensation of magnons has been observed\n[S57] at room temperature. Its mechanism is closely related to the one describing exciton-polariton systems, and\nhas been described by complex Gross-Pitaevskii equations [S57, S77]. Due to the interplay of spin-orbit interactions\nand an in-plane magnetic field, the magnons in the system obtain a minimum in the band-structure at momenta\n±k0[S77]. The two minima are equivalent by inversion symmetry. When microwaves pump the system, the magnon\ndensity is increased, leading to a condensation at the momenta ±k0. The magnons can be represented via bosonic\nexcitations [S77], thus two complex fields ψ= (ψ+, ψ−) describe the system.\nBoth momentum (and energy) conservation [S77] and the rotating wave approximation restrict the possible inter-\naction processes of the magnons in the infrared limit. Thus, the only allowed local interactions have the form\nX\nσ,σ′=±Z\nVσ σ′ψ†\nσψσψ†\nσ′ψσ′ (S70)\nwith V++=V−−andV+−=V−+by inversion symmetry, which corresponds to the Hamiltonian (S65). Because of\nthe drive and decays of excited magnons, noise and dissipation are again generated. This leads then exactly to the\nfield theory of Eq. (S66). Therefore, this magnon condensation provides a realization of our effective field theory.\nExperiments of Nowik-Boltky et al. [S58] show that the ordered state is inhomogeneous in space and therefore\nobtained from a superposition of the ψ+andψ−condensate. We can use the mapping of Eq. (S68) to show that\nthis state maps to the Van der Pol phase. Due to the finite gap ω0and wavevector k0, the condensate ⟨ψ⟩oscillates\nin space and time, thus spontaneously breaking time-translational and space-translational invariances. These two\nsymmetries have a SO(2)≃U(1) structure in the presence of a finite scale, as discussed in the main text. This\nrationalizes the presence of the two U(1) symmetries in the effective picture developed here.\n3. Experimental signatures\nBesides the direct quantitative comparison of critical exponents, two of our qualitative predictions are best suited\nto be compared to experiments. First, for the Van der Pol phase we predict a first-order transition, which can be\ndetected by searching for hysteresis. Here, one can compare the behavior of the system when the pumping power\nis increased and reduced, respectively. Second, the most significant qualitative prediction for the phase transition\ninto the rotating phase is a diverging effective temperature, see Eq. (S34). Experimentally, the effective temperature\ncan be measured by comparing energy-gain and energy-loss of a system. In the language of Raman scattering, these\nprocesses are called Stokes and anti-Stokes lines. In equilibrium, their ratio is determined by detailed balance and\ngiven by e−ℏω/kBT, where ωis the frequency which is probed. Out-of-equilibrium, one can use this relation as a way\nto define an effective temperature. Choosing for ωthe rotation frequency of the rotating phase (or, equivalently, the\nenergy of the condensing bosons), allows one to measure the effective temperature discussed in Eq. (S34), which is\npredicted to diverge at criticality." }, { "title": "2402.00297v2.Bulk_and_Interface_Effects_Based_on_Rashba_Like_States_in_Ti_and_Ru_Nanoscale_Thick_Films__Implications_for_Orbital_Charge_Conversion_in_Spintronic_Devices.pdf", "content": "1 \n Bulk and Interface Effects Based on Rashba -Like States in Ti and Ru \nNanoscale -Thick Films: Implications for Orbital -Charge Conversion \nin Spintronic Devices \n \nEduardo S. Santos*, José E . Abrão, Jefferson L . Costa, João G . S. Santos, Kacio R. Mello, \nAndriele S. Vieira, Tulio C . R. Rocha , Thiago J. A. Mori, Rafael O . R. Cunha, Joaquim B. S. \nMendes, and Antonio Azevedo* \n \n \nABSTRACT : In this work, employing spin -\npumping techniques driven by both \nferromagnetic resonance (SP -FMR) and \nlongitudinal spin Seebeck effect (LSSE) to \nmanipulate and direct observe orbital currents, \nwe investigated the volume conversion of spin -\norbital currents in to charge -current in \nYIG(100nm)/Pt(2nm)/NM2 structures, where \nNM2 represents Ti or Ru. While the YIG/Ti \nbilayer displayed a negligible SP -FMR signal, the YIG/Pt/Ti structure exhibited a significantly stronger \nsignal attributed to the orbital Hall effect of Ti. Substituting the Ti layer with Ru revealed a similar \nphenomenon, wherein the effect is ascribed to the combined action of both spin and orbital Hall effects. \nFurthermore, we measured the SP -FMR signal in the YIG/Pt(2)/Ru(6)/Ti(6) and YIG/Pt(2)/Ti(6)/R u(6) \nheterostructures by just altering the stack order of Ti and Ru layers, where the peak value of the spin \npumping signal is larger for the first sample. To verify the influence on the oxidation of Ti and Ru films, \nwe studied a series of thin films subje cted to controlled and natural oxidation. As Cu and CuO x is a system \nthat is already known to be highly influenced by oxidation, this metal was chosen to carry out this study. \nWe investigated these samples using SP -FMR in YIG/Pt(2)/CuO x(tCu) and X -ray abso rption spectroscopy \nand concluded that samples with natural oxidation of Cu exhibit more significant results than those when \nthe CuO x is obtained by reactive sputtering. In particular, samples where the Cu layer is naturally oxidized \nexhibit a Cu 2O-rich ph ase. Our findings help to elucidate the mechanisms underlying the inverse orbital \nHall and inverse orbital Rashba -Edelstein -like effects. These insights indeed contribute to the advancement \nof devices that re ly on orbital -charge conversion . \nKEYWORDS: orbit ronics, spintronics, orbital Hall effect, spin Hall effect, condensed matter physics . \n \n \n \n \n \n \n \n \n2 \n 1. INTRODUCTION \n \nThe spin Hall effect (SHE) results in a spin current perpendicular to the direction of a charge current in \nmaterials characterized by st rong spin-orbit coupling (SOC).1-3 Heavy metal films , such as Pt, Pd, W and \nTa have frequently served as primary source s or detectors of spin current due to their strong SOC.4,5 On the \nother hand, lighter elements like Ti and Cu, traditionally considered secondary in spintronics research, are \nnow widely used to study spin -orbitronic s phenomena at the nanoscale.6,7 Hence, interfaces play an \nimportant role in both research and applications related to spin currents. Various techniques, including spin \npumping (SP) and spin tor que ferromagnetic resonance (ST FMR) , are employed to generate and inject spin \ncurrents across interfaces between magnetic and non -magnetic (NM) materials. SP involves the transfer of \nangular momentum, while STFMR uses the spin-polarized cu rrent to excite ferromagnetic resonance \n(FMR) in a material. SP, driven either by ferromagnetic resonance ( SP-FMR) or by the application of a \ntemperature gradient along a magnetic material ( known as the spin Seebeck effect (SSE )), has emerged as \none of th e most employed methods to inject pure spin current into a material . While in SP-FMR the spin \ncurrent injection arises from the coherent precession of the magnetization ,8,9 in SSE it occurs through the \ngeneration of a magnon current propagating along the thermal gradient .10,11 \n Over the past decade, spintronics has predominantly focused on injecting spin current into magnets \nfor non -volatile memory applications , relying on the spin -orbit torque, which is limited to the use of \nmaterials with strong SOC . However , recent theoretical predictions and experimental discoveries have \nrevealed the potential for orbital angular momentum (OAM) flow perpendicular to a charge current.12-17 \nThis phenomenon, known as the orbital Hall effect (OHE), is regarded more fundamental than the SHE, as \nit manifests itself independently of the SOC, distinguishing it from the SHE, which arises from the coupling \nbetween the orbital (L) and spin (S) angular momenta.14 Consequently, there is an opportunity to integra te \norbital effects with spin effects to increase the efficiency and cost -effectiveness of various spintronic \ndevices, including non -volatile magnetic memories, magnetic sensors, nanoscale microwave sources, and \nother nanodevices. Such advances could contri bute significantly to the evolution of the microelectronics \nand information technology sector .18-20 \nThe OHE, intrinsic to any material with finite electron angular momentum, is an inherent property. \nTheoretical estimations indicate that the values for the orbital Hall conductivity (𝜎𝑂𝐻) are notably larger \nthan those of spin Hall conductivity (𝜎𝑆𝐻).13,21 As a result, both the orbital Hall effect and its inverse \ncounterpart, the inverse orbital Hall effect (IOHE), exhibit stronger effects compared to the intrinsic spin \nHall effect and its inverse, the inverse spin Hall effect (ISHE). Like SHE, the orbital counterpart comprises \ncontributions from bot h bulk states (OHE) and surface states, denoted by the Orbital Rashba -Edelstein -like \nEffect (OREE -like), each governed by distinct underlying mechanisms . Although many theoretical studies \nhave explored OHE and OREE, along with their inverse effects,15-26 their generation and experimental \ndetection have only been achieved recently. 27-39 Despite their identification and investigation, the controlled \ninjection and accurate detection of orbital currents, specifically the flow of OAM on a nanometric scale, \npose significant challenges. Spin currents and orbital cu rrents exhibit a fundamental distinction: while spin \ncurrent directly transfer torque to magnetization, orbital current does not have this ability. 3 \n \nFigure 1. Schematic representation of the fabrication process and magnetic heterostructure measurement, illustrating \n(a) Liquid Phase Epitaxy (LPE) and (b) DC sputtering. High -quality YIG films are grown on GGG substrates using \nthe LPE technique. Subsequently, the samples are cut to appropriate dimensions and transferred to the deposition \nchamber. DC sputtering involves injecting Ar gas into a high vacuum chamber, that is ionized and guided by a \nmagnetic field to the target. Ar+ ions collide with the target materia l (e.g., Pt, Ti, Ru, or Cu), thus injecting atoms that \nare then deposited onto the substrate. In (c), the SP -FMR process is illustrated, wherein the YIG magnetization \nundergoes precession induced by an RF field. This precessing magnetization pumps a spin c urrent that diffuses into \nthe upper layer, subsequently converted into a DC signal via Inverse Spin Hall Effect (ISHE). \n \nConsequently, investigation of orbital transfer torque for magnetization, especially with regard to the \nchallenging role of natural Cu oxidation in OREE, requires further exploration. \n \n 2. RESULTS AND DISCUSSION \n \nIn this work, we report experimental findings on the manifestation of IOHE and the Inverse Orbital \nRashba -Edelstein -like Effect (IOREE) in YIG/Pt/NM1/NM2 type heterostructures, where NM1 and NM2 \nrepresent Ti or Ru. The samples used in our study start as simple bilayers until they reach multilayers, \nwhere the order and number of layers vary. In the end, we investigated the role played by a CuO x overlayer, \nwhere the p-d hybridizat ion between Cu and O was investigated by X -ray absorption spectroscopy. The \nYIG films were grown by means of the Liquid Phase Epitaxy (LPE) technique on (111) -oriented Gd 3Ga5O12 \n(GGG) substrates , as illustrated in Figure 1(a) . This technique ensur es the fabrication of films characterized \nby exceptional quality and stability. After being grown via LPE, the YIG films were stored in a low -\nhumidity cabinet. YIG stands out as one of the most stable magnetic insulators known to date. The \nsubsequent metal layers were grown by means of the DC magnetron sputtering technique at room \ntemperature under a working pressure of 2.8 × 10-3 Torr and a base pressure of 1.7 × 10-7 Torr or lower, \nwhich is illustrated in Figure 1(b). We began the investigation by characteriz ing the SP -FMR process in \nsimple bilayers of YIG/NM1, where the NM1 layers consisted of bare films of the materials Pt, Ti, and Ru. \nSubsequently , we studied the interplay between spin, orbital and charge currents, in YIG/Pt(2)/NM2 \nheterostructures , where NM2 consisted of Ti or Ru. We further explored th is phenomenon by stacking \n4 \n layers of Ru and Ti layers on t op of YIG/Pt(2) bilayer, experimenting with different stacking order. Finally, \nwe explore the influence of a CuO x capping layer on the previou sly investigated phenomenon. CuO x was \ngenerated through two distinct processes: (i) natural oxidation of sputtered Cu layers after exposure to air, \nor (ii) reactive sputtering in which Cu films are deposited in the presence of oxygen gas . Detailed \ndescript ions of the SP -FMR and LSSE techniques as well as the measurement of the additional \nferromagnetic damping due to the presence of the metal layer are available in the supporting information. \nFurthermore , other experimental det ails can be found in Reference (33) , as identical setups were employed \nin this work. \n \n 2.1. SP -FMR and LSSE in YIG/NM1 and YIG/Pt(2)/NM2 \n \nThe inset in Figure 2(a) illustrates the experimental scheme of SP -FMR measurements. The \nmagnetization 𝑀⃗⃗ of the YIG layer remains fixed by the application of a dc magnetic field 𝐻⃗⃗ . When \nsubjected to a perpendicular low magnitude 𝑟𝑓 magnetic field ℎ⃗ 𝑟𝑓, 𝑀⃗⃗ undergoes oscillations around \nequilibrium and reach es the resonance condition for 𝐻⃗⃗ =𝐻⃗⃗ 𝑟. Under thi s ferromagnetic resonance (FMR) \ncondition, a pure spin pumping occurs across the YIG/Pt interface . This results in the generation of spin \naccumulation that diffuses upward through the Pt layer, causing an imbalance in the spin chemical potential, \n𝜇 𝑆. Consequently, t he strong SOC in Pt leads to an imbalance in the orbital chemical potential, 𝜇 𝐿. This \nconnection between 𝜇 𝐿 and 𝜇 𝑆 is phenomenologically expressed as 𝜇 𝐿=𝛿𝐿𝑆𝐶𝜇 𝑆, where the dimensionless \nconstant 𝐶 represents the strength of this relationship , and 𝛿𝐿𝑆=±1 indicates the SOC signal. This \nphenomenon can also be explained through the simultaneous diffusion currents of spin ( 𝐽 𝑆) and orbital ( 𝐽 𝐿) \nangular momentum, collectively repr esented by the int ertwined spin and orbital current s 𝐽 𝐿𝑆. Experimental \nverification demonstrates that within a NM material, the flow of these currents gives rise to a perpendicular \ncharge current 𝐽 𝐶. This phenomenon can be attributed to the simultaneous operation of tw o mechanisms : \nISHE (spin-related ) and IOHE (orbital -related ). For YIG/NM under FMR condition with NM with strong \nSOC , the total charge current (𝐽 𝐶𝑁𝑀) is expressed as the sum of ISHE induced charge current ( 𝐽 𝑁𝑀𝐼𝑆𝐻𝐸) and \nthe IOHE induced charge current (𝐽 𝑁𝑀𝐼𝑂𝐻𝐸), 𝐽 𝐶𝑁𝑀=𝐽 𝑁𝑀𝐼𝑆𝐻𝐸+𝐽 𝑁𝑀𝐼𝑂𝐻𝐸. This means that spin propagation is \ninvariably accompanied by the flow of orbital angular momentum, particularly evident in materials that \nexhibit strong SOC . The spin -related contribution is given by 𝐽 𝑁𝑀𝐼𝑆𝐻𝐸=𝜃𝑆𝐻𝑁𝑀(𝜎̂𝑆×𝐽 𝑆𝑁𝑀), where 𝜎̂𝑆 is the spin \npolarization determined by the external magnetic field 𝐻⃗⃗ , and 𝜃𝑆𝐻𝑁𝑀 is the spin Hall angle of the NM layer . \nHere , the spin current to charge current c onversion occurs through SOC -induced scattering mechanisms.2,3 \nSimilarly , the orbital -related contribution is expressed by 𝐽 𝑁𝑀𝐼𝑂𝐻𝐸=𝜃𝑂𝐻𝑁𝑀(𝜎̂𝐿×𝐽 𝐿𝑁𝑀), where 𝜎̂𝐿 represents \nthe orbital polarization , and 𝜃𝑂𝐻𝑁𝑀 stands for the orbital Hall angle of the NM layer . Materials with positive \n𝜃𝑆𝐻 exhibit a spin polarization 𝜎̂𝑆 parallel to the orbital polarization 𝜎̂𝐿, i.e., (𝐿⃗ ∙𝑆 )>0. On the other hand, \nmaterials with negative 𝜃𝑆𝐻 present an antiparallel alignment between the spin polarization 𝜎̂𝑆 and the \norbital polarization 𝜎̂𝐿, i.e., (𝐿⃗ ∙𝑆 )<0. The mechanism of orbital -charge conversion occurs via space -5 \n momentum orbital texture, emerging from both the bulk of the materials and in systems exhibiting a broken \ninversion symmetry with a Ras hba-type conve rsion.14 The efficiency of the spin -charge and orbital -charge \nconversion is represented by 𝜃𝑆𝐻𝑁𝑀 and 𝜃𝑂𝐻𝑁𝑀, defined from spin Hall conductivity 𝜎𝑆𝐻, orbital Hall \nconductivity 𝜎𝑂𝐻, and the electrical conductivity 𝜎𝑒, by 𝜃𝑆𝐻𝑁𝑀=(2𝑒ℏ⁄)𝜎𝑆𝐻𝑁𝑀/𝜎𝑒𝑁𝑀 and 𝜃𝑂𝐻=\n(2𝑒ℏ⁄)𝜎𝑂𝐻𝑁𝑀/𝜎𝑒𝑁𝑀. Note that the resulting charge currents, generated by ISHE and IOHE, can increase or \ndecrease based on the specific values of the orbital and spin Hall conductivities. In fact, the interplay \nbetween the spin, orbital and charge current s depend s on the role played by the SOC and the spin, orbital \nand charge conductivities. Expressed phenomenologically, the SP -FMR signals generated in YIG/NM \nstructures can be understood through two conversion channels: (i ) spin -to-charge, attributed to ISHE, where \n𝐽𝐶𝐼𝑆𝐻𝐸=(2𝑒/ℏ)(𝜎𝑆𝐻/𝜎𝑒)𝐽𝑆, which strongly relies on the presence of SOC, and on the ratio 𝜎𝑆𝐻/𝜎𝑒. (ii) \norbital -to-charge, due to IOHE, where 𝐽𝐶𝐼𝑂𝐻𝐸=(2𝑒/ℏ)(𝜎𝑂𝐻/𝜎𝑒)𝐽𝐿, which is independen t of SOC but \ndepends on the existence of an orbital -texture (whether in bulk or surface) and the ratio 𝜎𝑂𝐻/𝜎𝑒. In the case \nof (ii), the intensity of 𝐽𝐿 can be experimentally controlled as discussed in Figure 3. The additional m agnetic \ndamping attrib uted to presence of a top layer of a material with strong 𝜎𝑂𝐻, like Ti, on YIG/Pt(2) is \nnegligible and is discussed in Supporting Information S 1. \nLet’s begin examining spin -charge conversion in YIG/NM 1, where NM 1 represents Pt, Ti, or Ru. \nPt exhibits large SOC and 𝜎𝑆𝐻𝑃𝑡~2212 (ℏ/𝑒)(Ω∙cm)−1, 𝜎𝑂𝐻𝑃𝑡~144 (ℏ/𝑒)(Ω∙cm)−1,21 resulting in a \nnegligible IOHE when compared to ISHE. On the other hand, Ti has negligible SOC and 𝜎𝑆𝐻𝑇𝑖~−\n17 (ℏ/𝑒)(Ω∙cm)−1, 𝜎𝑂𝐻𝑇𝑖~4304 (ℏ/𝑒)(Ω∙cm)−1,21 resulting in a negligible ISHE signal. The comparison \nbetween ISHE signals generated by Pt and Ti can be seen in Figures 2(a) and 2(b). The ratio between the \nISHE signals generated in YIG/Pt(4) and YIG/Ti(4) is given by (𝐼𝑌𝐼𝐺/𝑃𝑡𝐼𝑆𝐻𝐸𝐼𝑌𝐼𝐺/𝑇𝑖𝐼𝑆𝐻𝐸⁄)∙(𝑟𝑓𝑇𝑖𝑟𝑓𝑃𝑡⁄)≈−2×\n103. Here we considered the different 𝑟𝑓 powers used to excite the SP -FMR signals. We also explored the \nconversion of spin and orbital current s to charge current in YIG/Ru, which deserves a more detailed \nexplan ation. It has been predicted,21 that Ru has 𝜎𝑆𝐻𝑅𝑢~135 (ℏ/𝑒)(Ω∙cm)−1, 𝜎𝑂𝐻𝑅𝑢~5545 (ℏ/𝑒)(Ω∙cm)−1,21 \nalong with a SOC strength for Ru estimated to be one -third of that of Pt.40 In this scenario, the SP -FMR \nsignal observed in YIG/Ru can be explained by two channels. In the first channel, the spin current injected \ninto Ru is converted into charge current. However, owing to th e weak spin conductivity of Ru the resulting \nISHE signal is negligible. In a second channel, the substantial SOC in Ru leads to induction of orbital states \nby spin states in Ru, where 𝐽 𝐿𝑅𝑢∝𝛿𝐿𝑆𝐶𝐽 𝑆𝑅𝑢. Consequently, the resulting SP -FMR signal in Ru can be \nexpressed by 𝐽 𝑅𝑢𝑆𝑃−𝐹𝑀𝑅=𝐽 𝑅𝑢𝐼𝑆𝐻𝐸+𝐽 𝑅𝑢𝐼𝑂𝐻𝐸 (where 𝐽 𝑅𝑢𝐼𝑆𝐻𝐸≪𝐽 𝑅𝑢𝐼𝑂𝐻𝐸) , given that the SOC scattering of Ru shares \nthe same polarity as in Pt. Figure 2(c) shows the SP -FMR signal for YIG/Ru(4), measured for 𝜙=0° (blue \nsymbols) and 𝜙=180° (red symbols), with an 𝑟𝑓 power of 15 mW. Comparatively, the SP -FMR signal \nin YIG/Ru(4) is lower than the signal generated in YIG/Pt(4) and exhibits the same polarity. Figure 2(d) \nshows a comparison between the SP -FMR signals for YIG/Ti(4) (red symbols), YIG/Ru(4) (black symbols) \nand YIG/Pt(4) (green symbols) for an 𝑟𝑓 power of 15 mW at 𝜙=0°. Note that the SP -FMR signal of \nYIG/Pt(4) is much larger than the SP -FMR signal of YIG/Ti(4). Therefore, SP-FMR measurements in \nYIG/NM 1 provide important information about the SOC. From our experimental results, we can state that 6 \n \nFigure 2. SP-FMR signals: (a) charge c urrent measured in YIG/Pt(4), using an 𝑟𝑓 power of 15 mW . The inset \nillustrates the SP -FMR technique where a pure spin current ( 𝐽 𝑆) is injected into Pt. The SOC of Pt creates a transversal \ncharge current that is majority given by 𝐽 𝐶=𝜃𝑆𝐻𝑃𝑡(𝜎̂𝑆×𝐽 𝑆), since 𝜎𝑆𝐻𝑃𝑡≫𝜎𝑂𝐻𝑃𝑡. (b) Charge current measured in \nYIG/Ti(4), employing an 𝑟𝑓 power of 116 mW. Considering that the 𝑟𝑓 excitation power are different, \n(𝐼𝑌𝐼𝐺/𝑃𝑡𝐼𝑆𝐻𝐸𝐼𝑌𝐼𝐺/𝑇𝑖𝐼𝑆𝐻𝐸⁄)∙(𝑟𝑓𝑇𝑖𝑟𝑓𝑃𝑡⁄)≈−2×103. Observe that 𝜎𝑆𝐻𝑇𝑖 and 𝜎𝑆𝐻𝑃𝑡 have opposite polarity, where positive ISHE \ncurrent for Pt and the negative ISHE current for Ti, is measured for 𝜙=0°. (c) SP -FMR charge current measured in \nYIG/Ru(4). In (d) we present a comparison of SP -FMR signals for YIG/Ti(4) (red symbols), YIG/Ru(4) (black \nsymbols) and YIG/Pt(2) (green symbols), using an 𝑟𝑓 power of 15 mW. \n \n \nPt has a strong SOC, Ru has an intermediate SOC, and Ti has a negligible SOC. Furthermore , a subtle \nasymmetry is observed in the signal corresponding to the YIG resonance. This asymmetry suggests that \nexcessive RF power was employed to drive the FMR condition, leading to the onset of no nlinear effects in \nthe YIG. Given the minor nature of the asymmetry , we used the Lorentzian curve equation, 𝐼𝑆𝑃−𝐹𝑀𝑅=\n(𝐼𝑠∆𝐻2)/((𝐻−𝐻𝑟 )2+∆𝐻2) to adjust the experimental data. Here, 𝐼𝑠 is the signal amplitude, 𝐻𝑟 is the \nresonance field, and ∆𝐻 is the FMR line width. \nOur experimental scheme has the advantage of injecting orbital current into the NM 2 layer in a \ncontrolled way . This process occurs when the intertwined spin and orbital current, generated within Pt \nreaches the Pt/NM 2 interface, thus injecting both currents into the NM 2 layer . Note that the 2 nm Pt layer \nthickness is thin enough to allow the transit of the intertwined spin and orbital currents to the Pt/NM \ninterface. Consequently, we observed a remarkable result in the measured SP -FMR and LSSE signals when \nwe added a NM 2 layer on top of the YIG/Pt(2) . In Figure 3 several noticeable features emerge: (i) The \norbital current injected into NM 2 is converted into a transversal charge current via the IOHE, analogous to \nthe ISH E, expressed as 𝐽 𝐶𝑁𝑀=𝜃𝑂𝐻𝑁𝑀(𝜎̂𝐿×𝐽 𝐿𝑁𝑀), where 𝜃𝑂𝐻𝑁𝑀=(2𝑒/ℏ)(𝜎𝑂𝐻𝑁𝑀/𝜎𝑁𝑀) and 𝐽 𝐿𝑁𝑀 is the orbital \n7 \n \n \nFigure 3. (a) Comparison of SP -FMR signals among YIG/Ti( 4) (red symbols), YIG/Ru(4) (black symbols), YIG/Pt(2) \n(green symbols), YIG/Pt(2)/Ru(4) (orange symbols) and YIG/Pt(2)/Ti(4) (blue symbols). Lorentzian curve fits \ndemonstrate enhanced SP -FMR signals attributed to orbital -charge conversion by IOHE in Ti and IOHE in Ru. (b) \nThe peak values SP-FMR measurements for YIG/Pt(2)/Ti( 𝑡𝑇𝑖) (blue symbols) and YIG/Pt(2)/Ru( 𝑡𝑅𝑢) (red symbols) \nfor 𝜙=0° and 𝜙= 180° , using 15 mW 𝑟𝑓 power. The inset represents the heterostructure used to inject orbital \ncurrent in NM2 from the FMR condition. (c) LSSE curves for YIG/Ti(4) (red symbols), YIG/Ru(4) (black symbols), \nYIG/Pt(2) (green symbols), YIG/Pt(2)/Ru(4) (orange symbols) and YIG/Pt(2)/Ti(4) (blue symbols). Notably, \nYIG/Ti(2) exhibited no measurable LSSE signal. (d) ∆𝐼𝐿𝑆𝑆𝐸 as a function of the thickness of the Ti or Ru layer for \n∆𝑇=10𝐾. Blue symbols are the data for NM2=Ti and the red symbols for NM2=Ru. The theoretical adjustment is \ngiven by 𝛥𝐼𝐿𝑆𝑆𝐸=𝐴𝑡𝑎𝑛ℎ(𝑡𝑁𝑀/2𝜆𝐿). ∆𝐼𝐿𝑆𝑆𝐸 is defined as the d ifference between the values measured at the \nmagnetization saturation condition. The inset represents the heterostructure to inject orbital current in NM2 from the \nthermal flow of magnons from YIG. \n \n \ncurrent injected across the Pt/Ti interface. (ii) The resultant SP -FMR signal exhibited a gain of 3.9 -fold (for \nYIG/Pt(2)/Ti(4) (blue symbols)) and 2.5 -fold (for Y IG/Pt(2)/Ru(4) (orange symbols) in comparison to that \nof YIG/Pt(2) (green symbols), as shown by curves in Figure 3(a). (iii) Both the pol arization of orbital and \nspin currents align in the same direction, agreeing with the pred iction of ref.21 It is important to note that \nthe orbital current polarization is established by spin current polarization, which in turn is dictated by the \ndirection of the YIG magnetization oriented parallel to the external applied field. Actually, the blue signal \nand the orange signal of Figure 3(a) represent the effective charge current 𝐽 𝐶𝑒𝑓𝑓in YIG/Pt(2)/NM 2(4), which \nis given by 𝐽 𝐶𝑒𝑓𝑓=𝜃𝑆𝐻𝑃𝑡(𝜎̂𝑆×𝐽 𝑆𝑃𝑡)+𝜃𝑂𝐻𝑁𝑀(𝜎̂𝐿×𝐽 𝐿𝑁𝑀). This effectively illustrates the combined effect of \nISHE of Pt and IOHE of NM 2. \n We then explored the conversion of spin and orbital currents into charge current by varying the \nthickness of the Ti and Ru layers from 0 to 30 nm. In Figure 3 (b), the maximum values of SP -FMR signals \n8 \n are plotted as a function of the NM layer thickness for YIG/Pt(2)/Ti( 𝑡𝑇𝑖) (blue symbols) and \nYIG/Pt(2)/Ru( 𝑡𝑅𝑢) (red symbols). Both signals clearly saturate beyond 12 nm thickness. Comp aring the \nSP-FMR signal values at the saturation region for YIG/Pt(2)/Ti(30) and YIG/Pt(2)/Ru(30) with YIG/Pt(2), \nsignificant gains were observed: 𝐼𝑌𝐼𝐺/𝑃𝑡(2)/𝑇𝑖(30)𝑆𝑃−𝐹𝑀𝑅𝐼𝑌𝐼𝐺/𝑃𝑡(2)𝐼𝑆𝐻𝐸⁄ ≈7.6 and 𝐼𝑌𝐼𝐺/𝑃𝑡(2)/𝑅𝑢(30)𝑆𝑃−𝐹𝑀𝑅𝐼𝑌𝐼𝐺/𝑃𝑡(2)𝐼𝑆𝐻𝐸⁄ ≈6. \nGiven that 𝜎𝑂𝐻>0 for Ti and Ru, the signals generated by IOHE add to the ISHE signal generated in \nYIG/Pt( 2). Furthermore, note that our experimental results show that 𝜎̂𝐿 and 𝜎̂𝑆 are parallel in Pt ( SOC>\n0), which leads to ga ins in the SP -FMR or LSSE signals due to IOHE in Ti or Ru, in 𝜙=0°, and at 𝜙=\n180° , according to the equation: 𝐽 𝐶𝑒𝑓𝑓=𝜃𝑆𝐻𝑃𝑡(𝜎̂𝑆×𝐽 𝑆𝑃𝑡)+𝜃𝑂𝐻𝑁𝑀(𝜎̂𝐿×𝐽 𝐿𝑁𝑀), where 𝜃𝑆𝐻𝑃𝑡>0 and 𝜃𝑂𝐻𝑁𝑀>0, \nconfir ming the theoretical resu lts available in the literature .21 \nThe experimental data of Figures 3(b) and 3(d) were fitted using the equation 𝐼𝑁𝑀𝑆𝑃−𝐹𝑀𝑅=\n𝐴𝑡𝑎𝑛ℎ(𝑡𝑁𝑀/2𝜆𝐿),39 where 𝐴 is a constant, 𝑡𝑁𝑀 is the thickness of the NM 2 material and 𝜆𝐿 represents the \norbital diffusion length . From the adjustment to the experimental data, we found 𝜆𝐿𝑇𝑖=(3.2±0.4) nm and \n𝜆𝐿𝑅𝑢=(3.8±0.6) nm, which are larger than the spin diffusion length in Pt , where 𝜆𝑆𝑃𝑡~1.6 nm, or smaller . \n33 According to,33,41 spin pumping (by SP -FMR or LSSE) in YIG/Pt samples creates a flow of spin angular \nmomentum in Pt, which, due to the strong SOC, is accompanied by a collinear orbital angular momentum \nflow that can be injected in an adjacent NM 2 layer. Although the difference in diffusion lengths be tween \nTi and Ru is small, the significant SOC of Ru compared to Ti could elucidate the larger 𝜆𝐿 of Ru.39,41 This \nmay seem counterintuitive, as SOC typically leads to the dissipation of angular mome ntum. However, as \nindicated in,41 the dissipation of S and L is explained by the parameters 𝜆𝑆 and 𝜆𝐿, respectively, while the \nadditional phenomenological parameter 𝜆𝐿𝑆 describes the non -dissipative exchange between orbital and \nspin angular momentum. Thus, 𝜆𝐿𝑆 effectively expands the spatial range of orbital and spin accumulation. \nTherefore, despite the relatively weaker SOC of Ru compared to Pt, it still outperform that of Ti.40 Thus an \nincreased orbital diffusion length is anticipated in samples with Ru films. However, both mode ls, the \nphenomenological theory39,41 and the orbital diffusion theory,42 need to be confirmed with a first -principles \ntheory t hat explains orbital relaxation . \n To validate the reliability of measurements performed by SP -FMR, we examined the conversion of \nspin and orbital currents to charge current using the lo ngitudinal SSE (LSSE) technique . Figure 3(c) shows \nthe results of the LSSE measurements performed on the following set of samples: YIG/Ti(4), YIG/Ru(4), \nYIG/Pt(2), YIG/Pt(2)/Ru(4), and YIG/Pt(2)/Ti(4) . Similar to the results obtained by the SP -FMR technique, \nenhancements in LSSE signals were observed when adding Ti or Ru over YIG/Pt(2), by applying \ntemperature difference of 10 K between top and bottom sample surface. Figure 3(d) presents the results of \nLSSE measurements as a function of the thickness of the Ti and Ru films. The inset of Figure 2(d) \nschematically shows the LSSE technique, where a temperature gradient ∇⃗⃗ 𝑇 is applied vertically. The Peltier \nmodule in contact with the top surface gives the high temperature, such that the spin current 𝐽 𝑆 is injected \nupward through the YIG/Pt interface, where 𝐽 𝑆→𝐽 𝐶 due to ISHE in Pt . The spin current results from \ndifference in magnon population along the YIG film.11 In the case of thermal SP, the underly ing physics is \nlike the SP -FMR phenomenon. Despite the SP -FMR values for YIG/Pt(2)/Ti( 𝑡𝑇𝑖) consistently surpassing 9 \n those for YIG/Pt(2)/Ru( 𝑡𝑅𝑢), as displayed in Figure 3(b), the SP currents obtained by SSE showed \nequivalent values, regardless of whether the Ti or Ru layer covered the Pt layer. Moreover, it was observed \nthat the LSSE signal for YIG/Ru(4) bilayer is relatively smaller compared to the LSSE observed in \nYIG/Pt(2). It is noteworthy that despite the measurable SP -FMR signal exhibited by the YIG/Ti(4) sample \n(Figure 2(b)), its LSSE signal was negligible and indistinguishable from the background noise (red symbols \nin Figure 3(c)). The difference between the results regarding the conversion of spin and orbital currents to \ncharge current obta ined by SP -FMR and SSE techniques arises from a fundamental contrast between the \ntwo processes. The SP -FMR spin injection relies on the coherent rotation of magnetization at the YIG/Pt \ninterface. On the other hand, spin injection by applying a temperature gradient is intrinsically incoherent \ndue to its thermal nature. As a result, SP -FMR is expected to be more efficient in spin injection compared \nto the LSSE process. \nIntriguingly, our SP -FMR measurements indicate that Ti exhibits a more significant contrib ution than \nRu, which seems to contradict the theoretical results.21 It is important to acknowledge that our samples \ndeviate from ideal single crystalline films, thereby preventing a direct qualitative comparison with \ntheoretical predictions. Also, it is wo rth mention that Ru films have larger electrical conductivity ( 𝜎𝑒) than \nTi films. From the measurement of sheet resistance ( 𝑅𝑠) we found that 𝜎𝑒𝑅𝑢/𝜎𝑒𝑇𝑖~5.5. According to the \ndefinition 𝜃𝑂𝐻=2𝑒/ℏ(𝜎𝑂𝐻/𝜎𝑒), this implies that Ru should exhibits a lower orbital -charge conversion \nefficiency compared to Ti. Lastly, it is crucial to emphasize that our work constitutes an experimental study, \nproviding valuable information to inform and guide future refinements in theoretical investigations. \n \n 2.2. Propagation of orbital currents along multilayer \n \nTo investigate the propagation of orbital current, as well as its conversion to charge current, throughout \nmultilayer systems, we performed SP -FMR and LSSE measurements on heterostructures with varying \nnumber of layers and stack orders. Figure 4(a) shows SP-FMR measurements conducted o n the \nYIG/Pt(2)/Ru(6)/Ti(6) (sample 𝛼) and YIG/Pt(2)/Ti(6)/Ru(6) (sample 𝛽) heterostructures , showing the \nimpact of changing the stack order of Ti and Ru layers. The peak value of the SP -FMR signal is around \n930 nA and 680 nA for samples 𝛼 and 𝛽, respectively . The enhancement in the SP -FMR signal of the \nsample 𝛼 relative to YIG/Pt(2) is about 14 times , while for sample 𝛽 it is about 11 times . Figure 4(b) shows \nthe LSSE signals for sample 𝛼 and sample 𝛽, for a temperature difference between the bottom and top \nsurfaces of 10 K . The obtained LSSE signals exhibited enhance ments , compared to YIG/Pt(2), of \napproximately 5.3 times and 6.4 times for samples 𝛼 and sample 𝛽, respectively. \nOur results reveal that the signals of YIG/Pt(2)/Ti are slightly larger than YIG/Pt(2)/Ru. This small \ndifference must be directly linked to the orbital Hall angle 𝜃𝑂𝐻. Despite Ru exhibiting significant SOC in \ncomparison to Ti, the efficiency of orbital -to-charge conversion within Ti films is higher. The \nheterostructures in the form of YIG/Pt(2)/NM1/NM2 stacks add ed more complexity to the study. In the \ncase of the YIG/Pt(2)/Ru(6)/Ti(6) sample, the observed increase in signals can be attributed to the higher \n𝜃𝑂𝐻 exhibited by Ti compared to Ru. Since the Pt layer facilitates the injection of orbital and spin curre nts 10 \n \nFigure 4 . (a -b) SP -FMR and LSSE signals, respectively, for YIG/Pt(2)/Ru(6)/Ti(6) (black symbols), \nYIG/Pt(2)/Ti(6)/Ru(6) (pink symbols), YIG/Pt(2)/Ti(6) (blue symbols) and YIG/Pt(2)/Ru(6) (orange symbols) \nheterostructures compared with signals for YIG/Pt(2) (green symbols). By just changing the stack order of Ti an d Ru \nlayers, we obtained an expressive gain in the SP -FMR and the LSSE signals. \n \n \nto the adjacent layer, the conversion to charge current is more pronounced within the Ti layer than in Ru. \nOn the other hand, in the YIG/Pt(2)/Ti(6)/Ru(6) sample, the enhan cement is less substantial, mainly due to \nthe weaker spin -orbit coupling (SOC) of Ti, resulting in most of the injection orbital arising only from the \nPt(2) layer. It is crucial to note tha t these explanations are highly qualitative and require theoretical \nelucidation from the first principles. Our experimental findings are expected to stimulate future theoretical \ninvestigations. \nThe findings in this section highlight that orbital currents exhibit the ability to propagate over long \ndistances compared to spin currents. This is supported by the observation that despite employing multiple \nlayers of diverse materials , intended to convert orbital current into charge current, noticeable enhancement \nin the SP -FMR and LSSE signals were consistently observed. \n \n Characterization of oxidized layers and IOREE -like measurements \n \nIt is well known that Ti and Ru form highly stable oxides. Given the absence of a capping layer in the \nYIG/Pt/NM2 samples, it is conceivable that the formation of oxides on Ti and Ru could also impact orbital \ntransport. To gain deeper insights into the influence of metal layer oxidation, we conducted a \ncomprehensi ve study involving a range of thin films subjected to controlled and natural oxidation processes. \nTaking advantage of the well-studied Pt/CuO x interface as a model system to investigate the Rashba -type \norbital effect,27,33 we prepared a series of samples l ike those used in previous sections, including a naturally \noxidized capping layer of copper (Cu). To investigate the optimum thickness of the Cu Ox capping layer , \nwe prepared a series of samples of YIG/Pt(2)/Cu( 𝑡𝐶𝑢) for 0≤𝑡𝐶𝑢≤8 nm. After two days of natural \noxidation, we measured the SP -FMR signal generated by each sample. The averag e peak values, calculated \nbetween the data of 𝜙=0° and 𝜙=180° , are shown in Fig ure 5(a), as a function of the Cu layer thickness. \nAs shown, the sample YIG/Pt( 2)/CuO x(3) exhibited the largest SP -FMR signal with a gain \n11 \n 𝐼𝑌𝐼𝐺/𝑃𝑡(2)/𝐶𝑢𝑂𝑥(3)𝑆𝑃−𝐹𝑀𝑅𝐼𝑌𝐼𝐺/𝑃𝑡(2)𝑆𝑃−𝐹𝑀𝑅≈5.3 ⁄ , in agreement with previously published results .33 It is important to \nmention that the YIG/Pt(2)/Cu( 𝑡𝐶𝑢) samples with 𝑡𝐶𝑢 between 1 and 3 nm have a higher 𝐼𝑆𝑃−𝐹𝑀𝑅𝑃𝑒𝑎𝑘 value \nthan the samples with 𝑡𝐶𝑢>4 nm. This slight decrease can indeed be attributed to the oxygen depletion \ndiffusing into the Cu layer and reaching the Pt/Cu interface , a phenomenon that certainly needs further \ninvestigation. Regarding the role played by the natural oxidation of Ti and Ru in the results shown in Figure \n3, it is evident that both SP-FMR and LSSE signals are predominantly influenced by bulk effects . If these \nsignals were dependent on the surface oxidation, we would expect to observe in thin regime of Ti and Ru, \na different dependence than that shown in Figure 3 . Additionally , Figure 5(b) shows the sheet resistance \n(𝑅𝑆) measurement of the sample Si/Cu(3) left to naturally oxidize. These measurements were performed \nautomatically at half-hour intervals. We observe that naturally oxidized Cu films retain metallic \ncharacteristics, maintaining finite electrical continuity. Th is is evident even in thinner Cu layer s with \nthickness of 3nm, that ensures complete oxygen penetration throughout the Cu thickness. We observed a \nsharp increase in electrical resistance from 100 to 120 ohms within the initial 5 hours and then slowly \nincreases to 14 0 Ohms over the subsequent 48 hours. \nTo evaluate the depth of the oxidation process in the Cu layer, we investigated the cross -section of the \nGGG/ Cu/P t samples using transmission electron microscopy (TEM) and atomic resolution energy -\ndispersive x -ray spectroscopy (EDS). Fig ure 5(c) shows the typical cross -section EDS mapping analysis of \nCu films after naturally oxidation for two days. The result shows the atomic distributions of the atoms: \ngadolinium (Gd) and gallium (Ga) (present in the GGG substrate), copper (Cu), oxygen (O), platinum (Pt) \nand gold (Au). Note that Pt and Au (on top) come from protective layers grown after the Cu oxidation \nprocess and that were necessary for the procedure of cross -section lamella preparation using Focused Ion \nBeam (FIB) technology. The atomic percentage of each layer was confirmed by EDS line profile as shown \nin Fig ure 5(d). Scanning was done along the yellow arrow in F igure 5(c) and shows the atomic distribution \npercentages of the elements Cu and O in typical samples investigated. The TEM and EDS results confirmed \nthe existence of an oxidation layer on the surface of the Cu films, as these reveal a substantial presence of \nO in the surface laye r of Cu, which can be up to ~10 nm wide. The Figure 5(d) guarantees the presence of \noxygen even for thicknesses greater than 3 nm, which can be directly associated with Figure 5(a), that is, \nfor all Cu thicknesses we used there was a considerable presence of oxygen throughout the Cu thickness. 12 \n \nFigure 5. (a) Average values of the SP-FMR peak signal for YIG/Pt(2)/Cu( 𝑡𝐶𝑢), as function of the Cu layer thickness, \nmeasured after naturally oxidation for two days. The dashed line is just a guide for the eye. (b) sho ws the sheet \nresistance ( 𝑅𝑆) of a Si/Cu(3) as a function of the oxidation time. (c) Typical cross -section EDS mapping analysis of \nCu films after naturally oxidation for two days. The result shows the atomic distributions of the atoms: Ga and Gd \n(present in the GGG substrate), Cu, O, P t and Au. Note that Pt and Au (on top) come from protective layers grown \nafter the Cu oxidation process and that were necessary for the procedure of cross -section lamella preparation using \nFIB. (d) Line scan taken along the yellow arrow in (c). Atomic dist ribution of the elements Cu and O is illustrated by \ntheir corresponding atomic percentages, displaying a substantial presence of oxygen, with an approximate width of \nup to ~10 nm . \n \n \nThe combined effect of the IOHE and IOREE -like will be presented in Fig ure 7 further , where we \nexplored both SP -FMR and LSSE in a series of samples capped with a CuO x(3) layer. \n \n X-ray absorption spectroscopy \n \nInvolving the transition of a 2p core electron to unoccupied 3d states above the Fermi level, the X -ray \nabsorption L -edge of transition metals is representative of the electronic structure around the valence levels. \nThus, we carried out X -ray absorption spectroscopy (XAS) measurements, at the Cu L 3-edge (around 930 \neV) of Cu -based model samples, to gain more insights into the chemical nature of the oxide formed in \noxidized thin films. The measurements were carried out at the inelastic scattering and photoelectron \nspectroscopy (IPE) beamline of the Sirius light source a t the Brazilia n Synchrotron Light Laboratory,43 \nusing both total electron yield (TEY) and fluorescence yield (FY) acquisition modes. The TEY signal is \nsurface sensitive, probing just a few nanometer s from the surface. The weight of the contribution from each \natomic layer to the TEY spectrum exponentially decreases with depth. On the other hand, the FY mode \n \n13 \n \n \nFigure 6. (a) XAS spectra acquired by FY for three samples with the following structures: Pt/CuO x(3)* (red), \nPt/CuO x(3) (blue) and Pt/Cu(3)/Pt(2) (green). The inset shows a comparison between the SP -FMR signals of \nYIG/Pt(2)/CuO x(3)* (blue) and YIG/Pt(2) (red) for 𝜙=0° and rf power = 15 mW . (b) XAS spectra acquired by TEY \nmode. (c) TEY spectra of naturally oxidized Cu thin films of thicknesses ranging from 1.5 nm to 9.0 nm. \n \n \nprovides a spectrum that is averaged along the full depth of the thin film since the phot on-in/photon -out \nsignal is bulk sensitive. \nFigure 6(a) exhibits the XAS spectra acquired by FY for three samples with the following structures: \nPt/CuO x(3)* (red), Pt/CuO x(3) (blue) and Pt/Cu(3)/Pt (2) (green). While the copper oxide layer of the first \nsample was deposited by applying oxygen with a 5.3% flow ratio into argon gas during the sputtering , the \none of the second sample was naturally oxidized after the deposition of metallic Cu. In its turn, the third \nsample is a reference metallic stack with a Pt capping layer deposited on Cu to prevent oxidation. The \nspectrum of this reference sample is consistent with that found in the literature for the L 3-edge of metallic \ncopper,44 presenting two characteristic features around 933 and 938 eV (green dashed l ine in Figure 6(a)). \nIt is well -known that CuO (Cu2+) shows a strong peak placed around 2 eV bellow the Cu0 metal edge (red \ndashed line), and Cu 2O (Cu1+) exhibits a peak around 1 eV above it (blue dashed line) . Therefore, it is clear \nin Figure 5(a) that th e sample grown by reactive sputtering is highly oxidized, the spectrum being dominated \nby CuO. On the other hand, the naturally oxidized Cu film spectrum seems to exhibit a combination of \npartially oxidized and metallic copper, appearing features from both CuO, Cu 2O, and metallic Cu. The inset \nof Figure 6 (a) shows a comparison between the SP -FMR signals of YIG/Pt(2)/CuO x(3)* and YIG/Pt(2). \nNote that there is no significant gain in the signal when adding CuO x(3)*. According to ref.,26 the OREE \narises from the 𝑝𝑑 hybridization between Cu and O valence orbitals that leads to a momentum space OAM \ntexture in partially oxidized Cu interfaces. \nThe XAS spectra acquired by TEY mode are shown in Figure 6(b). In the case of the sample grown \nby reactive sputtering (red), the CuO main peak appears much weaker than in the FY spectrum. This \ndiscrepancy is due to radiation damage, as the X -ray incidence under high vacuum pressure induces a \nreduction in the oxidation state. We observe that this effect takes place in the same timescale of the spectrum \nacquisition and is more remarkable in the TEY spectrum. Thus, the oxide reduction diffuses from the \n14 \n surface to the bulk. In contrast, although the spectrum of the naturally oxidized Cu film (blue) is domin ated \nby Cu 2O, it presents a slightly higher CuO peak in the TEY spectrum. This suggests that the oxidation in \nambient atmosphere leads to a gradual decrease of the oxygen content from the surface to the bulk. We \nfurther investigated the depth profile of th e natural oxide through the measurement of samples with different \nthicknesses. \nFigure 6(c) presents the TEY spectra of naturally oxidized Cu thin films of thic knesses ranging from \n1.5 to 9.0 nm. Although the spectra are dominated by Cu 2O and present a small peak addressed to CuO, the \nfeatures arising from metallic Cu (green dashed line) are stronger for thicker samples. This result \ncorroborates the presence of an oxidation gradient along the Cu film. While the samples with thicknesses \nof 1.5 and 3.0 nm appear to exhibit a partially oxidized state of Cu throughout their thicknes s, the samples \nwith 6.0 and 9.0 nm seem to maintain a more metallic Cu state in the region closer to the Pt/Cu interface, \nas we represent in the inset of Figure 6(c). The presence of metallic Cu layers may be responsible for the \nreduction in SP-FMR signals for 𝑡𝐶𝑢>3 nm due to deviations of the orbital current along the metallic Cu \nlayer. It is important to mention that a deeper investigation of the electronic stru cture of partially oxidized \nCu thin films is needed to unequivocally determine the mechanism behind the enhancement of the orbital \ntransport in these samples . Nevertheless, it is noteworthy that the proposed picture is in accordance with \nthe SP -FMR results shown in Figure 5(a). \n \n SP-FMR and LSSE in YIG/Pt(2)/NM2/CuO x(3) \n \nWe decided to investigate the SP -FMR and LSSE signals in YIG/Pt(2)/NM2(4)/CuO x(3) \nheterostructures to analyze the behavior of orbital currents along the NM2 layer and the orbital -charge \nconversion. Figure 7(a) and Figure 7(b), exhibit a comparison of SP -FMR signals between YIG/Pt(2), \nYIG/Pt(2)/NM2, and YIG/Pt(2)/NM2/CuO x(3). When co mparing the SP -FMR signals obtained from \nYIG/Pt(2)/ Ti(4)/CuO x(3) and YIG/Pt(2)/ Ru(4)/CuO x(3) with those from YIG/Pt(2), significantly higher \ngains of approximately 10.0-fold and 14.0-fold, are respectively observed. Notably, the introduction of a \nCuO x capping layer results in an enhancement of the SP -FMR signals , compared to the samples lacking \nCuO x coverage, with ratios of 𝐼𝑌𝐼𝐺/𝑃𝑡(2)/𝑇𝑖(4)/𝐶𝑢𝑂𝑥(3)𝑆𝑃−𝐹𝑀𝑅/𝐼𝑌𝐼𝐺/𝑃𝑡(2)/𝑇𝑖(4)𝑆𝑃−𝐹𝑀𝑅≈3.7 and 𝐼𝑌𝐼𝐺/𝑃𝑡(2)/𝑅𝑢(4)/𝐶𝑢𝑂𝑥(3)𝑆𝑃−𝐹𝑀𝑅 /\n𝐼𝑌𝐼𝐺/𝑃𝑡(2)/𝑅𝑢(4)𝑆𝑃−𝐹𝑀𝑅≈6. The substantial enhancement observed in Ru(4)/CuO x(3) can be attributed to the larger \nSOC of Ru in contrast to the negligible SOC of Ti. The remarkable gain observed after the introduction of \nthe CuO x layer arises from the residual orbital current that reaches the NM 2/CuO x interface , where it \nundergoes conversion into an additional charge current by the IOREE -like, generating an extra gain in the \nSP-FMR signal. In this case the effective charge current is given by 𝐽𝐶𝑒𝑓𝑓=𝜃𝑆𝐻𝑃𝑡(𝜎̂𝑆×𝐽 𝑆𝑃𝑡)+𝜃𝑂𝐻𝑁𝑀(𝜎̂𝐿×\n𝐽 𝐿𝑁𝑀)+𝐽 𝐶𝑢𝑂𝑥𝐼𝑂𝑅𝐸𝐸, with 𝐽 𝐶𝑢𝑂𝑥𝐼𝑂𝑅𝐸𝐸=𝜆𝐼𝑂𝑅𝐸𝐸(𝑧̂×𝛿𝐿⃗ ), where 𝜆𝐼𝑂𝑅𝐸𝐸 is the efficiency orbital -charge conversion \nby Rashba -like states, and 𝛿𝐿⃗ represents the non -equilibrium orbital density caused by orbital injection in \nNM/CuO x interface. The same effect of increasing the resultant charge current by combining of IOHE and \nIOREE -like, was observed by measuring the LSSE signal generated by the thermal -driven spin pumping 15 \n \n \n \nFigure 7. Panels (a) and (b) show the SP -FMR signals of YIG/Pt(2)/NM2 heterostructures measured with and without \nthe CuO x capping layer, respectively. Panels (c) and (d) depict the LSSE signals of the same heterostructures, \nmeasured with and without the CuO x capping layer, respectively. The observed enhancements of the SP -FMR and \nLSSE signals, in the same heterostructures, u sing the two different techniques, are attributed to the combined effects \nof the ISHE, IOHE and IOREE -like, as discussed in the text. \n \n \neffect. Figure 7(c) and Figure 7(d) show the LSSE signals after capping the YIG/Pt(2)/NM 2 \nheterostructures with a CuO x(3) layer . For a temperature difference between the bottom and top surfaces of \n10 K, the LSSE signals obtained from YIG/Pt(2)/ Ti(4)/CuO x(3) and YIG/Pt(2)/ Ru(4)/CuO x(3) with those \nfrom YIG/Pt(2), showed gains of approximately 5.5-fold and 10.0-fold, respectively. \nAccording to the Figures 5 and 6, oxygen easily penetrates 3nm of Cu. Consequently , the chemical \nstructure of YIG/Pt(2)/Ti and YIG/Pt(2)/Ti/CuO x(3) samples should not show any disparity, since oxygen \noxygen reaches the Ti layers equally in both samples. Furthermore, the monotonic variation of the SP -FMR \nsignals as a function of the Ti layer thickness (see Figures 3 (b) and 3(d)) reveals that the bulk effects \npredominantly influence Ti behavior . \n \n 3. CONCLUSIO NS \n \nIn conclusion, we investigated the effects of IOHE and IOREE on YIG/Pt/NM1 /NM2 and \nYIG/Pt/NM1/ CuO x heterostructures, where NM1 and NM2 represent nanometer thick films of Ti or Ru . \nOur study shows the relevance of bulk IOHE in Ti and Ru films, where in the spin current injected through \n16 \n the YIG/Pt interface undergoes transformation into an intertwined spin and orbital current. The degree of \nentanglement observed depends on the spin -orbit coupling of the material. Interestingly, a s the thickness of \nboth the Ti and Ru layers increased, we observed that the IOHE sign als reached saturation beyond 12 nm \nthickness. Employing a phenomenological analysis, we determined that the orbital diffusion lengths for Ru \nand Ti vary slightly. Furthermore, our experimental characterizations of naturally and reactively oxidized \nCu layers revealed complex structures characterized by d ifferent oxidation states of Cu. Remarkably, \nnaturally oxidized Cu predominantly exhibited the Cu 2O state, while reactively oxidized Cu was domi nated \nby the CuO state. We verified that there is no significant amplification of the signals due to IOREE whe n \nusing reactively oxidized Cu; however, substantial gains were observed with natural oxidized Cu. A notable \nfinding of our study was the remarkab le enhancement of SP -FMR and LSSE signals by more than a 10 -\nfold factor upon addition of a naturally oxidized layer of Cu(3) on top of YIG/Pt(2)/NM2(4) \nheterostructures. This highlights the fundamental role of IORRE in converting orbital currents into charge \ncurrents at the nanometric scale. This work certainly contributes to the advancement of materials physics \nand chemistry in the field of orbitronics by elucidating the intricate interactions among spin, charge and \norbital degrees of freedom. These insights not only promise improvements in the efficiency of existing \nspintronic devices, but also pave the way for the development of new nanoelectronic devi ces that take \nadvantage of orbital current flow. \n \n ASSOCIATED CONTENT \n \nData Availability Statement \n \nThe data that support the findings of this study are available \nfrom the corresponding author upon reasonable request. \n \nSupporting Information \n \nSection S1, analysis of magnetic damping in YIG, YIG/ \nPt and YIG/Pt/Ti heterostructures; Section S2, short \nsummary of the FMR process that we used for the SP - \nFMR measurements (PDF) \n \n AUTHOR INFORMATION \n \nCorresponding Authors \n*Eduardo S. Santos − Departamento de Física, Universidade Federal de Pernambuco, 50670 -901, Recife, \nPernambuco, Brazil. orcid.org/0000 -0002 -1413 -2376 ; Email: edu201088@hotmail .com \n*Antonio Azevedo − Departamento de Física, Universidade Federal de Pernambuco, 50670 -901, Recife, \nPernambuco, Brazil. orcid.org/0000 -0001 -8572 -9877 ; Email: antonio.azevedo@ufpe.br \n \nAuthors 17 \n José E. Abrão - Departamento de Física, Universidade Federal de Pernambuco, 50670 -901, Recife, \nPernambuco, Brazil. orcid.org/0000 -0002 -7463 -1476 ; Email: elias_abrao@hotmail.com \nJefferson L. Costa - Departamento de Física, Universidade Federal de Pernambuco, 50670 -901, Recife, \nPernambuco, Brazil. orcid.org/0009 -0006 -9118 -7190 ; Email: jefferson.limacosta@ufpe.br \nJoão G. S. Santos - Departamento de Física, Universidade Federal de Pernambuco, 50670 -901, Recife, \nPernambuco, Brazil. orcid.org/0000 -0001 -8654 -3564 ; Email: joao.gustavos@ufpe.br \nKacio R. Mello - Departamento de Física, Universidade Federal de Pernambuco, 50670 -901, Recife, \nPernambuco, Brazil. orcid.org/0000 -0003 -1234 -1964 ; Email: kacioreinaldo@gmail.com \nAndriele S. Vieira - Departamento de Física, Universidade Federal de Viços a, 36570 -900, Viçosa, Minas \nGerais, Brazil. orcid.org/0000 -0002 -7473 -4934 ; Email: andriele.vieira@ufv.br \nTulio C. R. Rocha - Laboratório Nacional de Luz Síncrotron (LNLS), Centro Nacional de Pesquisa em \nEnergia e Materiais (CNPEM), 13083 -970, Campinas, São Paulo, Brazil. orcid.org/0000 -0001 -5770 -8366 ; \nEmail: tulio.rocha@lnls.br \nThiago J. A. Mori - Laboratório Nacional de Luz Síncrotron (LNLS), Centro Nacional de Pesquisa em \nEnergia e Materiais (CNPEM), 13083 -970, Campinas, São Paulo, Brazil. orcid.org/0000 -0001 -5340 -3282 ; \nEmail: thiago.mori@lnls.br \nRafael O. R. Cunha - Departamento de Física, Universidade Federal de Viçosa, 36570 -900, Viçosa, Minas \nGerais, Brazil. orcid.org/0000 -0002 -6039 -3892 ; Email: rafael.cunha@ufv.br \nJoaquim B. S. Mendes - Departamento de Física, Universidade Federal de Viçosa, 36570 -900, Viçosa, \nMinas Gerais, Brazil. orcid.org/0000 -0001 -9381 -0448 ; Email: joaquim.mendes@ufv.br \n \n ACKNOWLEDGMENTS \n \nThis research is supported by Conselho Nacional de Desenvolvimento Científico e Tecnológico \n(CNPq), Coordenação de Aperfeiçoamento de Pessoal de Nível Superior (CAPES), Financiadora de \nEstudos e Projetos (FINEP), Fundação de Amparo à Ciência e Tecnologia d o Estado de Pernambuco \n(FACEPE), Universidade Federal de Pernambuco, Multiuser Laboratory Facilities of DF -UFPE, Fundação \nde Amparo à Pesquisa do Estado de Minas Gerais (FAPEMIG) - Rede de Pesquisa em Materiais 2D and \nRede de Nanomagnetismo, and INCT of Sp intronics and Advanced Magnetic Nanostructures (INCT -\nSpinNanoMag), CNPq 406836/2022 -1. This research used the facilities of the Brazilian Synchroton Light \nLaboratory (LNLS) and Brazilian Nanotechnology National Laboratory (LNNano), part of the Brazilian \nCentre for Research in Energy and Materials (CNPEM), a private nonprofit organization under the \nsupervision of the Brazilian Ministry for Science, Technology, and Innovations (MCTI). We thank the IPE \nbeamline of the LNLS/CNPEM for the synchrotron beamtimes ( proposal 20232791), and the \nLNNano/CNPEM for advanced infrastructure and technical support during sample preparation by Focused \nIon Beam (FIB) and measurements by Transmission Electron Microscopy (TEM). The TEM staff is \nacknowledged for their assistance du ring the experiments (Proposals No. 20210467 and 20230795, TEM -\nTitan facility). 18 \n \n REFERENCES \n \n(1) Dyakonov, M. I.; Perel, V.I. Current -induced spin orientation of electrons in semiconductors. Phys. \nLett. A 1971 , 35 (6), 459. \n(2) Hirsch, J. E. Spin Hall Effect. Phys. Rev. Lett . 1999 , 83, 1834. \n(3) Sinova, J.; Valenzuela, S. O.; Wunderlich, J.; Back, C.H.; Jungwirth, T. Spin Hall Effects. Rev. Mod. \nPhys . 2015 , 87, 1213. \n(4) Wang, H.L.; Du, C.H.; Pu, Y.; Adur, R.; Hammel, P.C .; Yang, F.Y. Scaling of Spin Hall Angle in 3d, \n4d, and 5d Metals from Y 3Fe5O12/Metal Spin Pumping. Phys. Rev. Lett . 2014 , 112, 197201. \n(5) Hoffmann, A. Spin Hall effects in metals. IEEE Trans. Magn . 2013 , 49, 5172. \n(6) Rothschild, A.; Am -Shalom, N.; Bern stein, N.; Meron, M.; David, T.; Assouline, B; Frohlich, E.; Xiao, \nJ.; Yan, B.; Capua, A. Generation of spin currents by the orbital Hall effect in Cu and Al and their \nmeasurement by a Ferris -wheel ferromagnetic resonance technique at the wafer level. Phys . Rev. B 2022 , \n106, 144415. \n(7) Lee, S.; Kang, M. -G.; Go, D.; Kim, D.; Kang, J. -H.; Lee, T.; Lee, G. -H.; Kang, J.; Lee, N. J.; \nMokrousov, Y.; Kim, S.; Kim, K. -J.; Lee, K. -J.; Park, B. -G. Efficient conversion of orbital Hall current to \nspin current for spin -orbit torque switching. Com munications Physics 2021 , vol. 4, Article number: 234. \n(8) Tserkovnyak, Y.; Brataas, A.; Bauer, G. E. W. Enhanced Gilbert Damping in Thin Ferromagnetic Films. \nPhys. Rev. Lett . 2002 , 88, 117601. \n(9) Azevedo, A.; Vilela -Leão, L. H.; Rodríguez -Suárez, R. L.; Santos, A. F. L.; Rezende, S. M. Spin \npumping and anisotropic magnetoresistance voltages in magnetic bilayers: Theory and experiment. Phys. \nRev. B 2011 , 83, 144402. \n(10) Uchida, K.; Takahashi, S.; Harii, K.; Ieda, J.; Koshibae, W.; Ando, K.; Maekawa, S.; Saitoh, E. \nObservation of the spin Seebeck effect. Nature 2008 , 455, 778 –781. \n(11) Rezende, S. M.; Rodríguez -Suárez, R. L.; Cunha, R. O.; Rodrigues, A. R.; Machado, F. L . A.; Fonseca \nGuerra, G. A.; Ortiz, J. C. L.; Azevedo, A. Magnon spin current theory for the longitudinal spin -Seebeck \neffect. Phys. Rev. B 2014 , 89, 014416. \n(12) B. A. Bernevig, T. L. Hughes, and S. -C. Zhang. Orbitronics: The Intrinsic Orbital Current in p-Doped \nSilicon. Phys. Rev. Lett . 95, 066601 (2005). \n(13) Kontani, H.; Tanaka, T.; Hirashima, D. S.; Yamada, K.; Inoue, J. Giant Orbital Hall Effect in Transition \nMetals: Origin of Large Spin and Anomalous Hall Effects. Phys. Rev. Lett . 2009 , 102, 016601. \n(14) Go, D.; Jo, D.; Kim, C.; Lee, H. -W. Intrinsic Spin and Orbital Hall Effects from Orbital Texture. Phys. \nRev. Lett . 2018 , 121, 086602. \n(15) Jo, D.; Go, D.; Lee, H. -W. Gigantic intrinsic orbital Hall effects in weakly spin -orbit coupled metals. \nPhys. Re v. B 2018 , 98, 214405. \n(16) Go, D.; Jo, D.; Lee, H. -W.; Kläui, M.; Mokrousov, Y. Orbitronics: Orbital currents in solids. EPL \n2021 , 135 37001. 19 \n (17) Fonseca, D. B.; Pereira, L. L. A.; Barbosa, A. L.R. Orbital Hall effect in mesoscopic devices. Phys. \nRev. B 2023 , 108, 245105. \n(18) Ryu, J.; Lee, S.; Lee, K. -J.; Park, B. -G. Current -induced sp in-orbit torques for spintronic applications. \nAdv. Mater . 2020 , 32, 1907148. \n(19) Hirohata, A.; Yamada, K.; Nakatani, Y.; Prejbeanu, I. -L.; Diény, B.; Pirro, P.; Hillebrands, B. Review \non spintronics: Principles and devi ce applications. J. Magn. Magn. Mater . 2020 , 509, 1667 11. \n(20) Dieny, B.; Prejbeanu, I. L.; Garello, K.; Gambardella, P.; Freitas, P.; Lehndorff, R.; Raberg, W.; Ebels, \nU.; Demokritov, S. O. ; Akerman, J.; Deac, A.; Pirro, P.; Adelmann, C.; Anane, A.; Chumak, A. V. ; \nHirohata, A.; Mangin, S.; Valenzuela, S. O.; Onbaşlı, M. C.; d’Aquino, M.; Prenat, G.; Finocchio, G.; \nLopez -Diaz, L.; Chantrell, R.; Chubykalo -Fesenko, O.; Bortolotti, P. Opportuniti es and challenges for \nspintronics in the microelectronics industry. Nat. Electron . 2020 , 3, 446 –459. \n(21) Go, D.; Lee, H. -W.; Oppeneer, P. M.; Blügel, S.; Mokrousov, Y. First -principles calculation of orbital \nHall effect by Wannier interpolation: Role of orbital dependence of the anomalous position. arXiv 2023 : \n2309.13996v1. \n(22) Park, S. R.; Kim, C. H.; Yu, J.; Han, J. H.; Kim, C. Orbital -Angular -Momentum Based Origin of \nRashba -Type Surface Band Splitting. Phys. Rev. Let t. 2011 , 107, 156803. \n(23) Park, J. -H.; Kim, C. H.; Lee, H. -W.; Han, J. H. Orbital chirality and Rashba interaction in magnetic \nbands. Phys. Rev. B 2013 , 87, 041301(R). \n(24) Park, J. -H.; Kim, C. H.; Rhim, J. -W.; Han, J. H. Orbital Rashba effect and its detection by circular \ndichroism angle -resolved photoemission spectroscopy. Phys. Rev. B 2012 , 85, 195401. \n(25) Go, D.; Jo, D.; Kim, C.; Lee, H. -W. Intrinsic Spin and Orbital H all Effects from Orbital Texture. Phys. \nRev. Lett. 2018 , 121, 086602. \n(26) Go, D.; Jo, D.; Gao, T.; Ando, K.; Blügel, S.; Lee, H. -W.; Mokrousov, Y. Orbital Rashba effect in a \nsurface -oxidized Cu film. Phys. Rev. B 2021 , 103, L121113. \n(27) Ding, S.; Ross, A.; Go, D.; Baldrati, L.; Ren, Z.; Freimuth, F.; Becker, S.; Kammerbauer, F.; Yang, J.; \nJakob, G.; Mokrousov, Y.; Kläui; M. Harnessing Orbital -to-Spin Conversion of Interfacial Orbital Currents \nfor Efficient Spin -Orbit Torques. Phys. Rev. Lett. 2020 , 125, 177201. \n(28) Zheng, Z. C.; Guo, Q. X.; Jo, D.; Go, D.; Wang, L. H.; Chen, H. C.; Yin, W.; Wang, X. M.; Yu, G. \nH.; He, W.; Lee, H. -W.; Teng, J.; Zhu, T. Magnetization switching driven by current -induced torque from \nweakly spin -orbit couple d Zr. Phys. Rev. Research 2 2020 , 013127. \n(29) J. Kim, D. Go, H. Tsai, D. Jo, K. Kondou, H. -W. Lee, and Y. Otani. Nontrivial torque generation by \norbital angular momentum injection in ferromagnetic -metal/Cu/Al2O3 trilayers. Phys. Rev. B 103, \nL020407 (2021) . \n(30) Lee, D.; Go, D.; Park, H. -J.; Jeong, W.; Ko, H. -W.; Yun, D.; Jo, D.; Lee, S.; Go, G.; Oh, J. H.; Kim, \nK.-J.; Park, B. -G.; Min, B. -C.; Koo, H. C.; Lee, H. -W.; Lee, O.; Lee, K. -J. Orbital torque in magnetic \nbilayers. Nat. Commun. 2021 , 12, 6710. 20 \n (31) Ding, S.; Liang, Z.; Go, D.; Yun, C.; Xue, M.; Liu, Z.; Becker, S.; Yang, W.; Du, H.; Wang, C.; Yang, \nY.; Jakob, G.; Kläui, M.; Mokrousov, Y.; Yan, J. Observation of the Orbital Rashba -Edelstein \nMagnetoresistance. Phys. Rev. Lett . 2022 , 128 (6), 067201. \n(32) Hayashi, H.; Jo, D.; Go, D.; Gao, T.; Haku, S.; Mokrousov, Y.; Lee, H. -W.; Ando, K. Observation of \nlong-range orbital transport and giant orbital torque. Communications Physics 2023 , vol. 6, Art. n. 32. \n(33) Santos, E.; Abrão, J. E.; Go, D.; Assis, L. K .; Mokrousov, Y.; Mendes, J. B. S.; Azevedo, A. Inverse \nOrbital Torque via Spin -Orbital Intertwined States. Phys. Rev. Applied 2023 , 19, 014069. \n(34) Choi, Y. -G.; Jo, D.; Ko, K. -H.; Go, D.; Kim, K. -H.; Park, H. G.; Kim, C.; Min, B. -C.; Choi, G. -M.; \nLee, H. -W. Observation of the orbital Hall effect in a light metal Ti. Nature 2023 , vol. 619, 52 –56. \n(35) Seifert, T. S.; Go, D.; Hayashi, H.; Rouzegar, R.; Freimuth, F.; Ando, K.; Mokrousov, Y.; Kampfrath, \nT. Time -domain observation of ballistic orbital -angular -momentum currents with giant relaxation length in \ntungsten. Nat. Nanotechnol . 2023 , 18, 1132 –1138 . \n(36) Wang, P.; Feng, Z.; Yang, Y.; Zhang, D.; Liu, Q.; Xu, Z.; Jia, Z.; Wu, Y.; Yu, G.; Xu, X.; Jiang, Y. \nInverse orbital Hall effect and orbitronic terahertz emission observed in the materials with weak spin -orbit \ncoupling. Npj Quantum Materials 2023 , vol. 8, Art. n. 28. \n(37) Xu, R.; Zhang, H.; Jiang, Y.; Cheng, H.; Xie, Y.; Yao, Y.; Xiong, D.; Zhu, Z.; Ning, X.; Chen, R.; \nHuang, Y.; Xu, S.; Cai, J.; Xu, Y.; Liu, T.; Zhao, W. Giant orbit -to-charge conversion induced via the \ninverse orbital Hall effect. arXiv 2023 : 2308.13144. \n(38) Hayashi, H.; Ando, K. Observation of orbital pumping. arXiv 2023 : 2304.05266. \n(39) Santos, E.; Abrão, J. E.; Vieira, A. S.; Mendes, J. B. S.; Rodríguez -Suárez, R. L.; Azevedo, A. \nExploring orbital -charge conversion mediated by i nterfaces with CuO x through spin -orbital pumping. Phys. \nRev. B 2024 , 109, 014420. \n(40) Tanaka, T.; Kontani, H.; Naito, M.; Naito, T.; Hirashima, D. S.; Yamada, K.; Inoue, J. Intrinsic spin \nHall effect and orbital Hall effect in 4d and 5d transition metals. Phys. Rev. B 2008 , 77, 165117. \n(41) Sala, G.; Gambardella, P. Giant orbital Hall effect and orbital -to-spin conversion in 3d, 5d, and 4f \nmetallic heterostructures. Phys. Rev. Research 4 2022 , 033037. \n(42) Manchon, A.; Pezo, A.; Kim, K. -W.; Lee, K. -J. Orbi tal diffusion, polarization and swapping in \ncentrosymmetric metals. arXiv 2023 : 2310.04763. \n(43) Meyer, B. C.; Rocha, T. C. R.; Luiz, S. A. L.; Pinto, A. C.; Westfahl J. H. Simulation and optimization \nof the SIRIUS IPE soft x -ray beamline. Proceedings of t he SPIE 2017 , vol. 10388, id. 103880D 11 pp. \n(44) Saikova, S.; Vorobyev, S.; Likhatski, M.; Romanchenko, A.; Erenburg, S.; Trubina, S.; Mikhlin, Y. \nX-ray photoelectron, Cu L 3MM Auger and X -ray absorption spectroscopic studies of Cu nanoparticles \nproduced in aqueous solutions: The effect of sample preparation techniques. Applied Surface Science 2012 , \nvol. 258, Issue 20, Pages 8214 -8221. \n \n \n \n \n " }, { "title": "1811.05120v1.Experimental_proof_of_the_reciprocal_relation_between_spin_Peltier_and_spin_Seebeck_effects_in_a_bulk_YIG_Pt_bilayer.pdf", "content": "Experimental proof of the reciprocal relation between spin Peltier\nand spin Seebeck e\u000bects in a bulk YIG/Pt bilayer\nAlessandro Sola(1), Vittorio Basso(1), Michaela Kuepferling(1), Carsten Dubs(2),\nMassimo Pasquale(1)\n(1) Istituto Nazionale di Ricerca Metrologica, Strada delle Cacce 91, 10135, Torino, Italy\n(2) INNOVENT e.V., Technologieentwicklung, Pr ussingstrasse. 27B, 07745 Jena, Germany\nAugust 26, 2021\nAbstract\nWe verify for the \frst time the reciprocal relation\nbetween the spin Peltier and spin Seebeck e\u000bects in\na bulk YIG/Pt bilayer. Both experiments are per-\nformed on the same YIG/Pt device by a setup able\nto accurately determine heat currents and to separate\nthe spin Peltier heat from the Joule heat background.\nThe sample-speci\fc value for the characteristics of\nboth e\u000bects measured on the present YIG/Pt bilayer\nis (6:2\u00060:4)\u000210\u00003KA\u00001. In the paper we also\ndiscuss the relation of both e\u000bects with the intrin-\nsic and extrinsic parameters of YIG and Pt and we\nenvisage possible strategies to optimize spin Peltier\nrefrigeration.\n1 Introduction\nThe reciprocal relations of thermodynamics are a fun-\ndamental tool to analyze and understand the physics\nof transport phenomena [1]. Since the beginning\nof the 19th century it was clear to Jean Charles\nAthanase Peltier and later demonstrated by Lord\nKelvin, that for a material at a given absolute tem-\nperatureTa relation exists between the Seebeck co-\ne\u000ecient\u000f(given by the ratio between the measured\nelectromotive force and the applied temperature dif-\nference) and the Peltier coe\u000ecient \u0005 (the ratio be-\ntween the measured heat current and the applied elec-tric current ): \u0005 = \u000fT[2, 3]. This remarkable reci-\nprocity was later found to be part of a wider set of\nrelations, as theoretically demonstrated by Onsager\nunder the assumption of the reversibility of the micro-\nscopic physical processes governing macroscopic non-\nequilibrium thermodynamic e\u000bects [4].\nReciprocal relations can also be used to analyze\ntransport phenomena which involve not only the\nelectric charge and the heat, but also the spin.\nSpincaloritronic phenomena [5, 6, 7] can provide ad-\nditional tools to the \feld of spintronics, envisioned\nto be a faster and lower energy consuming alterna-\ntive to classical electronics [8]. One of the key build-\ning blocks for \"spintronic circuits\" is the spin bat-\ntery, a device which can drive a spin current into\nan external circuit. Spin batteries are fundamental\nfor spintronic devices and may be developed exploit-\ning spincaloritronic e\u000bects [9]. Spincaloritronic de-\nvices may also be used in the development of novel\nthermoelectric heaters/coolers operating at the mi-\ncroscale [10, 11]. The idea of a reciprocity between\nheat and spin was initially proposed and proven for\nmetals where the spin current is carried by electrons\n[12], but such a reciprocal relation cannot be easily\nproven in the case of ferrimagnetic insulators where\nthe spin current is carried by thermally excited spin\nwaves [13]. A typical device, where spincaloritronic\ne\u000bects are found and can be exploited for experi-\nments, is a bilayer made using a ferrimagnetic in-\niarXiv:1811.05120v1 [cond-mat.mes-hall] 13 Nov 2018sulator (e.g. yttrium iron garnet, YIG) and a non\nmagnetic metal with a strong spin-orbit coupling (e.g.\nplatinum, Pt) [14]. In these devices the spin current\nis generated longitudinally (along the xaxis), normal\nto the \flm surface.\nIn the case of devices which exhibit the spin Peltier\ne\u000bect (SPE) a longitudinal ( xaxis) heat current is\ngenerated, caused by the \row of a transverse ( yaxis)\nelectric current in the Pt layer [13]. Conversely, in\nthe case of the spin Seebeck e\u000bect (SSE) a transverse\n(yaxis) electric voltage is generated in the Pt layer\nand caused by the longitudinal temperature gradient\nparallel to the spin current ( xaxis) [15].\nAlthough experimental evidence of both e\u000bects\nhas been already obtained [16, 17], the quantitative\ndemonstration of their reciprocity, the relation be-\ntween the two e\u000bects and the connection with in-\ntrinsic properties of the layers, has yet to be proven\n[13, 18, 19, 20].\nTo this end here we provide the \frst experimental\nevidence of the reciprocal relation between the ther-\nmal and the electric quantities associated to the SPE\nand the SSE in a bulk YIG/Pt bilayer. The relation\nfor a YIG/Pt bilayer has the following form [21, 22]\n\u0000\u0001TSP;x\nIe;y=\u0001Ve;y\nIq;xT (1)\nThe left hand side of Eq.(1) refers to the SPE: \u0001 TSP;x\nis the temperature di\u000berence generated across the\nYIG layer as consequence of the electric current Ie;y\n\rowing in the Pt \flm. The right hand side of Eq.(1)\nrefers to the SSE: \u0001 Ve;yis the voltage drop across the\nPt \flm caused by the heat current Iq;x\rowing across\nthe device and Tis the average temperature of the\nYIG. The experiments are performed measuring the\nSPE and the SSE on the same YIG/Pt device. The\nexperimental value which represents, within the un-\ncertainty, both the SPE and the SSE response of the\nspeci\fc device is (6 :2\u00060:4)\u000210\u00003KA\u00001.2 Results\n2.1 Device geometry and measure-\nment principle\nThe geometry of the bulk YIG/Pt bilayer is shown in\nFig.1a. The device is composed of a bulk YIG paral-\nlelepiped with a thin \flm of Pt sputter deposited on\none side. The temperature gradient rxTand the\nheat current density jq;xare directed along the x\naxis. The electric voltage \u0001 Ve;yand the electric cur-\nrent density je;yare directed along the yaxis. The\nmagnetic moment current density, jM, is along the x\ndirection and transports magnetic moments directed\nalong thezdirection. The magnetic \feld Hand the\nmagnetization Mof the bulk-YIG are also directed\nalong thezaxis (Fig.1b and c).\nThe measurement setup for both SPE and SSE is\nshown in the sketches of Figs.1c and e. The YIG/Pt\ndevice is sandwiched between two thermal reservoirs\n(held atThandTcrespectively) in order to form a\nclosed thermal circuit. The temperature of the two\nreservoirs can be externally controlled and the two\nheat currents between the device and each of the\nreservoirs are measured simultaneously by sensitive\nheat \rux detectors. The heat \rux technique is cho-\nsen to avoid measurement uncertainties due to the\nhardly reproducible realization of thermal contacts\n[23, 24, 25, 26]. In order to minimize heat leakages\nin the thermal circuit, the whole setup is operated in\nvacuum. Technical, constructional and measurement\ndetails are reported in the Methods section.\n2.2 Spin Peltier e\u000bect\nIn the SPE, an electric current Ie;y\rowing in the\nPt layer generates a magnetic moment current jM\nalong thexdirection as a result of the spin Hall ef-\nfect [27]. The adjacent ferrimagnetic bulk YIG here\nacts as a passive component and shows a longitudi-\nnal (xaxis) heat current associated to the magnetic\nmoment current injection [28, 29, 30]. The measured\nheat current is however also including the Joule heat\ncontribution generated by the electric current \row-\ning in the Pt layer. In order to separate the Joule\nand spin Peltier contributions, their intrinsic di\u000ber-\niiSpin Peltier\nxyz\na)YIG/Pt \nbilayer\nElectrical connection\nLyLz\ntPttYIG\nV VVVoltmeter Heat current \nsensors\nHeat current generatorsSpin Seebeck\n50 mT\nV\nPt YIGΔVe,yHjMVoltmeter\njq50 mTjeHjMElectric current \ngenerator\njq\nPt YIGV VElectric current generator\nHeat current sensorsb) d) f)Pt YIG\nc) e) g)Figure 1: a) Geometry of the YIG/Pt bilayer with tY IG= 0:545 mm,Ly= 4:95 mm,Lz= 3:91 mm and\ntPt= 5 nm. b) and c) schemes of the device in the SPE and SSE con\fgurations. Heat currents and magnetic\nmoment currents are along x(longitudinal direction), electric e\u000bects are along y(transverse direction), the\nmagnetic \feld and the magnetization are along z. d) and e) sketches of the experimental setup for SPE\nand SSE, respectively. f) Experimental result of the SPE heat current, \u0000Iq;SP, as function of the electric\ncurrentIe;yat positive magnetic saturation. g) Experimental result of the SSE voltage \u0001 Ve;yas function of\nthe heat current Iq;xat positive magnetic saturation.\nences have to be exploited: the spin Peltier signal\nincreases linearly with the Ie;ycurrent and changes\nsign when the magnetization (along the zaxis) or the\nIe;yare inverted (odd parity), while Joule heating is\nproportional to I2\ne;yand does not change sign under\nan inversion (even parity) [13, 18].\nThe thermal problem of the SPE can be repre-\nsented by the equivalent circuit of Fig.2 [22] (see also\nSupplementary material). In adiabatic conditions\nthe SPE corresponds to the direct measurement of\n\u0001TSP, the temperature di\u000berence generated between\nthe two faces of the bulk YIG sample. Previous ex-\nperimental work has succeeded to extract \u0001 TSPout\nof the Joule heat component by using an AC tech-\nnique [13, 18]. Here, to test the reciprocal relation\nin a stationary state, we employ a DC technique inwhich we set isothermal conditions at the thermal\nbaths,Th=Tc=T, and measure simultaneously\nthe two heat currents: Iq;candIq;h. The di\u000berence\nof the heat \rux signals Iq;h\u0000Iq;c=Iq;JH provides\nthe Joule heat only (see Fig.3 a), while the half sum\nIq;s= (Iq;h+Iq;c)=2 contains the SPE signal (see\nFig.3 b and c).\nWe \frst detect the SPE generated by setting a con-\nstant electric current (i.e. Ie;y= 40 mA, see Fig.3b,\norange points) and periodically inverting the mag-\nnetic \feld. The half sum signal Iq;shas a change of\n\u00062Iq;SP at each inversion. Equivalently, when the\nsign of the electric current in the Pt \flm is changed\n(i.e.Ie;y= - 40 mA, Fig.3 b, purple points), a sign\ninversion of the change \u00072Iq;SP occurs. The \feld in-\nversion allows to detect the small contribution of the\niiiRYIG\nIq,JHΔTSP\nRPt/2RPt/2 Rcont,c Rcont,hTh TcT2T1T0\nI\nH\nq,JH\nR\nPt\nR\nR\n/2\nR\nPt\nR\nR\n/2\nT\n0\nR\nYIG\nR\nR\nΔ\nT\nSP\nT\n2\nR\nR\ncont,h\nR\nR\n R\ncont,c\nR\nR\nT\n1\nPt YIGIq,c Iq,hFigure 2: Equivalent thermal circuit of the SPE measurements of the YIG/Pt bilayer. The YIG layer is\nrepresented by the SPE generator, \u0001 TSP, and by the thermal resistance RY IG. The Pt layer has a Joule heat\ncurrent source, Iq;JH, and a thermal resistance RPt. The circuit includes two thermal contact resistances\nRcont;c andRcont;h taking into account both the thermal resistance of the contacts and the presence of\nthe heat \rux sensors. The di\u000berence Iq;h\u0000Iq;c=Iq;JH provides the Joule heat. In isothermal conditions,\nwithTh=Tc=T, we have \u0001 TSP=RIq;s+Iq;JH(Rh\u0000R c)=2 whereRh=RPt=2 +RY IG+Rcont;h ,\nRc=Rcont;c +RPt=2,Iq;s= (Iq;h+Iq;c)=2 is the half sum and R=Rh+Rcis the total resistance (see\nSupplementary material).\nspin Peltier heat current (a few \u0016W) superimposed\nto the Joule heating background (a few mW).\nAs a second step we measure the SPE signal Iq;s\nat the constant magnetic \feld \u00160Hs= +50 mT\nwhile the applied current Ie;y=\u000640 mA is period-\nically inverted, Fig.3c, orange points. Conversely\nwhen we apply \u00160Hs= -50 mT and the applied cur-\nrentIe;y=\u000640 mA is inverted, we obtain the curve of\nFig.3c, purple points. The current inversion method\nprovides the same results, within the uncertainty, as\nthe magnetic \feld inversion one, provided one takes\ninto account the presence of small spurious o\u000bset sig-\nnals as discussed in the Methods section. This second\nmethod allows to detect the SPE signal as function\nof the applied magnetic \feld. Therefore it permits\nthe determination of the hysteresis loop of YIG [31]\n(more details about this experiment are reported in\nSupplementary materials.).\nAt the saturating magnetic \feld \u00160Hs= +50 mT,\nby applying di\u000berent values of Ie;yand by deriving\nthe corresponding values of \u0000Iq;SPas shown in Fig.3b\nand c, we are able to obtain the linear relation be-\ntween the SPE heat current and the electric currentdata of Fig.1f . By a linear \ft we \fnd\n\u0000Iq;SP\nIe;y= (5:1\u00060:3)\u000210\u00005WA\u00001(2)\nThe thermal resistance Rof the whole stack consist-\ning of sensors, sample and additional thermal con-\ntacts (e.g. thermal paste or thermally conducting\nlayers) is experimentally measured by setting a heat\ncurrent value and measuring the temperatures of the\ntwo thermal reservoirs by two thermocouples. The re-\nsult isR= (119\u00062) KW\u00001. With \u0001TSP=RIq;SP,\nthe measured spin Peltier coe\u000ecient is\n\u0000\u0001TSP\nIe;y= (6:1\u00060:4)\u000210\u00003KA\u00001(3)\nand since the current density \rowing in the Pt \flm\nisje;y=Ie;y=(tPtLz) withLz= 3:9 mm andtPt=5\nnm we are \fnally able to obtain the intrinsic SPE\ncoe\u000ecient:\n\u0000\u0001TSP\nje;y= (1:19\u00060:08)\u000210\u000013Km2A\u00001:(4)\niv- 6 0- 4 0- 2 0 02 04 06 003 57 01 0 51 4 0Iq , J H( m W )\nE l e c t r i c c u r r e n t ( m A )4 0 m A\n1 0 0 2 0 0 3 0 0 4 0 0 5 0 01 6 9 61 6 9 81 7 0 01 7 0 2\n2 Iq , S P 2 Iq , S P- Ie+ Ie- Ie. . .\n- 4 0 m AIq , s(µW )\nT i m e ( s )+ 5 0 m T - 5 0 m T\na) b) c)1 1 0 0 1 1 5 0 1 2 0 0 1 2 5 0 1 3 0 01 5 4 01 5 4 21 5 4 41 5 4 61 5 4 8Iq , s(µW )\nT i m e ( s )1 7 0 01 7 0 21 7 0 41 7 0 61 7 0 8\n1 5 4 01 5 4 21 5 4 41 5 4 61 5 4 8- Hs+ Hs- Hs. . .Figure 3: Heat current signals measured on the YIG/Pt bilayer during the SPE experiment. a) Joule heat\nsignal given by the di\u000berence Iq;JH =Iq;h\u0000Iq;c. b) SPE by magnetic \feld inversion. Half sum signal\nIq;s= (Iq;h+Iq;c)=2 caused by a rectangular waveform of the magnetic \feld j\u00160Hsj= 50 mT, for two steady\nvalues of electric current ( \u000640 mA, orange/purple). c) SPE by electric current inversion. Iq;scaused by a\nrectangular waveform of the electric current jIe;yj= 40 mA, for two steady values of magnetic \feld ( \u000650\nmT, orange/purple). In both cases the spin Peltier signal Iq;SP is obtained as half of the variation at the\ninversionIq;SP = \u0001Iq;s=2. The variation \u0001 Iq;sis taken at the inversion instant and is computed from the\nextrapolation of the linear \ft taken a few seconds after the inversion. This method permits to avoid the\ncontributions of spurious induced voltage spikes after the inversions. The values reported in Fig.1f) are the\nresult of the average of 10 inversions.\n2.3 Spin Seebeck e\u000bect\nIn the SSE experiment the bulk YIG is the active\nlayer which generates a magnetic moment current\nwhen subjected to a temperature gradient, while the\nPt is the passive layer in which the injected magnetic\nmoment current is converted into a transverse elec-\ntric potential. The SSE signal measured across the\nPt \flm \u0001Ve;ychanges sign when the magnetization\nof the YIG is inverted in sign (odd parity).\nThe measurements of the SSE is performed by set-\nting a value of the heat current Iq;xtraversing the\nYIG/Pt device and measuring the consequent volt-\nage \u0001Ve;yfound on the Pt layer when the YIG layer\nis at magnetic saturation. The set of values obtained\n\u0001Ve;yversus the heat current Iq;xis shown in Fig.1f\nand a linear \ft gives\n\u0001Ve\nIq;x= (2:1\u00060:1)\u000210\u00005VW\u00001(5)\nA geometry independent (intrinsic) spin Seebeck co-\ne\u000ecient can be de\fned as ryVe=jq;xwithryVe=\u0001Ve=Le;yandjq;x=Iq;x=AqwithAq=Le;y\u0002Lz.\nWithLe;y= 4:17 mm, the dimension of the Pt elec-\ntrode used to detect the voltage drop, and Lz= 3:91\nmm we have\nryVe\njq;x= (8:2\u00060:3)\u000210\u00008VmW\u00001(6)\nFinally the spin Seebeck coe\u000ecient SSSE =\nryVe=rxT, given by the ratio between the transverse\ngradient of the electric potential ryVein Pt and the\nlongitudinal gradient of the temperature rxTin YIG\n(as it is often de\fned in literature) can be obtained\nSSSE=\u0000ryVe\njq;x\u0014Y IG=\u0000(5:4\u00060:2)\u000210\u00007VK\u00001\n(7)\nusing the bulk value of the thermal conductivity of\nYIG:\u0014Y IG= 6:63 Wm\u00001K\u00001[32]. This result con-\ncurs with another experimental bulk YIG jSSSEj'\n4\u000210\u00007VK\u00001[33].\nv3 Discussion\nThe microscopic and physical origin of the spin\nPeltier and of the spin Seebeck e\u000bects have been in-\nvestigated in detail [34, 35, 36, 37, 38, 39, 28, 40, 41,\n29, 30, 42, 43, 26]. These two e\u000bects are the results\nof two independent physical mechanisms. In YIG the\npresence of a spin current or, more generally, of a\nmagnetic moment current, carried by thermally ex-\ncited spin waves, is accompanied by a heat current.\nIn Pt the longitudinal spin polarized current is as-\nsociated with a transverse electric e\u000bect due to the\ninverse spin Hall e\u000bect, described by the spin Hall\nangle\u0012SH. At the interface between YIG and Pt the\nspin current is partially injected from one layer into\nthe other [44, 45]. By adopting the thermodynamic\ndescription of Johnson and Silsbee [46] further devel-\noped in Refs.[28, 21, 29, 30, 22], the thermomagnetic\ne\u000bects in YIG are described by means of the thermo-\nmagnetic power coe\u000ecient \u000fY IG, that has an analo-\ngous role to the thermoelectric power coe\u000ecient \u000fof\nthermoelectrics. At the interface, the passage of the\nmagnetic moment current, due to di\u000busion, is mainly\ndetermined by the magnetic moment conductances\nper unit surface area, vM, of the two layers. Basing\non these ideas, it has been possible to work out the\nreciprocal relation relating the spin Seebeck and spin\nPeltier e\u000bects with the intrinsic and extrinsic param-\neters of the bilayer. The expression is [21, 22]\n\u0000\u0001TSP\nje1\nT=ryVe\njq;xtPt=\u0012SH\u00160\u0010\u0016B\ne\u00111\nvp\u000fY IG\u001bY IG\n\u0014Y IG\n(8)\nEq.(8) contains two equal signs. The equal sign at\nthe left is between the SPE and SSE measured quan-\ntities and we \fnd the temperature di\u000berence between\nthe two faces of YIG, \u0001 TSP, of the SPE generated\nby the electric current density je;yand the transverse\ngradient of the electric potential in Pt, ryVe, of the\nSSE, generated by the heat current density, jq;x, in\nYIG. The equal sign at the right refers to the rela-\ntion of both SPE and SSE to intrinsic coe\u000ecients.\nIn addition to the expected parameters: \u0012SH, the\nspin Hall angle of Pt and \u000fY IG, the thermomagnetic\npower coe\u000ecient of YIG, we have: \u001bY IG, the mag-\nnetic moment conductivity of YIG, and vp, the mag-netic moment conductance per unit surface area of\nthe YIG/Pt interface and \u0014Y IG, the thermal conduc-\ntivity of the YIG. \u00160is the magnetic constant, \u0016Bis\nthe Bohr magneton and eis the elementary charge.\nvpdepends on the intrinsic conductances, vMof both\nYIG and Pt and on the ratio t=lMbetween the thick-\nnesstand the magnetic moment di\u000busion length lM,\nfor each layer. The expression of vpis derived in\nRef.[22] and reported in the Supplementary material.\nBy just taking the \frst equal sign of Eq.(8) and\nintegrating over the size of the bilayer device we have\n\u0000\u0001TSP\nIe;y=(Lz\u0001tPt)1\nT=\u0001Ve;y=Lq;y\nIq;x=(Lq;y\u0001Lz)tPt (9)\nAt the left hand side ( Lz\u0001tPt) is the area where\nthe electric current \rows. At the right hand side\n(Lq;y\u0001Lz) is the area of the thermal contact and cor-\nresponds to the region where the spin Seebeck e\u000bect\nrises. The previous relation can be simpli\fed, lead-\ning to Eq.(1) and permitting a direct test of the reci-\nprocity by using the experimental values. By taking\nthe average temperature T= (298\u00062) K, the spin\nSeebeck experiment gives\n\u0001Ve;y\nIq;xT= (6:3\u00060:3)\u000210\u00003KA\u00001(10)\nwhich is in excellent agreement with the spin Peltier\nvalue of Eq.(3) and veri\fes experimentally the recip-\nrocal relation, Eq.(1), between spin Seebeck and spin\nPeltier e\u000bects.\nOnce the reciprocity is veri\fed, we can take the sec-\nond equal sign of Eq.(8) and obtain an experimental\nvalue that can be compared with the theoretical co-\ne\u000ecients. By labeling the value taken from equation\n(8) asKY IG=Pt we \fnd from the experiments\nKY IG=Pt = (4:0\u00060:3)\u000210\u000016m2A\u00001(11)\nWe now compare the obtained value with the coe\u000e-\ncients known from the literature. By using \u00160\u001bY IG=\nvY IGlY IGand employing the values of the coe\u000ecients\ndetermined in previous works [21] ( \u000fY IG' \u000010\u00002\nTK\u00001and\u0012SH=\u00000:1) we can quantify the only\nmissing parameter, vp=vY IG, asvp=vY IG'9. This\nvivalue is compatible with the transmission of the mag-\nnetic moment current between the two layers as de-\ntermined by the intrinsic di\u000busion lengths lM, by the\nthicknesses tand by the intrinsic conductances vM\nof YIG and Pt. By using the expression for vp[22]\nwithlPt'7:3 nm and lY IG\u00180:4\u0016m and with\nvY IG\u0018vPtwe obtainvp=vY IG = 8 which is rea-\nsonably close to the measured value.\nWe are therefore able to evaluate the cooling po-\ntentiality of the spin Peltier e\u000bect. If we consider\nthe YIG/Pt device able to operate in adiabatic con-\nditions (Iq;c= 0), the temperature change across the\ndevice \u0001Twill be\n\u0001T= \u0001TSP\u0000R Y IGIq;JH (12)\nwhere we have assumed RPt\u001c R Y IG andR '\nRY IG. By taking the speci\fc device studied in this\npaper, the electric current which is maximizing \u0001 T\nisIe;y=\u000012:4\u0016A giving a maximum temperature\nchange of \u0001 T= 3:8\u000210\u00008K. This value appears so\nsmall to discourage any attempt to employ the SPE\nin practice. However the veri\fcation of the validity\nof the thermodynamic theory for the SPE and SSE\n(Eq.(8)) o\u000bers, in future perspective, the possibility\nto design and optimize spin Peltier coolers and spin\nSeebeck generators going beyond the speci\fc bilayer\nsample used in this experimental study. Work is in\nprogress along this line, however two main prelim-\ninary comments are already possible at this stage.\nThe \frst is to identify the YIG and Pt thicknesses\nthat would optimize the e\u000bects. The answer comes\nfrom the fact that both materials are active over\na thickness of the order of the di\u000busion length lM.\nTherefore promising devices would have tY IG\u0018lY IG\nandtPt\u0018lPt. The second is that, as for thermo-\nelectrics, the thermomagnetic YIG is characterized\nby a \fgure of merit \u0010T=\u000f2\nY IG\u001bY IGT=\u0014Y IG, which is\nindeed very small at room temperature, \u0010T'4\u000210\u00003\n[22]. However it is expected that the \u0010Tparameter\ncould improve in the temperature range between 50\nand 100 K where experiments [47] have reported a\nmuch larger SSE (almost a factor 5) than the room\ntemperature value. Finally it is worth to mention\nthat both improvements could further bene\ft by cas-\ncading several devices in thermal series [48]. For ex-ample, with \u0010T\u00181 and using a multilayer with an\nappropriate compensation of the Joule heat of each\nlayer by using variable cross sections, one could ob-\ntain up to an e\u000bective \u0001 T= 20 K for a device con-\ntaining\u0018103junctions. Work along this line has\nalready progressed and future improvements are ex-\npected [19].\nIn summary, we investigated experimentally both\nthe SPE and the SSE in a bulk YIG/Pt device. The\nthermal observables of these experiments are investi-\ngated by means of heat current measurements. This\nintroduces a novel technique for the SPE character-\nization of a given sample in the DC regime. The\nexperimental results of the SPE and SSE are used\nto verify for the \frst time the reciprocal relation be-\ntween the two. The relation between both e\u000bects with\nthe intrinsic and extrinsic parameters of YIG and Pt\nbilayer o\u000bers the possibilities for a more in-depth in-\nvestigation of the applicability of spincaloritronic de-\nvices.\nA Methods\nA.1 Device and experimental setup\nThe YIG/Pt device employed is made of a bulk yt-\ntrium iron garnet (YIG) single crystal prepared by\ncrystal growth in high-temperature solutions apply-\ning the slow cooling method [49]. Single crystals\nwhich nucleate spontaneously at the crucible bottom\nand grow to several centimetre sizes have been sep-\narated from the solution by pouring out the residual\nliquid. Afterwards, one crystal was cut parallel to one\nof its facets to prepare slices and parallelepipeds of\nthe following dimensions: Ly= 4:95 mm,Lz= 3:91\nmm,tY IG= 0:545 mm. Carefully grinding and pol-\nishing result in a sample with optical smooth surfaces\n(Rq= 0:4 nm obtained by AFM). After polishing\nboth sides, a thin \flm of Pt ( tPtabout 5 nm) was\nsputtered on the top of one of the Ly\u0002Lzsurfaces at\nUniversity of Loughborough (United Kingdom). Sub-\nsequently two 100 nm thick gold electrode strips for\nelectric contacts were deposited. The inner distance\nbetween the electrodes is equal to Le;y= 4:17 mm.\nThe Au contacts on the Pt layer are electrically con-\nviinected to 40 \u0016m diameter platinum leads by silver\npaste. The SSE voltage is measured by means of a\nKeithley 2182 nanovoltmeter while the SPE electric\ncurrent is generated by a Keithley 2601 source meter.\nIn order to avoid heat leakages all measurements\nare performed under vacuum (1.6 \u000210\u00004mbar) by\nmeans of a turbomolecular pump. The heat current\nsensors are miniaturized Peltier cells (5 mm \u00025 mm\nand 1.9 mm of thickness, RMT Ltd model 1MD04-\n031-08TEG), calibrated according to the procedure\ndescribed in Ref.[24]. The characteristic Iq=\u0000SpVp\nof both heat sensors are described by Sp= 0:97\u00060:01\nV/W, where Iqis the heat current traversing the sen-\nsor andVpis the voltage measured by a nanovolt-\nmeter. The thermal reservoirs are two brass paral-\nlelepipeds (1 cm \u00021 cm\u00022 cm) to which one face\nof each heat sensor is glued with silver paste. The\nother face of each sensor is clamping the YIG/Pt de-\nvice. We use an aluminum nitride slab (3 mm \u00023\nmm\u00024 mm) with a large nominal thermal conduc-\ntivity (140 - 180 Wm\u00001K\u00001) as geometrical adapter\nto thermally connect the Pt side of the device with\nthe corresponding heat sensor. Thin layers of sili-\ncon based thermal grease are used to ensure uniform\nthermal conductivity through the sections.\nA.2 O\u000bset subtractions during SPE\nand SSE measurements\nIn the case of the electric current inversion method,\nthe spin Peltier heat signals is a\u000bected by a spuri-\nous heat current o\u000bset, Iq;off, (a few percent of the\ntotal) that is not present in the magnetic \feld in-\nversion method and should be therefore subtracted.\nThe reason for this spurious heat current o\u000bset is\nthat a conventional Peltier e\u000bect arises in presence\nof di\u000berent metal contacts in the measurement setup\n(i.e. the contact between Pt and Au layers and the\ncontacts with the electrical leads). These contacts\nwould give a transverse (along y) heat \rux, however\nthe presence of an even very small transverse heat\nleakage may contribute to a small longitudinal con-\ntribution. This spurious conventional Peltier e\u000bect\nIq;off presents, with respect to the current inversion,\nthe same odd parity as the spin Peltier signal. When\nusing the odd parity of the SPE under magnetic \feldinversion the spurious e\u000bect is cancelled. Conversely,\nwhen determining the SPE through the electric cur-\nrent inversion\u0006Ie;ymethod, it is summed up. The\no\u000bset is subtracted by using one measurement with\nmagnetic \feld inversion method at saturation as a\nreference. Also the spin Seebeck experiment is af-\nfected by a spurious voltage measured at Pt which\nis the reciprocal of the one found in the spin Peltier\nwith current inversion. A very small transverse leak-\ning heat \rux may gives rise to electric e\u000bects in the\nnV range caused by the ordinary Seebeck e\u000bect due to\nthe electric contacts between di\u000berent metals. Again,\nthis o\u000bset voltage is eliminated by using one magnetic\n\feld inversion point at saturation as a reference.\nB Acknowledgement\nWe thank Kelly Morrison at the University of Lough-\nborough for the deposition of the Pt \flm. We thank\nLuca Martino and Federica Celegato (from Istituto\nNazionale di Ricerca Metrologica) for technical sup-\nport during the assembling of the measurement sys-\ntem. One author (C.D.) thanks R. Meyer and B.\nWenzel for technical support in sample preparation.\nC Author information\nA.S., V.B., M.K. and M.P. devised the measurement\ntechnique and wrote the manuscript. C.D. prepared\nthe YIG sample, A.S. developed the measurement\nsetup and characterized the device, V.B. developed\nthe theoretical model. All authors discussed the re-\nsults, their physical interpretation and reviewed the\nmanuscript.\nD Additional information\nD.1 Competing Interests:\nThe author(s) declare no competing interests.\nviiiReferences\n[1] H. B. Callen. Thermodynamics and an introduc-\ntion to thermostatistics . John Wiley and Sons,\nNew York, 1985.\n[2] Donald G. Miller. Thermodynamics of irre-\nversible processes. the experimental veri\fcation\nof the onsager reciprocal relations. Chemical Re-\nviews , 60(1):15{37, 1960.\n[3] H. J. Goldsmid, G. S. Nolas, and J. Sharp. Ther-\nmoelectrics: basic principles and new materials\ndevelopment . Springer, Berlin, 2001.\n[4] L. Onsager. Reciprocal relations in irreversible\nprocesses i. Physical Review , 37:405, 1931.\n[5] G. E. W. Bauer, E. Saitoh, and B. J. van Wees.\nSpin caloritronics. Nature materials , 391:11,\n2012.\n[6] Stephen R. Boona, Roberto C. Myers, and\nJoseph P. Heremans. Spin caloritronics. Energy\nEnviron. Sci. , 7:885, 2014.\n[7] Haiming Yu, Sylvain D. Brechet, and Jean-\nPhilippe Ansermet. Spin caloritronics, origin\nand outlook. Physics Letters A , 381(9):825 {\n837, 2017.\n[8] J.-Ph. Ansermet. Spintronics: Conceptual build-\ning blocks. In E. Beaurepaire et al., editor, Mag-\nnetism and Synchrotron Radiation, Proceedings\nin Physics 133 , page 43. Springer, 2010.\n[9] Xiao-Qin Yu, Zhen-Gang Zhu, Gang Su, and A.-\nP. Jauho. Spin-caloritronic batteries. Phys. Rev.\nApplied , 8:054038, Nov 2017.\n[10] M. Martin-Gonzalez, O. Caballero-Calero, and\nP. Diaz-Chao. Nanoengineering thermoelectrics\nfor 21st century: Energy harvesting and other\ntrends in the \feld. Renewable and Sustainable\nEnergy Reviews , 24:288, 2013.\n[11] K.I. Uchida, H. Adachi, T. Kikkawa, A. Kiri-\nhara, M. Ishida, S. Yorozu, S. Maekawa, and\nE. Saitoh. Thermoelectric generation based onspin seebeck e\u000bects. Proceedings of the IEEE ,\n104:1946, 2016.\n[12] F.K. Dejene, J. Flipse, and B.J. van Wees. Ver-\ni\fcation of the thomson-onsager reciprocity re-\nlation for spin caloritronics. Physical Review B ,\n90:180402, 2014.\n[13] J. Flipse, F. K. Dejene, D. Wagenaar, G. E. W.\nBauer, J. B. Youssef, and B. J. Van Wees. Ob-\nservation of the spin peltier e\u000bect for magnetic\ninsulators. Physical Review Letters , 113:027601,\n2014.\n[14] K. Uchida, J. Xiao, H. Adachi, J. Ohe,\nS. Takahashi, J. Ieda, T. Ota, Y. Kajiwara,\nH. Umezawa, H. Kawai, G. E. W. Bauer,\nS. Maekawa, and E. Saitoh. Spin seebeck in-\nsulator. Nature Materials , 9:894, 2010.\n[15] K. Uchida, H. Adachi, T. Ota, H. Nakayama,\nS. Maekawa, and E. Saitoh. Observation of lon-\ngitudinal spin-seebeck e\u000bect in magnetic insula-\ntors. Appl. Phys. Lett. , 97:172505, 2010.\n[16] K Uchida, M Ishida, T Kikkawa, A Kirihara,\nT Murakami, and E Saitoh. Longitudinal spin\nseebeck e\u000bect: from fundamentals to applica-\ntions. Journal of Physics: Condensed Matter ,\n26(34):343202, 2014.\n[17] Shunsuke Daimon, Ken-ichi Uchida, Ryo Iguchi,\nTomosato Hioki, and Eiji Saitoh. Thermo-\ngraphic measurements of the spin peltier e\u000bect\nin metal/yttrium-iron-garnet junction systems.\nPhys. Rev. B , 96:024424, Jul 2017.\n[18] S. Daimon, R. Iguchi, T. Hioki, E. Saitoh, and\nK.I. Uchida. Thermal imaging of spin peltier\ne\u000bect. Nature communications , 7:13754, 2016.\n[19] K. Uchida, R. Iguchi, S. Daimon, R. Ramos,\nA. Anad\u0013 on, I. Lucas, P. A. Algarabel,\nL. Morell\u0013 on, M. H. Aguirre, M. R. Ibarra, and\nE. Saitoh. Enhancement of the spin peltier e\u000bect\nin multilayers. Phys. Rev. B , 95:184437, May\n2017.\nix[20] Ryuichi Itoh, Ryo Iguchi, Shunsuke Daimon,\nKoichi Oyanagi, Ken-ichi Uchida, and Eiji\nSaitoh. Magnetic-\feld-induced decrease of the\nspin peltier e\u000bect in pt/y 3fe5o12system at room\ntemperature. Phys. Rev. B , 96:184422, Nov\n2017.\n[21] V. Basso, E. Ferraro, A. Sola, A. Magni,\nM. Kuepferling, and M. Pasquale. Nonequilib-\nrium thermodynamics of the spin seebeck and\nspin peltier e\u000bects. Phys. Rev. B , 93:184421,\n2016.\n[22] V. Basso, M. Kuepferling, A. Sola, P. Ansalone,\nand M. Pasquale. The spin seebeck and spin\npeltier reciprocal relation. IEEE Magnetics Let-\nters, 2018.\n[23] A. Sola, M. Kuepferling, V. Basso, M. Pasquale,\nT. Kikkawa, K. Uchida, and E. Saitoh. Evalu-\nation of thermal gradients in longitudinal spin\nseebeck e\u000bect measurements. J. Appl. Phys. ,\n117:17C510, 2015.\n[24] A. Sola, P. Bougiatioti, M. Kuepferling,\nD. Meier, G. Reiss, M. Pasquale, T. Kuschel, and\nV. Basso. Longitudinal spin seebeck coe\u000ecient:\nheat \rux vs. temperature di\u000berence method. Sci-\nenti\fc reports , 7:46752, 2017.\n[25] Panagiota Bougiatioti, Christoph Klewe, Daniel\nMeier, Orestis Manos, Olga Kuschel, Joachim\nWollschl ager, Laurence Bouchenoire, Simon D\nBrown, Jan-Michael Schmalhorst, G unter Reiss,\net al. Quantitative disentanglement of the spin\nseebeck, proximity-induced, and ferromagnetic-\ninduced anomalous nernst e\u000bect in normal-\nmetal{ferromagnet bilayers. Physical review let-\nters, 119(22):227205, 2017.\n[26] Arati Prakash, Benedetta Flebus, Jack Brang-\nham, Fengyuan Yang, Yaroslav Tserkovnyak,\nand Joseph P Heremans. Evidence for the role of\nthe magnon energy relaxation length in the spin\nseebeck e\u000bect. Physical Review B , 97(2):020408,\n2018.[27] Jairo Sinova, Sergio O. Valenzuela, J. Wunder-\nlich, C. H. Back, and T. Jungwirth. Spin hall ef-\nfects. Rev. Mod. Phys. , 87:1213{1260, Oct 2015.\n[28] S. M. Rezende, R. L. Rodriguez-Suarez, R. O.\nCunha, J. C. Lopez Ortiz, and A. Azevedo. Bulk\nmagnon spin current theory for the longitudinal\nspin seebeck e\u000bect. Journal of Magnetism and\nMagnetic Materials , 400:171{177, 2016.\n[29] Vittorio Basso, Elena Ferraro, and Marco Pi-\nazzi. Thermodynamic transport theory of spin\nwaves in ferromagnetic insulators. Phys. Rev. B ,\n94:144422, Oct 2016.\n[30] Kouki Nakata, Pascal Simon, and Daniel Loss.\nSpin currents and magnon dynamics in insu-\nlating magnets. Journal of Physics D: Applied\nPhysics , 50(11):114004, 2017.\n[31] K. Uchida, J. Ohe, T. Kikkawa, S. Daimon,\nD. Hou, Z. Qiu, and E. Saitoh. Intrinsic surface\nmagnetic anisotropy in y3fe5o12 as the origin of\nlow-magnetic-\feld behavior of the spin seebeck\ne\u000bect. Physical Review B , 92:014415, 2015.\n[32] Anne M Hofmeister. Thermal di\u000busivity of gar-\nnets at high temperature. Physics and Chem-\nistry of Minerals , 33(1):45{62, 2006.\n[33] K. Uchida, T. Kikkawa, A. Miura, J. Shiomi,\nand E. Saitoh. Quantitative temperature depen-\ndence of longitudinal spin seebeck e\u000bect at high\ntemperatures. Phys. Rev. X , 4:041023, 2014.\n[34] L. Chotorlishvili, Z. Toklikishvili, V. K. Dugaev,\nJ. Barna\u0013 s, S. Trimper, and J. Berakdar. Fokker-\nplanck approach to the theory of the magnon-\ndriven spin seebeck e\u000bect. Phys. Rev. B ,\n88:144429, Oct 2013.\n[35] R. O. Cunha, E. Padron-Hernandez,\nA. Azevedo, and S. M. Rezende. Control-\nling the relaxation of propagating spin waves in\nyttrium iron garnet/pt bilayers with thermal\ngradients. Phys. Rev. B , 87:184401, 2013.\n[36] S. R. Etesami, L. Chotorlishvili, A. Sukhov, and\nJ. Berakdar. Longitudinal spin current induced\nxby a temperature gradient in a ferromagnetic in-\nsulator. Phys. Rev. B , 90:014410, Jul 2014.\n[37] S. M. Rezende, R. L. Rodriguez-Suarez, R. O.\nCunha, A. R. Rodrigues, F. L. A. Machado,\nG. A. Fonseca Guerra, J. C. Lopez Ortiz, and\nA. Azevedo. Magnon spin-current theory for the\nlongitudinal spin-seebeck e\u000bect. Phys. Rev. B ,\n89:014416, 2014.\n[38] Ulrike Ritzmann, Denise Hinzke, Andreas\nKehlberger, Er-Jia Guo, Mathias Kl aui, and Ul-\nrich Nowak. Magnetic \feld control of the spin\nseebeck e\u000bect. Phys. Rev. B , 92:174411, Nov\n2015.\n[39] L. J. Cornelissen, K. J. H. Peters, G. E. W.\nBauer, R. A. Duine, and B. J. van Wees. Magnon\nspin transport driven by the magnon chemical\npotential in a magnetic insulator. Phys. Rev. B ,\n94:014412, Jul 2016.\n[40] Stephan Geprags, Andreas Kehlberger,\nFrancesco Della Coletta, Zhiyong Qiu, Er-\nJia Guo, Tomek Schulz, Christian Mix, Sibylle\nMeyer, Akashdeep Kamra, Matthias Altham-\nmer, Hans Huebl, Gerhard Jakob, Yuichi\nOhnuma, Hiroto Adachi, Joseph Barker,\nSadamichi Maekawa, Gerrit E. W. Bauer, Eiji\nSaitoh, Rudolf Gross, Sebastian T. B. Goen-\nnenwein, and Mathias Klaui. Origin of the spin\nseebeck e\u000bect in compensated ferrimagnets. Nat\nCommun , 7, 2016.\n[41] Kouki Nakata, Pascal Simon, and Daniel Loss.\nWiedemann-franz law for magnon transport.\nPhys. Rev. B , 92:134425, Oct 2015.\n[42] Y. Ohnuma, M. Matsuo, and S. Maekawa. The-\nory of the spin peltier e\u000bect. Phys. Rev. B ,\n96:134412, Oct 2017.\n[43] Wayne M. Saslow. Irreversible thermodynam-\nics of uniform ferromagnets with spin accumula-\ntion: Bulk and interface dynamics. Phys. Rev.\nB, 95:184407, May 2017.\n[44] E Saitoh, M Ueda, H Miyajima, and G Tatara.\nConversion of spin current into charge currentat room temperature: Inverse spin-Hall e\u000bect.\nAppl. Phys. Lett. , 88(18):182509, 2006.\n[45] Scott A. Bender and Yaroslav Tserkovnyak. In-\nterfacial spin and heat transfer between met-\nals and magnetic insulators. Phys. Rev. B ,\n91:140402(R), 2015.\n[46] M. Johnson and R. H. Silsbee. Thermody-\nnamic analysis of interfacial transport and of the\nthermomagnetoelectric system. Phys. Rev. B ,\n35:4959, 1987.\n[47] Takashi Kikkawa, Ken-ichi Uchida, Shunsuke\nDaimon, Zhiyong Qiu, Yuki Shiomi, and Eiji\nSaitoh. Critical suppression of spin seebeck ef-\nfect by magnetic \felds. Phys. Rev. B , 92:064413,\nAug 2015.\n[48] R. Ramos, T. Kikkawa, M. H. Aguirre, I. Lucas,\nA. Anad\u0013 on, T. Oyake, K. Uchida, H. Adachi,\nJ. Shiomi, P. A. Algarabel, L. Morell\u0013 on,\nS. Maekawa, E. Saitoh, and M. R. Ibarra. Un-\nconventional scaling and signi\fcant enhance-\nment of the spin seebeck e\u000bect in multilayers.\nPhys. Rev. B , 92:220407, Dec 2015.\n[49] P G ornert and F Voigt. High temperature solu-\ntion growth of garnets: theoretical models and\nexperimental results. Current topics in materials\nscience , 11:1{149, 1984.\nxi" }, { "title": "1910.08801v2.Propagation_of_spin_waves_packets_in_individual_nano_sized_yttrium_iron_garnet_magnonic_conduits.pdf", "content": "Propagation of spin-waves packets in individual nano-sized yttrium \niron garnet magnonic conduits \nBjörn Heinz1,2*, Thomas Brächer1, Michael Schneider1, Qi Wang1,3, Bert Lägel4, Anna M. Friedel1, David \nBreitbach1, Steffen Steinert1, Thomas Meyer1,5, Martin Kewenig1, Carsten Dubs6, Philipp Pirro1 and \nAndrii V. Chumak1,3 \n \n1Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universität Kaiserslautern, \nD-67663 Kaiserslautern, Germany. \n2Graduate School Materials Science in Mainz, Staudingerweg 9, D-55128 Mainz, Germany. \n3Faculty of Physics, University of Vienna, Boltzmanngasse 5, A-1090 Wien, Austria. \n4Nano Structuring Center, Technische Universität Kaiserslautern, D-67663 Kaiserslautern, Germany. \n5THATec Innovation GmbH, Augustaanlage 23, D-68165 Mannheim, Germany. \n6INNOVENT e.V., Technologieentwicklung Jena, D-07745 Jena, Germany. \n \n*Corresponding author \nE-Mail: bheinz@rhrk.uni-kl.de \n \nModern-days CMOS-based computation technology is reaching its fundamental l imitations. The \nemerging field of magnonics, which utilizes spin waves for data transport and pro cessing, proposes a \npromising path to overcome these limitations. Different devices have been demonstrated rec ently on \nthe macro- and microscale, but the feasibility of the magnonics approach essentiall y relies on the \nscalability of the structure feature size down to an extent of a few 10 nm, which are typi cal sizes for \nthe established CMOS technology. Here, we present a study of propagating spin-wave packets in \nindividual yttrium iron garnet (YIG) conduits with lateral dimensions down t o 50 nm. Space and time \nresolved micro-focused Brillouin-Light-Scattering (BLS) spectroscopy is used to characterize the YIG \nnanostructures and measure the spin-wave decay length and group velocity directly. The revealed \nmagnon transport at the scale comparable to the scale of CMOS proves the general f easibility of a \nmagnon-based data processing. \n \nINTRODUCTION \nThe further scaling of CMOS-based computation technologies is increasingly c ostly and challenging due \nto several fundamental constrains, which arise from both, technological and physical l imitations ( 1). \nTo allow for further progress, the field of spintronics aims to complement CMOS by taking advantage \nof the spin degree of freedom to generate and control charge currents ( 2). However, a different \napproach to tackle these challenges and avoid electric currents can be found in the field of magnonics, \nwhich propos es a wave-based logic for more-than-Moore computing by utilizing spi n waves to carry \nthe information instead of electrons ( 3–6). The phase of a spin wave offers an additional degree of \nfreedom enabling efficient computing concepts, which typically rely on the interference of c oherent \nspin waves. In addition, spin waves possess readily accessible nonlinear phenomena which can be \nutilized to perform logic operations ( 7–9) and to realize novel computing devices. A variety of spin-\nwave based devices has already been realized on the macro- and microscale ( 3, 5, 6, 9–18), pushing \npartially into the nanoscale with structure sizes of a few 100 nm extent ( 19–21). However, a significant \nmilestone on the path towards the development of magnonic circuits is the final adv ance to the sub-\n100 nm scale. In particular, yttrium iron garnet (YIG), the go-to material o f magnonics which provides \nthe lowest known spin-wave damping, is lacking this push to the nanoscale due to its complicated \ncrystallographic structure ( 22) featuring a unit cell size of 1.2376 nm ( 23). Recently, we reported on \nthe fabrication of sub-100 nm wide YIG nanostructures and the investigation of the unifo rm precession mode in them, developing an extended theoretical model describing the spin-wave dispersion in \nnanostructures ( 24), which takes an unpinning of the lateral mode profile for small aspect ratios into \naccount. Nevertheless, neither propagating spin waves, which allow for the transport of information, \nnor the impact of the structuring process on their propagation have yet been in vestigated in structures \nof sub-100 nm lateral sizes. \nIn this letter, we report on the investigation of propagating spin waves in indivi dual YIG magnonic \nconduits with lateral sizes down to 50 nm. Spin waves are excited continuous ly or pulsed using a micro-\nantenna and micro-focused Brillouin-Light-Scattering (BLS) spectroscopy is e mployed to directly \nmeasure the spin-wave decay length and group velocity. Considering a potenti al application in \nmagnonic circuits the investigations are performed in the Backward-Volume (BV) geometry, which \ncorresponds to the natural self-magnetized state of such a conduit to avoid the necessity of large bi as \nmagnetic fields. The results are compared to the theoretical predictions based on the extended m odel \nof the spin-wave dispersion in nanostructures ( 24). The measured spin-wave decay length is found to \nbe in agreement with this theory, indicating only a moderate influence of the nano structuring process \non the YIG parameters. Moreover, the decay length in the smallest investigated structure is t wice \nlarger than the expected theoretical value of the excited dipolar spin waves , assuming a possible \ncontribution of short-wavelength exchange waves to the detected BLS signal. \nRESULTS AND DISCUSSIONS \nSample fabrication and theoretical description \nAs a basis for the structuring procedure, a thin (111) YIG film with a thickness of t = 44 nm is used, \nwhich is grown on top of a 500 µm thick (111) Gadolinium Gallium Garnet (GGG) substrate by Liquid \nPhase Epitaxy (LPE) ( 25, 26). A preliminary characterization by stripline Vector-Network-Analyzer \nferromagnetic resonance (VNA-FMR) spectroscopy ( 27, 28) is performed to obtain the fundamental \nmagnetic properties. The measurement, shown in Supplementary Fig. S1 , yields a saturation \nmagnetization of 𝑀s=(140 .7 ± 2.8 ) kA\nm and a Gilbert damping parameter of 𝛼 = (1.75± 0. 08)×\n10−4. These values are common for high-quality YIG thin films ( 25, 26). Thereafter, the nanostructuring \nprocess is carried out by utilizing a hard mask ion beam milling pr ocedure ( Supplementary Fig. S2 ) to \nfabricate various YIG waveguides with widths ranging from w = 5 µm down to w = 50 nm. Subsequently, \na coplanar waveguide (CPW) antenna is fabricated on top of the waveguides which allows fo r the \nexcitation of spin waves by applying a radiofrequency (RF) current. An in-detail des cription of the entire \nnanostructuring procedure is given in the Methods and Supplementary Sec. S2. Figure 1A shows \nscanning electron microscopy (SEM) micrographs of the smallest fabricated structure with w = 50 nm. \nFig. 1. Schematic overview of the experimental configuration and SEM-mi crographs of the smallest structure under \ninvestigation. (A) The YIG waveguides are magnetized along the long axis (BV geometr y) with an external magnetic field of \nµ0Hext = 55 mT. A radio-frequency (RF) current is applied to a coplanar wavegui de antenna (CPW) on top of the waveguide \nand propagating spin waves are excited. Frequency, spatial and time resolved scans are performed via micro-focused BLS. \nThe width w of the structure is defined using the visibly unharmed core, since it can be assumed that the magnetic properties \nof the edges are significantly alternated due to the exposure to th e ion bombardment. (B) Theoretical BV spin-wave dispersion \nrelations for YIG-waveguides with a respective width of w = 1000 nm, 300 nm and 50 nm . (24). kCPW denotes the wavevector \nat which the CPW antenna possesses the largest excitation efficiency. \nFor the theoretical description of the system, the BV geometry spin-wave dispersion has to be \nconsidered ( 24): \n 𝜔 = √(𝜔H+(𝜆2𝐾2+ 𝐹𝑘𝑥zz)𝜔M)× (𝜔 H+ (𝜆2𝐾2+ 𝐹𝑘𝑥yy) 𝜔 M) , (1) \nwhere 𝜔M= 𝛾µ 0𝑀s, 𝜔H=𝛾𝐵= 𝛾µ 0𝐻ext due to a negligible demagnetization along the x-direction \nand 𝜆 = √2𝐴ex\nµ0𝑀s2 with the exchange constant Aex and the vacuum permeability µ 0. Moreover, 𝐹𝑘𝑥zz and \n𝐹𝑘𝑥yy denote the dynamic demagnetization tensor components out- of-plane ( z-direction) and in-plane \nperpendicular to the waveguide ( y-direction) and 𝐾2= 𝑘 𝑥2+ 𝑘 𝑦2+ 𝑘 𝑧2 is the total wavevector . In a \nconfined system, the phenomenon of pinning takes place caused by the dipolar interaction which c an \nbe taken into account by introducing an effective width weff > w of the structure ( 29, 30). Thus, \nconsidering only the fundamental width mode, ky can be written as 𝑘𝑦=𝜋\n𝑤eff. For a decreasing \nstructure size, the contribution of the exchange interaction will increase and eventually do minate over \nthe dipolar interaction. This will lead to an effective unpinning of the system described by the fact that \nweff tends to infinity ( 𝑤eff→ ∞ ) beyond this so-called unpinning threshold, as it is shown in \nSupplementary Fig. S3. A comprehensive description of this matter was recently given in ( 24). It should \nbe noted that, since the thickness of the investigated film is very small, the modes are al ways unpinned \nin z-direction, hence 𝑘𝑧=𝑝𝜋\n𝑡. Here, p denotes the mode number of these so-called perpendicular \nstanding spin-wave (PSSW) modes. To summarize: \n 𝐾2= 𝑘 𝑥2+ (𝜋\n𝑤eff)2\n+ (𝑝𝜋\n𝑡)2\n. (2) \nThe experimental investigation of the spin-wave dynamics is carried out using micro-focused BLS by \nfocusing a laser beam on top of the waveguides and analyzing the inelastically scattered li ght, while a \nsmall external magnetic field µ 0Hext is applied in x-direction parallel to the long axis of the waveguid e \n(BV geometry). Frequency, spatial, and time resolved measurements of the spin-wave intensity are \nperformed ( 31) as it is schematically illustrated in Fig. 1A . \nMeasurement of the thermal population \nSince BLS is also sensitive to incoherent magnons, the thermal population o f the magnon dispersion \ncan be measured. Figure 2A shows such an exemplary measurement for a YIG waveguide of w = 1000 \nnm. Two peaks are detected which correspond to the fundamental waveguide mode and the fir st PSSW \nmode. Performing a field dependent measurement and using Eq. (1) to fit the frequency of the PSSW \nmode´s intensity maximum, with the approximation of 𝑘𝑥= 0, gives access to the exchange constant \nAex as a fitting parameter. The results presented in Fig. 2B show no significant dependency on the \nstructure width, which indicates an insignificant influence of the nanostructuring process. An average \nexchange constant of 𝐴ex=(4.22± 0. 21)×10−12 J\nm can be extracted, which is within the typical \nrange for YIG ( 32, 33). In addition, a decline of the PSSW mode intensity is observed which denies the \nextraction of the PSSW mode frequency below a width of w = 200 nm, which is further discussed in \nSupplementary Sec. S4 . \n \nFig. 2. Measurement of the thermal spin-wave population and determi ned exchange constant. (A) Exemplary thermal BLS \nspectra in the absence of any microwave excitation for a w = 1000 nm YIG waveguide. A field dependent measurement of the \nperpendicular standing spin-wave (PSSW) modes frequencies allow s for the determination of the exchange constant \naccording to Eq. (1) (B) Extracted exchange constant Aex in dependency of the structure width w. No significant dependency \nis found, which indicates an insignificant influence of the nanostructuring process. \nUsing the extracted exchange constant, the dispersion of the fundamental mode can be calculate d \nbased on Eq. (1) , as it is exemplarily shown in Fig. 1B for the investigated waveguides with a respective \nwidth of w = 1000 nm, 300 nm and 50 nm. Furthermore, the expected group velocit y vg can be \ncalculated as the derivative of the dispersion and moreover, assuming an unchanged Gilbert damping \nconstant, the expected decay length λD can be derived. An overview for different investigated structure \nwidths is given in Supplementary Fig. S5 . In the following, these theoretical predictions are compared \nto the experimentally measured spin-wave spectra. \nSpin-wave spectra and decay length \nTo acquire the respective spin-wave spectrum for each structure width, a RF current is applied to the \nCPW antenna to excite spin waves. Using micro-focused BLS, the spin-wave intensity is m easured in \nthe center of the waveguide close to the edge of the CPW. Sweeping the applied RF frequency fex yields \nthe spectrum, as it is exemplarily shown in Fig. 3A - C (solid black lines) for structure widths w = 1000 \nnm, 300 nm and 50 nm. The theoretical spin-wave spectrum (dashed black lines in Fig. 3 A - C) is \ncalculated from the excitation efficiency of the CPW antenna ( Supplementary Fig. S5 ) and the spin-\nwave dispersion. For larger structure sizes, a good qualitative agreement between theo ry and \nexperiment is found. However, a frequency shift is observed, which increases for decreasing structur e \nsize (see Supplementary Fig. S7 ) to approximately 200 MHz for the smallest structures. A potential \ncause for this shift can be found in the increasing influence of laser heating for d ecreasing structure \nsize. An influence of the structuring process cannot be excluded either . Additionally, in Fig. 3C an \nunexpectedly broad spectrum is observed. This is an indicator for a nonlinear behavior of the spin \nwaves and the appearance of a nonlinear shift due to a high magnon density which would also lead to \na downshift of the measured spectrum ( 9, 34–36). \n \nFig. 3. RF-excitation spectra and decay length measurements. (A) - (C) Measurement (solid lines) in comparison to the \ntheoretical calculation (dashed lines) of the excited spin-wave spectra close to the CPW (black) and in a certain distance to \nthe CPW (red) for w = 1000 nm , 300 nm and 50 nm and µ 0Hext = 55 mT. (D) - (F) Spin-wave intensity integrated across the \nwidth of the waveguide vs. the propagation distance along the wavegui de. An exponential fit according to Eq. (3) yields the \ndecay length λD. The excitation frequency is selected from (A ) - (C) by choosing the spin waves which exhibits the highest \nintensity after a certain propagation distance. This frequency is marked by the vertical dashed black line in (A ) - (C). \nIn the following, the decay length λD is extracted from the experiment. This parameter is crucial \nconsidering any application of spin waves, since it defines the range of informatio n transport and \ndetermines the energy consumption of devices. \nDepending on the chosen excitation frequency and the excited mode, the decay le ngth might vary due \nto a change in group velocity and lifetime. To select the frequency which po ssesses the largest decay \nlength, a second spectrum ( Fig. 3A - C solid red line) is acquired in a certain set distance to the edge of \nthe CPW antenna . The intensity maximum of this spectrum is shifted to the frequency of the wave \nwhich has the best trade-off between velocity and propagation losses and thus, the largest decay \nlength within the accessible excitation regime. This frequency fλD, marked by a vertical dashed black \nline in Fig. 3A - C , is used for further investigation. A spatial resolved 2D-scan across and along the \nwaveguide is performed while spin waves with fλD are continuously excited. Integrating the measured \nintensity across the width results in the decay graphs shown in Fig. 3D - F . To describe the observed \ndecrease, an exponential decay according to \n𝐼(𝑥)= 𝐼 1exp (2𝑥\n𝜆D) + 𝐼 0 \n (3) \ncan be fitted. Here, I1 denotes the initial intensity, x the position along the waveguide and I0 the offset \nintensity due to the background of thermal spin waves. The results are displayed in Fig. 3D - F . For w = \n1000 nm the decay length is found to be λD = (12.0 ± 1.2) µm. For smaller structure widths, a decrease \nto λD = (4.6 ± 1.1) µm for w = 300 nm down to λD = (1.8 ± 0.4) µm for w = 50 nm is observed. The \nappearance of nonlinear effects, as seen in Fig. 3C , might influence these measurements. This is due \nto the fact that they constitute an additional loss channel for the initial spin wave, hence the presented \nresults can be understood as a lower limit estimation. In fact, the observed decay leng ths already fulfill \nthe fundamental requirement regarding the realization of nano-scaled magnonic logic circuits, which \nis the information conservation on the length scale of the respective logic gate. Moreover, the \npotential circuit complexity, which can be defined as the decay length over the feature size RPCC = λD/w, \nincreases from RPCC = 12 for w = 1000 nm to RPCC = 36 for w = 50 nm (see Fig. 3D,F and Supplementary \nFig. S8). Hence, with decreasing structure size more advanced logic operations can be achieved without \nthe need of intermediate amplification of the spin waves. \nIn the following, the measured decay lengths are compared to the theoretical expectatio n. The \nexperimental results for all investigated structure widths are shown in Fig. 4A (black dots) and confirm \nthe observed decline of the decay length. One can calculate the theoretical expectation (see \nSupplementary Fig. S5 ) under the assumption that the Gilbert damping parameter remained \nunchanged during the structuring process. For further comparison, the maximum of the the oretically \nexpected decay length, within the accessible wavevector excitation regime, is extracted and pl otted in \nFig. 4A (red dots solid line). The theoretical values describe the results reasonably well, but are slightly \nsmaller than the experimental data for larger structure widths above w = 1000 nm . This discrepancy \nmight be caused by the determination of the initial Gilbert damping parameter. Since the us ed method \nessentially probes a large sample area also inhomogeneities are included which mig ht lead to a n \noverestimation of the damping, whereas a local measurement in a nanostructure yields a damping \ncloser to the real intrinsic value ( 19). Furthermore, the experimental data shows a quasi-saturation \nlevel below w = 100 nm, whereas the theory decreases monotonously. A careful analysis has to be \nmade to exclude that the observed saturation level is a measurement artifact, since the direct \nexcitation by the CPW antennas far field can mimic an exponential decay. This influence i s ruled out \nby theoretical estimations as discussed in Supplementary Sec. S5 and by extracting the group velocity \nfrom time resolved measurements, as discussed below. \n \nFig. 4. Measured decay length and group velocity in dependency o f the structure width. (A) The observed decay length \ndecreases with decreasing structure width. Comparing to theoretical calculations, a reasonable agreement is found which \nindicates an intrinsic origin, found to be the group velocity, rat her than a loss of quality during the structuring process. (B) \nThis is verified by confirming the theoretical expectations for the group velocity by employing time-resolved micro-focused \nBLS. \nSpin-wave group velocity \nBoth, the theoretical calculation and the experimentally observed decay length, show a reaso nable \naccordance, which indicates only a moderate influence of the structuring process since unchanged \ninitial parameters of the YIG have been assumed for the calculations. Therefore, the cause of the \ndecreasing decay length is of rather intrinsic nature and can be found in the group velocity vg. The \ndipolar branch of the dispersion flattens successively with decreasing structure size, as it is visible in \nFig. 1B and Supplementary Fig. 5 . Thus, the group velocity, which is the derivative of the dispersion \nrelation, decreases substantially and subsequently also the decay length ( Supplementary Fig. S5 ). To \nexperimentally validate this assumption, the group velocity is measured directly. By ap plying 50 ns long \nexcitation pulses to the CPW antenna, spin-wave wave packets are excited. Utilizin g time-resolved \nmicro-focused BLS, the wave packet can be tracked in time and space, which gives access to the group \nvelocity. A detailed description of the measurements is given in Supplementary Sec. S8 . Figure 4B \nshows the resulting group velocity for several waveguide widths (black dots). A good qualitative \nagreement of experiment and theory is found confirming the theoretical assumptio ns. Only for \nstructures with w < 100 nm the results lie above the expectation, which explains the quasi-saturation \nlevel of the decay length for those structures. Potentially, during the course of th eir propagation, the \nwavevector of the observed waves is shifted to the exchange regime which would result in an increased \ngroup velocity. This can be caused by a frequency downshift of the dispersion due to laser heating, \nwhereas two-magnon-scattering provides the mechanism to transform the wavevector while the \nfrequency of the spin wave is conserved. An exemplary calculation for w = 50 nm shows that only a \nsmall frequency shift of 28 MHz is necessary to cause a wavevector transformation fro m 2.62 rad/µm \nto 10.5 rad/µm (transformation of the wavelength from 2.4 µm to 600 nm) whi ch corresponds to the \nobserved group velocity. Nevertheless, these measurements are ultimately proving the propagation \nof spin waves in these nano-conduits, since any kind of direct excitation of the CPW antenna is \nimmediately separated by the time resolution. \nCONCLUSION \nTo conclude, we present ed a study of the propagation of spin-wave packets in individual magnonic \nconduits with lateral dimensions down to 50 nm . Space and time resolved micro-focused Brillouin-\nLight-Scattering spectroscopy was used to extract the exchange constant and directly m easure the \nspin-wave decay length and group velocity. The decrease of the decay length in dependency of the \nconduit width theoretically predicted by ( 24) was proven experimentally showing a decrease from λD \n= (12.0 ± 1.2) µm for w = 1000 nm down to λD = (1.8 ± 0.4) µm for w = 50 nm, which indicates only a \nmoderate influence of the structuring process on the YIG parameters. The decrease is caused by a \nsuccessive flattening of the dipolar branch of the spin-wave dispersion, due to the interpl ay of the in-\nplane and out- of-plane demagnetization tensor components leading from an elliptical to a circular spin \nprecession when approaching an aspect ratio of 1. In spite of the drop in the free p ath, the potential \ncircuit complexity increases by a factor of thr ee from RPCC = 12 to RPCC = 36 rendering nano-waveguides \nmore attractive for the construction of magnonic circuits. Surprisingly, the measured free p ath in a 50 \nnm wide waveguide is two times larger than the expected theoretical value of λD = 0.97 µm which is, \nhowever, in agreement with the unexpectedly high spin-wave group velocity obtained from direct \nmeasurements. This indicates that fast exchange-dominated spin waves of short wavelengths down to \n600 nm are responsible for the transfer of energy in the smallest waveguides rather than l ong-\nwavelength dipolar waves. The presented demonstration of spin-wave propagation on the nanoscale, \ncomparable to the scale of modern CMOS, is a significant milestone on the way t owards the \ndevelopment of novel magnonic circuits. \nMATERIALS AND METHODS \nSample fabrication \nA 44 nm thin LPE grown (111) YIG film on a 500 µm thick (111)-oriented GGG substrate was used for \nthe fabrication of the nanostructures. Prior to the structuring process, the sample was cleaned by \nmeans of an ultrasonic bath in acetone and isopropanol. Afterwards an oxygen plasma treatment was \nused to remove any organic residuals. Ti/Au (20 nm/40 nm) alignment marker and structure labels \nwere fabricated utilizing a Lift-Off technique with Electron Beam (E-Beam) Li thography and E-Beam \nPhysical Vapor Deposition. YIG waveguides of 60 µm length with tapered ends and width s of w = 5 µm, \n3 µm, 2 µm 1 µm, 900 nm, 800 nm, 700 nm, 600 nm, 500 nm, 400 nm, 300 nm, 200 nm, 100 nm, 90 nm, \n80 nm, 70 nm, 60 nm and 50 nm were fabricated using a hard mask ion beam milling procedure. This \nprocedure was based on a Cr/Ti stack of 30 nm/15 nm thickness as the hard mask and successive Ar+ \nion milling under 20°, 70° and 20° incident angle with respect to the film normal. An additional in-detail \ndescription is given in Supplementary Sec. S2 . In a final step ground-signal-ground (GSG) CPW antennas \nwere structured on top of the waveguides made from Ti/Au (10 nm/80 nm). The respective width of \nthe GSG lines is 400 nm-600 nm-400 nm with a G-S center- to-center distance of 1.1 µm. \nMicro-focused BLS spectroscopy \nThe sample is placed in-between the poles of a large electromagnet to provide a variable and spatiall y \nhomogeneous magnetic field. A continuous-wave single-frequency laser operating at 457 nm is \nfocused through the substrate of the sample on the respective structure to be investigated using a \ncompensating microscope objective (magnification 100x, numerical aperture NA = 0.8 5). The effective \nlaser spot size is approximately 3 00 nm and the effective laser power on the sample is 2 mW. Analyzing \nthe inelastically scattered light using a multi-pass Tandem-Fabry-Pérot interferom eter and a single \nphoton detector yields the frequency shift of the light and thus, due to momentum and energy conversation, the frequency of the magnons. The measured BLS intensity is proportional to the spi n-\nwave intensity and in-plane spin-wave wavevectors up to 24 rad/µm can be detected. For the \nmeasurement of the thermal population, the absolute frequency resolution is 150 MHz. A piezoelectric \ndriven nano-positioning stage allows for spatial resolved scans by movi ng the sample with respect to \nthe objective and in addition, is used to realize an optic al stabilization to compensate thermal drifts. \nFurthermore, using a pulse generator allows for the synchronization of the microwave exci tation \nsource and the detector output and thus, allows for time resolved measurements. \nSUPPLEMENTARY MATERIALS \nFig. S1 . Results of the VNA-FMR spectroscopy. \nFig. S2. Schematically depicted nanostructuring process. \nFig. S3. Effective width. \nFig. S4 . Degradation of the 1st PSSW mode intensity. \nFig. S5. Overview of the theoretical calculations. \nFig. S6. Far field excitation of the CPW antenna. \nFig. S7. Frequency mismatch of the spin-wave spectra. \nFig. S8. Potential circuit complexity. \nFig. S9 . Exemplary group velocity measurement for w = 1000 nm. \n \nREFERENCES AND NOTES \n \n(1) International Roadmap for Devices and Systems 2017 Edition : More Moore. (IEEE, 2018). \n(2) B. Dieny, I. L. Prejbeanu, K. Garello, P. Gambardella, P. Freitas, R. Lehndorff, W. Raberg, U. \nEbels, S. O. Demokritov, J. Akerman, A. Deac, P. Pirro, C. Adelmann, A. Anane, A. V. Chumak, \nA. Hiroata, S. Mangin, M. C. Onbasli, M. d´Aquino, G. Prenat, G. Finocchio, L. Lopez Di az, R. \nChantrell, O. Chubykalo-Fesenko, P. Bortolotti, Opportunities and challenges for spintroni cs \nin the microelectronic industry. arXiv :1908.10584 (2019). \n(3) A. A. Serga, A. V. Chumak, B. Hillebrands, YIG magnonics. J. Phys. D Appl. Phys. 43, 264002 \n(2010). \n(4) V. V. Kruglyak, S. O. Demokritov, D. Grundler, Magnonics. J. Phys. D Appl. Phys. 43, 264001 \n(2010). \n(5) A. Khitun, M. Bao, K. L. Wang, Magnonic logic circuits. J. Phys. D Appl. Phys. 43, 264005 (2010). \n(6) A. V. Chumak, V. I. Vasyuchka, A. A. Serga, B. Hillebrands, Magnon spintronics. Nat. Phys. 11, \n453-461 (2015). \n(7) A. V. Chumak, A. A. Serga, B. Hillebrands, Magnon transistor for all-magnon data proces sing. \nNat. Commun. 5, 4700 (2014). \n(8) T. Brächer, P. Pirro, An analog magnon adder for all-magnonic neurons . J. Appl. Phys. 124, \n152119 (2018) . \n(9) Q. Wang, R. Verba, T. Brächer, F. Ciubotaru, C. Adelmann, S. D. Cotofana, P. Pirro, A. V. \nChumak, Integrated magnonic half-adder. arXiv :1902.02855v2 (2019). \n(10) T. Schneider, A. A. Serga, B. Leven, B. Hillebrands, R. L. Stamps, M. P. Kostylev, Realization of \nspin-wave logic gate. Appl. Phys. Lett. 92, 022505 (2008). \n(11) K. S. Lee, S.-K. Kim, Conceptual design of spin wave logic gates based on a Mach-Zehnder-type \nspin wave interferometer for universal logic functions. J. Appl. Phys. 104, 053909 (2008). \n(12) K. Vogt, F. Y. Fradin, J. E. Pearson, T. Sebastian, S. D. Bader, B. Hillebrands, A. Hoffmann, H. \nSchultheiss, Realization of a spin-wave multiplexer. Nat. Commun. 5, 3727 (2014). \n(13) T. Fischer, M. Kewenig, D. A. Bozhko, A. A. Serga, I. I. Syvorotka, F. Ciubotaru, C. Adel mann, B. \nHillebrands, A. V. Chumak, Experimental prototype of a spin-wave majority gate. Appl. Phys. \nLett. 110, 152401 (2017). (14) F. Heussner, M. Nabinger, T. Fischer, T. Brächer, A. A. Serga, B. Hillebrands, P. Pirro, \nFrequency-division multiplexing in magnonic logic networks based on causticlike spin-wave \nbeams. Phys. Stat us Solidi 12, 1800409 (2018). \n(15) Q. Wang, M. Kewenig, M. Schneider, R. Verba, B. Heinz, M. Geilen, M. Mohseni, B. Lägel, F. \nCiubotaru, C. Adelmann, C. Dubs, P. Pirro, T. Brächer, A. V. Chumak, Realization of a nanoscale \nmagnonic directional coupler for all-magnon circuits. arXiv :1905.12353 (2019). \n(16) P. Pirro, T. Brächer, A. V. Chumak, B. Lägel, C. Dubs, O. Surzhenko, P. Görnert, B. Leven, B. \nHillebrands, Spin -wave excitation and propagation in microstructured waveguides of yttrium \niron garnet/Pt bilayers. Appl. Phys. Lett. 104, 012402 (2014). \n(17) M. B. Jungfleisch, W. Zhang, W. Jiang, H. Chang, J. Sklenar, S. M. Wu, J. E. P earson, A . \nBhattacharya, J. B. Ketterson, M. Wu, A. Hoffmann, Spin waves in micro-structured yt trium \niron garnet nanometer-thick films . J. Appl. Phys. 117, 17D128 (2015). \n(18) M. Collet, O. Gladii, M. Evelt, V. Bessonov, L. Soumah, P. Bortolotti, S. O. Demokritov, Y. Henry, \nV. Cros, M. Bailleul, V. E. Demidov, A. Anane , Spin-wave propagation in ultra-thin YIG based \nwaveguides. Appl. Phys. Lett. 110, 092408 (2017). \n(19) C. Hahn, V. V. Naletov, G. de Loubens, O. Klein, O. d'Allivy Kelly, A. Anane, R. Berna rd, E. \nJacquet, P. Bortolotti, V. Cros, J. L. Prieto, M. Muñoz, Measurement of the intrinsic damping \nconstant in individual nanodisks of Y 3Fe5O12 and Y 3Fe5O12|Pt. Appl. Phys. Lett. 104, 152410 \n(2014). \n(20) M. Schneider, T. Brächer , V. Lauer , P. Pirro , D. A. Bozhko , A. A. Serga, H. Yu. Musiienko-\nShmarova, B. Heinz, Q. Wang, T. Meyer , F. Heussner, S. Keller, E. Th. Papaioannou, B. Lägel, T. \nLöber, V. S. Tiberkevich, A. N. Slavin, C. Dubs, B. Hillebrands, A.V. Chumak, Bose -Einstein \nCondensation of Quasi-Particles by Rapid Cooling. arXiv :1612.07305v2 (2016). \n(21) C. Safranski, I. Barsukov, H.K. Lee, T. Schneider, A.A. Jara, A. Smith, H. Chang, K. Lenz, J. \nLindner, Y. Tserkovnyak, M. Wu, I.N. Krivorotov, Spin caloritronic nano-oscill ator. Nat. \nCommun. 8, 117 (2017). \n(22) V. Cherepanov, I. Kolokolov, V. L'vov, The saga of YIG: Spectra, thermodynamics, interaction \nand relaxation of magnons in a complex magnet. Phys. Rep . 229, 81-144 (1993 ). \n(23) G. P. Espinosa, Crystal Chemical Study of the Rare ‐Earth Iron Garnets . J. Chem. Phys. 37, 2344 \n(1962). \n(24) Q. Wang, B. Heinz, R. Verba, M. Kewenig, P. Pirro, M. Schneider, T. Meyer, B. Lägel, C. Dubs, \nT. Brächer, A.V. Chumak , Spin pinning and spin-wave dispersion in nanoscopic ferromagnetic \nwaveguides. Phys. Rev. Lett. 122, 247202 (2019). \n(25) C. Dubs, O. Surzhenko , R. Linke, A. Danilewsky, U. Brückner, J. Dellith, Sub-micrometer yttrium \niron garnet LPE films with low ferromagnetic resonance losses. J. Phys. D: Appl. Phys. 50, \n204005 (2017). \n(26) C. Dubs, O. Surzhenko, R. Thomas, J. Osten, T. Schneider, K. Lenz, J. Grenzer, R. Hübner, E. \nWendler, Low damping and microstructural perfection of sub-40nm-thin yttrium iron garnet \nfilms grown by liquid phase epitaxy. arXiv :1911.09400v1 (2019). \n(27) S. S. Kalarickal, P. Krivosik, M. Wu, C. E. Patton, M. L. Schneider, P. Kabos, T. J. Silva, J. P. \nNibarger, Ferromagnetic resonance linewidth in metallic thin films: Compariso n of \nmeasurement methods , J. Appl. Phys. 99, 093909 (2006). \n(28) I. S. Maksymov, M. Kostylev, Broadband stripline ferromagnetic resonance spectroscopy of \nferromagnetic films, multilayers and nanostructures. Physica E 69, 253-293 (2015). \n(29) K. Yu. Guslienko, S. O. Demokritov, B. Hillebrands, A. N. Slavin, Effective dipolar boundary \nconditions for dynamic magnetization in thin magnetic stripes. Phys. Rev. B 66, 132402 (2002). \n(30) K. Yu. Guslienko, A. N. Slavin, Boundary conditions for magnetization in m agnetic \nnanoelements. Phys. Rev. B 72, 014463 (2005). \n(31) T. Sebastian, K. Schultheiss, B. Obry, B. Hillebrands, H. Schultheiss, Micro-focused Brillouin \nlight scattering: imaging spin waves at the nanoscale. Front. Phys. 3, 00035 (2015 ). \n(32) S. S. Shinozaki, Specific Heat of Yttrium Iron Garnet from 1.5° to 4.2°K. Phys. Rev. 122, 388 \n(1961). (33) S. Klingler, A. V. Chumak, T. Mewes, B. Khodadadi, C. Mewes, C. Dubs, O. Surzhenko, B. \nHillebrands, A. Conca, Measurements of the exchange stiffness of YIG films using broadban d \nferromagnetic resonance techniques. J. Phys. D: Appl. Phys. 48, 015001 (2015). \n(34) P. Krivosik, C. E. Patton, Hamiltonian formulation of nonlinear spin-wave dyn amics: Theory \nand applications. Phys. Rev. B 82, 184428 (2010). \n(35) H. G. Bauer, P. Majchrak, T. Kachel, C. H. Back, G. Woltersdorf, Nonlinear spin-wave \nexcitations at low magnetic bias fields. Nat. Comm un. 6, 8274 (2015). \n(36) R. Verba, M. Carpentieri, G. Finocchio, V. Tiberkevich, A. Slavin, Excitation of propagating spin \nwaves in ferromagnetic nanowires by microwave voltage-controlled magnet ic anisotropy. Sci. \nRep. 6, 25018 (2016). \n(37) D. Chumakov , High Frequency Behaviour of Magnetic Thin Film Elements for \nMicroelectronics, Technische Universität Dresden (2007 ). \n(38) V. E. Demidov , M. P. Kostylev , K. Rott , P. Krzysteczko , G. Reiss , S. O. Demokritov, Excitation of \nmicrowaveguide modes by a stripe antenna, Appl. Phys. Lett. 95, 112509 (2009). \n \nAcknowledgements \nThis research has been funded by the European Research Council project ERC Starting Grant 6783 09 \nMagnonCircuits, by the Deutsche Forschungsgemeinschaft through the project DU 1 427/2-1, by the \nCollaborative Research Center SFB/TRR- 173 “Spin+X” (Project B01) and by the Austrian Science Fund \n(FWF) through the project I 4696-N. B.H. acknowledges support by the Graduate School Mat erial \nScience in Mainz (MAINZ). The authors thank Burkard Hillebrands for support and valuable discu ssions. \n \nAuthor contributions \nC.D. fabricated the used YIG film. B.H., B.L., A.M.F., D.B. and S.S. carried out the nanostructuring of the \nsample. B.L. and B.H. acquired the SEM micrographs. M.S and B.H. prepared the measurement setup \nand B.H. conducted all VNA-FMR and BLS measurements and carried out the evaluation. B.H. and Q.W. \nperformed all theoretical calculations. B.H. drafted the manuscript with the help of A.V.C., T.B. and \nP.P. The study was supervised by A.V.C, T.B. and P.P. All authors contributed to the sci entific discussion \nand commented on the manuscript. Supplementary Materials \nPropagation of spin-waves packets in individual nano-sized yttrium \niron garnet magnonic conduits \nBjörn Heinz1,2*, Thomas Brächer1, Michael Schneider1, Qi Wang1,3, Bert Lägel4, Anna M. Friedel1, David \nBreitbach1, Steffen Steinert1, Thomas Meyer1,5, Martin Kewenig1, Carsten Dubs6, Philipp Pirro1 and \nAndrii V. Chumak1,3 \n \n1Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universität Kaiserslautern, \nD-67663 Kaiserslautern, Germany. \n2Graduate School Materials Science in Mainz, Staudingerweg 9, D-55128 Mainz, Germany. \n3Faculty of Physics, University of Vienna, Boltzmanngasse 5, A-1090 Wien, Austria. \n4Nano Structuring Center, Technische Universität Kaiserslautern, D-67663 Kaiserslautern, Germany . \n5THATec Innovation GmbH, Augustaanlage 23, D-68165 Mannheim, Germany. \n6INNOVENT e.V., Technologieentwicklung Jena, D-07745 Jena, Germany. \n \n*Corresponding author \nE-Mail: bheinz@rhrk.uni-kl.de \n \nS1 – Preliminary characterization \nA preliminary characterization of the YIG film in use is carried out by means of stripl ine Vector-\nNetwork-Analyzer ferromagnetic resonance (VNA-FMR) spectroscopy in an in-plan e magnetized \nconfiguration . A detailed description of this method can be found in ( 27, 28), here only the applied \nfitting equations and the results are stated: \n𝑓FMR = 𝛾√µ0𝐻ext(µ0𝐻ext+ µ 0𝑀s) , (S1) \n \nµ0∆𝐻 = µ 0∆𝐻 0+2𝛼𝑓 FMR\n𝛾 . (S2) \nThe influence of any internal in-plane magnetocrystalline anisotropy is neglected as it is expected to \nbe rather weak ( 25). The measurement , depicted in Supplementary Fig. S1 , yields a saturation \nmagnetization of 𝑀s=(140 .7 ± 2.8 ) kA\nm, an effective gyromagnetic ratio of 𝛾=𝛾\n2𝜋=(28.17±\n0.56) GHz\nT, an inhomogeneous linewidth broadening of µ0Δ𝐻 0=(0.18± 0. 01) mT and a Gilbert \ndamping parameter of 𝛼 = (1.75± 0. 08)×10−4. \n \nFig. S1. Results of the VNA-FMR spectroscopy. A linear fit according to Eq. S1 of the field linewidth vs. the resonance \nfrequency yields a Gilbert damping parameter of 𝛼 = 1. 75×10−4. Inset: Resonance frequency vs. external magnetic field. \nUsing Eq. S2 the saturation magnetization of 𝑀𝑠=140 .7 𝑘𝐴\n𝑚 is extracted. \nS2 – Nanostructuring procedure \nHere, an in-detail description of the nanostructuring process is given which is based upon a hard-mask \nion beam milling procedure and is depicted schematically in Supplementary Fig. S2 . Prior to the actual \nstructuring of the YIG film, the sample is cleaned in an ultrasonic bath using acet one and isopropanol \nand is exposed to an oxygen plasma to remove organic residuals. Then, a double l ayer of photoresist, \nconsisting of a bottom layer of PMMA AR-P 669.04 (dark blue layer) and a top layer of PMMA AR- P \n679.02 (light blue layer), is applied using spin coating, where both layers exhibit a different molecule \nchain length ( Panel A ). Using Electron-Beam (E-Beam) Lithography the desired structures are written \ninto the resist, which alternates the chemical structure of the exposed areas. Due to the differen t \nmolecule chain length the top layer is left with a smaller alternated area than the bottom layer ( Panel \nB). In a development step these areas are chemically removed and a resist mask with a so-called \nundercut is generated, which is necessary to guarantee the success of the Lift-Off process later on \n(Panel C ). Using E-Beam Physical Vapor Deposition a stack of Cr (30 nm)/Ti (15 nm) i s deposited on top \nof the whole sample ( Panel D ). In a Lift-Off step the resist is removed by acetone and consequently , \nthe metal which is on top of the resist. Only the previously exposed areas remain co vered by the metal \nand a so-called hard mask is generated ( Panel E ). In a successive multi-step ion beam milling process, \nthe sample is bombarded with Ar+ ions under incident angles of 20°, 70° and 20° with respect to the \nfilm normal ( Panel F ). The unprotected YIG, as well as the Ti layer of the mask, are removed by the io n \nbombardment, and only the desired YIG structures and some residual Cr on top of these remain. \nSubsequently, the Cr is removed by a wet etch process using an acid that YIG is inert t o (Panel G ), \nresulting in the final structure ( Panel H ). \n \nFig. S2. Schematically depicted nanostructuring process. (a-c) A resist mask with an undercut is generated by E-Beam \nLithography. (d-e) Deposition of Cr(30nm)/Ti(15nm) by means of E-Beam Physical Vapor Deposition and a succ essive Lift-Off \nresult in a hard mask. (f-h) After Ar+ ion milling and removal of the residual hard mask the final structure is achieved. \nAlthough this hard mask procedure is a quite time consuming and complex method , it is superior \ncompared to common microstructuring processes directly utilizing a resist mask as a protective layer \nfor a milling step. The reason for this lies within the difficulty to fabricate high aspect ratio (height to \nwidth ratio) masks, which is necessarily the case if the intended structure size decreases to the \nnanometer regime. By utilizing Titanium, which exhibits a much higher etch resi stance than any \npolymer resist mask, much thinner masks can be used. Furthermore, using Chromium as an ad ditional \nseed layer allows for an easy removal of the residual mask afterwards. It should b e noted that this \nprocedure is especially suitable for YIG but might not be utilized for metallic ferromagnets, since it is \ntricky to find an acid with the proper selectivity regarding Chromium and the magnets consti tuents. \n \n \nS3 – Effective width and unpinning threshold \nTypically, the phenomenon of pinning, caused by the dipolar interaction, is taken into account by \nintroducing an effective width weff>w (29, 30). This width corresponds to the imaginary width at which \na full pinning of the dynamic precession occurs. However, the exchange interaction counteracts this \ntendency and eventually dominates if the width of the respective element is decreased, forcing the \nsystem into a fully unpinned state. This is shown in Supplementary Fig. S3 using the inverse effective \nwidth w/weff, which can be understood as a pinning parameter ( 24). If the system is fully pinned this \nratio will be equal to 1, whereas the fully unpinned state equals 0. For the investigate d waveguides the \nunpinning threshold width is approximately wcrit = 220 nm, marked by the vertical dashed black line in \nSupplementary Fig. S3 . \n \nFig. S3. Effective width. Calculated inverse effective width for all investigated structures vs . the structure´s width to show the \npinning condition. The system is always fully unpinned below the unpinning threshold width of wcrit = 220 nm, marked by the \nvertical dashed black line. \nS4 – Thermal BLS measurements \nAs it can be seen in Supplementary Fig. S4 the observed 1st PSSW mode intensity decreases with \ndecreasing structure size. The potential origin can be linked to multiple eff ects. Especially the BLS \nsensitivity is changed with decreasing structure size, since the effectively probed volu me decreases \ndrastically for structures smaller than 300 nm (size of the laser spot). In addition, the effective \nscattering cross section has a complex dependency on the mode profile and thus on the width of the \nstructure. Furthermore, the slight trapezoidal shape of the structures might lead to the obs erved \ndistortion of the mode, since the thickness is no longer well defined, but a lternated. Moreover, a \npotential structural damage due to the nanostructuring process cannot be ruled out. \n \nFig. S4. Degradation of the 1st PSSW mode intensity. Thermal BLS spectra for different structure widths w and an extern al \nfield of µ 0Hext = 211.2 mT. A clear drop of the PSSW intensity is observed for decreasin g structure size whereas the \nfundamental mode remains unchanged. The spectra are vertically shifted with respect to each other for better visibility. \nS5 – Theoretical calculations \nIn this chapter, an overview of the theoretical calculations is given. The dispersion, group vel ocity and \ndecay length for different waveguide widths w shown in Supplementary Fig. S5A - C are calculated \nunder the assumption of unchanged initial parameters of the YIG and using the measured excha nge \nconstant ( Fig. 2 ). Moreover, the amplitude excitation efficiency of the CPW antenna is depicted in \nSupplementary Fig. S5D, which is derived as the Fourier transformation of the total magnetic field \ndistribution ( Inset Supplementary Fig. S6 ). For a detailed derivation of the magnetic field, see \nReference ( 37). It should be noted that only the out- of-plane (oop) field has to be taken into account, \nsince the in-plane field cannot directly excite spin waves in the used measurement configuration. \nFurthermore, the shown excitation efficiency is only proportional to the real excit ation efficiency since \nthe effective mode profile and the ellipticity of the precession further influence the c oupling (38). \nTherefore, a relative comparison between the signal strength of different structures is not possible. \n \nFig. S5. Overview of the theoretical calculations. (A) Dispersion, (B) Decay length under the assumption of an unchanged \nGilbert damping parameter and (C) Group velocity for an external field of µ 0Hext = 55 mT. (D) Calculated out- of-plane (oop) \nfield amplitude excitation efficiency of the CPW antenna in use. \nSince the direct excitation by the CPW antennas far field is independent of the structure size and can \nmimic an exponential decay, a careful analysis has to be made to exclude that the m easurements of \nthe decay length are distorted by this effect. To estimate this influence, the decay of the cal culated \noop-field is fitted with an exponential decay as shown in Supplementary Fig. S6 , which yields a small \ndecay length of only λD = 300 nm. Thus, the far field ’s influence on the measurements is expected to \nbe minor. \n \nFig. S6 . Far field excitation of the CPW antenna. An exponential fit of the oop-field yields a small decay length of 300 nm due \nto the field confinement of the CPW configuration, hence the in fluence on the measurements is expected to be minor . Inset : \nTotal field distribution of the oop-field. The shaded areas mark the CPW antenna. \nS6 – Frequency mismatch \nSupplementary Fig. S7 shows the frequency of the measured and calculated spin-wave spectra. The \nfrequency mismatch increases with decreasing structure size to approximately 200 MHz for w = 50nm. \n \nFig. S7 . Frequency mismatch of the spin-wave spectra. Frequency of the maximum intensity of measured and calculated \nspin-wave spectra for all investigated waveguide widths w. \nS7 – Potential circuit complexity \nThe ratio RPCC = λD/w can be understood as a normalization of the decay length with the fea ture size of \nthe respective structure and is used to define the so-called potential circuit complexity. This is based \non the following consideration : Assuming, based on the feature size, a grid with 𝑤 × 𝑤 cell size, a larger \npotential circuit complexity allows for a longer wiring within a single decay length and thus allows for \nmore complex logic operations without the need of additional amplification. In Supplementary Fig. S8 \nthe potential circuit complexity for all investigated structure widths is shown. \n \nFig. S8. Potential circuit complexity. An increasing potential circuit complexity is observed for decreasing struc ture size. \nS8 – Measurement of the group velocity \nThe group velocity is measured by exciting spin-wave wave packets in a pulsed manner and tracking a \ndistinct spin-wave mode along the waveguide by micro-focused time resolved BLS spectrosc opy. To do \nso, a pulsed excitation spectrum is acquired close to the CPW antenna by applying 50 ns long \nmicrowave pulses with varying carrier frequency and the frequency of the intensity ’s maximum is \ndetermined and selected for further investigation. Then, the time resolved spin-wave in tensity is \nmeasured in the center of the waveguide along the propagation direction, as depicted in \nSupplementary Fig. S9 . The time corresponding to the respective maximum intensity is defined as the \npeak arrival time. Performing a linear fit of the measurement position vs. the peak arrival tim e, as \nshown in the Inset in Supplementary Fig. S9 yields the group velocity of the respective spin-wave mode. \nFor comparison to the theoretical calculations, the group velocity corresponding to the wavevector of \nthe CPW antennas maximum excitation efficiency is assumed to be the wavevector associated with \nthe maximum intensity of the frequency spectrum. \n \n \nFig. S9. Exemplary group velocity measurement for w = 1000 nm. Spin-wave wave packets are excited by 50 ns excitation \npulses and their time traces are measured at different positions along the waveguide . The peak arrival time is defined by the \nmaximum intensity which is measured. Inset: A linear fit of the measurement position vs. the peak arrival time yi elds the \ngroup velocity of the traced mode. \n" }, { "title": "1710.00222v2.Tuning_the_diffusion_of_magnon_in_Y3Fe5O12_by_light_excitation.pdf", "content": " \n Tuning the diffusion of magnon in Y 3Fe5O12 by light \nexcitation \nShuanhu Wang1, Gang Li2, Er-jia Guo3, Yang Zhao1, Jianyuan Wang1, Lvkuan \nZou4, Hong Yan1, Jianwang Cai2, Zhaoting Zhang1, Min Wang1, Yingyi Tian1, \nXiaoli Zheng2, Jirong Sun2*, Kexin Jin1* \n \n1) Shanxi Key Laboratory of Condensed Matter Structures and Properties, School of \nScience, Northwestern Polytechnical University, Xi'an 710072, China \n2) Beijing National Laboratory for Con densed Matter and Institute of Physics, Chinese \nAcademy of Sciences, Beijing 100190, China \n3) Neutron Science Directorate, Large Scale of Structure Group, Oak Ridge National \nLaboratory, Oak Ridge, TN 37831, USA. \n4) High Magnetic Field Laboratory, Chinese Academy of Science, 230031 Hefei China \n*e-mail: jrsun@iphy.ac.cn ; jinkx@nwpu.edu.cn \n \nAbstract \nDeliberate control of magnon transportation will lead to a n energy -efficient \ntechnology for information t ransmi ssion and processing . Y3Fe5O12(YIG) , \nexhibiting extremely large magnon diffusion length due to the low magnetic \ndamping constant, has been intensively investigated for decades. While most \nof the previous works focused on the determination of magnon diffusion length \nby various techniques , herein we demonstrated how to tune magnon diffusion \nby light excitation. We found that the diffusion length of thermal magnons is \nstrong ly dependen t on light wavelength when the magnon is generated by \nexposing YIG directly to laser beam. The diffusion length , determined by a \nnonlocal geometry at room temperature , is ~30 m for the magnons produced \nby visible light (400-650 nm) , and ~136-156 m for the laser between 808 nm \nand 980 nm . The diffusion distance is much longer than the reported value. In \naddition to thermal gradient, w e found that light illumination affect ed the \nelectron configuration of the Fe3+ ion in YIG. L ong wavelength laser trigger s a \nhigh spin to low spin state transition of the Fe3+ ions in FeO 6 octahedron . This \nin turn causes a substantial softening of the magnon thus a dramatic increase \nin diffusion distance . The present work paves the way towards an efficient \n tuning of magnon transport behavior which is crucially important for magnon \nspintronics. \n \n Introduction \nMagnon s describe the deviation of a magnetic system from a fully magnetic \norder . Based on spin Seebeck effect (SSE)1, non-equilibrium magnon s can be \ngenerated by a thermal gradient across a magnet . The diffusion of these m agnons \nforms magnon current or spin current. By in jecting spin current into a heavy metal1-3 \nor a topological insulator4, which ha s strong spin-orbit coupling and thus a strong \ninverse spin Hall effect (ISHE), it can be converted into charge current that can be \neasily detected. The SSE has been observed in a wide range of materials , including \nferrimagnet ic1, 5, 6, anti -ferromagnet ic7 and even some paramagnetic materials8. \nHowever, the diffusion length of the non-equilibrium magnon is still in debate. A \nrecent report show ed that the SSE first increase d and then was saturate d as the YIG \nfilm thickness increas ed9. At room temperature , the characteristic thickness for the \nSSE-saturation was ~0.1 m for pulsed laser deposited YI G. Using laser illumination \nto locally break the thermal equilibrium between magnons and phonons , which can be \nprobed directly by micro -Brillouin light scattering, An et al.10 found that the magnon \ndiffusion length is 3.1 μm at about 372 K . \nRecently, nonlocal spin Seebeck geometry11-16 was widely adopted to investigat e \nspin transport behavior ; the spatial ly separated structure makes the measuremen ts \nimmune to parasitic thermoelectric effect17, 18. Through a spin accumulati on in Pt in a \nnonlocal structure , the magnons can be generated and detected in a separated structure . \nThe first work in this aspect was done by Cornelissen et al. in 201511. The authors \nfound that the diffusion length of the non-equilibrium magnon is ~9.4 μm at room \ntemperature for the YIG film with thickness of 200 nm . Focusing laser spot on a Pt \nabsorption pad to generate thermal magnon s and detecting the ISHE signals from a Pt \nbar separated from the laser spot12, 16, Giles et al. declared that the magnon diffusion \nlength is less than 9 m at room temperature and at least 47 µ m at 23 K12. \nCompared to simply determi ning diffusion distance , tuning the transport \nbehavior of the magnon is obviously much more important and challenging. Despite \nthe tremendous advances on spincalorics , works in this regard are rare. It is well \n known that the transport behavior of the magnon strongly depends on the dispersion \nrelation . Earlier investigations on optical spectr a show ed that the electron \nconfiguration of the Fe3+ ions in YIG can be affected by light excitation19, 20. This in \nturn will affect the dispersion relation of the magnon, due to the change in spin state \nand superexchange interaction between Fe3+ ions. These works provide us the \nsuggestion of tuning the magnon diffusion by light excitation rather than the \nconventional opto -thermal and electro -thermal techniques . Based on a specific ally \ndesigned nonlocal geometry, we performed a systematic investigation on transport \nbehavior of the magnon in YIG , focusing on tuning magnon diffusion by laser \nillumination . Exposing the sample directly to a laser spot, w e find diffusion length of \nthe magnon is strong ly dependent on the wavelength of excitation light. The diffusion \ndistance is ~30 m when induced by visible light (405-650 nm), and ~ 137-156 m \nwhen l ight wavelength is between 808 nm and 980 nm . Therefore, the laser excitation \ncause s not only thermal gradient but also induces the electron configuration transition \nof the Fe3+ ions in YIG, and the n modif ies the dispersion relation and the magnon \ndiffusion length . \nExperiment \nThe YIG films were grown on (111) -oriented Gd 3Ga5O12 (GGG) substrate s \n(5× 3× 0.5 mm3) by the techniques of pulsed laser deposition (PLD) and liquid phase \nepitaxy (LPE) , respectively, with the corresponding film thickness es of 40 nm and 20 \nμm. Then a Pt layer with a thickness of 5 nm was deposited by magnetron sputtering \non YIG through a bar-shaped mask. The size of Pt strip was 4.8×0.5 mm2. The surface \nmorphology of YIG was measured by atomic force microscopy (Supplementary F ig. \nS1), which shows a root mean square roughn ess of 1.2 Å (PLD sample) or 1.1 nm \n(LPE sample) . Smooth surface is expected to favor a high spin mixing conductance at \nthe Pt-YIG interface21. X-ray diffraction (XRD) analysis confirm ed the epitax ial \ngrowth of YIG on GGG and the high film quality, as indicated by the sharp (444) \nreflection and the appearance of interference peaks (Supplementary Fig . S2). The film \nthickness of YIG (PLD sample) and Pt was determined by low-angle X-ray \n reflectivity. Further detail s on sample preparation s and c haracterization s can be found \nin Supplementary materials . \nMagnetic field ( H) was provided by two Helmholtz coil s, applied along the \nx-axis of the sample. Two electrodes aligning along the y-axis were used to detect the \nISHE voltage (VISHE). The sample was attached to cryostat by silver paste to get good \nthermal contact and to absorb the transmitted thermal energy from the laser beam . The \ncryostat is sealed in an electromagnetic ally shielding box with an optical window. The \nlaser beam with a preset wavelength was focused , though a convex mirror , on sample \nsurface to generate an up-to-bottom thermal gradient . The diameter of the light spot \nwas less than 20 μm, measured through an infrared macro lens . As show n in Fig. 1a, \nthe laser and the convex mirror were mounted on a lead rail along the x-axis, which \nallows a position tuning in micrometers. The output voltage across the Pt bar was \nrecorded as the laser spot sweeping through the middle of the Pt bar and the surface of \nthe YIG film, along the x-axis. The ISHE voltage is calculated by \nVISHE=[VISHE(+H)-VISHE(-H)]/2, where VISHE(+H) and VISHE(-H) are the saturation \nvoltage s in two opposite ly directed magnetic fields. The maxim al magnetic field in \nthis experiment is 120 Oe . It is so small that the influence of the opening Zeeman gap \non the thermal magnon can be ignore d22. For clarity, the VISHE induced by the laser \nwith the wavelength of λ nm was noted as 𝑉𝐼𝑆𝐻𝐸𝜆. \n \nResult s and discussion s \nWhen the top surface of the sample is illuminated by a laser beam , the YIG film \nwill absorb a part of the energy. For the film deposited by LPE, the transmitted energy \nis very low. So the absorbed power can be directly determined by simultaneously \nmeasuring the incident and reflected power s by optical power meter s. In general , the \nabsorbed energy will establish an out-of-plane thermal gradient , generating thermal \nmagnons due to the SSE . The thermal magnon s will then diffuse laterally to wards the \nPt bar, yielding an electrical voltage ( VISHE) due to the ISHE . Although an in-plane \nthermal gradient could also be produced by t he absorbed energy, it will mainly locate \n at the region of the laser spot and decays rapidly in the lateral direction. As proven by \nthe results of finite -element model (FEM) simulation conducted by Giles et al12 and \nAn et al .10, the temperature of the YIG surface will return to ambient temperature \nwithin 15 μm. Therefore, lateral heat flow should have no detectable effect on the \nmeasurement of diffusion length. \n \nFigure 1 | Dependence of magnon diffusion length on laser wavelength . a, The \nschematic diagram of the experimental setup . b. VISHE as a function of the absorbed laser \npower , measured with the lasers of different wavelength . Laser spot was positioned at the \nmiddle of the Pt bar (x=0) . c. Normalized VISHE as a function of spot position . Symbol s \nrepresent the expe rimental data and solid lines are the results of curve fitting based on Eq. \n(1). Inset plot shows the diffusion length ξ as a function of laser wavelength , deduced from \ndata fitting. d. Dependence of the diffusion length on absorbed laser power . The error bars \nrepresent the standard error in the fits. All measurements were conducted at room \ntemperature . Only the data for the YIG prepared by LPE are shown here . The solid lines in \nb, d and inset of c are guides to the eyes. \nFig. 1a is a sketch of the experiment setup. Fig. 1b shows the VISHE as a function \n \n of the absorbed laser p ower . When the laser beam is focused on the middle of the Pt \nbar, VISHE linearly increase s with laser power as expected . When covering the whole \nYIG with a Pt layer (5 nm in thickness), we found that VISHE remain ed constant , \nregardless of the location and size of the laser spot (Supp lementary Fig. S2) . 𝑉𝐼𝑆𝐻𝐸405 \nand 𝑉𝐼𝑆𝐻𝐸532 are a slightly larger than 𝑉𝐼𝑆𝐻𝐸808 and 𝑉𝐼𝑆𝐻𝐸980. This can be ascribed to the \nlarger absorption coefficient of lights of 405 nm and 532 nm19. \nFig. 1c presents the dependence of the normalized VISHE on the position of laser \nspot. Setting the middle of the Pt electrode to x=0, and collecting VISHE as laser spot \nsweeps along x-axis, we found that the VISHE kept nearly constant when laser spot \nscans across the Pt strip (-0.25 mm40) of SW eigenmodes in a 3 µm YIG disk,\nwhere the mode density is large due to the large lateral dimen-\nsions. The computed spatial profiles provide a direct way to\nlabel those modes, using the numbers of precession nodes in\nthe directions parallel ( nx) and transverse ( ny) to the applied\nmagnetic field. This study opens up the possibility to per-\nform experiments where many parametric modes are simulta-\nneously excited while using the normal mode approach33,34to\nunderstand and harness the complex dynamics in the modal\nspace of confined magnetic structures.\nACKNOWLEDGEMENTS\nThis work was supported by the Horizon2020 Research\nFramework Programme of the European Commission under\ngrant no. 899646 (k-NET). It is also supported by a pub-\nlic grant overseen by the Agence Nationale de la Recherche\nas part of the “Investissements d’Avenir” program (Labex\nNanoSaclay, reference: ANR-10-LABX-0035). I.N.Y . ac-\nknowledges support from the ANR grant no. ANR-18-CE24-\n0021 (Maestro).5\nFIG. 4. BLS imaging of mode profiles. The central graph displays the BLS detected frequencies at 30 mT for 10 modes of the 3 µm disk\n(blue lines) and the corresponding computed frequencies of eigenmodes (red line). These frequencies are matched (dotted dark lines) by\nassociating the mode profiles measured in the experiment (above the graph) to the ones computed in the simulation (below). The experimental\nand simulated mode frequencies (in GHz) and their di \u000berence (in MHz) are given in the table.\n\u0003titiksha.srivastava@cea.fr\nyhugo.merbouche@uni-muenster.de\nzgregoire.deloubens@cea.fr\n1T. Br ¨acher and P. Pirro, “An analog magnon adder for all-\nmagnonic neurons,” J. Appl. Phys. 124, 152119 (2018).\n2R. Nakane, G. Tanaka, and A. Hirose, “Reservoir computing with\nspin waves excited in a garnet film,” IEEE Access 6, 4462–4469\n(2018).\n3Tyler W. Hughes, Ian A. D. Williamson, Momchil Minkov, and\nShanhui Fan, “Wave physics as an analog recurrent neural net-\nwork,” Science Advances 5(2019), 10.1126 /sciadv.aay6946.\n4´Ad´am Papp, Wolfgang Porod, and Gyorgy Csaba, “Nanoscale\nneural network using non-linear spin-wave interference,” Nature\nCommun. 12, 1–8 (2021).\n5Lukas K ¨orber, Christopher Heins, Tobias Hula, Joo-V on Kim,\nHelmut Schultheiss, J ¨urgen Fassbender, and Katrin Schultheiss,\n“Pattern recognition with a magnon-scattering reservoir,” arXiv\npreprint arXiv:2211.02328 (2022), 10.48550 /arXiv.2211.02328.\n6V . V . Naletov, G. de Loubens, G. Albuquerque, S. Borlenghi,\nV . Cros, G. Faini, J. Grollier, H. Hurdequint, N. Locatelli,\nB. Pigeau, A. N. Slavin, V . S. Tiberkevich, C. Ulysse, T. Valet,\nand O. Klein, “Identification and selection rules of the spin-wave\neigenmodes in a normally magnetized nanopillar,” Phys. Rev. B\n84, 224423 (2011).\n7A. G. Gurevich and G. A. Melkov, Magnetization Oscillations and\nWaves (CRC Press, 1996).\n8R. M. White and M. Sparks, “Ferromagnetic Relaxation. III. The-\nory of instabilities,” Phys. Rev. 130, 632 (1963).\n9H. Kurebayashi, O. Dzyapko, V . E. Demidov, D. Fang, A. J.\nFerguson, and S. O. Demokritov, “Spin pumping by parametri-\ncally excited short-wavelength spin waves,” Appl. Phys. Lett. 99,\n162502 (2011).10C. W. Sandweg, Y . Kajiwara, A. V . Chumak, A. A. Serga, V . I.\nVasyuchka, M. B. Jungfleisch, E. Saitoh, and B. Hillebrands,\n“Spin pumping by parametrically excited exchange magnons,”\nPhys. Rev. Lett. 106, 216601 (2011).\n11A. A. Serga, C. W. Sandweg, V . I. Vasyuchka, M. B. Jungfleisch,\nB. Hillebrands, A. Kreisel, P. Kopietz, and M. P. Kostylev,\n“Brillouin light scattering spectroscopy of parametrically excited\ndipole-exchange magnons,” Phys. Rev. B 86, 134403 (2012).\n12C. Hahn, G. de Loubens, M. Viret, O. Klein, V . V . Naletov, and\nJ. Ben Youssef, “Detection of microwave spin pumping using the\ninverse spin hall e \u000bect,” Phys. Rev. Lett. 111, 217204 (2013).\n13V . Lauer, D. A. Bozhko, T. Br ˜A¤cher, P. Pirro, V . I. Vasyuchka,\nA. A. Serga, M. B. Jungfleisch, M. Agrawal, Yu. V . Kobljanskyj,\nG. A. Melkov, C. Dubs, B. Hillebrands, and A. V . Chumak, “Spin-\ntransfer torque based damping control of parametrically excited\nspin waves in a magnetic insulator,” Appl. Phys. Lett. 108, 012402\n(2016).\n14Morteza Mohseni, Martin Kewenig, Roman Verba, Qi Wang,\nMichael Schneider, Bj ¨orn Heinz, Felix Kohl, Carsten Dubs, Bert\nL¨agel, Alexander A. Serga, Burkard Hillebrands, Andrii V . Chu-\nmak, and Philipp Pirro, “Parametric generation of propagating\nspin waves in ultrathin yttrium iron garnet waveguides,” Phys. Sta-\ntus Solidi RRL 14, 2000011 (2020).\n15Bj¨orn Heinz, Morteza Mohseni, Akira Lentfert, Roman Verba,\nMichael Schneider, Bert L ¨agel, Khrystyna Levchenko, Thomas\nBr¨acher, Carsten Dubs, Andrii V . Chumak, and Philipp Pirro,\n“Parametric generation of spin waves in nanoscaled magnonic\nconduits,” Phys. Rev. B 105, 144424 (2022).\n16Sergei Urazhdin, Vasil Tiberkevich, and Andrei Slavin, “Paramet-\nric excitation of a magnetic nanocontact by a microwave field,”\nPhys. Rev. Lett. 105, 237204 (2010).\n17Yu-Jin Chen, Han Kyu Lee, Roman Verba, Jordan A. Katine, Igor\nBarsukov, Vasil Tiberkevich, John Q. Xiao, Andrei N. Slavin, and6\nIlya N. Krivorotov, “Parametric resonance of magnetization ex-\ncited by electric field,” Nano Letters 17, 572–577 (2017).\n18Henning Ulrichs, Vladislav E. Demidov, Sergej O. Demokritov,\nand Sergei Urazhdin, “Parametric excitation of eigenmodes in mi-\ncroscopic magnetic dots,” Phys. Rev. B 84, 094401 (2011).\n19E. R. J. Edwards, H. Ulrichs, V . E. Demidov, S. O. Demokritov,\nand S. Urazhdin, “Parametric excitation of magnetization oscilla-\ntions controlled by pure spin current,” Phys. Rev. B 86, 134420\n(2012).\n20Feng Guo, L. M. Belova, and R. D. McMichael, “Parametric\npumping of precession modes in ferromagnetic nanodisks,” Phys.\nRev. B 89, 104422 (2014).\n21T. Br ¨acher, P. Pirro, and B. Hillebrands, “Parallel pumping for\nmagnon spintronics: Amplification and manipulation of magnon\nspin currents on the micron-scale,” Physics Reports 699, 1–34\n(2017).\n22N. Beaulieu, N. Kervarec, N. Thiery, O. Klein, V . Naletov, H. Hur-\ndequint, G. de Loubens, J. Ben Youssef, and N. Vukadinovic,\n“Temperature dependence of magnetic properties of a ultrathin\nyttrium-iron garnet film grown by liquid phase epitaxy: E \u000bect of\na pt overlayer,” IEEE Magnetics Letters 9, 1–5 (2018).\n23Stefan Klingler, A V Chumak, Tim Mewes, Behrouz Khodadadi,\nClaudia Mewes, Carsten Dubs, Oleksii Surzhenko, Burkard Hille-\nbrands, and Andr ´es Conca, “Measurements of the exchange sti \u000b-\nness of yig films using broadband ferromagnetic resonance tech-\nniques,” J. Phys. D: Appl. Phys. 48, 015001 (2015).\n24Soraya Sangiao, C ´esar Mag ´en, Darius Mofakhami, Gr ´egoire\nde Loubens, and Jos ´e Maria De Teresa, “Magnetic properties of\noptimized cobalt nanospheres grown by focused electron beam\ninduced deposition (febid) on cantilever tips,” Beilstein J. Nan-\notechnol. 8, 2106–2115 (2017).\n25O. Klein, G. de Loubens, V . V . Naletov, F. Boust, T. Guillet,\nH. Hurdequint, A. Leksikov, A. N. Slavin, V . S. Tiberkevich, andN. Vukadinovic, “Ferromagnetic resonance force spectroscopy\nof individual submicron-size samples,” Phys. Rev. B 78, 144410\n(2008).\n26http://wpage.unina.it /mdaquino /index file/MaGICo.html.\n27Massimiliano d’Aquino, Claudio Serpico, Giovanni Miano, and\nCarlo Forestiere, “A novel formulation for the numerical com-\nputation of magnetization modes in complex micromagnetic sys-\ntems,” J. Comput. Phys. 228, 6130–6149 (2009).\n28Massimiliano d’Aquino and Riccardo Hertel, “Micromagnetic\nfrequency-domain simulation methods for magnonic systems,” J.\nAppl. Phys. 133, 033902 (2023).\n29J. Jersch, V . E. Demidov, H. Fuchs, K. Rott, P. Krzysteczko,\nJ. M¨unchenberger, G. Reiss, and S. O. Demokritov, “Mapping of\nlocalized spin-wave excitations by near-field brillouin light scat-\ntering,” Appl. Phys. Lett. 97, 152502 (2010).\n30Feng Guo, L. M. Belova, and R. D. McMichael, “Spectroscopy\nand imaging of edge modes in permalloy nanodisks,” Phys. Rev.\nLett. 110, 017601 (2013).\n31H. T. Nembach, Justin M. Shaw, T. J. Silva, W. L. Johnson, S. A.\nKim, R. D. McMichael, and P. Kabos, “E \u000bects of shape dis-\ntortions and imperfections on mode frequencies and collective\nlinewidths in nanomagnets,” Phys. Rev. B 83, 094427 (2011).\n32Vladislav E. Demidov and Sergej O. Demokritov, “Magnonic\nwaveguides studied by microfocus brillouin light scattering,”\nIEEE Trans. Magn. 51, 1–15 (2015).\n33S. Perna, F. Bruckner, C. Serpico, D. Suess, and M. d’Aquino,\n“Computational micromagnetics based on normal modes: Bridg-\ning the gap between macrospin and full spatial discretization,” J.\nMagn. Magn. Mater. 546, 168683 (2022).\n34S. Perna, F. Bruckner, C. Serpico, D. Suess, and M. d’Aquino,\n“Normal modes description of nonlinear ferromagnetic resonance\nfor magnetic nanodots,” AIP Adv. 12, 035244 (2022)." }, { "title": "2206.14696v1.Spin_Wave_Optics_in_YIG_by_Ion_Beam_Irradiation.pdf", "content": "Spin-Wave Optics in YIG by Ion-Beam Irradiation\nMartina Kiechle1,*, Adam Papp2, Simon Mendisch1, Valentin Ahrens1, Matthias\nGolibrzuch1, Gary H. Bernstein3, Wolfgang Porod3, Gyorgy Csaba2, and Markus\nBecherer1,+\n1Department of Electrical and Computer Engineering, Technical University of Munich, Germany\n2Faculty of Information Technology and Bionics, P ´azm´any P ´eter Catholic University, Budapest, Hungary\n3Department of Electrical Engineering, University of Notre Dame, Notre Dame, IN, 46556\n*martina.kiechle@tum.de\nABSTRACT\nWe demonstrate direct focused ion beam (FIB) writing as an enabling technology for realizing spin-wave-optics devices. It\nis shown that ion-beam irradiation changes the characteristics of YIG films on a submicron scale in a highly controlled way,\nallowing to engineer the magnonic index of refraction adapted to desired applications. This technique does not physically\nremove material, and allows rapid fabrication of high-quality architectures of modified magnetization in magnonic media\nwith minimal edge damage (compared to more common techniques such as etching or milling). By experimentally showing\nmagnonic versions of a number of optical devices (lenses, gratings, Fourier-domain processors) we envision this technology as\nthe gateway to building magnonic computing devices that rival their optical counterparts in their complexity and computational\npower.\n1 Introduction\nA major motivation behind magnonics research is to replicate the functionality of optical devices in chip-scale devices that\nare amenable to integration with microelectronic circuitry1;2. This way, the functionality of coherent optical computers could\nalso be cloned in the magnonic domain. Spin waves (magnons) display interference phenomena that resemble the ones shown\nby optical waves, but they offer submicron (possibly sub-100 nm) wavelength and can be launched and detected by electrical\nmeans. Chip-scale optically-inspired devices also provide a pathway to much needed energy-efficient neuromorphic and\nedge-AI computing components3;4.\nIt has, however, remained elusive to produce spin-wave optics that approach the ’ideal’ behavior of optical components. This is\nlargely due to the fact that no working technology is available to control the propagation characteristics of spin waves to the\nextent that is possible in optics. Ideally, one would want to realize any fine-grained spatial distribution of the index of refraction,\nas this provides a high degree of freedom in device design. Magnonic devices are almost exclusively made from Yttrium Iron\nGarnet (YIG) substrates, having low attenuation that enables propagation over long distances. Lithographic patterning of YIG\nallows defining some spin-wave optic functions5but has technological challenges6;7, as etched or milled film edges introduce\nundesired behaviors8. Even a well-controlled YIG patterning technology would be insufficient to replicate the propagation of\nelectromagnetic waves, which propagate in vacuum, and optical devices can be made by patterning a transparent material to an\nappropriate shape. Essentially, refractive spin-wave optics need additional materials or intrinsic YIG film modifications, as\nmagnons do not propagate in air.\nA few pathways have been proposed to realize engineered YIG substrates. It is possible to use localized magnetic fields to\nsteer spin waves9, but this requires a second magnetic layer to generate the fields and arbitrarily-shaped field profiles cannot\nbe realized. Previous work has shown the use of heat distributions10to generate refraction index profiles, a solution likely\nimpractical in chip-scale devices. Local exchange bias may also be used for magnonic optics11, but so far this works only on\nmetallic systems, which are high-damping in nature.\nIn multilayered magnetic systems, it is well established that focused ion beam (FIB) irradiation modifies the magnetic\nproperties12;13without actually removing material or creating edges. The FIB irradiation affects magnetic properties on a\nsub-50 nm size scale, which is a resolution that is hardly achievable by the combination of lithography and etching. The effect\nof FIB on magnetic multilayers motivated our work to study the effects of direct FIB-ing on the magnetic properties of YIG.\nUsing 50 keV Ga+ions, the applied ion doses are chosen to not physically remove material, but to implant Ga+into the YIG\nthin film and locally alter the crystalline structure.\nIn our work, we first demonstrate the effect of FIB irradiation on spin waves in YIG for plane-wave propagation and characterize\nthe dependence of magnonic wavelength on FIB dose, obtaining n. Lenses and diffraction gratings are designed with a binaryarXiv:2206.14696v1 [physics.app-ph] 29 Jun 2022irradiation pattern – in a similar fashion to elementary optical devices, where light propagates either through glasses or vacuum.\nWe find that the effect of FIB irradiation on YIG films can be modeled precisely enough by assuming an effective magnetization\n(Meff) value that varies with the FIB dose, which is in agreement with the findings in other work14. The spatially varying Meff\nresults in a spatially varying dispersion relation for the film, which, in turn, may be understood as a spatially varying index of\nrefraction n. As a consequence, FIB irradiation allows the realization of (almost) arbitrary two-dimensional nprofiles. Going\nbeyond binary patterns, we demonstrate that FIB irradiation is especially useful for graded-index magnonics15;16as it allows\na continuous and high-resolution variation of nacross the film surface. As a highly meaningful example of such systems,\na4fFourier-domain signal processor will be shown in Section 3. The demonstration of a 4fsystem opens the door to the\nrealization of a variety of optically-inspired computing systems17using magnons. We envision that the FIB technology shown\nhere will readily provide access to magnonic devices that may rival on-chip optics in their functionality, and consequently\nact as the gateway to magnonic integrated circuits (in analogy to photonic ICs). While spin waves have limitations (such as\ndamping that should be compensated by some amplification mechanism for large-scale devices), they have benefits (such as\nnonlinearities18;4) that open up applications unreachable for photonic ICs.\n2 Methods\nThe effect of FIB irradiation is characterized by recording the spin-wave (SW) waveform using longitudinal time-resolved\nmagneto-optical Kerr effect microscopy (trMOKE) and determining the SW wavelength change at various ion dose levels.\nFig. 1 gives an overview of the experimental techniques used for fabrication and metrology. An in-house sputter-deposited YIG\nthin film ( tYIG= 100 nm) with co-planar microwave antennas ( sCPW,gCPW= 2-5 mm) is bonded to a PCB board from where\nit is fed with a microwave signal. Areas next to the excitation antennas are FIB-irradiated at different ion doses and shapes.\nFabrication details can be found in Sec. 5. Subsequently, 2D spin-wave patterns are imaged with a longitudinal, time-resolved\nKerr microscope in forward volume configuration.\nFigure 1. Representation of the experimental arrangement. Left part: Areas in YIG next to the excitation antenna are directly\nirradiated with FIB at different ion dose levels (indicated by the intensity of the red color), and an ion dose dependent change of\nMeffis found. This is used for the application examples: a lens at a low dose with a modified nand a single slit at a high dose.\nRight part: Spin wave propagation patterns in the FIB-irradiated regions are imaged with trMOKE.\nIn the home-built trMOKE apparatus (for details, see Supplementary Sec. 5) the film is magnetized out-of-plane (along Mz) and\nthe dynamic mxandmycomponents display wave propagation. The FVSW mode is isotropic and therefore shows the closest\nanalogy to optical wave propagation. The spatial resolution is diffraction-limited at about d=0.4mm, limiting the shortest\ndetectable spin-wave wavelength to about l=1mm.\n2/11Characterizing the effect of FIB irradiation in YIG\n50 keV accelerated Ga+ions are used to irradiate YIG thin films at ion doses ranging from 1\u00011012to1\u00011015ions/cm2, with\nthe purpose of manipulating the magnetic properties locally. As a dose calibration method, regions with linearly increasing ion\ndoses are irradiated next to the excitation antenna and the SW wavelength change due to a Meffmodification is measured. Fig. 2\nshows the resulting wavelength profiles vs. the applied ion dose. The degree of change in the magnetic properties is dependent\nFigure 2. Illustration of the ion dose dependent change of Meffin YIG. (a) trMOKE image of coherently excited spin waves in\nregions of linearly increasing ion doses. The bottom line shows the actually excited wavelength in unirradiated YIG. (b) 1D\nsketch of the experiment: The area next to the excitation antenna is FIB irradiated, whereby the magnetization and hence the\nwavelength is locally changed. (c) Wavelength change vs. ion dose profile at different frequency settings with an external field\nof 214 mT. (d) Ion dose dependent change of Meff, numerically calculated from dispersion relation.\non the applied Ga+ion dose and also on the acceleration voltage if the film thickness is larger than the ion penetration depth.\nThe SRIM19simulated mean ion implantation depth of Ga+in a 100 nm thick YIG film is 24 nm and makes about a third\nof the total thickness (considering the effective thickness due to e.g. Ga diffusion into the first few layers, sputter process\nimposed inhomogenities, etc.). Nonetheless, we characterize how spin-wave propagation is affected in the effective layer and\ntherefore across the entire film thickness. Insights into FIB-irradiation-induced changes of YIG on the crystal level can be\nfound in Sec. 5. Interpreting the results in terms of micromagnetic parameters, we find that FIB-ing can be accurately modeled\nin terms of modifying the effective magnetization Meffand the magnetic damping a. A low ion dose (up to 6\u00011012ions/cm2)\nincreases Meff, and hence decreases the wavelength, while aincreases only moderately. For higher ion doses, a turning point is\nreached and Meffdecreases again, while magnetic damping increases until spin-wave propagation is inhibited (doses larger than\n1\u00011014ions/cm3). The wavelength vs. ion dose profile is non-linear and wavelength-dependent due to the inherent non-linear\ndispersion relation of spin waves. In the low-dose regime, Meffis slightly increased, which is used for the demonstration of\noptical elements with a singular refractive index in analogy to glasses.\nApplications of higher complexity, such as continuous refractive media, can be modeled by magnetization landscapes. Using\nFIB, this means changing the ion dose point by point. Alternatively, it is also possible to change the filling factor in pixel space\nand set the value of Meffthis way. This way, only a single ion dose has to be applied, and the average magnetization is changed\ndue to a density gradient. We use a pixel size of 40 nm in the experiments, which is about two orders of magnitude smaller than\nthe applied spin-wave wavelength. This technique (demonstrated in Sec. 3.1) is well suited for continuously changing wave\npropagation properties, as is done with graded-index (GRIN) optics16. A more trivial (but often-needed) use of FIB irradiation\nis to apply a high dose that entirely blocks propagation, and hence reflects magnons. The high dose effectively destroys the\n3/11magnetic properties ( Meff=0) such as shown in20.\nTuning the magnonic index of refraction and saturation magnetization by FIB\nIn order to design spin-wave ’replicas’ of optical devices, it is instructive to define the magnonic index of refraction, n. The\nrelative change of the magnonic refractive index is extracted from the wavelength change in the untreated vs. the irradiated\nYIG film part ( l0vs.lFIB):\nnrel=l0=lFIB (1)\nThe highest achievable refractive index nmax=l0=lmincorresponds to the ion dose that generates the highest Meff, and hence\nthe smallest wavelength lminwith respect to the initial parameters. In order to model the correlation of FIB-ing and the\nmagnetic properties, the effect of FIB is best understood as an ion dose dependent change of the effective magnetization\nDMeff=Meff;FIB\u0000Meff;0. The results are shown in Fig. 2d (see previous section for details). Due to the highly nonlinear nature\nof the magnonic dispersion relation, neffis only valid for a certain spin-wave frequency fand the corresponding l0wavelength.\nWe target wavelengths l0that can be efficiently excited and detected by coplanar waveguide antennas in use. Generally, the\nrefractive index for a specific wavelength can be calculated by numerically solving the dispersion relation for k-vectors at the\ninitial Meff;0and for Meff;FIBat the respectively chosen ion dose:\nnrel=k(Meff;0;f;Hext;t;Aexch)=k(Meff;FIB;f;Hext;t;Aexch) (2)\nIn Eq. 2, fis the microwave frequency used for excitation, Hextthe applied bias field normal to the film plane (forward volume),\ntis the film thickness, and Aexchis the exchange stiffness.\n3 Results\nDesign and fabrication of optically inspired magnonic elements\nTo replicate the behavior of conventional optical elements (i.e. glasses), one may utilize only one other neffvalue in addition to\nthat of intrinsic YIG (where nrel-1). Fig. 3a shows a trMOKE image of a plano-convex lens realized with this binary technique.\nThe Lensmaker’s equation21is used for the given refractive index of 1.8 at a dose of 7 \u00011012ions/cm2. This is the maximum\nFigure 3. Demonstration of refractive, diffractive and reflective optical components fabricated with FIB irradiation. (a)\nD-shaped spherical lens with a curvature radius of 30 mm and a clearly shortened wavelength in the inside (semi-transparent\nred, n about 1.8). (b) An optical slit (4 mm width). (c) A semicircular source (R=40 mm) with spin waves excited through the\nhigh-amplitude resonance behind the circle. The high-amplitude region appears as a saturated yellow region. Red lines indicate\na high FIB dose that blocks spin wave propagation.\nneffchange achievable at this wavelength. The lens has a curvature radius of 30 mm and thickness of 20 mm, resulting in a\nfocal distance of about 37 mm. The trMOKE measured image of the lens reveals parameters closely matching the design target\ncalculated from the optical formulas. A different way of using the FIB irradiation is shown in Fig. 3b, where we show single-slit\nspin-wave diffraction achieved by locally eliminating the magnetization in the red areas through irradiation at a high ion dose\nof 1\u00011015ions/cm2. The diffraction pattern closely matches textbook images of optical diffraction for a single slit, and a plane\nwave couples through from behind the FIB irradiated part. To complete the parallels with elementary optical components,\nFig. 3c demonstrates a circular-shaped source focusing spin waves at a distance that equals the radius. The curved surface acts\n4/11as a secondary spin wave source, where spin precession is driven by the high-amplitude, spatially uniform oscillations of the\narea between its spherical backside and the excitation antenna. The primary non-linear precession excites linear spin waves on\nthe inside of the sphere via dipole coupling, a mechanism explored in20.\nAnother key function in optics is the ability to phase shift, and we show this feature by the example of a Fresnel phase plate in\nFig. 4a. The focusing effect occurs via constructive interference of beams propagating with respect to a 180\u000ephase difference to\nFigure 4. Spin-wave diffraction and focusing in FIB-treated regions (red areas) by reference to an optical (Fresnel) zone plate.\nDifferent ion doses trigger distinct operating mechanisms. (a) Phase-shift-induced focusing achieved with a low ion dose. The\nshortened wavelength in the FIB areas exits the zones with a phase shift close to 180\u000e. (b) A high ion dose that causes a local\nbarrier for spin waves, analogously to an optically opaque region. (c) A blocking wall before the actual zone plate in\ncombination with a high excitation amplitude excites spin waves via dipole field coupling that accumulate on the back side.\neach other. This phase shift is achieved by the change of nover the 6 mm length of the zones (semi-transparent red overlays) so\nthat the 180\u000edifference occurs at the zone plate’s exit plane. Alternatively to this phase-shift-based zone plate, a Fresnel zone\nplate is realized by simply blocking waves in the regions where they would destructively interfere at the desired focal point. In\nthis device (Fig. 4b) the phase shifters are replaced by highly-irradiated regions (red lines). A third zone-plate demonstration\nuses the effect we also exploited in the circularly radiused source in Fig. 3c, i.e. that a coherent wavefront is created at the\nboundary of a high-dose region. By shifting the wavefront about l=2between the zones, the desired focusing effect is achieved,\nas shown in Fig. 4c). The geometrical arrangement of the zones is chosen to focus a 4 mm wavelength at a distance of 40\nmm from the exit plane. Since the excited wavelength is a little different in a), b) and c), the observed focal distance varies\naccordingly. Diffractive devices demonstrate the high resolution of FIB patterning and serve as proof that little damage is done\noutside the irradiated area in the YIG films.\n3.1 Gradient index and Fourier optics for spin waves\nIn Fourier optics22;23, linear processing functions mostly rely on the Fourier transform property of lenses - easily moving\nbetween the real and the Fourier domains enables a number of signal processing primitives. Similarly, Fourier-optics devices\nfor spin waves could serve as building blocks for useful computing functions.\nPerhaps the most illustrative of Fourier optics devices is the 4f system illustrated in Fig. 5a. The image (wave amplitude and\nphase on the image plane) is Fourier transformed by the first lens and this Fourier transform appears in the Fourier plane,\nwhich is inverse-Fourier transformed by the second lens. Any Fourier-domain manipulation of the image (such as filtering,\nconvolution, matched filtering) can be accomplished by a filter placed in the Fourier plane that alters the magnitude and/or\nphase of the spectral components of the image.\nWhile Fourier optics components could be put together from concave lenses such as the one shown in Fig. 3a), the lens\nboundaries introduce undesired reflections and diffraction effects, which can largely be avoided in graded-index (GRIN)\noptics24. Since FIB irradiation can continuously tune neffof a magnetic film by changing the filling factor of an image in pixel\nspace, we can create a magnetization landscape of arbitrary shape, including a GRIN lens profile.\nTo produce a refractive index gradient of a certain shape, the ion-dose profile needs to be determined for the desired wavelength\n(or index of refraction) profile. Here we used the measurements from Fig. 2c. In case of a GRIN lens, the wavelength profile\n5/11for a parabolic refractive index change can be written as25\nl=lFIB\n1\u00000:5(2py=4f)2: (3)\nFor the calculation of the required ion dose profile that results in the desired magnetization gradient, we use the ion dose vs.\nwavelength profile from Fig. 2c and insert the inverted version into the GRIN lens wavelength profile (Equ. 3), resulting in the\nion dose profile in Fig. 5b. This profile is used for the density distribution of the FIB image in pixel space, and the 2D irradiation\npattern of a 4f GRIN lens with a diameter of 18.48 mm and a length of 76 mm is shown in Fig. 5c. Experimentally, this image\nis irradiated at a peak ion dose of 5.2 \u00011012, resulting in respectively lower doses across the diameter due to the density variation.\nThe measured spin-wave profile of the fabricated GRIN lens performing a Fourier transform of the plane wave excited at the\nFigure 5. Demonstration of a 4f system for spin waves realized with a FIB irradiated graded index magnetization. (a)\nWorking principle of a conventional 4fsystem based on two consecutive lenses. (b) 2D irradiation pattern of a full pitch GRIN\nlens realized by a filling factor variation in the FIB image. The 1D ion dose profile right beside is calculated from the measured\nwavelength change vs. ion dose profile. (c) trMOKE image of the GRIN lens irradiated in YIG. (d) A diffraction grating is\nirradiated at the anterior plane. The system images the first order diffraction at 30\u000eon the Fourier plane.\nmicrowave antenna right behind the lens is shown in Fig. 5d. The focal distance is slightly longer than calculated (45 mm\ninstead of 38 mm), that is most likely a result of a bias field inaccuracy or a deviation from the desired ion dose.\nTo demonstrate FT properties of this GRIN lens, we irradiated a diffraction grating in front of the GRIN lens entrance plane by\nusing a high dose, as shown in the slit experiment in Fig. 3b. The grating is designed to have a diffraction angle of 30\u000efor\nl0=3.1 mm wavelength (grating constant = l0=6.2 mm, thickness = 2 mm). The expected Fourier plane (FP) should occur at 38\nmm, and we observe a slight deviation that has also occurred in the focal distance when we tested the GRIN lens with a plane\nwave. The occurring focal points left and right of the center peak in the FP represent the first order diffraction of the grating,\nand correspond to the expected diffraction angle.\n4 Discussion\nWe can group the presented devices based on multiple properties. First of all, a part of the devices in this paper are refractive, i.e.\nion irradiation is used for changing the effective refractive index in the specified region, while others are reflective or diffractive,\ni.e. relatively high doses are used to produce a perimeter around the device geometry. Here, the saturation magnetization in the\nYIG film changes abruptly – practically plunging to zero. In the former case, spin waves propagate through the irradiated region\n6/11(although with modified dispersion), while in the latter case spin waves are blocked, reflected, or generated on the boundaries\nbetween the intrinsic and irradiated YIG regions. This brings us to the next distinction based on whether the device is used\nfor manipulating an incident wavefront or if it’s used to indirectly excite a wavefront with a sophisticated shape. From the\nperspective of functionality, we have demonstrated elements for focusing waves and also diffraction gratings (the zone plates\nbeing a combination of the two). Inarguably, the most sophisticated demonstration we present here is the GRIN lens and the 4f\nsystem based on it, which contains a pointwise varying refractive irradiation that is used for focusing waves, combined with a\ndiffraction grating that block parts of the incident wavefront in a periodic manner, transmitting only a single spatial-frequency\ncomponent. The above distinctions provide justification of the rich selection of demonstrations in this paper, representing\nthe flexibility and wide applicability of a single fabrication technique to implement a wide range of optical elements. This\nset of devices can be considered complete in the sense that a full linear signal processor might be realized based on them, as\ndemonstrated through the 4f system.\nThe refractive index modification for spin waves in YIG through a FIB induced magnetization change can be accurately\ncalculated from the ion-dose-dependent wavelength change. With this, we are able to design devices not only where binary\nrefractive arrangements are needed, but also create smooth magnetization transitions (gradients) that are essential in GRIN\noptics. With the strategy to use a halftoning technique (stochastical filling factor in pixel space) on the FIB image to produce an\nion-dose gradient, one global ion dose can be used for the entire image, eliminating the need for multiple irradiation steps.\nSince the spotsize of the FIB is two orders of magnitude smaller than the spin-wave wavelength, this simplification is not\nexpected to degrade the device performance.\nAs the complexity of the 4f system is the highest among the presented devices, the limitations of the technology (spin waves\nand also our facilities) is the most evident here. As it is visible in Fig. 5 dande, damping limits the useful length of the GRIN\nlens to tens of wavelengths. We presume that our demonstration is not optimal from this perspective in multiple ways: with\nbetter quality (homogeneity and damping) YIG films, and optimization of parameters to achieve higher group velocity, we\nexpect it is possible to increase the propagation distance and thus the practical device size by an order of magnitude. There is\nalso a limitation of the numerical aperture in the system, which is posed by the limited variability of the effective saturation\nmagnetization (and therefore the refractive index) induced by irradiation. Although we demonstrated that a refractive index\ncomparable to optics is achievable ( n\u00191.6), a higher change would improve the applicability and performance of magnonic\ndevices. We see a potential improvement here, as we estimate that less than a third of the YIG film is affected by the FIB due to\nthe shallow penetration depth. By using lighter ions, e.g. He+, we expect that a stronger effect is achievable. Finally, a strong\ndisturbance of the interference pattern is caused by the waves that are generated adjacent to the fabricated devices. This could\nbe avoided by separating the device laterally from the neighboring structures, for which FIB irradiation could also be used, both\nfor creating reflective and absorbing boundary conditions, exploiting the steeply increasing damping at moderately high doses.\nMagnonic systems themselves have limitations, perhaps the most important one is the nonzero damping that limits realizable\ndevice size and complexity. Thus, spin waves are not an ideal fit to replicate classical optical building blocks, they are more\nsuitable for the approaches used in nanophotonics4, 26– we believe that our technique is also applicable to the realization of\nsuch structures. The automatic design of magnonic devices combined with a flexible and versatile fabrication method has the\npotential to raise the bar for magnonic device concepts and drive the field towards practical applications.\nIn terms of applications, the 4f system (and similar constructions) carries the biggest potential. Based on the 4f system it is\npossible to realize a wide class of linear (Fourier domain) signal processing applications as it was well established in the field\nof optical computing23. Thus, successful implementation of 4f systems with spin waves may allow any linear signal processing\ntask to be implemented in the magnonic domain. Such tasks are essential building blocks of neuromorphic computing pipelines\nand are in great demand for edge AI tasks.\nConclusion\nMagnonics is often seen as an integration-friendly manifestation of photonics – a technology that enables the chip-scale\nrealization of wave-based, interference-based devices. The analogy between photonics and magnonics (as incomplete as it is)\nacts as a major driving force behind applications. Optical computing devices could directly be translated into the magnetic\ndomain, enabling a number of computing and signal-processing applications.\nSo far, however, the wave phenomena appearing in spin-wave optics remained a far cry from the complexity and sophistication\nof what is achievable in optics. To some extent, these challenges are technological: high-quality patterning of YIG is challenging.\nMoreover, patterning alone is insufficient to achieve an optics-like functionality: to steer waves, one needs to manipulate the\nindex of refraction n, which requires the introduction of field or thickness gradients, beyond patterning.\nOur paper presents FIB irradiation of YIG as a straightforward technology to manipulate the index of refraction precisely\nand in a quasi-continuous way, enabling magnonic clones of optical components. In this work, we demonstrated various use\ncases where elements known from optics can be adapted in the spin-wave domain by using FIB. This direct-writing technology\noffers very flexible rapid prototyping, while it avoids many culprits of other patterning methods, e.g. resolution limitations,\n7/11inability to produce gradients and defects on the patterned edges. We believe that the presented technology has a great potential\nto accelerate magnonic research due to its wide availability and easy adaptation. Although FIB itself is not applicable for\nmass production, the same devices could be straightforwardly mass-produced using the implanter technology omnipresent in\nindustrial settings. Due to its flexibility, high resolution, wide availability and clear process-conversion for mass production, the\npresented technology has the potential to drive a ’magnonic revolution’ and helps to bring spin-wave-based signal processors\nand computing accelerators to the market.\nReferences\n1.Csaba, G., Papp, A. & Porod, W. Spin-wave based realization of optical computing primitives. J. Appl. Phys. 115, 17C741\n(2014).\n2.Csaba, G., Papp, ´A. & Porod, W. Perspectives of using spin waves for computing and signal processing. Phys. Lett. A 381,\n1471–1476 (2017).\n3.Wang, Q., Chumak, A. V . & Pirro, P. Inverse-design magnonic devices. Nat. communications 12, 1–9 (2021).\n4.Papp, ´A., Porod, W. & Csaba, G. Nanoscale neural network using non-linear spin-wave interference. Nat. communications\n12, 1–8 (2021).\n5.Papp, ´A., Porod, W., Csurgay, ´A. I. & Csaba, G. Nanoscale spectrum analyzer based on spin-wave interference. Sci. reports\n7, 1–9 (2017).\n6.Zhu, N. et al. Patterned growth of crystalline y3fe5o12 nanostructures with engineered magnetic shape anisotropy. Appl.\nPhys. Lett. 110, 252401 (2017).\n7.Trempler, P. et al. Integration and characterization of micron-sized yig structures with very low gilbert damping on arbitrary\nsubstrates. Appl. Phys. Lett. 117, 232401 (2020).\n8.Schlitz, R. et al. Focused ion beam modification of non-local magnon-based transport in yttrium iron garnet/platinum\nheterostructures. Appl. Phys. Lett. 114, 252401 (2019).\n9.Chumak, A. V ., Vasyuchka, V . I., Serga, A. A. & Hillebrands, B. Magnon spintronics. Nat. Phys. 11, 453–461 (2015).\n10.V ogel, M., Pirro, P., Hillebrands, B. & V on Freymann, G. Optical elements for anisotropic spin-wave propagation. Appl.\nPhys. Lett. 116, 262404 (2020).\n11.Albisetti, E. et al. Nanopatterning spin-textures: A route to reconfigurable magnonics. Aip Adv. 7, 055601 (2017).\n12.Chappert, C. et al. Planar patterned magnetic media obtained by ion irradiation. Science 280, 1919–1922 (1998).\n13.Mendsich, S., Ahrens, V ., Kiechle, M., Papp, A. & Becherer, M. Perpendicular nanomagnetic logic based on low anisotropy\nconni multilayer. J. Magn. Magn. Mater. 510, 166626 (2020).\n14.Ruane, W. et al. Controlling and patterning the effective magnetization in y3fe5o12 thin films using ion irradiation. AIP\nAdv.8, 056007 (2018).\n15.Papp, A., Csaba, G. & Porod, W. Optically-inspired computing based on spin waves. In 2016 IEEE International\nConference on Rebooting Computing (ICRC) , 1–4 (IEEE, 2016).\n16.Davies, C. S. et al. Towards graded-index magnonics: Steering spin waves in magnonic networks. Phys. Rev. B 92, 020408\n(2015).\n17.Chang, J., Sitzmann, V ., Dun, X., Heidrich, W. & Wetzstein, G. Hybrid optical-electronic convolutional neural networks\nwith optimized diffractive optics for image classification. Sci. Reports 8, DOI: 10.1038/s41598-018-30619-y (2018).\n18.Bauer, H. G., Majchrak, P., Kachel, T., Back, C. H. & Woltersdorf, G. Nonlinear spin-wave excitations at low magnetic\nbias fields. Nat. communications 6, 1–7 (2015).\n19.Ziegler, J. Srim & trim. http://www. srim. org/ (2013).\n20.Papp, ´A.et al. Experimental demonstration of a concave grating for spin waves in the rowland arrangement. Sci. Reports\n11, 1–8 (2021).\n21.Paschotta, R. article on ’lenses’ in the encyclopedia of laser physics and technology. 1. edition, Wiley-VCH, ISBN\n978-3-527-40828-3 (2008).\n22.Ambs, P. Optical computing: A 60-year adventure. Adv. Opt. Technol. (2010).\n23.Goodman, J. W. Introduction to fourier optics, roberts & co. Publ. Englewood, Colo. (2005).\n8/1124.Davies, C. S. & Kruglyak, V . Graded-index magnonics. Low Temp. Phys. 41, 760–766 (2015).\n25.Smith, W. J. Modern Optical Engineering (McGraw- Hill Professional, 4th Edition, 2007).\n26.Molesky, S. et al. Inverse design in nanophotonics. Nat. Photonics 12, 659–670 (2018).\n27.Kiechle, M. & Mendisch, S. Engineering of sputter deposited yig-a comprehensive protocol for ultra-low damping magnetic\nthin films. In Magnonics (2019).\n28.Ding, J., Liu, T., Chang, H. & Wu, M. Sputtering growth of low-damping yttrium-iron-garnet thin films. IEEE Magn. Lett.\n11, 1–6 (2020).\nAcknowledgements\nThe authors want to thank all staff members and researchers working in the lab facilities of ZEITLab, and Tatyana Orlova and\nMaksym Zhukovski at the Imaging Facility at University of Notre Dame. Funding from the German Research Foundation\n(DFG), the German Academic Exchange Service (DAAD) and the Bavaria California Technology Center (BaCaTeC) is\nacknowledged. Adam Papp received funding from the PPD research program of the Hungarian Academy of Sciences.\nAuthor contributions statement\nM. K. A. P. and M. B. conceived the ideas and conducted the experiments. A.P. designed and built the trMOKE microscope and\nadvised in the measurements, M.K., A.P. Cs. Gy and M.B. wrote the manuscript. All authors analyzed the results and reviewed\nthe manuscript.\nAdditional information\nCompeting interests: The authors declare no competing interests.\n5 Supplementary Material on Experimental Procedures\nYIG film Fabrication and Characterization\nWe use RF Magnetron sputter deposition to fabricate the renowned, damping-friendly configuration of Yttrium Iron Garnet\n(Y3I5O12) thin films27on Gadolinium Gallium Garnet (GGG) substrates from Saint-Gobain Crystals. For this, we had recourse\nto well-established procedures28and obtained best film qualities with a working pressure of 40 mBar and an RF Power of 100\nW, resulting in a deposition rate of 6.6 nm/min. Subsequently, recrystallization has been achieved with an annealing process in\noxygen atmosphere at 700\u000eC for 8 hours with a ramp up time of 10\u000eC/h and a cool down of 1\u000eC/h. The thickness tYIG= 100\nnm is chosen to maintain a stable and reproducible film quality across the experiments. The magnetic parameters of interest,\neffective magnetization and Gilbert damping, have been measured with broadband Ferromagnetic Resonance measurements.\nWe obtain numerical values of Meffbetween 110 and 120 kA/m and aYIGfrom 0.0005 to 0.0001 for the films used in our\nexperiments. The physical film quality is certainly not as perfect as with LPE growth, especially at the interface to GGG (for\ndetails, we refer to Fig. 7. For the electrical excitation of spin waves (SWs), shorted co-planar microwave antennas with center\nconductor sCPW and gap gCPW widths ranging from 2 to 5 mm are fabricated on top of the YIG film with E-beam evaporated\nAluminum (300-400 nm).\nDesign and fabrication details of FIB structures\nRefractive index nmodifications can be achieved with low ion doses, and a convenient way to achieve smooth transitions of nis\nchanging the filling factor in pixel space of the FIB image. This way, only one global dose is applied, which means the current\ndoes not have to be changed pixel-wise. The effective ion dose is equivalent to the filling ratio presumend that the applied\nwavelength is much larger than the pixel size, e.g. a filling of 50 %is half of the applied dose. Fig. 6a shows an illustration of\nthe effect. Generally, it is to mention that 50 %filling does not mean 50 %Meffchange (see Fig. 2d) because of the non-linear\nrelation. With this approach, we can realize magnetization landscapes with a resolution up to the minimum pixel size of the FIB\nimage (10 nm). Furthermore, we can use the length of irradiated regions to create phase changes of desire, an illustration is\nshown in Fig. 6b, and we used this example to choose the length for the phase plate in Fig. 4a (6 mm should be approximately\n180\n9/11Figure 6. Dose and geometry driven Meffpatterning in YIG. (a) Demonstration of different filling factors and the resulting\n(small) wavelength change, highlighted by the indicated phase shift after the irradiation areas. (b) Phase change demonstration\nof spin waves propagation through irradiated areas of different lengths.\nIon Beam Irradiation Impact on Crystal Level\nThe average penetration depth of 50 keV accelerated Ga+ions in YIG is estimated to 24 nm according to TRIM simulations19,\nmeaning only a part of the total film thickness (100 nm) is affected by the ion irradiation. The observed magnetization change\nis a complex combination of multiple effects, mainly the anisotropy change due to dislocations in the YIG crystal structure\nleading to strain induced anisotropy, and the interaction with the interface to the underlying film part (and certainly with the\nunderlying layer itself). To get insight on the structural properties of YIG, and more importantly on the physical influence of\nGa+ion irradiation, we imaged ion irradiated thin films with transmission electron microscopy (TEM). For a dose regime of\n1012to the lower 1013ions/cm2, where Meffis modified with only moderate increase in damping, there is no visible crystalline\ndamage in YIG (Fig. 7a,b). As for the interface to GGG, coarse structural bumps can be recognized, that could come from the\nhigh working pressure used during sputtering or an imperfect GGG interface, leading to a reorientation of growth direction.\nWe neither think these are voids since the crystallinity inside of them is visible, nor that they have anything to do with the ion\nirradiation since it does not reach that far. We do notice that these defects appear even stronger in the sample shown in Fig.\n7c,d, which is most likely due to a skipped sputter cleaning step of the GGG. The ion dose is an order of magnitude higher\n(1014ions/cm2), and spin waves are not detectable anymore with our measurement tools. The top layer of the 80 nm thick YIG\nfilm has turned amorphous, whereby its thickness (25 to 28 nm) is close to the expected ion implantation depth. In principle,\nspin waves should be able to travel underneath, but the uncontrolled interface of unknown width might just add to the degree of\ndestruction. The demonstrated physical properties of the two ion dose regimes can be used for spin wave steering in different\nways, i.e. the low dose for tuning the relative magnonic refractive index and the high dose to suppress spin wave propagation\nlocally. Studying the underlying cause of the ion dose dependent change of Meffdeserves its own research and is beyond the\nscope of this work. After all, we find the effect FIB manipulation in YIG to be reversible by repeating a main fabrication step of\nYIG thin films: recrystallization via high temperature annealing (but impractical for device application).\nTime-resolved 2D Optical Imaging of Spin Wave Patterns\nTo detect the dynamic in-plane magnetization components mxandmyin YIG, we use an in-house built longitudinal time-\nresolved magneto-optical Kerr effect microscope (trMOKE). The picosecond laser has a wavelength of 405 nm and pulses at\n50 MHz and minimum step size of the scanning is 0.4 mm, limiting the resolution for spin waves to about 1 mm wavelength\nat about 10 GHz excitation frequency. With our samples we can detect spin waves amplitudes up to 180 mm away from the\nexcitation antenna, the decay length is not only film/Gilbert damping-dependent but sensitive to the efficiency spectrum of\nthe excitation antenna. The optical stage to guide the laser beam is led through a microscope with a low working distance\n100x objective. For the bias field, one height-adjustable permanent magnet underneath the sample is used, as it provides a\nmore stable and stronger field than a single-pole electromagnet, and the setup is optimized to image the magnetostatic forward\n10/11Figure 7. TEM images of FIB irradiated YIG thin films. (a) Cross-section of a YIG thin film irradiated at an ion dose of\n1\u00011013ions/cm2and (b) Snippet of (a) showing the top part and the expected ion implantation depth indicated. The\ncrystallinity of YIG is preserved. (c) Irradiation impact in YIG at a higher dose of 1:3\u00011014ions/cm2ions/cm2 and (d) Snippet\nof (c) revealing an amorphous top layer down to approximately 25 nm.\nvolume configuration. Off-axis stray fields make the alignment normal to the sample cumbersome and can cause deviations in\nthe expected focal distances and diffraction angles of the presented elements due to portions of anisotropic wave components.\n11/11" }, { "title": "1304.2190v1.YIG_thickness_and_frequency_dependence_of_the_spin_charge_current_conversion_in_YIG_Pt_systems.pdf", "content": "arXiv:1304.2190v1 [cond-mat.mtrl-sci] 8 Apr 2013YIG thickness and frequency dependence of the spin-charge c urrent conversion in\nYIG/Pt systems\nV. Castel, N. Vlietstra, and B. J. van Wees\nUniversity of Groningen, Physics of nanodevices, Zernike In stitute for Advanced Materials,\nNijenborgh 4, 9747 AG Groningen, The Netherlands.\nJ. Ben Youssef\nUniversit´ e de Bretagne Occidentale, Laboratoire de Magn´ etisme de Bretagne CNRS, 6 Avenue Le Gorgeu, 29285 Brest, Fra nce.\n(Dated: October 31, 2018)\nWe report the frequency dependence of the spin current emiss ion in a hybrid ferrimagnetic insu-\nlator/normal metal system as function of the insulating lay er thickness. The system is based on a\nyttrium iron garnet (YIG) film [0.2, 1, and 3 µm] grown by liquid-phase-epitaxy coupled with a spin\ncurrent detector of platinum [6 nm]. A strong YIG thickness d ependence of the efficiency of the spin\npumping has been observed. The highest conversion factor ∆V /Pabshas been demonstrated for the\nthinner YIG (1.79 and 0.55 mV/mW−1at 2.5 and 10 GHz, respectively) which presents an interest\nfor the realisation of YIG-based devices. A strong YIG thick ness dependence of the efficiency of the\nspin pumping has been also observed and we demonstrate the th reshold frequency dependence of\nthe three-magnon splitting process.\nRecently in the field of spintronics, Y. Kajiwara et\nal.1opened a renewed interest by the demonstration of\nthe spin pumping and inverse spin Hall effect (ISHE)\nprocesses in a hybrid system based on yttrium iron\ngarnet (YIG) coupled with a layer of platinum (Pt).\nThe YIG/Pt system presents an important role for fu-\nture electronic devices based on non-linear dynamics\neffects2–6, such as the three-magnon splitting process.\nThe three-magnon splitting process presents a frequency\n(or magnetic field) dependence and a YIG thickness\ndependence7,8which permits to tune the conversion effi-\nciency of a spin current from spin pumping as function\nof these parameters.\nIn this paper, we show the experimental observation\nof the YIG thickness dependence in a hybrid YIG/Pt\nsystem of the dc voltage generation from spin pumping,\nactuated at the resonant condition over a large frequency\nrange. We demonstrate that the three-magnon splitting\nprocess ceases to exist for the thinner YIG of 0.2 µm,\nwhichiswaysmallerthantheexchangeinteractionlength\nin such system.\nTheusedinsulatingmaterialconsistsofasingle-crystal\n(111) Y 3Fe5O12(YIG) film grownon a (111) Gd 3Ga5O12\n(GGG) substrateby liquid-phase-epitaxy. Threesamples\nwith different thicknesses of YIG [0.2, 1, and 3 µm] have\nbeen prepared. For each sample, a spin current detec-\ntor based on a platinum (Pt) layer of 6 nm grown by dc\nsputtering has been used9. Schematic of the microwave\nmeasurement setup is shownin the inset of Fig.1 b). Sev-\neral steps of electron beam lithography have been done\nin order to pattern the Pt area (600 ×30µm), the insu-\nlating layer of Al 2O3between the Pt layer and the stripe\nantenna (SA), and finally a Ti/Au deposition for the SA\n(60µm width) for the rf excitation and electrodes for the\nelectrical contacts. A signal-ground picoprobe has been\nused in order to connect the SA to the network analyser.\nThe measurement setup for this investigation is dif-\nferent from Ref.7,9. In the present case, a simultaneousdetection of the dc voltage generation (without modu-\nlation and lock-in) in the Pt layer ( VISHE) and of the\nferromagnetic response (FMR intensity) has been per-\nformed, as shown in Fig.1 a) and b), respectively. In\nthese figures, ∆V and ∆ S11correspond to the magni-\ntude ofVISHEand to the microwave absorption power at\ntheresonantcondition fFMR, respectively. S11corresponds\nto the reflection coefficient extracted from the scattering\nparameter of the network analyser (in one port configu-\nration). VISHEcomes from the fact that at the resonant\ncondition ( fFMR) a spin current ( js) is pumped into the\nPt layer and converted in a dc voltage due to the ISHE.\nIn this system, the pumped spin current originates from\nthe spin exchange interaction at the interface between\nlocalized moments in YIG and conduction electrons in\nthe Pt layer. The static magnetic field, H, is applied\nin the plane of the device and oriented perpendicularly\nto the length of the Pt layer (along x, see inset Fig.1\nb)). In this configuration, the signal is maximum and\nthe sign of VISHEis changed by reversing the magnetic\nfield along −x(not shown). One can see in Fig.1 b) that\nthe FMR line is broadened due to the contribution of the\nBackward Volume Magnetostatic Spin Waves (BVMSW,\nwhenf < fFMR) and from the Magnetostatic Surface Spin\nwaves (MSSW, when f > fFMR)10,11.\nThe FMR intensity in Fig.1 b) corresponds to the dif-\nference between the S11spectrum for the resonance in\nthe YIG layer and from the spectrum without resonance\nsignature. An example is presented in Fig.2 for a static\nmagnetic field of 3 kOe. In order to define the FMR\nabsorption Pabsin mW, the following equation has been\nused:Pabs[mW]∝10|SFMR\n11|/10−1. The magnitude of the\nbaseline in dBm for the set of sample and for different\nvalues of the static magnetic field is equal to 0 dBm (1\nmW).\nSimilar measurements as those presented in Fig.1 have\nbeen performed for the different thicknesses of YIG. For\neachsample, the dc voltagegeneration( VISHE) andofthe2\nxz\ny\n(2) (1)\nMW input\nFIG. 1. Frequency dependence of: a) the dc voltage from\nspin pumping VISHE, b) the FMR response determined by\nthe scattering parameter S11for different values of the static\nmagnetic field ( H) applied in the plane of the bilayer along\nx. The thickness of the YIG layer is 1 µm. For each value\nofH, the frequency excitation has been swept at an rf power\nof 10 mW (at room temperature). ∆V and ∆ S11correspond\nto the magnitude of VISHEandS11at the resonant condition,\nrespectively. The FMR response results from the difference\nbetween two frequency sweeps, one at the resonant magnetic\nfield (Hres) and the other one at a saturation value ( Hsat= 4\nkOe). The inset represented the measurement setup configu-\nration. (1) and (2) are the electrical contacts, the gray are a\ncorresponds to the Pt layer, the green to the Al 2O3layer, and\nthe brown part to the YIG.\nferromagnetic response ( S11) have been studied as func-\ntion of the frequency and the applied magnetic field at 10\nmW. The magnitude of theses dependences ( VISHEand\nS11) at the resonant condition have been extracted for\nthe set of sample. Fig.3 a) and b) give a summary of the\nfrequency dependence of the FMR absorption Pabsand\nof the dc voltage ∆V, respectively. The magnitude of the\nabsorbed power enhances by increasing the thickness of\ntheYIGlayer. Thisisduetothefactthat Pabsisfunction\nof the volume of YIG ( ν), interacting with the microwave\nfield (hrf) following the equation: Pabs=πµ0νfFMRχ/parallelshorth2\nrf.\nHere,µ0andχ/parallelshortare the permeability constant of vac-\nuum and the imaginary part of the magnetic dynamic\nsusceptibility, respectively. The general trend of Pabsas\nfunction of the frequency is almost the same for the dif-\nferentthicknessesofYIGandpresentstworegimes. Fora\nfrequency lower than 3.3 GHz, the absorbed power is re-\nduced. Higher than this frequency, Pabspresents a nearly\nconstant value around 0.4 and 10.0mW for a thickness of\n0.2 and 1 (also 3) µm, respectively. The reduction at low/s45/s49/s52/s45/s49/s50/s45/s49/s48/s45/s56/s45/s54/s45/s52\n/s57/s46/s53 /s49/s48/s46/s48 /s49/s48/s46/s53 /s49/s49/s46/s48 /s49/s49/s46/s53 /s49/s50/s46/s48 /s49/s50/s46/s53/s45/s49/s48/s45/s56/s45/s54/s45/s52/s45/s50/s48/s32/s72/s61/s32/s51/s32/s107/s79/s101\n/s32/s72/s61/s32/s72/s115/s97/s116\n/s32/s32/s83\n/s49/s49/s32/s91/s100/s66/s109/s93\n/s83/s66/s97/s115/s101/s108/s105/s110/s101\n/s49/s49\n/s83/s70/s77/s82\n/s49/s49/s97/s41\n/s98/s41/s83\n/s49/s49/s61/s32/s124/s83/s70/s77/s82\n/s49/s49/s124/s45/s83/s66/s97/s115/s101/s108/s105/s110/s101\n/s49/s49\n/s32/s32/s70/s77/s82/s32/s105/s110/s116/s101/s110/s115/s105/s116/s121/s32/s91/s100/s66/s109/s93\n/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93/s83/s72/s32/s61/s32/s51/s32/s107/s79/s101 /s49/s49\n/s45/s32/s83/s72/s32/s61/s32/s72/s115/s97/s116 /s49/s49\nFIG. 2. a) Frequency dependence of S11spectrum measured\nat H = 3 kOe (in red) and at a saturation field, Hsat(in\ngreen) equal to 4 kOe for a YIG thickness of 1 µm. The\nmeasurement has been performed at an rf power of 10 mW\nat room temperature. b) The frequency dependence of the\nFMR intensity corresponds to the difference between the S11\nspectrum for the resonance in the YIG layer (H = 3 kOe)\nand from the spectrum without resonance signature ( Hsat).\nSBaseline\n11andSFMR\n11correspond to the magnitude in dBm of the\nbaseline and at the resonant condition FMR.\nfrequency of Pabsis due to the reduction of the magnetic\nsusceptibility3.\nThe YIG thickness dependence of the magnitude of\n∆V, as is shown in Fig.3 b), does not present the same\nbehaviour as Pabs. Decreasing the thickness of the YIG\nlayer causes an increase of the magnitude of ∆V. For\nexample around 9 GHz, ∆V reaches 247, 28.5, and 14.5\nµV at 10 mW for a YIG thickness of 0.2, 1, and 3 µm,\nrespectively. Frequency dependences of ∆V for a YIG\nthickness of 1 and 3 µm present almost the same trend.\n∆V increases in the frequency range of 1 to 2.4-2.8 GHz\nand up to 4 GHz the magnitude of ∆V is nearly con-\nstant. Note that the decrease of ∆V from the maximum\nto the constant value is abrupt which is not the case for\nthe thinner YIG [0.2 µm]. One the other hand, ∆V ob-\ntained for this thickness [0.2 µm] is one of magnitude\norder larger than the value of ∆V for thicker YIG.\nIn order to understand the frequency dependence of\nthespincurrentgenerationforthedifferentthicknessesof\nYIG, we have calculated the factor ∆V /Pabsintroduced\nby Kurebayashi et al.3(see also Ref.12) as is shown in\nFig.4. The normalization of ∆V by Pabsconfirms the\nexistence of the abrupt change of ∆V demonstrated in3\n/s48/s46/s48/s49/s48/s46/s49/s49/s49/s48\n/s48 /s50 /s52 /s54 /s56 /s49/s48 /s49/s50/s48/s50/s48/s52/s48/s50/s48/s48/s51/s48/s48/s52/s48/s48\n/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93/s32/s48/s46/s50/s32 /s109\n/s32/s49\n/s32/s51\n/s32/s80\n/s97/s98/s115/s46/s32/s91/s109/s87/s93\n/s32/s86/s32/s91 /s86/s93/s98/s41/s97/s41\nFIG. 3. Frequency dependence of: a) the FMR absorption\n∆S11converted in mW and b) the dc voltage ∆V for dif-\nferent thicknesses of YIG (0.2, 1, and 3 µm). Vertical dash\nlines correspond to the frequency cutoff of the three-magnon\nsplitting process estimated with the dispersion relation o fspin\nwaves for a YIG thickness of 1 (red) and 3 µm (black). All\nMeasurements have been carried at room temperature under\nan rf excitation of 10 mW.\nFig. 3 b) which can be attributed to non-linear spin\nwave phenomena. This factor corresponds to the conver-\nsion efficiency of the angular momentum created by the\nmicrowave field into the spin current and it is described\nby the following equation extracted from Ref.3:\n(a)∆V\nPabs=A.1/radicalBigg\n1+/parenleftbigg4πf\nγMS/parenrightbigg2\n(b)A=eLΘSHg↑↓λtanh(tPt/2λ)\nπµ0νtPtσM2\nSα(1)\nwhereeis the elementary charge. L,tPt,λ,σare the dis-\ntance between electrodes, the thickness of the Pt layer,\nthe spin diffusion length, and the electric conductivity,\nrespectively. Θ SHandg↑↓are the spin-Hall angle and\nthe spin mixing conductance. Parameters of the YIG\nlayer are defined by the magnetization saturation MS,\nthe gyromagnetic ratio γ, and the Gilbert damping α.\nNote that the prefactor Apresents a YIG thickness de-\npendence introduced by νwhich is the excited volume of\nYIG at the frequency f.\nThe frequency dependence of the right part of Eq.1 (a)\nis introduced by the expression of the spin current13,14,\njs, and the magnetic susceptibility which can be written/s48 /s50 /s52 /s54 /s56 /s49/s48 /s49/s50/s48/s53/s49/s48/s49/s53\n/s48 /s49 /s50 /s51 /s52 /s53 /s54/s48/s50/s52/s54/s56/s49/s48/s49/s50/s49/s52/s48/s46/s48/s48/s48/s46/s48/s50/s48/s46/s48/s52/s48/s46/s48/s54/s48/s46/s48/s56/s48/s46/s53/s49/s46/s48/s49/s46/s53/s50/s46/s48/s50/s46/s53/s51/s46/s48/s51/s46/s53\n/s32/s86/s47/s80\n/s97/s98/s115/s46/s91/s97/s46/s117/s46/s93\n/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93/s32\n/s32/s123 /s86/s47/s80\n/s97/s98/s115/s46/s125\n/s50/s46/s53/s32/s71 /s72 /s122/s32/s47/s32/s123 /s86/s47/s80\n/s97/s98/s115/s46/s125\n/s49/s48/s32/s71 /s72 /s122\n/s116\n/s89/s73/s71/s32/s91 /s109/s93/s98/s41/s32/s48/s46/s50/s32 /s109\n/s32/s49\n/s32/s51\n/s32/s86/s47/s80\n/s97/s98/s115/s46/s32/s91/s109/s86/s46/s109/s87/s45/s49\n/s93/s97/s41\nFIG. 4. a) Frequency dependence of the conversion efficiency\nfactor ∆V /Pabsfor different thicknesses of YIG [0.2, 1, and 3\nµm]. b) ∆V /Pabsnormalized by the value at 3.3 GHz. The\nvertical dashed-dotted lines correspond to the frequency c ut-\noff of the three-magnon splitting process estimated with the\ndispersion relation of spin waves for a YIG thickness of 1 (re d)\nand 3µm (black). The green, blue, red, and black dashed\ncurves correspond to the theoretical frequency dependence of\n∆V/Pabsfrom Eq.1. The inset in b) shows the dependence\nof the ratio of ∆V /Pabsat 2.5 and 10 GHz as function of\nthe thickness of the YIG layer. All Measurements have been\nperformed at room temperature under an rf excitation of 10\nmW.\nas:\n∆V\nPabs∝js\nfχ/parallelshorth2\nrf∝/integraldisplay1/f\n01\nχ/parallelshorth2\nrf/angbracketleftbigg\nM(t)×dM(t)\ndt/angbracketrightbigg\nzdt\n(2)\nThe right part of Eq.1 (a) is calculated by solving the\nLandau-Lifshiftz-Gilbertequationforthe FMRcondition\nof the integral in Eq.2 (see supplementary information in\nRef.3).\nOne can see in Fig.4 a) that for a frequency higher\nthan 3.3 GHz, the experimental frequency dependence\nof ∆V/Pabsfollows the theoretical behaviour calculated\nfrom Eq.1. In the low frequency range (lower than 3.3\nGHz), the evolution of this conversion factor (∆V /Pabs)\nis different between thinner [0.2 µm] and thicker YIG [1\nand 3µm] and several points should be made regard-\ning this graph. First, for thicker YIG [1 and 3 µm],\none can observed the same signature of the enhancement\nof ∆V/Pabsas demonstrated by Kurebayashi et al.3for\na YIG thickness of 5.1 µm. Nevertheless, despite the\nhuge conversion factor of the thinner YIG (1.79 and 0.554\nmV/mW−1at2.5and10GHz, respectively),noenhance-\nment of ∆V /Pabshas been seen in the low frequency\nrange [1-3.3 GHz] relative to the theoretical behaviour.\nThegoodagreementbetweenexperimentsandtheoret-\nicaldependencesfromEq.1inthe frequencyrange[3.3-12\nGHz] confirmsthe fact that inthis range VISHEis directly\nproportional to the spin current generated by the mag-\nnetization precession of the uniform mode (long wave-\nlength). Nevertheless, the enhancement of ∆ Vobserved\nat low frequency for thicker YIG proves that a non-linear\neffect is present and means that the system absorbs the\nangular momentum from another source than the mi-\ncrowave field3. Because VISHEis insensitive to the spin\nwaves wavelength3,6, ∆Vis not only defined by the uni-\nform mode but from secondary spin wave modes, which\npresent short-wavelength.\nIn Fig.4 b), ∆V /Pabshave been normalized by the val-\nues of this quantity at f=3.3 GHz for the different thick-\nness of YIG. At low frequency, the normalized conversion\nefficiency is enhanced by increasing the YIG thickness.\nThis observation is well represented in the inset of Fig.4\nb), which shows the evolution of the ratio of ∆V /Pabsat\n2.5and10GHz asfunctionoftheYIG thickness. The en-\nhancement of the YIG thickness form 1 to 3 µm induces\nan increase of this ratio from 5 to 11. For the thinner\nYIG, this ratio is equal to 2 and corresponds to the the-\noretical value represented by the horizontal green dashed\nline.\nThe possibility to control the spin current at the\nYIG/Pt interface by the three-magnon splitting process\nhas been demonstrated in Ref.3. This process is a non-\nlinear effect easily actuated at low rf power (few µW)\ndue to the low damping parameter of YIG, which is two\norders of magnitude smaller than Permalloy (Ni 19Fe81).\nFigure5a)representsthedependenceofthefrequency, f,\nas function of the wavevector, k, whenk∝bardblH(BVMSW)\nandk⊥H(MSSW) estimated from the dispersion re-\nlation of spin waves from Refs.15,16. The arrows repre-\nsent the three-magnon splitting process that creates two\nspin waves (short wavelength with k∼105cm−1) from\nthe uniform mode (long wavelength with k∼0 cm−1).\nThe created magnons have a frequency corresponding to\nhalf of the excitation frequency ( f/2). The minimum\nof the BVMSW dispersion curve corresponds to the fre-\nquency minimum, fmin, which results from the compe-\ntition between the magnetic field dipole interaction and\nthe exchange interaction. The existence of such a dis-\npersion minimum has been predicted by Kalinikos and\nSlavin16. A simple rule for the frequency range selection\nof the three-magnon splitting process came out from this\ngraph. The three-magnon splitting process is allowed\nwhenf/2> fminand means that this non linear effect\npresents a frequency cutoff, fCutoff.\nFigure 5 b) shows the evolution of the spin wave spec-\ntrum for different thicknesses of YIG [0.2, 1, and 3 µm]\nwith a magnetic field fixed at 150 Oe ( f=fFMR=1.55\nGHz).fmindepends of the thickness of the YIG layer\nwhich induces an evolution of fcutoffuntil a critical/s49/s48/s54\n/s49/s48/s53\n/s49/s48/s52\n/s49/s48/s51\n/s49/s48/s50\n/s49/s48/s49/s48/s46/s53/s49/s46/s48/s49/s46/s53/s50/s46/s48/s50/s46/s53\n/s49/s48/s49\n/s49/s48/s50\n/s49/s48/s51\n/s49/s48/s52\n/s49/s48/s53\n/s49/s48/s54\n/s49/s48/s49\n/s49/s48/s50\n/s49/s48/s51\n/s49/s48/s52\n/s49/s48/s53\n/s49/s48/s54/s48/s46/s53/s49/s46/s48/s49/s46/s53/s50/s46/s48/s50/s46/s53/s51/s46/s48/s51/s46/s53\n/s102/s32\n/s72/s102/s32\n/s72\n/s45 /s45 /s45 /s45/s102/s32\n/s77/s105/s110/s89/s73/s71/s32/s84/s104/s105/s99/s107/s110/s101/s115/s115/s58/s32/s49/s32 /s109/s32\n/s32/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93\n/s45/s102/s32\n/s77/s105/s110/s66/s86/s77/s83/s87/s32\n/s32/s77/s83/s83/s87\n/s102/s32 /s47/s50/s102/s32\n/s98/s41\n/s77/s83/s83/s87\n/s66/s86/s77/s83/s87/s32/s48/s46/s50/s32 /s109\n/s32/s49/s46/s48/s32 /s109\n/s32/s51/s46/s48/s32 /s109\n/s102/s32\n/s102/s32 /s47/s50/s32\n/s32/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93\n/s87/s97/s118/s101/s118/s101/s99/s116/s111/s114/s32/s91/s99/s109/s45/s49\n/s93/s97/s41\nFIG. 5. Dispersion relation of spin waves15,16. a) Dependence\nof the frequency, f, as function of the wavevector, k, when\nk/bardblH(BVMSW) and k⊥H(MSSW) for a YIG thickness\nof 1µm. The arrows represent the three -magnon splitting\nprocess that creates two spin waves with a frequency corre-\nsponding to f/2. b) Evolution of the spin wave spectrum\nfor different thicknesses of YIG [0.2, 1, and 3 µm]. For a)\nand b), the magnetic field is fixed at 150 Oe ( f=fFMR=1.55\nGHz).fminis close to the Larmor frequency ( fHin red) for\nthick sample of YIG. The exchange stiffness has been fixed at\nD=2 10−13Oe−1m2(see Ref.17).\nthickness where the three-magnon splitting process is\nno longer allowed7,8. When the thickness of the YIG\nincreases, fminis approaching to the Larmor frequency\nfH. In this particular case, fcutoff=2\n3γµ0MSwhich is\naround 3.3 GHz for typical values of γandMS.\nThe vertical dashed-dotted lines presented in Fig.4\n(and also Fig.3) correspond to the frequency cutoff of\nthe three-magnon splitting process estimated with the\ndispersion relation of spin waves15,16for a YIG thickness\nof 1 and 3 µm. For each thickness, fminhave been calcu-\nlated for different values of the magnetic field and a fixed\nexchange stiffness D=2 10−13Oe−1m2(see Ref.17). We\nhave observed that the three-magnon splitting process\ncease to exist for the thinner YIG of 0.2 µm (thickness\nsmaller than the exchange interaction length in YIG). At\nthis specific thickness, the following 3-magnons splitting\nconditions f/2< fminis never reached for the whole fre-\nquency range. The theoretical values of the frequency5\ncutoff for the YIG thickness of 1 and 3 µm are in good\nagreement with the experiments threshold, which are\n2.35 and 2.7 GHz, respectively.\nDespite the fact that the three-magnon splitting is not\nallowed for the thinner YIG, the conversion efficiency\nof this sample presented in Fig.4 a) is huge compare to\nthicker YIG layer. In order to understand this enhance-\nment, let’s try to explain the factor Afrom Eq.1. This\nfactor is defined by a product of fundamental constants\n(e,π,µ0), geometrical and materials parameters of YIG\n(ν,α,M S), of Pt ( L,tPt,λ,σ,ΘSH), and of the interface\n(g↑↓). Tashiro et al.18have demonstrated experimentally\nthatg↑↓is independent of the YIG thickness, which is\nconsistent with the fact that the spin pumping (in the\nlinear regime) is defined by the exchange interaction at\nthe YIG/Pt interface. The magnetization saturation has\nbeen measured by vibrating sample magnetometer and\npointed that this parameter is also independent of the\nYIGthickness(1760G). γpresentsalmostthesamevalue\nfor the different thickness of YIG which is between 1.81\nand 1.82 107Oe−1rad.s−1. This parameter has been ex-\ntractedfromthefittingoftheexperimentalmagneticfield\ndependence of the resonant frequency, fFMR, by using the\nKittel equation19. In addition, parametersofthe Ptlayer\nare the same for the measured set of samples and the dif-\nferentdepositionsteps(Pt, Ti/Au, andAl 2O3)havebeen\ndone in the same time. Therefore, the only parameters\ninAwhich can explain the observed large conversion ef-\nficiency for the thinner YIG are the YIG thickness and\nthe damping parameter α.\nDue to the fact that several modes contribute to the\nspin pumping (volume and surface), the FMR and the\nVISHElines in Fig.1 are broadened and in this case, one\ncan only estimate the linewidth ∆ ωof the full spectrum.\nThe linewidth determined in this way does not neces-\nsarily match with the intrinsic FMR linewidth, ∆ ωFMR,\nof the uniform mode, but is proportional to it ( α∝\n∆ωFMR∝∆ω). By normalizing the factor Awith the\nYIG thickness, tYIG, and ∆ω(proportionalat α), we still\nobserved an enhancement of Afor the thinner YIG of 0.2\nµm. This increase is 4 times bigger than for thicker YIG\n(1and3µm) whichisnotin agreementwith theexpected\nconstant value of Aafter the normalization.\nThere are many non-linear phenomena which can in-duce the creation of spin waves with short-wavelength.\nFirst, the enhancement of Acan be explained by a non-\nlinear phenomenon, so-called the two-magnon process.\nThis effect is due to the scattering ofmagnons on impuri-\nties and surfaces of the film and can contribute to the en-\nhancement of the spin current at the YIG/Pt interface6.\nSecond, it is well known that the distribution of preces-\nsion amplitude of the MSSW across the film thickness\nis exponential, with its maximum at the surface of the\nfilm20. The BVMSW are characterized by a harmonic\ndistributionofthe dynamicmagnetizationacrossthe film\nthickness, and thus is small at the surface of the film.\nThe dynamic magnetization of the MSSW is localized at\nthe surface and the contribution of these waves to the\ndc voltage from spin pumping is higher than the contri-\nbution of the BVMSW11. It might be possible that the\ncontribution of these waves to the dc voltage generation\nis changed for thin YIG due to the fact that a reduction\noftheYIGthicknessinducesanenhancement(reduction)\nof the delay times (group velocity) of the spin waves.\nIn summary, we have reported the frequency depen-\ndence of the spin current emission in a hybrid YIG/Pt [6\nnm] system as function of the YIG thickness [0.2, 1, and\n3µm] actuated at the resonant condition overa large fre-\nquency range [1-12 GHz]. We have demonstrate the pos-\nsibilitytocontroltheefficiencyofthespincurrentconver-\nsionbychangingthe YIG thicknessandwehaveobserved\nthe threshold frequency dependence of the three-magnon\nsplitting process. We have experimentally brought the\nevidence of the non-existence of this non-linear effect for\na thin layer of YIG [0.2 µm] which is smaller than the ex-\nchange interaction length. On the other hand, the huge\nconversionfactor ∆V /Pabsfor the thinner YIG (1.79 and\n0.55 mV/mW−1at 2.5 and 10 GHz, respectively) orig-\ninates from another non-linear phenomena is the YIG,\npresentsa better interest for the realisationof YIG-based\ndevices.\nWe would like to acknowledge B. Wolfs, M. de Roosz\nand J. G. Holstein for technical assistance. This work is\npart of the research program (Magnetic Insulator Spin-\ntronics) of the Foundation for Fundamental Research on\nMatter (FOM) and is supported by NanoNextNL, a mi-\ncro and nanotechnology consortium of the Government\nof the Netherlands and 130 partners, by NanoLab NL\nand the Zernike Institute for Advanced Materials.\n1Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe,\nK. Uchida, M. Mizuguchi, H. Umezawa, H. Kawai,\nK. Ando, K. Takanashi, S. Maekawa, and E. Saitoh,\nNature (London) 464, 262 (2010).\n2K. Ando and E. Saitoh,\nPhys. Rev. Lett. 109, 026602 (2012).\n3H. Kurebayashi, O. Dzyapko, V. E. Demidov, D. Fang,\nA. J. Ferguson, and S. O. Demokritov, Nat. Mater. 10,\n660 (2011).\n4C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A. Serga,V.I.Vasyuchka,M.B. Jungfleisch, E.Saitoh, andB. Hille-\nbrands, Phys. Rev. Lett. 106, 216601 (2011).\n5H. Kurebayashi, O. Dzyapko, V. E. Demidov,\nD. Fang, A. J. Ferguson, and S. O. Demokritov,\nApplied Physics Letters 99, 162502 (2011).\n6M. B. Jungfleisch, A. V. Chumak, V. I. Vasyuchka,\nA. A. Serga, B. Obry, H. Schultheiss, P. A. Beck,\nA. D. Karenowska, E. Saitoh, and B. Hillebrands,\nApplied Physics Letters 99, 182512 (2011).\n7V. Castel, N. Vlietstra, J. B. Youssef, and B. J. van Wees,6\nPhys. Rev. B 86, 134419 (2012).\n8A. L. Chernyshev, Phys. Rev. B 86, 060401 (2012).\n9V. Castel, N. Vlietstra, J. B. Youssef, and B. J. van Wees,\nApplied Physics Letters 101, 132414 (2012).\n10D. D. Stancil and A. Prabhakar, Spin Waves: Theory and\nApplications (Springer, New York, 2009).\n11C. W. Sandweg, Y. Kajiwara, K. Ando,\nE. Saitoh, and B. Hillebrands,\nApplied Physics Letters 97, 252504 (2010).\n12K. Harii, T. An, Y. Kajiwara, K. Ando,\nH. Nakayama, T. Yoshino, and E. Saitoh,\nJournal of Applied Physics 109, 116105 (2011).\n13Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer,\nPhys. Rev. Lett. 88, 117601 (2002).14Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer,\nPhys. Rev. B 67, 140404 (2003).\n15B. A. Kalinikos, M. P. Kostylev,\nN. V. Kozhus, and A. N. Slavin,\nJournal of Physics: Condensed Matter 2, 9861 (1990).\n16B. A. Kalinikos and A. N. Slavin,\nJournal of Physics C: Solid State Physics 19, 7013 (1986).\n17S. M. Rezende, Phys. Rev. B 79, 174411 (2009).\n18T. Tashiro, R. Takahashi, Y. Kajiwara, K. Ando,\nH. Nakayama, T. Yoshino, D. Kikuchi, and E. Saitoh,\nProc. of SPIE 8461, 846106 (2012).\n19C. Kittel, Phys. Rev. 73, 155 (1948).\n20T. Schneider, A. A. Serga, T. Neumann, B. Hillebrands,\nand M. P. Kostylev, Phys. Rev. B 77, 214411 (2008)." }, { "title": "1605.08931v1.Magnon_Waves_on_Chains_of_YIG_particles__Dispersion_Relations__Faraday_Rotation__and_Power_Transmission.pdf", "content": "Magnon Waves on Chains of YIG particles: Dispersion Relations, Faraday Rotation,\nand Power Transmission\nNicholas A. Pike\u0003\nDepartment of Physics, University of Liege, 4000 Liege, Belgium and\nDepartment of Physics, The Ohio State University, Columbus, Ohio 43210, USA\nDavid Stroud\nDepartment of Physics, The Ohio State University, Columbus, Ohio 43210, USA\n(Dated: August 27, 2021)\nWe calculate the dispersion relations for magnon waves on a periodic chain of spherical or cylin-\ndrical Yttrium Iron Garnet (YIG) particles. We use the quasistatic approximation, appropriate\nwhenkd\u001c1, wherekis the wave number and dthe interparticle spacing. In this regime, because\nof the magnetic dipole-dipole interaction between the localized magnetic excitations on neighboring\nparticles, dispersive magnon waves can propagate along the chain. The waves are analogous to\nplasmonic waves generated by electric dipole-dipole interactions between plasmons on neighboring\nmetallic particles. The magnon waves can be longitudinal ( L), transverse ( T), or elliptically polar-\nized. We \fnd that a linearly polarized magnon wave undergoes a Faraday rotation as it propagates\nalong the chain. The amount of Faraday rotation can be tuned by varying the o\u000b-diagonal compo-\nnent of the permeability tensor. We also discuss the possibility of wireless power transmission along\nthe chain using these coupled magnon waves.\nPACS numbers: 78.67.Bf-Nanocrystals, nanoparticles, and nanoclusters 75.75.Jn-Dynamics of magnetic\nnanoparticles 78.20.Ls-Magneto-optical e\u000bects\nI. INTRODUCTION\nThere have recently been many proposals for ways to\ninduce energy propagation along a metallic nanoparticle\nchain. Such propagation occurs in the form of travel-\ning waves, which are driven by electric dipole-dipole cou-\npling between localized plasmon modes on neighboring\nnanoparticles1,2. The dispersion relations of such waves\nhave been calculated by several authors within the qua-\nsistatic approximation1,3{5. This approximation, which\nassumes that the dipole-dipole coupling is accurately de-\nscribed by electrostatics, is believed accurate when the\nspacing between particles is small compared to the wave-\nlength of light at a typical plasmon frequency. The re-\nsulting propagating plasmonic waves have been observed\nin recent experiments6,7. These calculations have also\nbeen extended to included dynamical e\u000bects beyond the\nquasistatic approximation8.\nIn the present paper, we investigate the analogous\nproblem of waves propagating along chains of magnetic\nparticles coupled via their magnetic dipole moments. We\nconsider particles of the well known magnetic insula-\ntor Yttrium Iron Garnet (YIG). The magnetic dipole-\ndipole interaction between localized magnetic excitations\non these particles leads to propagating magnetic waves,\nor magnons. These waves can carry both magnetiza-\ntion and energy and thus may produce wireless power\ntransmission9{11. Speci\fcally, we calculate the disper-\nsion relations of propagating magnon waves along chains\nof spherical or cylindrical YIG particles within the qua-\nsistatic approximation. These calculations are analogous\nto the extensive earlier work, mentioned above, on wave\npropagation along chains of small metal particles, alsowithin the quasistatic approximation. In this latter work,\nthe waves are able to propagate because of the electric\ndipole-dipole interactions between the plasmonic excita-\ntions in the individual nanoparticles. By contrast, the\nrelevant interactions for the YIG particles are magnetic\ndipole-dipole interactions. Here, we use the magnetic\nproperties of YIG, together with equations of motion de-\nscribing the interactions of localized magnetic excitations\non the particles with the excitations on neighboring par-\nticles, to calculate the dispersion relations of propagat-\ning magnon waves along chains of spherical or cylindrical\nYIG particles.\nRecently, other types of magnon waves have been\nstudied theoretically at the interface between a two-\ndimensional (2D) magneto-optical photonic crystal and\na regular 2D gapped photonic crystal12. These studies\nshow that one-way edge modes can propagate at the in-\nterface and within the band gap of the bulk modes. They\nalso show that these edge modes are con\fned to within\na few lattice constants of the boundary between the two\ncrystals, and are thus basically one-dimensional. Work\nby Khanikaev et al.13in metallic systems containing holes\n\flled with the magneto-optical material Bi:YIG suggest\nthat the Faraday rotation angle within the material is\nenhanced because of plasmonic excitations at the surface\nof the magneto-optic material.\nThe goal of transmitting power wirelessly is, of course,\nvery old. In the early 20thcentury, Tesla14,15attempted\nto transmit power wirelessly even before the dawn of the\nelectrical power grid. His proposal, like many others16,17,\nrequires the generation of large electric \felds in order\nfor a reasonable amount of power to be transmitted. In\norder to avoid the need for large electric \felds, recentarXiv:1605.08931v1 [cond-mat.mes-hall] 28 May 20162\ne\u000borts have turned towards magnetic systems. In par-\nticular, it has been shown18that one can use a coil of\nwire, in which a current is driven at a \fxed frequency, to\ntransmit power, via magnetic induction, to another coil\nof wire a few meters away, with approximately 40% e\u000e-\nciency. Likewise, one can transmit power using split-ring\nresonators19or many other patterned devices. In the\npresent work, we describe an approach which requires\nonly single crystals of YIG20,21, patterned as spheres or\nrods and arranged in a periodic chain, in order to produce\nwireless power transmission.\nWe turn now to the body of the paper. In Section II,\nwe describe the geometry of the system and derive equa-\ntions for the coupled magnetic dipole moments within the\nquasistatic approximation, using an approach analogous\nto some earlier work1,2,5. In Section III, we write down\nthe propagating wave solutions for chains of spherical\nor cylindrical YIG particles, and illustrate the solutions\nwith some numerical results. Finally, in Section IV, we\ndiscuss the results of our calculations and provide some\nconcluding remarks. A short Appendix provides further\nalgebraic details about the calculation of the dispersion\nrelations for chains of YIG spheres.\nII. MODEL\nWe will consider periodic chains of spherical or cylin-\ndrical YIG particles. The spacing between the centers is\ndenotedd, while the radius of the spheres or cylinders is\na. For both spheres and cylinders, the chains run along\nthezaxis, while for the cylinders, the cylinder is paral-\nlel to thexaxis. In all cases, we assume that d= 3a.\nA cartoon image of the assumed geometries is shown in\nFig. 1.\nWe will be interested in periodic waves of magnetic\ndipole moments traveling along the chain. As shown\nelsewhere in the case of coupled electric dipole mo-\nments1,2,5,22,23, these magnetic dipole waves can be ob-\ntained from coupled linear equations involving the dipole\nmoments on each particle within the quasistatic approx-\nimation. For the electric dipole case, the dispersion re-\nlations are controlled by electric dipole-dipole coupling\nbetween plasmons centered on neighboring metallic par-\nticles. In the case of YIG particles, the dispersion re-\nlations describe propagating waves of magnetic dipoles\nand are generated by magnetic dipole-dipole coupling be-\ntween magnetic plasmon excitations centered on neigh-\nboring YIG particles. The solutions to the coupled set\nof equations lead to the dispersion relations for the three\nbranches of propagating magnon waves.\nTo obtain the coupled linear equations it is convenient\nto proceed using equations analogous to Eq. (9) of Ref. 5.\nWe assume that the chain of particles (either spheres or\ncylinders) is parallel to the zaxis as shown in Fig. 1.\nWriting out the coupled equations explicitly, and assum-\ning, \frst, that the magnetic particles are spheres of radius\nFIG. 1. (a) Considered geometry for a chain of YIG spheres\nof radiusaand separation dperiodically arranged along the z\naxis. (b) A chain of very long YIG rods of radius a, arranged\nperiodically along the zaxis with separation d. In both cases,\nwe assume that the static magnetic \feld H0and the satu-\nration magnetization Msare parallel to one another and lie\nalong either the xorzaxis.\na, we obtain\nmn=\u00004\u0019a3\n3^tX\nn06=n^Gs(xn\u0000xn0)\u0001mn0; (1)\nwhere mnis the magnetic dipole on the nthsphere. If\nthe particles are cylinders of radius a, we \fnd\nmn=\u0000\u0019a2^tX\nn06=n^Gc(xn\u0000xn0)\u0001mn0; (2)\nwhere the quantity mnis now the magnetic moment per\nunit cylinder length of the nthcylinder.\nIn Eqs. (1) and (2) we \fnd that ^Gsor^Gcare related\nto the Greens functions of a point charge in two or three\ndimensions. Speci\fcally, Gs\nij(x\u0000x0) =@0\ni@jGs(x\u0000x0),\nwhereGs(x\u0000x0) =\u00001=[4\u0019jx\u0000x0j], whileGc\nij(x\u0000x0) =\n@0\ni@jGc(x\u0000x0), whereGc((x\u0000x0) = 1=(2\u0019) ln(jx\u0000x0j).\nFrom these expressions, we \fnd the nonzero components\nof the matrices ^Gsand ^Gcto be\nGs\nxx(xn\u0000xn0) =Gs\nyy(xn\u0000xn0) =\u00001\n4\u00191\njzn\u0000zn0j3(3)\nand\nGs\nzz(xn\u0000xn0) =1\n2\u00191\njzn\u0000zn0j3(4)\nfor the case of a spheres in vacuum, and\nGc\nyy=\u0000Gc\nzz=1\n2\u00191\njzn\u0000z0nj2: (5)\nfor the case of a cylinders in vacuum, with all other ele-\nments in bothGsorGcequal to zero.3\nThe matrix ^tis equal to\n^t=^\u000e\u0016(^I\u0000^\u0000^\u000e\u0016)\u00001; (6)\nwhere\n^\u000e\u0016= ^\u0016\u0000^I; (7)\n^Iis the identity matrix (since we assume that the host\nhas permeability equal to unity) and the permeability\ntensor ^\u0016, discussed below, depends on the orientation of\nthe magnetic \feld.\nThe demagnetization matrices ^\u0000 for spheres and cylin-\nders in vacuum are also well known24. For spheres,\n^\u0000 =\u00001\n3^I (8)\nwhile for cylinders parallel to ^ z, the nonzero elements are\n\u0000yy= \u0000zz=\u00001\n2\n\u0000xx= 0: (9)\nIf the applied magnetic \feld H0and the saturation\nmagnetization Msare both parallel to ^ z, the permeabil-\nity tensor ^\u0016(in Gaussian units) takes the form25,26\n^\u0016=0\n@\u00161i\u001620\n\u0000i\u00162\u001610\n0 0\u0016f1\nA: (10)\nIf both H0andMsare parallel to ^ x, then\n^\u0016=0\n@\u0016f0 0\n0\u00161i\u00162\n0\u0000i\u00162\u001611\nA: (11)\nIn both cases, for YIG, the components of the permeabil-\nity tensor ^\u0016are given by\n\u00161=\u0016f\u0012\n1 +!0!1\n!2\n0\u0000!2\u0013\n(12)\nand\n\u00162=\u0016f!!1\n!2\n0\u0000!2; (13)\nwith!0=\rjH0j\u0000i\u000b!and!1= 4\u0019\rMs=\u0016f. HereMsis\nthe magnitude of the saturation magnetization, \ris the\ngyromagnetic ratio, and \u000bis the phenomenological damp-\ning coe\u000ecient26. For our purposes, we will assume27,28\nthat 4\u0019Ms= 1760Gand\r= 2:8MHz=G and we take\n\u000b= 5:0\u000210\u00005. With these parameters and the equa-\ntions given in Ref. 28, we calculate \u0016fto be 1:40. We\nuse Gaussian units throughout this article.\nThe ^t-matrices for the four cases presented here are\neasily found by combining the previous equations. For\na chain of spheres along the zaxis with H0kMsk^zthenon-vanishing components of the ^t-matrix are found to\nbe\ntxx=tyy=\u00161\u00002 +\u00162\n1\u0000\u00162\n2\nD\ntxy=\u0000tyx=3\u00162\nD\ntzz=3(\u0016f\u00001)\n\u0016f+ 1(14)\nwhereD=1\n3(4 + 4\u00161+\u00162\n1\u0000\u00162\n2).\nFor a chain of spheres along the zwithH0kMsk^xthe\nnon-vanishing components of the ^t-matrix are\ntxx=3(\u0016f\u00001)\n\u0016f+ 1\ntyy=tzz=\u00161\u00002 +\u00162\n1\u0000\u00162\n2\nD\ntyz=\u0000tzy=3\u00162\nD(15)\nwhereDis the same in Eq. (14).\nFor a chain of cylinders along zwith cylinder axes par-\nallel to ^x(see Fig. 1) with H0kMsk^zthe non-vanishing\ncomponents of the ^t-matrix are\ntxx=\u00162\n1\u00004\u00161\u0000\u00162\n2+ 3\nD1\ntyy=2(\u00161\u00001)\nD1\ntzz=2(\u0016f\u00001)\n\u0016f+ 1\n\u0000txy=tyx=2\u00162\nD1(16)\nwhereD1=\u00161\u00003.\nFinally, for a chain of cylinders along zwith cylinder\naxes parallel to ^ xwithH0kMsk^xthe non-vanishing com-\nponents of the ^t-matrix are\ntxx=\u0016f\u00001\ntyy=\u0000\u00162\n1+\u00162\n2+ 2\nD2\ntzz=\u00162\n1\u00004\u00161\u0000\u00162\n2+ 3\nD2\ntyz=\u0000tzy=2\u00162\nD2: (17)\nwhereD2=1\n2(\u00162\n1\u00002\u00161\u0000\u00162\n2\u00003).\nHaving calculated the elements of the ^t-matrices, the ^G\nmatrices, and the demagnetization matrix ^\u0000, we can now\ncalculate the dispersion relations using Eq. (1) or (2).4\nFIG. 2. The real part of the calculated dispersion relations\n!(k) of the transverse branch for a chain of YIG spheres par-\nallel to ^z, for the case H0kMsk^z. We plot!=(\rH0) versuskd.\nThe dispersion relation (full line) is obtained from Eq. (20),\nusing the parameters txxandtxyas given in Eq. (14). Only\none real solution occurs for this geometry, as described in the\nappendix. The solution is symmetric about k = 0.\nIII. PROPAGATING WAVE SOLUTIONS FOR\nSPHERICAL AND CYLINDRICAL PARTICLES\nSpherical Particles\nUsing Eqs. (1), (3), (4), and either (14) or (15), we can\nobtain dispersion relations for propagating transverse ( T)\nor longitudinal ( L) waves for the cases H0kMsk^zand\nH0kMsk^x. In the former case, we \fnd that the equa-\ntions for the two transverse components of mare coupled,\nleading to solutions which are left- or right-circularly po-\nlarized waves. The Lbranch is found to be independent\nofkin the quasistatic approximation.\nTo obtain the dispersion relations for the TandL\nbranches, with H0kMsk^z, we assume that mn=m0eikn.\nWe can then write down the matrix equation for the two\ncoupledTbranches as\nm0=2a3\n3d3\u0012\ntxxitxy\n\u0000itxytxx\u0013\nm0Cl3(kd): (18)\nThe equation for the Lbranch is given as\nm0z=\u00004a3\n3d3tzzm0zCl3(kd): (19)\nIn both these expressions,\nCls(z) =1X\nn0=1;2;:::cosn0z\nn0s\nis known as the Clausen function29. We have obtained\nthese expressions by making a change of variables torewrite the sum in Eq. (1) in terms of a single summand.\nSincetzzis independent of !we \fnd that the Lbranch\nis independent of wave number within the quasistatic ap-\nproximation.\nIn principle the sum in the Clausen function can be\nevaluated numerically, which would allow the calculation\nof dispersion relations including the e\u000bects of all neigh-\nbors. In practice this may be di\u000ecult, since the elements\nof both the ^t-matrix and the Clausen function are mul-\ntivalued functions of !and ofkd. Hence, we will only\ninclude only the nearest-neighbor contributions for the\nremainder of this paper.\nIn the case of only nearest-neighbor interactions, i. e.,\nincluding only the term n0= 1, the equation for the T\nbranches simpli\fes to\n\u0014\n1\u00002a3\n3d3\u0012\ntxxitxy\n\u0000itxytxx\u0013\ncoskd\u0015\nm0= 0: (20)\nThe solutions to this equation, which are found by set-\nting the determinant of the matrix of coe\u000ecients of m0\nequal to zero, give the dispersion relations for the coupled\ntransverse modes when the chain and magnetic \feld both\nlie in the ^zdirection. Since the ^t-matrix depends on the\nfrequency!this equation represents an implicit relation\nbetween!andkfor the coupled waves. In this geome-\ntry, as already mentioned, the two solutions are left- and\nright-circularly polarized Twaves. Since these Twaves\nhave di\u000berent dispersion relations, a linearly polarized T\nwave will undergo a rotation as it propagates along the\nchain in a manner similar to the Faraday e\u000bect in a bulk\nhomogeneous magnetic material30.\nIn Fig. 2, and all following \fgures, we plot the real part\nof the dispersion relation for the coupled waves for the\nvarious cases presented here. In Fig. 2 we plot the disper-\nsion relations for the case H0kMsk^z(and also the chain\nparallel to ^z) as functions of !=(\rH0), takingH0= 1T\nand\r= 2:8 MHz/Gauss. As explained in the appendix,\nonly a single solution exists for the geometry considered\nhere and we have numerically veri\fed that, upon chang-\ning the strength of the o\u000b-diagonal matrix element, we\n\fnd two coupled solutions for the Twaves whose dis-\npersion is dependent on the strength of the o\u000b-diagonal\nmatrix element. Therefore, the Faraday rotation angle\nper unit chain length, a measure of the di\u000berence in wave\nvector for circularly polarized waves, is also strongly de-\npendent on the o\u000b-diagonal element. The Faraday ro-\ntation angle is4\u0012(!) =1\n2(k1(!)\u0000k2(!)) wherek1and\nk2are the wave numbers of the two circularly polarized\nsolutions at frequency !.\nNext, we consider the case where H0kMsk^x, while the\nchain of magnetic spheres is again parallel to ^ z. In this\ncase, the permeability tensor is given by Eq. (11) and\nthe^t-matrix has components given by Eq. (15). From\nthe^t-matrix it is clear that the Twaves polarized in the\nxdirection are independent of kwithin the quasistatic\napproximation, and are decoupled from waves polarized\nin theyandzdirections.5\nFIG. 3. The real part of the calculated dispersion relations\n!=(\rH0) for a periodic array of spheres parallel to ^ zwith\nH0kMsk^x. We showing the coupled LandTbranches as\nfunctions of kd. The third branch (not shown) is a pure T\nmode whose frequency is independent of kwithin the qua-\nsistatic approximation. We use the same parameters as in\nFig. 2.\nThe coupled yandzcomponents once again satisfy\nequations of motion which are analogous to Eq. (20) but\nwith the permeability tensor now given by Eq. (11). As\nin the previous case we can assume mn=m0eiknand,\nconsidering only nearest-neighbor coupling, can obtain\nthe dispersion relations for the coupled yandzwaves.\nThe matrix equation for these two components is found\nto be\n\u0014\n1\u00002a3\n3d3coskd\u0012\ntyy\u00002ityz\n\u0000ityz\u00002tyy\u0013\u0015\nm0= 0: (21)\nOnce again, we can determine the dispersion relations for\nthe two coupled branches by setting the determinant of\nthe matrix of coe\u000ecients of m0equal to zero and solving\nfork(!).\nIn Fig. 3 we present the resulting dispersion relations\n!(k) for a chain of YIG spheres oriented along the ^ zaxis\nwithH0kMsk^x. In this geometry the two coupled Land\nTwave are elliptically polarized. The frequency of the\nsecondTbranch (not shown) is independent of kwithin\nthe quasistatic approximation.\nCylindrical Particles\nNext, we consider the case of cylindrical particles\nwhose long axes is parallel to xin a chain which is\nperiodically arranged parallel to z(see Fig. 1). Using\nEqs. (2), (5), (9), and either (16) or (17) we can deter-\nmine the coupled equations for the dipole moments in\neither of two cases: H0kMsk^zandH0kMsk^x. In the\nformer case, we \fnd from the form of the ^t-matrix that\nthe frequency of the Lbranch (i. e., that polarized par-\nallel to ^z), is independent of kwhile the two Tbranches,which are parallel to the xandyaxes, are coupled. The\nequation for these two coupled branches can be written\nas\nm0=a2\nd2\u0012\n0itxy\n0\u0000tyy\u0013\nm0Cl2(kd); (22)\nor, if we include only nearest-neighbor interactions, i.e.\nn0= 1,\nm0=a2\nd2\u0012\n0itxy\n0\u0000tyy\u0013\nm0coskd: (23)\nWe may write out Eq. (23) as two coupled algebraic\nequations:\n\u0014\n1 +a2\nd2tyy(!) cos(kd)\u0015\nmy0= 0 (24)\nand\nmx0\u0000a2\nd2itxy(!) cos(kd)my0= 0: (25)\nThis pair of equations may, in principle, have two solu-\ntions for each k, corresponding to the two possible trans-\nverse branches. We consider each in turn.\nFor the \frst solution, my06= 0. In that case, accord-\ning to Eq. (24), the dispersion relation is determined\nby the implicit equation 1 + ( a2=d2)tyycos(kd) = 0,\nsincetxx(andtxy) depend on !. Thek-dependent po-\nlarization of the corresponding mode is obtained from\nEq. (25) and satis\fes mx0=my0= (a2=d2)itxycos(kd).\nUsing cos(kd) =\u0000(d2=a2)[1=tyy], we obtain mx0=my0=\n\u0000itxy=tyyalong this branch. Using Eq. (16) for txxand\ntxy, we \fnd that mx0andmy0are\u0019=2 out of phase, so\nthis wave is elliptically polarized.\nIn the second solution, we take my0= 0, so the mode\nwould be polarized along ^ x. Eq. (24) is then automat-\nically satis\fed, provided that tyyis \fnite. In order for\nEq. (25) to be satis\fed with my0= 0 andmx06= 0, we\nmust havetxy=1as well astyy\fnite. But if we con-\nsider the expressions given in Eq. (16) for txyandtyy,\nwe \fnd that there exists no frequency for which both\ntxy(!) =1andtyy(!) is \fnite. Therefore, we conclude\nthat there is only a single propagating branch for this ge-\nometry, which is elliptically polarized, propagating along\n^z, and described by the dispersion relation !(k) given\nimplicitly by setting the quantity in square brackets in\nEq. (24) equal to zero.\nIn Fig. 4 we show the dispersion relation for a chain of\nYIG cylinders oriented along the xaxis with H0kMsk^z.\nIn this geometry, and within the quasistatic approxima-\ntion, as mentioned above, we \fnd a branch elliptically\npolarized in the xyplane. There is also a z-polarized ( L)\nmode, not shown in the \fgure, whose frequency is inde-\npendent of kand which is uncoupled to the xypolarized\nbranch.\nWe now consider the \fnal case, in which the long axis of\nthe cylinders are aligned along the xaxis and H0kMsk^x.6\nFIG. 4. The real part of the calculated frequency, !=(\rH0),\nas a function of kdfor the elliptically polarized Tbranch given\nby Eq. (24) when the YIG cylinder axes is parallel to ^ xand\nH0kMsk^z.\nIn this case we notice from the ^t-matrix that the x-\npolarized branch, which is one of the transverse branches,\ndecouples from the yandzbranches and has a frequency\nindependent of k. The remaining two branches obey, in\nthe nearest-neighbor approximation, the equation\nm0=a2\nd2\u0012\n\u0000tyyityz\nityztzz\u0013\nm0coskd: (26)\nThis equation can be solved by the methods described\nabove to give the dispersion relations of the coupled y\nandzbranches.\nIn Fig. 5 we show the dispersion relations for a chain\nof YIG cylinders oriented with their long axes parallel\nto the ^xaxis with H0kMsk^x. In this geometry the cou-\npledLandTwaves are elliptically polarized. The third\nbranch, which is a Tbranch, polarized parallel to ^ x, is\nindependent of wave number within the quasistatic ap-\nproximation and is not plotted in the \fgure.\nIV. DISCUSSION\nWhile we show numerical results only for nearest-\nneighbor interactions, we have found numerically that\nincluding further neighbors does not qualitatively change\nthese results. We do not show these numerical results in\nthe paper, but have presented the relevant formal ex-\npressions in Eqs. (18) and (19). We also note that the\nspacing between the particles in our calculations was cho-\nsen to limit the e\u000bects of higher-order dipole moments.\nIf the particles are spaced closer together than about\na=d= 1=3, one must consider additional contributions\nfrom magnetic quadrupole and higher modes. If these\nwere included, the dispersion relations would quantita-\ntively change, but the qualitative results would remain\nsimilar for the lowest bands. We have also shown that\nFIG. 5. The real part of the calculated frequencies, !=(\rH0),\nfor the coupled LandTmodes as functions of kdwhen the\naxis of the YIG cylinders is parallel to ^ xandH0kMsk^x.\nthere are usually several branches of magnon waves with\ndispersion relations that depend on the external magnetic\n\feld magnitude and orientation. These waves propagate\nalong the chain with di\u000berent polarization- and wave-\nnumber-dependent group velocities.\nAs mentioned earlier, these magnon waves will trans-\nmit power along the chains. The energy density is pro-\nportional to the square of the absolute wave amplitude,\nand the transmitted power is therefore equal to the en-\nergy density multiplied by the group velocity of the wave.\nThe group velocity vgn(k) of the nthpolarization can be\ncomputed from the dispersion relation via the relation\nvng(k) =d!n(k)=dk. Just as for plasmonic waves on\nmetallic particle chains, the transmitted power can be\ncontrolled by introducing geometries such as T-junctions,\nwhich allow the power to be split into two parts with rel-\native magnitudes depending on frequency2. The calcula-\ntions of the splitting will be more complicated, however,\nbecause the waves may be circularly or elliptically po-\nlarized. Similarly, one can calculate the magnetization\ncurrent due to these waves.\nThe dispersion relations of magnons on chains of either\nspheres or cylinders calculated here include the e\u000bects of\nGilbert damping to describe the relaxation of the mag-\nnetic moments within each particle. Additionally, one\ncould also include the e\u000bects of a magnetic torque, which\nwould further couple the three polarization modes, or one\ncould include the e\u000bects of crystalline anisotropy or de-\nmagnetization \felds. Since both crystalline anisotropy\nand demagnetization \felds are frequency independent\nthey would directly a\u000bect the permeability matrix ele-\nments\u00161and\u0016225. Therefore, the e\u000bects of these terms\nwill quantitatively change the dispersion relations cal-\nculated here, but will not a\u000bect the qualitative results.\nIn addition, since the crystal anisotropy is a sensitive\nfunction of temperature25one can modify the dispersion\nrelations for di\u000berent polarizations in a controllable man-\nner by varying the temperature. It should also be pos-7\nsible to include e\u000bects beyond the quasistatic approxi-\nmation by extending the approach of Weber and Ford8\nto magnon waves propagating via magnetic dipole-dipole\ninteractions.\nTo summarize, we have calculated the dispersion rela-\ntions for magnon waves propagating along chains of YIG\nspheres and cylinders, in which only the quasistatic cou-\npling between magnetic dipoles is included. We found\nthat, depending on the orientation of the static magne-\ntization and applied magnetic \feld relative to the chain,\nthese waves are either circularly, elliptically, or linearly\npolarized. In the \frst two cases, an incident linearly po-\nlarized wave will undergo Faraday rotation, analogous to\nthat seen in bulk magnetic compounds30, and this ro-\ntation can be tuned in a controllable way. These waves\nalso carry magnetization current (magnon current) along\nthe chain. Thus, it should be possible to use chains of\nYIG particle to transmit power wirelelssly in a meso-\nor nanoscale circuit without generation of large electric\npotentials and within a diameter small compared to the\nwavelength,\nACKNOWLEDGMENTS\nN. P was supported by the Belgian Fonds National\nde la Recherche Scienti\fque FNRS under grant number\nPDR T.1077.15-1/7 and both authors acknowledge funds\nfrom the Center for Emerging Materials at The Ohio\nState University, an NSF MRSEC (Grant No. DMR-\n1420451).\nAppendix: Transverse Modes on a Chain of YIG\nSpheres\nFor the case of a chain of spheres along the zaxis with\nH0kMsk^z(see Section III) we found that the coupled\nTmodes propagate if the determinant of the matrix of\ncoe\u000ecients of m0[Eq. (20)] vanishes. Evaluating this de-\nterminant and setting it equal to zero gives the following\nsolutions for the two Tmodes in the nearest-neighbor\napproximation:\n2a3\n3d3coskd=1\ntxx\u0006txy: (A.1)We can use Eq. (14) for the values of txxandtxyto\ndetermine the dependence of the coupled modes on the\npermeability matrix elements as\n1\ntxx\u0006txy=1\n3+1\n\u00161\u0006\u00162\u00001: (A.2)\nFurthermore, we can use Eqs. (12) and (13) to further\nsimplify the equations and explain why, for \fxed mag-\nnetic \feld and wave vector k=k^z, there is only one\npropagating Tmode.\nSubstituting in Eqs. (12) and (13) into the quantity\n\u00161\u0006\u00162we \fnd that\n\u00161\u0006\u00162=\u0016f\u0012\n1\u0000!1\n!\u0006!0\u0013\n(A.3)\nand therefore, by combining Eqs. (A.1), (A.2), and (A.3)\nwe \fnd that the dispersion relationship becomes\n2a3\n3d3coskd=1\n3+1\n\u0016f\u00001 +\u0016f!1\n!\u0006!0: (A.4)\nEq. (A.4) presents an analytic solution for the Twaves.\nIn general, one obtains a solution for this equation by\nsolving for!(k) [ork(!)]. If we neglect damping (by tak-\ning!0to be real), then for a given value of k, the + and\n\u0000signs in Eq. (A.4) give two values of !, which are equal\nand opposite (i. e., one is positive and one is negative).\nThese solutions correspond to waves propagating with\nthe same frequency but with wave vectors k^zand\u0000k^z.\nThus, for a given value of the one-dimensional vector k,\nthere is actually only one solution. We can also obtain\nthe corresponding form of the vector m0from Eq. (20).\nIt is readily found that the \u0006solutions correspond to\nm0y=\u0007im0x. These solutions represent circularly po-\nlarized waves propagating in the +^ zand\u0000^zdirections,\nrespectively. Thus, in summary, for each positive or neg-\native value of k, there is one one propagating, circularly\npolarized wave with frequency !(k). If we allow !0to be\ncomplex, as is actually the case, then we \fnd that the + k\nand\u0000ksolution are each damped as they travel along +^ z\nand\u0000^z. In Fig. 2 we have plotted the real part of the\ncomplex dispersion relation given by Eq. (20), using the\nparameters given below Eq. (13).\n\u0003Nicholas.pike@ulg.ac.be\n1S. A. Maier and P. G. Kik and A. H. Atwater and S.\nMeltzer and E. Harel and B. E. Koel and A. A. G. Re-\nquicha, Nat. Mater. 2, 229-232 (2003).\n2M. L. Brongersma, J. W. Hartman, and H. A. Atwater,\nPhys. Rev. B 62, R16356 (2000).\n3S. Y. Park and D. Stroud, Phys. Rev. B 69, 125418 (2004).\n4N. A. Pike and D. Stroud, J. Appl. Phys. 119, 113103\n(2016).\n5N. A. Pike and D. Stroud, J. Opt. Soc. of Amer. B 30,1127-1134 (2013).\n6Md. M. Hossain and A. Antonello and M. Gu, Optics Ex-\npress 20, 17044 (2012).\n7Q. Li and W. Wang and Y. Chen and M. Yan and L. Tong\nand M. Qiu, IEEE Journal of Selected Topics in Quantum\nElectronics 17, 1107 -1111 (2011)\n8W. H. Weber and G. W. Ford, Phys. Rev. B 70, 125429\n(2004).\n9A. D. Karenowska, A. D. Petterson, M. J. Peterer, E. B.\nMagnusson, and P. J. Leek, arXiv: 1502.06263 (2015).8\n10R. W. Damon and H. Van de Vaart, Proceedings of IEEE\n53, 348-354 (1965).\n11A. Kreisel and F. Sauli and L. Bartosch and P. Kopietz,\nEur. Phys. J. B 71, 59-68 (2009)\n12Z. Wang and Y. D. Chong and J.D. Joannopoulos and M.\nSoljacic, Phys. Rev. Lett. 100, 013905 (2008)\n13A. B. Khanikaev, A. V. Baryshev, A. A. Fedyayin, A. B.\nGranovsky, and M. Inoue, Optics Express 15, 6612 (2007).\n14N. Tesla, Apparatus for transmitting electrical energy , U.\nS. Patent 1,119,732 (1914).\n15N. Tesla, System of Electrical Lighting , U. S. Patent\n454,622 (1891).\n16J. L. W. Li, Asia-Paci\fc Microwave Conference 2011 pp.\n86-89 (2011).\n17S. Javadi and A. Mohemedi, in Proceedings of the Interna-\ntional Conference on Wireless Networks (ICWN) (2013).\n18A. Kur, Science 83, 1143254 (2007).\n19IEEE Transactions on Plasma Science 41, 1679 -1985\n(2013).\n20S. Kimura and I. Shindo, J. of Cryst. Growth 41. 192 -198\n(1977).\n21R. C. Linares and R. B. McGraw and J. B. Schroeder, J.\nAppl. Phys. 36, 2884 (1965).22S. A. Maier and M. L. Brongersma and P. G. Kik and S.\nMeltzer and A. A. G. Requicha and H. A. Atwater, Adv.\nMater. 13, 1501-1505 (2001).\n23A. F. Koenderink and A. Polman, Phys. Rev. B 74, 033402\n(2006).\n24J. A. Osborn, Phy. Rev. 67, 351 (1945).\n25A. H. Moorish, The Physical Principles of Magnetism ,\nJohn Wiley and Sons, 1965).\n26D. Pozar, Microwave Engineering , (Addison-Wesley Pub-\nlishing Company, 1990)\n27R. O. Cunha, J. Holanda, L. H. Vilela-Leao, A. Azevedo,\nR. L. Rodriguez-Suarez, and S. M. Rezende, Appl. Phys.\nLett. 106, 192403 (2015).\n28H. Yu and O. d'Allivy Kelly and V. Cros and R. Bernard\nand P. Bortolotti and A. Anane and F. Brandl and R. Hu-\nber and I. Stasinopoulos and D. Grundler, Scienti\fc Re-\nports ( 4, 6848 (2014).\n29T. Clausen, J. of Pure and Appl. Maths. (Denmark) 8,\n298-300 (1832).\n30H. P. Fu, R. Y. Yong, Y. J. Wu, G. Q. Di, B. Xu, Y.\nZheng, and D. G. Wei, J. Mag. Mag. Materials 21, 2584-\n2590 (2008)." }, { "title": "1907.00415v4.Large_spatial_Schrodinger_cat_using_a_levitated_ferrimagnetic_nanoparticle.pdf", "content": "Large spatial Schrodinger cat state using a levitated\nferrimagnetic nanoparticle\nA. T. M. Anishur Rahman\nE-mail: a.rahman@ucl.ac.uk\nDepartment of Physics and Astronomy\nUniversity College London\nGower Street, WC1E 6BT London, UK\nAbstract. The superposition principle is one of the main tenets of quantum\nmechanics. Despite its counter-intuitiveness, it has been experimentally veri\fed\nusing electrons, photons, atoms, and molecules. However, a similar experimental\ndemonstration using a nano or a micro particle is non-existent. Here in this article,\nexploiting macroscopic quantum coherence and quantum tunneling, we propose an\nexperiment using a levitated magnetic nanoparticle to demonstrate such an e\u000bect. It\nis shown that the spatial separation between the delocalized wavepackets of a 20 nm\nferrimagnetic yttrium iron garnet (YIG) nanoparticle can be as large as 5 \u0016m. We argue\nthat, in addition to using for testing one of the most fundamental aspects of quantum\nmechanics, this scheme can simultaneously be used to test di\u000berent modi\fcations, such\nas wavefunction collapse models, to the standard quantum mechanics. Furthermore,\nwe show that the spatial superposition of a core-shell structure, a YIG core and a\nnon-magnetic silica shell, can be used to probe quantum gravity.\n1. Introduction\nQuantum mechanics permits an object, however big, to be spatially delocalized in two\ndi\u000berent places at once [1, 2, 3, 4]. Despite being counter-intuitive and in direct con\rict\nwith our everyday experience, the superposition principle has been experimentally\nveri\fed using neutrons [5], electrons [4], ions [1] and molecules [2, 3]. The current\nrecord for the largest spatial superposition is 0 :5 m which was realized using a Bose-\nEinstein condensate of Rubidium atoms in an atomic fountain [6], while the heaviest\nobject so far put into a superposition state is about 1 \u000210\u000023kg [3]. However, a\nsimilar test using a mesoscopic ( \u0019100 nm) object is still missing and it is one of the\nmost pursued problems in modern quantum mechanics [7, 8, 9, 4, 10, 11, 12, 13, 14]. A\nsuccessful demonstration of such a state can testify various modi\fcations to the quantum\nmechanics e.g. wavefunction collapse models [15, 13], decoherence mechanisms such as\ngravitational state reduction [16], measurement hypothesis [4] and the apparent con\rict\nbetween relativity and quantum mechanics [17, 4]. Furthermore, apart from being\nof pure fundamental interest, a macroscopic superposition state is also of signi\fcantarXiv:1907.00415v4 [quant-ph] 23 Feb 2020Spatial superposition using a levitated ferrimagnetic nanoparticle 2\npractical relevance due to the emergence of quantum technologies e.g. quantum\ncomputing and communications [18]. That is the superposition principle is the essential\ningredient of quantum computing [18] as well as behind the absolute security of quantum\ncommunications [19]. Understanding the superposition principle at the macroscopic level\ncan enrich our knowledge about the nature around us and can improve metrology, and\nquantum computing and communications [13].\nIn this article, we propose an experimental scheme for creating a spatial\nsuperposition state by exploiting the superposition that naturally occurs when two\npotential wells are coupled together with a potential barrier in between them. In\nparticular, due to tunneling, in magnetically ordered material such as ferromagnet and\nferrimagnet with magnetocrystalline anisotropy, degeneracy among di\u000berent spin states\nare lifted [20, 21, 22, 23, 24] (see Fig. 1). In these systems the ground state is the\nsymmetric superposition of all-up and all-down spin states [25, 22, 23]. Exploiting\nthis naturally occurring spin superposition, and a magnetic \feld gradient, we propose a\nscheme for creating a spatial Schrodinger cat state. We show that the separation between\nthe delocalized superposed states is signi\fcantly larger than the object involved in the\nsuperposition and indeed can be as large as 5 \u0016m. The mass of this object is 2 \u000210\u000020kg.\nNote that macroscopic quantum coherence (MQC), coherent evolution of many\nspins - a key requirement for the current proposal, has been studied extensively in the\npast- both theoretically [26, 27, 21, 22, 25] and experimentally [28, 20, 29, 30, 31, 32].\nFor example, MQC has been experimentally con\frmed in molecular magnets consisting\nof manganese clusters [24] with S= 9 and iron based system [30, 31] with S= 10.\nSimilarly, quantum coherence has been demonstrated in nanomagnets e.g. ferritin- a\nnaturally occurring protein about 7 :5 nm in diameter with an antiferromagnetic core\nand uncompensated spins [28, 20, 29]. In this case the number of spin involved in the\ncoherence experiment was \u0019300 orS= 150.\n2. Spatial superposition\nA schematic of the proposed experiment is shown in Fig. 1a. In this scheme a single\ndomain magnetic nanoparticle of radius R, volumeV, massm, spin Sand its easy axis\naligned toz\u0000axis or the quantization axis (see Fig. 1b) is levitated using an ion trap\n[33, 34] at a cryogenic temperature ( \u0019300 mK [35]). After levitation, the centre-of-\nmass (CM) temperature Tcmof the particle is reduced to mK level using parametric\nfeedback cooling [33]. Here, one can use a superconducting quantum interference device\n(SQUID) for the detection and the manipulation of the CM motion of the levitated\nparticle [36, 37]. Furthermore, we assume that Sis an integer to ensure that tunneling\nbetween two wells, discussed below, is permissible [38]. Additionally, we will show that\ntunneling remains valid when one considers the physical rotation of the nanoparticle\nthat may arise when spins tunnel from one well to the other [39].\nIn a single domain ferromagnet, antiferromagnet and ferrimagnet, all spins are\naligned and coupled together due to exchange interaction [28, 20, 29, 40, 26, 25]. TheSpatial superposition using a levitated ferrimagnetic nanoparticle 3\n ΔE\nEnergy Barrier - U\nz\nx\ny\nEasy axisa\nbc Magnetic field\nRF RF\nHard axis\nGravityΘMagnetic\n field\n|Φ1>\n|Φ0 \n >\n|φsz>|φsz-1>\n ΔU\nFigure 1. Experimental schematics - a) Ion trap including magnetic \feld, b) A\nyttrium iron garnet (YIG) nanocrystal with its easy axis align to the z-axis. Earth's\ngravity points along the y\u0000axis. c) Double potential well. Solid lines represent spin\nstates when only one potential well is present while dashed lines show spin states when\ntwo wells are coupled. \u0001 Eis the energy gap between the ground state j\u001e0iand the\n\frst excited state j\u001e1iwhen two potential wells are coupled while \u0001 Uis the same\ndi\u000berence in energy when only one potential well is considered.\nexchange interaction can be represented as \u0000P\ni6=jJsi:sj, whereJis the strength of\nthe exchange coupling (for YIG J\u00197 meV [41]), and siandsjare the spin of the\nneighbouring ith andjth atoms. Furthermore, due to magnetocrystalline anisotropy,\nthere is a certain direction inside the crystal along which spins are preferentially aligned\n(easy axes, z\u0000axis, see Fig. 1b) [29, 26, 22]. Under this condition, spin Scan have two\nopposite orientations, jSziandj\u0000Szi, of equal energy along the easy axis separated by\nan energy barrier U=KiV=\u0000DS2\nzwithKi=Kx,KyandKx>> Ky>0, where\nK's andDare the magnetocrystalline anisotropy constants. Equivalently, due to the\npresence of magnetocrystalline anisotropy, there exists two potential wells in which the\norientation of the spins are opposite (Fig. 1c). In isolation, each of these potential wells\ncontainsSspin levelsj miwithm=\u00061;\u00062:::\u0006Sz. The separation in energy between\ntwo such consecutive spin states in a well is \u0001 U=D(2m\u00001). Energetically, spin levels\nin the two isolated wells with the same jmjvalues are equal or the states are degenerate.\nHowever, due to the coupled nature of the potential wells degeneracy is lifted and the\neigenstates of the overall system [23, 42] are now the symmetric and antisymmetric\nsuperposition of the eigenstates of the individual well e.g. j\u001eni= (j mi\u0006j \u0000mi)=p\n2,Spatial superposition using a levitated ferrimagnetic nanoparticle 4\nwheren= 0;1;2:::2Sz\u00001, andm= 1;2;3;::::Sz. The ground state of this system is\nj\u001e0i= (j Szi+j \u0000Szi)=p\n2 while the \frst excited state is j\u001e1i= (j Szi\u0000j \u0000Szi)=p\n2.\nThe separation in energy between the ground state and the \frst excited state or the\nso-called tunnel splitting [26] is given by \u0001 E= \u0016h!0exp (\u0000Sq\nKy=Kx), where \u0016his the\nreduced Planck constant and !0\u00191011\u00001013Hz is the characteristic frequency [21, 26].\nDepending on the material under consideration, \u0001 Ecan be several hundred millikelvin\nwhile \u0001Ucan be tens of kelvin [23]. \u0001 Ecan be controlled by applying a weak magnetic\n\feld orthogonal to the crystal's easy axis and hence can be tuned [30, 31, 23]. In contrast,\na magnetic \feld along the easy axis of the magnetic nanoparticle lifts the degeneracy\nand as the degeneracy is removed tunneling disappears along with it [23]. One can\nexploit this feature as a control mechanism to initialize or remove a spin superposition\nas required. Indeed, in the proposed experiment, a weak d.c. magnetic \feld B0is\nactivated whenever a magnetic particle is trapped. This con\fnes the spins in one of\nthe wells and aligns the particle's easy axis along the direction of the magnetic \feld.\nThis magnetic \feld and the low temperature considered here forces the overall system\nto eitherj Sziorj \u0000Szistate.\nAfter the initial state preparation such as attaining the desired CM and internal\ntemperatures, magnetic \feld B0is switched o\u000b. This initiates tunneling and hence\na spin superposition. Given the low experimental temperature (300 mK) and the\nrelevant tunnel splitting \u0001 E\u0019500 mK (see below), population in all states except\nj\u001e0i= (j Szi+j \u0000Szi)=p\n2 can be safely ignored. We use j\u001e0ifor the creation of a\nspatial Schrodinger cat. At this stage the ion trap is switched o\u000b and an inhomogeneous\nmagnetic \feld is activated [43]. The direction of the magnetic \feld gradient is such that\nit makes an angle \u0012with the direction of the earth's gravity (along y\u0000axis, Fig. 1b).\nThe untrapped particle evolves under the in\ruence of gravitational and magnetic \felds\nfor a suitable time t. At this state the Hamiltonian is [11]\n^H=^p02\n2m\u0000gL\u0016BdB\ndz^Sz^z+mgcos\u0012^y; (1)\nwheremis the mass of the levitated particle, \u0016Bis the Bohr magneton, dB=dz is the\nmagnetic \feld gradient, gLis the Lande factor and gis the gravitational acceleration. ^ p0\nis the momentum before the particle was released from the trap. At time t0=4 the initial\nmagnetic \feld gradient is switched o\u000b and a new magnetic \feld gradient of opposite\npolarity to that of the original magnetic \feld gradient is activated. This new \feld\ngradient redirects wavepackets towards the center. Here, the activation (deactivation)\nof the magnetic \feld gradient is carried out by slowly increasing (decreasing) the\nmagnitude of the \feld in such a way that it does not create a sudden impulse on the\nnanoparticle. At time 3 t0=4, the polarity of the \feld gradient is changed for the last\ntime which decelerates the wavepackets as they approach each other from the opposite\ndirections. Finally, at time t0, the magnetic \feld gradient is completely switched o\u000b.\nThis ensures two wavepackets overlap exactly with each other at the center. At this\nstage, the ion trap is turned back on to recapture the particle and simultaneouslySpatial superposition using a levitated ferrimagnetic nanoparticle 5\na spin measurement along the x\u0000axis is carried out. Here, owing to the di\u000berent\ntrajectories of the wavepackets through the gravitational \feld, a gravity induced phase\ndi\u000berence\fg= (1=16\u0016h)gt3\n0gLSz\u0016B(dB=dz ) cos\u0012between the wavepackets is accrued e.g.\nj\u001e0i= (j Szi+e\u0000i\fgj \u0000Szi)=p\n2 [11]. The e\u000bect of this phase appears in the spin\nmeasurement where the probability of measuring j\u0006Sxivaries as 1\u0006cos\fg. Since spin\ncannot acquire a phase due to the di\u000berent trajectories through the gravitational \feld,\nany e\u000bect of this phase di\u000berence on the spin measurement is considered as an evidence\nof the spatial superposition created [11]. One can use t0and\u0012to give a controllable phase\nin the spin measurement. To build up statistics, the sequence of events described above\ncan be carried out as many times as required. The maximum spatial separation between\nthe two arms of the superposed states is achieved just before the two wavepackets start\napproaching each other from the opposite directions and is given by [11]\n\u0001z=gL\u0016BSzt2\n0\n8mdB\ndz; (2)\nwheret0is the spin coherence time.\n3. Experiment\nSince tunneling is a very general phenomenon in magnetic systems, any magnetic\nmaterial with a magnetocrystalline anisotropy can be used as a model system for the\ncurrent proposal. For example, one can use ferritin nanoparticles with S\u0019150. With\nferritin, macroscopic quantum coherence has already been demonstrated [28, 29, 26].\nNevertheless, in this article we aim to use yttrium iron garnet (YIG), one of the best\nknown ferrimagnetic materials [44, 45] with four uncompensated Fe3+(s= 5=2) atoms\nper unit cell (lattice constant a\u00191:5 nm) [41] as a model system. In bulk YIG crystal,\nspin coherence time ( T2) on the order of microseconds has been measured [46, 47, 48].\nYIG also relaxes some of the experimental requirements involved. Speci\fcally, YIG is\nan insulator which ensures no conducting electron and hence no decoherence due to\nthe electric current that a free electron carries. Another advantage of YIG is its high\nblocking temperature TB= 64 K [49] which prevents superparamagnetic behaviour.\nFurthermore, YIG has the lowest known Gilbert damping \u000bof all known materials [50].\nIt determines how a spin system loses energy and angular momentum. In the absence of\ninhomogeneity, Gilbert damping is related to the spin coherence time t0via the relation\nt0= 1=\u000b\rrB[51], where \rris the gyromagnetic ratio and Bis the magnetic \feld.\n\u000b= 1\u000210\u00005has been measured at 20 K and according to the theory, in the absence\nof inhomogeneity - valid for small nanoparticles, it should vanish as the temperature\ndecreases [50].\nA large spatial separation between the superposed states or a large Schrodinger\ncat is highly desirable [52, 4] and can be achieved by using a large S(see Eq. (2)).\nHowever, a large Saccompanies a reduced \u0001 U=DS2\nzwhich ultimately necessitates aSpatial superposition using a levitated ferrimagnetic nanoparticle 6\n103104105\nS1030507090 U (K)\n50010002000\nS1001021041061081010 E (Hz)\nFigure 2. a) Energy gap \u0001 Ubetweenj Sziandj (Sz\u00001)ias a function of\nuncompensated spin S. Similar results are also valid for j \u0000Sziandj \u0000(Sz\u00001)ispin\nstates. b) Tunnel splitting \u0001 Eor the di\u000berence in energy between the ground state\nj\u001e0i= (j Szi+j \u0000Szi)=p\n2 and the \frst excited state j\u001e1i= (j Szi\u0000j \u0000Szi)=p\n2 as\na function of S.\nlower experimental temperature to avoid excited state j\u001en>1ipopulation. Fig. 2a shows\n\u0001Uas a function of S, where we have used D=KxV=S2\nz[23] andKx\u00195:54\u0002104J m\u00003\n[49]. We have also taken two layers of dead spins on the surface into consideration [49].\nIt is obvious that \u0001 Udecreases drastically as Sincreases. A large Salso indicates a\nreduced tunnel splitting - \u0001 E= \u0016h!0exp (\u0000Sq\nKy=Kx). To calculate \u0001 E, one requires\n!0andq\nKy=Kx. While the measure of Kxis readily available [49], experimental values\nofKyand!0of YIG nanoparticles can not be found in the literature. However,\nexperiments involving ferritins [28, 29, 26], a Fe3+based nanomagnet like YIG, have\nfound!0=2\u0019\u00191012Hz. In Fig. 2b, we have usedq\nKy=Kx= 10\u00002and!0=2\u0019= 1012Hz.\nFrom Fig. 2b, it is clear that \u0001 Ereduces severely as Sincreases. Consequently, one\nneeds to choose Scarefully to ensure both \u0001 Eand \u0001Uremain as large as possible. A\nlarge \u0001Uguarantees, for example, a higher minimum experimental temperature which\nis bene\fcial for experiments. Furthermore, a large Scan lead to a strong interaction\nbetween the system and the environment which can induce rapid decoherence [22].\nFor the discussion that follows we take S= 500 which provides \u0001 U=kB\u001950 K and\n\u0001E=h\u001910 GHz (500 mK) - both of which are experimentally feasible. S= 500\ncorresponds to 200 uncompensated Fe3+atoms and the diameter of the YIG nanoparticle\nis\u001920 nm.\nIt is also instructive to consider the conservation of angular momentum Lassociated\nwith spin tunneling [39]. Speci\fcally, when spins tunnel from one well to the other, toSpatial superposition using a levitated ferrimagnetic nanoparticle 7\nconserveL, the particle needs to rotate physically. This may lift the degeneracy unless\nthe rotational energy L2=2I, whereI=2mR2\n5is the moment of inertia of a sphere,\nis dominated by the energy reduced (\u0001 E=2) due to tunneling [39]. In other words,\n\u000b=(\u0016hS)2\n\u0001EI<<1, where we have assumed L= \u0016hS. In our case, for S= 500 and the mass\ndensity of YIG equals to \u001a= 5000 kgm\u00003, we have\u000b= 5\u000210\u00004. This is signi\fcantly\nless than unity and as a result physical rotation of the particle is not expected to have\nany signi\fcant e\u000bect on the tunneling.\nFinally, let us now consider a numerical example. For that we takedB\ndz= 106T m\u00001\n[53, 43] and t0= 10\u0016s. On substitution of the relevant values in Eq. (2), one gets\n\u0001z\u00195\u0016m. This is a macroscopic distance and can be visualized using unaided eyes.\n4. Decoherence\nAs the macroscopicity of a quantum system increases, so does the possibility of rapid\ndecoherence. Consequently, great care needs to be exercised to avoid this detrimental\ne\u000bect. One such major source of decoherence is the \ructuating magnetic \feld that\nmay exist around the experiment. However, this can be e\u000bectively reduced to picotesla\nlevel or\u001930 Hz using a superconducting shield [54]. This is signi\fcantly lower than\nthe 10 GHz tunnel splitting found above. Since the proposed experiment is planned to\nbe carried out in a cryogenic condition, adopting a superconducting shield should be\nrelatively straight forward. A further source of decoherence is the nuclear spins [22, 55]\nwhich, along with other sources of decoherence e.g. impurities, appears as the linewidth\nbroadening in ferromagnetic resonance (FMR) [56, 55, 22, 50]. Nevertheless, YIG has the\nlowest known FMR linewidth of all materials [56, 48, 50]. This can be further reduced by\neliminating rare-earth contaminants [56, 55, 50]. For example, by reducing the contents\nof rare-earth impurities, Spencer et al. [56] managed to suppress FMR linewidth by 50\ntimes. By selectively eliminating57Fe atoms from YIG or by isotropic puri\fcation one\ncan improve the coherence time further [55]. Magnons, collective oscillations of spins\nin ordered magnetic system e.g. ferrimagnet, can induce decoherence. However, due\nto the small physical size of the nanoparticle ( R= 10 nm), propagating magnons are\nirrelevant [57] owing to the high energy excitation \u00150:02cR\u00001Hz involved, where c\nis the speed of light in free space. To excite magnetostatic modes or the precessional\nmodes [58], one needs a magnetic \feld at an angle with the spin quantization axis.\nSince a superconducting shield will be in use to reduce the background magnetic \feld\n(Bg) to picotesla level, the e\u000bect of these low frequency ( gL\u0016BBg=\u0016h) disturbances can\nbe safely ignored. Furthermore, sub-kelvin experimental temperature may be useful in\nsuppressing magnons.\nApart from the decoherence of spins, decoherence of the centre-of-mass motion\nof the nanoparticle is also of critical importance [59]. In particular, decoherence\nof the CM motion can reduce the visibility of the relevant matter-wave interference\npattern. However, this can be easily counteracted by performing the experiment in\nultra high vacuum (10\u00009mBar). Incidently, this level of vacuum is readily achievableSpatial superposition using a levitated ferrimagnetic nanoparticle 8\nin cryogenic environment [35]. Assuming residual helium gas pressure P= 10\u00009mBar,\ngas temperature T= 300 mK, helium mass mg\u00196:64\u000210\u000027kg, velocity of the helium\natomsv=q\nkBT=mg\u001925 m/s, and the size of nanoparticle R= 10 nm, the expected\nnumber of collisions between the sphere and the gas molecules is \u0019PvR2=kBT\u0019200 in\na second or 2\u000210\u00003collisions during the actual time of the experiment (10 \u0016s) [59]. In\nanother word, a collision is very rare. Nevertheless, in the event of an elastic collision\nwith a gas molecule, additional velocity acquired by the YIG particle is \u00192\u000210\u00005m/s.\nThis can create a maximum uncertainty of \u00190:2 nm in the distance traversed by the\nparticle in 10 \u0016s. In contrast, the actual distance travelled by the YIG nanoparticle in\nthe same time is at least \u0001 z= 5\u0016mor the size of the superposition. This is about four\norders of magnitude larger than the uncertainty. Consequently, the e\u000bect of a collision\nbetween the YIG nanoparticle and a gas molecule on the visibility of the superposition\nis negligible. Likewise, it can be shown that the decoherence due to the blackbody\nabsorption and emission by the particle is also very small [59]. Speci\fcally, the amount\nof power emitted by a nanoparticle of surface area Aat temperature Tis given by the\nStefan-Boltzmann law - \u001bAT4, where\u001bis the Stefan-Boltzmann constant [60]. For the\nsake of an estimate, let us assume that all the power emitted by the nanoparticle is at the\npeak emission wavelength \u0015max=2:89\u000210\u00003\nTof the relevant blackbody emission spectrum.\nThen the number of blackbody photons emitted in a second is N= 2:89\u000210\u00003\u001bAT3\n\u0016hc. In\nour case, this is equivalent to 1 :85\u000210\u00002photons in a second or 1 :85\u000210\u00007photons in\n10\u0016s. In the unlike event of a blackbody photon emission, extra velocity gained by the\nYIG particle is\u00193\u000210\u000012m/s. This will create a position uncertainty of 3 \u000210\u000017m\n- which is vanishingly small. Additionally, it can be shown that the decoherence due\nto blackbody absorption is also negligible as found by others [59]. Finally, the e\u000bect\nof vibration associated with the cryogenic environment needs to be accounted. Here,\nto negate this e\u000bect, one can switch o\u000b the cryogenics, possible in pulse tube based\nsystems, for the duration of the experiment (10 \u0016s). Alternatively, one can use a wet\ncryocooler which is inherently a low vibration system.\n5. Discussion\nThe large spatial separation (5 \u0016m) between the delocalized matter-wave packets that\nthe current scheme can produce is ideal for testing wave-function collapse models such as\nthe continuous spontaneous localization (CSL) [15]. CSL has two parameters- namely\ncollapse rate \u0000 CSLand coherence length rCSL. Assuming a successful experimental\nrealization of the current scheme, according to CSL with \u0015CSL= 1\u000210\u000017s\u00001, aR= 10\nnm YIG nanoparticle and a coherence time of 10 \u0016s, a collapse rate of \u0000 = 8 :5\u0002104Hz is\npredicted. Whilst Adler's version of CSL [15] predicts a collapse rate of \u0000 = 8 :5\u00021012Hz.\nIn other words, according to the Adler version of CSL, superposition should decohere\nlong before the time of our experiment (10 \u0016s).\nIn the scale of macroscopicity \u0016m[61], a measure of macroscopic quantumness, the\nexperiment proposed in this article is equivalent to 16. This is about four orders ofSpatial superposition using a levitated ferrimagnetic nanoparticle 9\nmagnitude larger than the current experimental record [6, 13]. This can be boosted\nfurther by using a larger YIG nanocrystal. But, a larger nanocrystal means a greatly\nincreasedSwhich is not ideal for an experiment (see for example, Fig. 2). Nevertheless,\none can use a core-shell structure [62] with a YIG core ( R= 10 nm) and the shell of\na non-magnetic material such as silica of desired thickness e.g. 2 \u0016m. Of course, this\nwill reduce \u0001 zsigni\fcantly (see Eq. (2)). However, as long as the coherence time\nand other parameters remain unchanged, \u0016mincreases to 29. More interestingly, spatial\nsuperposition of this core-shell structure can be used in the quantum gravity experiment\nproposed by Bose et al. [63]. Here, one needs to ensure that the gravitational interaction\nbetween two such structures ( R\u00192\u0016m) dominates all other forces e.g. electric and\nmagnetic forces [63]. A simple comparison between the magnetic and the gravitational\nforces between two such microparticles shows that the gravitational attraction is three\norders of magnitude stronger than the magnetic force. Here, we have used the standard\nmagnetic dipolar interaction6\u00160\u00161\u00162\n4\u0019d4and the Newtonian gravitational attractionGm 1m2\nd2\n, wherem1and\u00161, andm2and\u00162are the mass and the magnetic moment of particle\none and particle two, respectively. Additionally, \u00160is the magnetic permeability of free\nspace,Gis the gravitational constant and d= 500\u0016m is the distance between the two\nparticles. To avoid Coulomb forces one can neutralize charges using electrical discharge\n[63].\n6. Conclusions\nIn this article we have theoretically shown that exploiting the naturally occurring spin\nsuperposition in a yttrium iron garnet nanoparticle and an appropriate magnetic \feld\ngradient, a large Schrodinger cat can be created. The spatial separation between the\ntwo arms of such a Schrodinger cat is 5 \u0016m- about 200 times larger than the size of\nthe particle put into the superposition. We have also shown that if successfully realized\nin an experiment then the current scheme will put a very strong bound on the Adler's\nversion of wave-function collapse model. Furthermore, we have shown that a core-\nshell structure, a yttrium iron garnet core and a non-magnetic silica shell, in a spatial\nsuperposition can be used for testing the quantized nature of gravity.\nAcknowledgement\nI greatly acknowledge the \fnancial support of UK EPSRC grant - EP/S000267/1 and\nthe comments and suggestions that I have received from S. Bose, P. Barker and E.\nChudnovsky. I also acknowledge the discussion that I had with A. Bayat and M. Toros\non tunneling which indeed initiated this article. I am indebted to J. Gosling and A.\nPontin for proof reading the manuscript.Spatial superposition using a levitated ferrimagnetic nanoparticle 10\n7. References\n[1] Monroe C, Meekhof DM, King BE, Wineland DJ. A \\Schr odinger Cat\" Superposition State of an\nAtom. Science. 1996;272(5265):1131{1136.\n[2] Arndt M, Nairz O, Vos-Andreae J, Keller C, Zouw GVD, Zeilinger A. Waveparticle duality of\nC60 molecules. Nature. 1999 October;401(6754).\n[3] Eibenberger S, Gerlich S, Arndt M, Mayor M, Txen J. Matterwave interference of particles\nselected from a molecular library with masses exceeding 10000 amu. Phys Chem Chem Phys.\n2013;15:14696{14700.\n[4] Arndt M, Hornberger K. Testing the limits of quantum mechanical superpositions. Nat Phys.\n2014;10(4):271{277.\n[5] Zawisky M, Baron M, Loidl R, Rauch H. Testing the world's largest monolithic perfect crystal\nneutron interferometer. Nucl Instrum Methods Phys Res. 2002;481(1):406{413.\n[6] Kovachy T, Asenbaum P, Overstreet C, Donnelly CA, Dickerson SM, Sugarbaker A, et al.\nQuantum superposition at the half-metre scale. Nature. 2015;528:530{533.\n[7] Marshall W, Simon C, Penrose R, Bouwmeester D. Towards Quantum Superpositions of a Mirror.\nPhys Rev Lett. 2003 Sep;91:130401.\n[8] Romero-Isart O, Clemente L, Navau C, Sanchez A, Cirac JI. Quantum Magnetomechanics with\nLevitating Superconducting Microspheres. Phys Rev Lett. 2012 Oct;109:147205.\n[9] Yin Z, Li T, Zhang X, Duan L. Large quantum superpositions of a levitated nanodiamond through\nspin-optomechanical coupling. Phys Rev A. 2013 Sep;88:033614.\n[10] Bateman J, Nimmrichter S, Hornberger K, Ulbricht H. Near-\feld interferometry of a free-falling\nnanoparticle from a point-like source. Nat Commun. 2014;5(1).\n[11] Wan C, Scala M, Morley GW, Rahman ATMA, Ulbricht H, Bateman J, et al. Free Nano-Object\nRamsey Interferometry for Large Quantum Superpositions. Phys Rev Lett. 2016 Sep;117:143003.\n[12] Romero-Isart O. Coherent in\ration for large quantum superpositions of levitated microspheres.\nN J Phys. 2017 dec;19(12):123029.\n[13] Fr owis F, Sekatski P, D ur W, Gisin N, Sangouard N. Macroscopic quantum states: Measures,\nfragility, and implementations. Rev Mod Phys. 2018 May;90:025004.\n[14] Rahman ATMA. Spatial superposition at a millimetre scale length using a levitated ferromagnetic\nnanoparticle. arXiv:181209948v4. 2018;.\n[15] Bassi A, Lochan K, Satin S, Singh TP, Ulbricht H. Models of wave-function collapse, underlying\ntheories, and experimental tests. Rev Mod Phys. 2013;85(2):471{527.\n[16] Penrose R. On Gravity's role in Quantum State Reduction. Gen Rel Gravit. 1996;28(5):581{600.\n[17] Zurek WH. Decoherence, einselection, and the quantum origins of the classical. Rev Mod Phys.\n2003 May;75:715{775.\n[18] Charles HB, Divincenzo PD. Quantum information and computation. Nature. 2000;404:6775.\n[19] Miller DAB. Quantum Mechanics for Scientists and Engineers. Cambridge University Press; 2008.\n[20] Awschalom DD, DiVincenzo DP, Smyth JF. Macroscopic Quantum E\u000bects in Nanometer-Scale\nMagnets. Science. 1992;258(5081):414{421.\n[21] Garg A. Dissipation in macroscopic quantum tunneling and coherence in magnetic particles\n(invited). J Appl Phys. 1994;76(10):6168{6173.\n[22] Chudnovsky EM, Tejada J. Macroscopic Quantum Tunneling of the Magnetic Moment.\nCambridge University Press; 1998.\n[23] Tejada J, Chudnovsky EM, del Barco E, Hernandez JM, Spiller TP. Magnetic qubits as hardware\nfor quantum computers. Nanotechnology. 2001 may;12(2):181{186.\n[24] Hill S, Edwards RS, Aliaga-Alcalde N, Christou G. Quantum Coherence in an Exchange-Coupled\nDimer of Single-Molecule Magnets. Science. 2003;302(5647):1015{1018.\n[25] Chudnovsky EM, Friedman JR. Macroscopic Quantum Coherence in a Magnetic Nanoparticle\nAbove the Surface of a Superconductor. Phys Rev Lett. 2000 Dec;85:5206{5209.\n[26] Chiolero A, Loss D. Macroscopic quantum coherence in ferrimagnets. Phys Rev B. 1997Spatial superposition using a levitated ferrimagnetic nanoparticle 11\nJul;56:738{746.\n[27] Chiolero A, Loss D. Macroscopic Quantum Coherence in Molecular Magnets. Phys Rev Lett.\n1998 Jan;80:169{172.\n[28] Awschalom DD, Smyth JF, Grinstein G, DiVincenzo DP, Loss D. Macroscopic quantum tunneling\nin magnetic proteins. Phys Rev Lett. 1992 May;68:3092{3095.\n[29] Gider S, Awschalom D, Douglas T, Mann S, Chaparala M. Classical and quantum magnetic\nphenomena in natural and arti\fcial ferritin proteins. Science. 1995;268(5207):77{80.\n[30] del Barco E, Vernier N, Hernandez JM, Tejada J, Chudnovsky EM, Molins E, et al. Quantum\ncoherence in Fe8molecular nanomagnets. Europhysics Letters (EPL). 1999 sep;47(6):722{728.\n[31] Barco Ed, Hernandez JM, Tejada J, Biskup N, Achey R, Rutel I, et al. High-frequency resonant\nexperiments in Fe 8molecular clusters. Phys Rev B. 2000;62:3018{3021.\n[32] Schlegel C, van Slageren J, Manoli M, Brechin EK, Dressel M. Direct Observation of Quantum\nCoherence in Single-Molecule Magnets. Phys Rev Lett. 2008 Oct;101:147203.\n[33] Alda I, Berthelot J, Rica RA, Quidant R. Trapping and manipulation of individual nanoparticles\nin a planar Paul trap. Appl Phys Lett. 2016;109(16):163105.\n[34] Huillery P, Delord T, Nicolas L, Bossche MVD, Perdriat M, Htet G. Spin-mechanics with levitating\nferromagnetic particles. arXiv:190309699. 2019;.\n[35] Vinante A, Pontin A, Rashid M, Toro\u0014 s M, Barker PF, Ulbricht H. Testing collapse models with\nlevitated nanoparticles: Detection challenge. Phys Rev A. 2019 Jul;100:012119.\n[36] Vinante A, Mezzena R, Falferi P, Carlesso M, Bassi A. Improved Noninterferometric Test of\nCollapse Models Using Ultracold Cantilevers. Phys Rev Lett. 2017 Sep;119:110401.\n[37] Johnsson MT, Brennen GK, Twamley J. Macroscopic superpositions and gravimetry with\nquantum magnetomechanics. Scienti\fc Reports. 2016;6(1).\n[38] Loss D, DiVincenzo DP, Grinstein G. Suppression of tunneling by interference in half-integer-spin\nparticles. Phys Rev Lett. 1992 Nov;69:3232{3235.\n[39] Chudnovsky EM, Garanin DA. Rotational states of a nanomagnet. Phys Rev B. 2010\nJun;81:214423.\n[40] Wernsdorfer W, Orozco EB, Hasselbach K, Benoit A, Barbara B, Demoncy N, et al. Experimental\nEvidence of the N\u0013 eel-Brown Model of Magnetization Reversal. Phys Rev Lett. 1997\nMar;78:1791{1794.\n[41] Princep AJ, Ewings RA, Ward S, Tth S, Dubs C, Prabhakaran D, et al. The full magnon spectrum\nof yttrium iron garnet. npj Quantum Materials. 2017;2(1):1{5.\n[42] Leuenberger MN, Loss D. Quantum computing in molecular magnets. Nature. 2001;410(6830).\n[43] Harrison J, Hwang Y, Paydar O, Wu J, Threlkeld E, Rosenzweig J, et al. High-gradient\nmicroelectromechanical system quadrupole electromagnets for particle beam focusing and\nsteering. Phys Rev Accel Beams. 2015 Feb;18:023501.\n[44] Cherepanov V, Kolokolov I, L'vov V. The saga of YIG: Spectra, thermodynamics, interaction and\nrelaxation of magnons in a complex magnet. Phy Rep. 1993;229(3):81 { 144.\n[45] Serga AA, Chumak AV, Hillebrands B. YIG magnonics. J Phys D. 2010 jun;43(26):264002.\n[46] Kaplan DE. Magnetostatic Mode Echo in Ferromagnetic Resonance. Phys Rev Lett. 1965\nFeb;14:254{256.\n[47] Kaplan DE, Hill RM, Herrmann GF. Ampli\fed Ferrimagnetic Echoes. J Appl Phys.\n1969;40(3):1164{1171.\n[48] Huebl H, Zollitsch CW, Lotze J, Hocke F, Greifenstein M, Marx A, et al. High Cooperativity\nin Coupled Microwave Resonator Ferrimagnetic Insulator Hybrids. Phys Rev Lett. 2013\nSep;111:127003.\n[49] Rajendran M, Deka S, Joy PA, Bhattacharya AK. Size-dependent magnetic properties of\nnanocrystalline yttrium iron garnet powders. J Magn Magn Mater. 2006;301(1):212{219.\n[50] Maier-Flaig H, Klingler S, Dubs C, Surzhenko O, Gross R, Weiler M, et al. Temperature-dependent\nmagnetic damping of yttrium iron garnet spheres. Phys Rev B. 2017 Jun;95:214423.\n[51] Capua A, Rettner C, Yang SH, Phung T, Parkin SS. Ensemble-averaged Rabi oscillations in aSpatial superposition using a levitated ferrimagnetic nanoparticle 12\nferromagnetic CoFeB \flm. Nat Commun. 2017;8.\n[52] Wineland DJ. Nobel Lecture: Superposition, entanglement, and raising Schr odinger's cat. Rev\nMod Phys. 2013 Jul;85:1103{1114.\n[53] Mamin HJ, Poggio M, Degen CL, Rugar D. Nuclear magnetic resonance imaging with 90-nm\nresolution. Nat Nano. 2007;2(5).\n[54] Hinterberger A, Gerber S, Doser M. Superconducting shielding with Pb and Nb tubes for\nmomentum sensitive measurements of neutral antimatter. Journal of Instrumentation. 2017\nsep;12(09):T09002{T09002.\n[55] Garg A. Dissipation by nuclear spins in macroscopic magnetization tunneling. Phys Rev Lett.\n1993 Mar;70:1541{1544.\n[56] Spencer EG, Lecraw RC, Clogston AM. Low-temperature line-width maximum in yttrium iron\ngarnet. Phys Rev Lett. 1959;3(1):32{33.\n[57] Rschmann P, Dtsch H. Properties of Magnetostatic Modes in Ferrimagnetic Spheroids. Phys\nStatus Solidi B. 1977;82(1):11{57.\n[58] Kittel C. On the Theory of Ferromagnetic Resonance Absorption. Phys Rev. 1948 Jan;73:155{161.\n[59] Chang DE, Regal CA, Papp SB, Wilson DJ, Ye J, Painter O, et al. Cavity opto-mechanics using\nan optically levitated nanosphere. PNAS. 2010;107(3):1005{1010.\n[60] Stillman GE. Optoelectronics-21. In: Reference Data for Engineers;. p. 1{31.\n[61] Nimmrichter S, Hornberger K. Macroscopicity of Mechanical Quantum Superposition States. Phys\nRev Lett. 2013 Apr;110:160403.\n[62] Neukirch LP, von Haartman E, Rosenholm JM, Vamivakas AN. Multi-dimensional single-spin\nnano-optomechanics with a levitated nanodiamond. Nat Photon. 2015;9:653657.\n[63] Bose S, Mazumdar A, Morley GW, Ulbricht H, Toro\u0014 s M, Paternostro M, et al. Spin Entanglement\nWitness for Quantum Gravity. Phys Rev Lett. 2017 Dec;119:240401." }, { "title": "1905.04002v2.Experimental_Implementations_of_Cavity_Magnon_Systems__from_Ultra_Strong_Coupling_to_Applications_in_Precision_Measurement.pdf", "content": "Experimental Implementations of Cavity-Magnon Systems: from Ultra\nStrong Coupling to Applications in Precision Measurement\nGraeme Flower,1,a)Maxim Goryachev,1Jeremy Bourhill,1and Michael E. Tobar1,b)\nARC Centre of Excellence for Engineered Quantum Systems, Department of Physics, University of Western Australia,\n35 Stirling Highway, Crawley WA 6009, Australia\n(Dated: 2 August 2019)\nSeveral experimental implementations of cavity-magnon systems are presented. First an Yttrium Iron Garnet\n(YIG) block is placed inside a re-entrant cavity where the resulting hybrid mode is measured to be in the\nultra strong coupling regime. When fully hybridised the ratio between the coupling rate and uncoupled mode\nfrequencies is determined to be g=!= 0:46. Next a thin YIG cylinder is placed inside a loop gap cavity. The\nbright mode of this cavity couples to the YIG sample and is similarly measured to be in the ultra strong\ncoupling regime with ratio of coupling rate to uncoupled mode frequencies as g=! = 0:34. A larger spin\ndensity medium such as lithium ferrite (LiFe) is expected to improve couplings by a factor of 1.46 in both\nsystems as coupling strength is shown to be proportional to the square root of spin density and magnetic\nmoment. Such strongly coupled systems are potentially useful for cavity QED, hybrid quantum systems and\nprecision dark matter detection experiments. The YIG disc in the loop gap cavity, is, in particular, shown to\nbe a strong candidate for dark matter detection. Finally, a LiFe sphere inside a two post re-entrant cavity\nis considered. In past work it was shown that the magnon mode in the sample has a turnover point in\nfrequency1. Additionally, it was predicted that if the system was engineered such that it fully hybridised at\nthis turnover point the cavity-magnon polariton (CMP) transition frequency would become insensitive to both\n\frst and second order magnetic bias \feld \ructuations, a result useful for precision frequency applications.\nThis work implements such a system by engineering the cavity mode frequency to near this turnover point,\nwith suppression in sensitivity to second order bias magnetic \feld \ructuations shown.\nINTRODUCTION\nMagnonic systems have been of considerable interest\nrecently. Applications of such systems range from quan-\ntum information processing2{4and coherent conversion\nof microwave to optical frequency light5,6, to microwave\ncomponents in the form of \flters, circulators, isolators\nand oscillators. Additionally, such systems are used\nin the study of hybrid quantum systems7,8, Quantum\nelectrodynamics (QED)9{11, and direct detection of dark\nmatter12{16. In the context of dark matter detection,\nit has been shown that strongly coupled cavity-magnon\nsystems are useful for expanding the range of detectable\ndark matter masses12. Typically, the material of choice\nfor these experiments is Yttrium Iron Garnet (YIG)\ndue to its low magnonic and photonic loss, and high\nspin density, however, other ferrimagnetic materials are\noften considered for study such as lithium ferrite (LiFe)1\nand Cu 2OSeO 317. LiFe has been of recent interest for\nuse in hybrid cavity-magnon systems due to is higher\nspin density when compared to YIG. It was additionally\nshown to have a turnover point in its frequency as a\nfunction of DC magnetic \feld1. This is of interest as the\ncavity-magnon polariton (CMP) transition frequency\n(di\u000berence frequency of hybrid modes) was predicted\nto become insensitive to both \frst and second order\nmagnetic \feld \ructuations if the system were to be fully\na)Electronic mail: 21302579@student.uwa.edu.au\nb)Electronic mail: michael.tobar@uwa.edu.auhybridised at this turnover point. This is useful for\napplications which require precision frequency measure-\nments, as this would reduce the e\u000bect of \ructuations\nof magnetic \feld biasing and is similar to a double\nmagic point atomic clock transition18. One aim of this\nwork was to implement this system to demonstrate\nsuppression of second order magnetic \feld \ructuations\nin the CMP transition frequency.\nOften, in the study of hybrid quantum systems,\na perturbative approach, such as the rotating wave\napproximation (RWA), is used to analyse dynamics.\nThis is valid when the ratio of coupling rate to bare\nmode frequency is small ( g=!\u001c1) and predicts that\nas the coupling rate increases between subsystems,\nthere is improved coherence of information exchange\nand a larger spontaneous emission rate19. A goal in\nthe study of hybrid quantum systems is thus to achieve\nstronger couplings between the component systems. At\nlarger couplings, however, the RWA begins to break\ndown and new dynamics appear. The ultra-strong\ncoupling (USC) regime, occurs when g=! > 0:1, and, in\nthe context of light matter couplings has been shown\nto lead to interesting new observations including the\ndynamical Casimir e\u000bect20,21, super-radiant phase\ntransitions22{24, and ultra-e\u000ecient light emission25{27.\nFurther interesting dynamics are expected to appear in\nthe deep-strong coupling (DSC) regime, where g=! > 1,\nsuch as the counter intuitive result that energy exchange\nbetween component subsystems saturates and then\ndrops o\u000b when moving from USC to DSC. This leads to\na saturation followed by reduction in the spontaneousarXiv:1905.04002v2 [quant-ph] 1 Aug 20192\nemission rate for larger couplings19. Where the pertur-\nbative approach to solving dynamical equations breaks\ndown in the USC and DSC regimes, new theoretical\napproaches have appeared26,28,29. The USC regime has\nbeen explored experimentally in various applications\nfrom coupled photons and superconducting qubits30,31,\nto cavity-magnonic systems9,11,32,33, to other forms\nof light matter coupling34{38. The DSC regime has\nalso now been demonstrated experimentally in super-\nconducting circuits39,40, and terahertz light-Landau\npolariton couplings in nanostructure metamaterials41. A\ncomprehensive review of such phenomenon was recently\npublished42.\nThis work considers some experimental implementa-\ntions of the USC regime in cavity-magnon polaritons\nthrough cavity engineering. The measurement set-up in\nall cases is to place the cavity, made from oxygen free\ncopper, inside a solenoidal superconducting magnetic and\ncooled inside a Dilution refrigerator (see below for speci\fc\ntemperatures). The frequency response in transmission\nof the system is then measured as a function of DC mag-\nnetic \feld. This procedure is explained in more detail in\nprevious works43,44.\nI. COUPLING IN CAVITY-MAGNON SYSTEMS\nIn the absence of a general model for magnonic sys-\ntems of arbitrary geometries it is useful to consider the\ncase of a cavity mode coupled to a uniform precession\nmagnon mode. The Hamiltonian of the cavity-magnon\nsystem consists of its cavity and magnon parts, Hcand\nHmrespectively, as well as magnon-cavity interaction,\nHint:\nH=Hc+Hm+Hint\nH=~!ccyc+~!mbyb+~gx\ncm(c+cy)(b+by)\n+~gy\ncm(c+cy)(b\u0000by);(1)\nwherecy(c) is a creation (annihilation) operator for\nphoton,by(b) is a magnon creation (annihilation)\noperator,!cis the cavity frequency, !mis magnon\nfrequency,gcm;x (gcm;y) is the cavity magnon coupling\nrate associated with overlap of x (y) directional \feld\nand ~is the reduced Planck's constant. It is assumed\nthat the DC bias magnetic \feld is in the z direction and\nthe particular choice of x and y directions is shown later\nto be arbitrary. These expressions can been found from\n\frst principles45,46where the interaction term is derived\nin the supplementary materials.\nEigen-frequencies, !\u0006, of this coupled mode system are\n(neglecting dissipation):\n!\u0006=s\n!2c+!2m\n2\u0006r\u0010!2c\u0000!2m\n2\u00112\n+ 4!c!mg2cm;(2)where the coupling rate g2\ncm= (gx\ncm)2\u0000(gy\ncm)2, can be\nwritten as (see supplementary materials):\ngcm=\r\n2\u0011r\n\u00160S~!c\nVm; (3)\n\u0011=vuut\u0000R\nVmH\u0001^xdV\u00012+\u0000R\nVmH\u0001^ydV\u00012\nVmR\nVcjHj2dV; (4)\nwhere\u0011is a form factor ranging from 0 to 1, and S\nis the total spin number of the macrospin operator.\nNote,Sis determined by S=\u0016\ng\u0016BNs, where\u0016is the\nmagnetic moment of the magnetic sample, \u0016Bis the\nBohr magneton, gis the g-factor ( g= 2) andNsis the\nnumber of spins in the sample (given by Ns=nsVmwith\nnsas spin density and Vmas volume). When \u0011= 0 none\nof the cavity H-\feld is perpendicular to the external DC\n\feld and contained in the magnetic sample. In contrast,\nwhen\u0011= 1 all of the cavity H-\feld is perpendicular\nand in the sample. It can be noted from the form of\nthis expression that the coupling rate isn't directly\ndependent on the volume of the magnetic sample (as\nS/Vm), contrary to some past papers10,11, where\nthey have claimed gcm/pNs, implying gcm/pVm.\nInstead, increasing the volume of the magnetic sample in\nthe cavity leads to larger form factor, \u0011, as more of the\ncavity \feld is contained in the magnetic material. An\nincrease in this \flling factor can similarly be achieved,\nhowever, by cavity design without increasing the volume\nof the magnetic sample. For example, the use of two\npost re-entrant cavities has been shown to produce\nlarge coupling rates for small magnetic volumes9. In\nthis work, it will be shown how novel cavity design can\nachieve large couplings by ensuring the cavity \feld is\nprimarily contained within the magnetic sample volume.\nIt can also be seen this result that the fundamental limit\nof the coupling rate given a \fxed cavity frequency is\nthe material properties, including magnetic moment and\nspin density, of the sample as: gcm/q\n\u0016\ng\u0016Bns.\nSpherical geometries of the ferrimagnetic samples are\noften used given the symmetries of the system makes\nthe inclusion of demagnetising \felds in modelling much\nsimpler47{49. For arbitrary non-spherical geometries, the\nmagnon mode shapes are typically not known. As such,\ncoupling rates can not be calculated from \frst principals\nas above. It is expected, and found in previous work,\nthat the coupling rates can be related in general to the\nmagnetic \flling factor, \u0010m, of cavity \feld contained in\nthe sample by g2\ncm=!2\nc\u001feff\u0010m, where\u001feffis an e\u000bec-\ntive susceptibility determined by material properties and\nthe overlap of the speci\fc cavity and magnon modes9. As\nthe focus of this work is on non-spherical ferrite geome-\ntries, magnetic \flling factor becomes the relevant \fgure\nof merit in maximising coupling rates. With the lack of\npredicted magnon frequency dependence with DC mag-\nnetic \feld in arbitrary geometries a phenomenological\napproach is taken. Typically a linear \ft to magnon fre-3\nquency is su\u000ecient for at least part of the \ftting proce-\ndure, where it can be related to the case of a spherical\ngeometry governed by the Zeeman e\u000bect50:\n!m(BDC) = (2\u0019)ge\u000b\u0016B\n~(BDC+Bo\u000b); (5)\nwhere\u0016Bis the Bohr magneton, Bo\u000bis an o\u000bset \feld\ntypically due to magneto-crystalline anisotropies and ge\u000b\nis the e\u000bective Land\u0013 e g-factor, typically ge\u000b= 2 for spher-\nical geometries.\nII. ULTRA STRONG COUPLING BETWEEN\nMAGNETOSTATIC MODE OF A YIG BLOCK AND A\nRE-ENTRANT CAVITY\nA. Cavity modelling and system speci\fcations\nA rectangular prism of YIG, with dimensions 5 \u00023\u00025\nmm, containing a central 1 mm diameter hole, was placed\ninside a rectangular cavity. This cavity, with dimensions\n5.5\u00023.3\u00025.2 mm, had a central re-entrant post of diam-\neter 1 mm and height 5.1 mm. The cavity was placed\ninside a DC magnetic \feld oriented in the direction of\nthe re-entrant post. Re-entrant cavities typically consist\nof a cylindrical cavity with a central post. The lowest\norder mode of this cavity has its electric \feld primarily\nbetween the post and the lid of the cavity; similar to a\nparallel plate capacitor, and the magnetic \feld around\nthe post. Thus it forms a 3D lumped element LC res-\nonator. Prior to measurement electromagnetic modelling\nis performed on the cavity, where the YIG block was con-\nsidered a linear homogeneous dielectric with relative per-\nmittivity\u000fr= 15:9632. This is shown in \fgure 1 (A) for\nseveral cavity modes with the primary mode of interest\nbeing labelled (1). As the YIG block takes up most of the\ncavity, it has a high magnetic \flling factor of \u0010m= 0:94.\nWithout knowledge of the speci\fc magneto-static mode\nshape of the block, this is the primary design require-\nment to achieve large coupling between the two subsys-\ntems. Additionally as the gap between post and lid is\nfree space, the electric \flling factor in the block is low\n\u0010e= 0:08. This should reduce dielectric losses.\nB. Experimental Results and Discussions\nSpectroscopic data was taken with the system at\napproximately 20 mK, to probe the hybrid frequencies\nas a function of DC magnetic \feld and \ftting was\nperformed to the most strongly coupled hybrid modes by\nequation (2). The results of the spectroscopy and \ftting\nare shown in \fgure 1. The \ftted model parameters\nare!c=(2\u0019) = 5:870\u00060:004 GHz,ge\u000b= 2:061\u00060:003,\nBo\u000b= 0:1231\u00060:0003 T,gcm=(2\u0019) = 2:690\u00060:005\nGHz. The condition for ultra strong coupling is that\nthe bare frequencies of the uncoupled modes satisfy the\nFIG. 1: (A) Colour density plot of magnetic \feld\nstrength (side view to the post) and electric \feld\nstrength (plane transverse to the post) for the cavity\nmodes of the re-entrant cavity. (B) YIG block system\nresponse in terms of its S\u000021 parameter as a function\nof external magnetic \feld with labels corresponding to\nthe cavity modes. The red and black dashed lines are\nthe \fts to CMPs and uncoupled cavity and magnon\nmodes associated with (1) respectively.\ninequality: g=!\u00150:1. This is true of the cavity mode,\nasgcm=!c= 0:46, and the magnon mode, based on the\nassumed model, for BDC\u00140:81 T.\nAdditional cavity modes can be seen in \fgure 1 (B)\nexhibiting strong coupling to other magnon modes\nin the block. For completeness, the cavity modes\npredicted were identi\fed by their frequencies (which\nshould correspond to the measured frequencies at large4\n\feld) and labelled. Their mode shape based on the\nelectromagnetic modelling is shown in \fgure 1 (A). It\ncan be seen that modes (1) and (2) are the \frst and\nsecond order re-entrant post modes51as these have all\nthe electric \feld in the gap between the post and lid.\n(3) and (4), on the other hand, appear to be perturbed\nrectangular cavity modes that would be degenerate\nif the cavity had x-y symmetry. Figure 1 also shows\nthat at low \felds the modes, particularly the high\nfrequency ones, have a sudden change in slope. This has\nbeen observed in the past in both YIG32, and yttrium\naluminium garnet (YAG)52and can be explained by the\ne\u000bect on the ferromagnetic phase of the impurity ions\non degenerate modes.\nIt is unlikely that by further engineering the cavity,\nthe coupling to this block couple be improved as it al-\nready had a near unity \flling factor, however, with a\nmaterial with higher spin density, such as LiFe, the cou-\npling rate to this mode could potentially improve. As-\nsuming the relation between coupling and spin density\nisgcm/q\n\u0016\ng\u0016Bnsas in the previous section, the cou-\npling for an identical LiFe block in this cavity can be\nestimated. The ratio of spin density of LiFe relative to\nYIG isns;LiFe\nns;Y IG\u00192:13 where we note both YIG and LiFe\nhave the same magnetic moment, \u00161. This is expected to\nimprove couplings by a factor ofp\n2:13\u00191:46. Thus the\nexpected coupling with a LiFe block is gcm=(2\u0019)\u00193:93\nGHz giving gcm=!c\u00190:67.\nIII. ULTRA STRONG COUPLING BETWEEN\nMAGNETOSTATIC MODE OF A YIG DISC AND A LOOP\nGAP CAVITY\nA. Cavity modelling and system speci\fcations\nA thin, single-domain YIG disc of diameter 6 mm\nand thickness 0.5 mm is placed in the central cham-\nber of a loop gap cavity. Like the re-entrant cavity, the\nloop gap cavity is also a 3D lumped element LC res-\nonator. In this case two rectangular cavities of dimen-\nsions 15\u000235\u000217 mm are separated by a copper slab of\nthickness 5 mm. The slab has a central cut-out region\nfor the sample and two cylindrical cut-outs of 5 mm di-\nameter with axes perpendicular to the ample axis, sep-\narated by 15.7 mm. The cylinders and central cham-\nber form lumped element inductors with the magnetic\n\feld circulating around them. Two thin cut-out rectan-\ngular chambers between the cylinders and central cham-\nber of dimensions 0.16 \u00022.35 mm, form lumped element\ncapacitors with the majority of the electric \feld in these\ngaps. Thus they form two coupled 3D lumped element\nresonators. They hybridise to two normal modes, one\nwhere the electric \felds of each oscillate in phase typi-\ncally named the dark mode as it has little magnetic \feld\nin the central chamber, and the other where the elec-tric \felds oscillate out of phase forming the bright mode.\nThe bright mode is characterised by the majority of the\nmagnetic \feld directed through the central chamber with\nthe sample and thus should achieve large magnetic \flling\nfactors. Once again, electromagnetic modelling is per-\nformed to determine the structure of the cavity modes.\nThe bright mode has a large magnetic \flling factor in\nthe sample of \u0010m= 0:77. The electric \flling factor in the\ndisc is found to be low \u0010e= 0:08 ensuring low dielectric\nlosses.\nB. Experimental Results and Discussions\nFIG. 2: (A) Colour density plot of magnetic \feld\nstrengthjHjfor the bright mode of the loop gap cavity.\n(B) YIG disc system response in terms of its S\u000021\nparameter as a function of external magnetic \feld. The\nred and black dashed lines are the \fts to CMPs and\nuncoupled cavity and magnon modes respectively.\nSpectroscopic data was taken with the system at\napproximately 4 K to probe the hybrid frequencies\nas a function of DC magnetic \feld and \ftting was\nperformed to the most strongly coupled hybrid mode by\nequation (2). In this case a linear relationship with DC\nmagnetic \feld was assumed where both branches of the5\nhybrid system were visible ( B > 0:27 T approximately).\nAn initial \ftting was performed in this region to obtain\nthe values of the cavity frequency and coupling rate. A\npolynomial relation to DC \feld was then used to infer\nthe magnon frequency outside of this region assuming\nthe coupling rate remains constant. The results of the\nspectroscopy and \ftting are shown in \fgure 2. The\n\ftted model parameters from the linear magnon \ft are\n!c=(2\u0019) = 7:599\u00060:008 GHz, ge\u000b= 2:249\u00060:008,\nBo\u000b=\u00000:083\u00060:001 T,gcm=(2\u0019) = 2:574\u00060:002 GHz.\nThe polynomial \ft to the magnon frequency is shown\nin \fgure 2 (B). The \ftted parameters imply that the\nultra-strong coupling regime is achieved as the ratio of\ncoupling rate to cavity frequency is gcm=!c= 0:34 and\nthe ratio to magnon frequency is inferred by the \ftted\nparameters to be greater than 0.1 for B\u00140:9 T.\nAgain, as the \flling factor was near unity, it is also\nunlikely that further cavity engineering will improve the\nmeasured coupling rate. The coupling can be improved\nwith the use of the higher spin density medium of LiFe,\nas in the previous section. If an identical LiFe disc was\nused, the coupling can be expected to be gcm\u0019(2\u0019)3:76\nGHz giving gcm=!c\u00190:50. If the measured magnon\nmode in question is assumed to be approximately a\nuniform precession mode, the expected coupling rate can\nbe calculated by equation (3). This predicts a coupling\nofgcm=(2\u0019) = 6:7 GHz based on calculated form factors\nby the electromagnetic cavity model of \u0011= 0:82. This\nis obviously much larger than the coupling measured,\nthus, assuming the validity of equation (3), the limiting\nfactor of this set-up is likely to be due to non-uniformity\nof the magnon mode leading to a suboptimal overlap\nwith the cavity \feld. It would be potentially interesting,\nthus, to test a sphere inside a loop gap, where the mode\nshape is known to be uniform and coupling rates can be\npredicted. Assuming the cavity \feld is uniform and only\nin a cylindrical central chamber of a loop gap cavity\nwith a sphere of arbitrary size in the centre, the form\nfactor can be estimated as \u0011= 0:82. Thus with a cavity\nfrequency of !c=(2\u0019) = 5:9 GHz, the expected coupling\nrate isgcm=(2\u0019)\u00195:9 GHz thus producing a ratio of\ngcm=!c\u00191, and reaching the deep strong coupling\nregime.\nCavity-magnon systems have been used in the past as\nmethods for direct detection of axion dark matter in the\nform of ferromagnetic axion haloscopes12{14. The inter-\naction of the grad of the expected axion \feld with elec-\ntron spins is analogous to that of a uniform oscillating\nmagnetic \feld at the Compton frequency of the axion\n\feld53{55. It can be noted that the magnetic \feld in the\nloop gap cavity is approximately uniform and only in\none direction. Thus the strong interaction of this \feld\nwith the magnon mode suggests that the magnon mode\nwould also make a prime candidate for dark matter de-\ntection. Without speci\fc knowledge of the magnon mode\nstructure, a \frst principles model of the axion-magnoninteraction can't be determined. However, the system's\nresponse to uniform oscillating magnetic \felds could be\ncalibrated with a \feld of known size, thus inferring its\ninteraction with axions. In the past, ferromagnetic axion\nhaloscopes, have focused on spherical geometries for their\nmagnetic material, as the magnon mode structure is well\nknown. Non-spherical geometries can be bene\fcial from\na practical standpoint as samples with larger volumes\nare often easier to acquire, where the number of spins\nin the system is a key parameter in increasing experi-\nmental sensitivity. Large cavity-magnon couplings lead\nto a larger range of frequencies and hence axion masses\nthat the experiment can be sensitive to12. Thus the USC\nachieved here would be advantageous for such an exper-\niment to explore a larger range of the, as yet, unknown\naxion mass parameter space56.\nIV. APPLICATIONS OF FERRITES IN FREQUENCY\nMETROLOGY\nThe focus of frequency metrology is typically in the\ndevelopment of high accuracy and stability clocks57{59.\nHowever, applications of frequency metrology are also\nin fundamental physics60{65, including detection of dark\nmatter through exceptional points64. Exceptional points\nare achieved by engineering loss rates and couplings in\nopen systems and increase a system's sensitivity to small\nperturbations in frequency. These have recently been\ndemonstrated in cavity-magnon polaritons by adjusting\nthe position of a small YIG sphere in a rectangular\ncavity66, as well as being investigated in the context\nof magnon-induced transparency and ampli\fcation67.\nAdditionally, in the context of dark matter detection,\nit was recently suggested that frequency metrology\ntechniques applied to cavity-magnon polaritons could\nbe used to detect ultralight axion or axion-like dark\nmatter, as this interaction can appear as a modulation\nof magnon frequency12.\nIn the commercial sector, an application of frequency\nmetrology is in synthesisers and oscillators. In this con-\ntext, for microwave frequencies, ferrites are particularly\nuseful. High stability oscillators need large quality fac-\ntors, something which microwave cavities in the form of\nsapphire whispering gallery mode resonators excel at68.\nThese devices are typically not compact, however. Fer-\nrimagnetic thin \flms have signi\fcant advantage here, as\nthey have modestly large quality factors and low phase\nnoise, whilst potentially being able to be miniaturised69.\nFor broad applications, it is also useful to be widely tun-\nable in frequency. As the frequency of ferromagnetic res-\nonance (FMR) is determined primarily by an external\nDC magnetic \feld through the Zeeman e\u000bect, this al-\nlows a broad range of frequency tunability in such de-\nvices. As such, even spherical geometries \fnd signi\fcant\nuse as oscillators70{72. The high sensitivity of FMR fre-\nquency to DC magnetic \feld, whilst extremely useful for6\nfrequency tuning, also makes it extremely sensitive to\nmagnetic \feld \ructuations, limiting the applicability of\nthese devices in developing high stability devices. Thus,\ndeveloping systems which are insensitive to these \ructu-\nations would be of interest.\nV. LIFE SPHERE FOR REDUCED SENSITIVITY TO\nBIAS MAGNETIC FIELD FLUCTUATIONS\nA. Cavity-Magnon Polariton Transition Frequency\n\ructuations\nFor any mapping of one variable to another, small \ruc-\ntuations in the input variable will map to small \ructu-\nations in the output by power series expansion. In our\ncase we are interested in the conversion of \ructuations in\nthe bias magnetic \feld, \u000eB, to \ructuations in the CMP\ntransition frequency, !CMP, as follows, to second order:\n\u000e!CMP =d(!CMP)\ndB\u000eB+d2(!CMP)\ndB2\u000eB2+O[\u000eB3]:(6)\nAs such to suppress this conversion we are interested in\nsuppressing the \frst and second derivative of CMP tran-\nsition frequency response. A RWA can be used to sim-\nplify equation (2), giving the CMP transition frequency:\n!CMP = 2r\u0010!c\u0000!m\n2\u00112\n+g2cm; (7)\nwhere!m\u0011!m(B). Thus the \frst and second deriva-\ntives are:\nd!CMP\ndB=\u00002(!c\u0000!m)\n!CMPd!m\ndB; (8)\nd2!CMP\ndB2=\u00002(!c\u0000!m)\n!CMPd2!m\ndB2\u00002\n!CMP\u0010d!m\ndB\u00112\n\u00004(!c\u0000!m)2\n!3\nCMP\u0010d!m\ndB\u00112\n:(9)\nIn strongly coupled systems a turning point will natu-\nrally exist in the di\u000berence frequency of normal modes\n(ie.d!CMP\ndB= 0), when the di\u000berence in uncoupled\nmode frequencies is at a local minimum (or maximum)\ncorresponding to a local maximum (minimum) in energy\nexchanged by the underlying degrees of freedom. This\noccurs when, either, the system is fully hybridised\n(!c=!m) or when the uncoupled modes also have a\nturning point (d!m\ndB= 0, whered!c\ndB= 0 is always true) as\nseen in equation (8). When both of these conditions are\nmet in the same system con\fguration, they correspond\nto an in\rection point as seen in equation (9) giving the\ndesired suppression of bias \feld \ructuations to second\norder (ie.d2!CMP\ndB2= 0 andd!CMP\ndB= 0). A turning point\nin the magnon frequency's magnetic \feld dependence is,therefore, required.\nSimple understanding of linear kittel magnon modes\nrely on the symmetry of single domain spheres, isotropy\nof spins in the sample and bias \feld above satura-\ntion, however, this is generally not the case in real-\nity. Anisotropic and demagnetising \felds are produced\nby magneto-crystalline anisotropy and breaking spherical\nsymmetry of the sample respectively. These e\u000bects cre-\nate a preferred direction of magnetization: the easy axis.\nWhen the applied magnetic \feld is below the saturation\n\feld, and is misaligned with this easy axis, the mag-\nnetization vector tends towards aligning with the easy\naxis rather than the applied \feld. Additionally, below\nthe saturation \feld, multi-domain structures can develop.\nThis mode softening behaviour combined with the nor-\nmal Zeeman e\u000bect is the physical reason for the observed\nturnover point in magnon frequency. This is described in\nmore detail for the sample measured1and in general73,74\nin the literature. Magneto-crystalline anisotropy has\nalso been demonstrated to produce a magnon-Kerr non-\nlinearity in YIG75{78. Given this anisotropy has also been\nmeasured in LiFe79, it is expected to also have a similar\nnon-linearity. However, given the e\u000bect is expected to be\nextremely small, requiring large numbers of excitations\nto be visible, it can be neglected for this work.\nB. Cavity modelling and system speci\fcations\nThe single domain, polished, LiFe sphere had a diam-\neter of 0.58 mm. It is placed in a two post re-entrant\ncavity. This cavity is a cylinder of diameter 17.5 mm\nand height 3.8 mm. The two posts are of diameter of\n2.8 mm, height of 3 mm and separation of 4.8 mm from\ncentre to centre. A small spacer of 0.1 mm was inserted\nbetween the cavity walls and lid. This allowed cavity fre-\nquency tuning through \fne adjustments to the gap size\nbetween the posts and cavity lid by tightening the lid\nscrews. This once again forms two coupled 3D lumped\nelement resonators. The applied DC magnetic \feld is\noriented along the direction of the post and the (110)\ncrystal axis of the LiFe sample. The mode of interest\nis the bright mode where the majority of the magnetic\n\feld are directed between the posts. This is described\nin more detail in previous works1,9. The electromagnetic\nmodelling for this mode is shown in \fgure 3 (A).\nC. Experimental Results and Discussions\nThe \ft given by equation (5) is applied to the linear\nsections of the spectroscopy data with a phenomenologi-\ncal \ft applied to the turnover point. The results of the\nspectroscopy with the system at approximately 20 mK,\nincluding applied \fts, is shown in \fgure 3. This gives\n\ftting parameters: ge\u000b,p = 2:03,Bo\u000b,p = 0:00780 T,\nge\u000b,m=\u00000:70,Bo\u000b,m =\u00000:751 T,!c=(2\u0019) = 5:56 GHz,7\nFIG. 3: (A) Colour density plot of magnetic \feld\nstrengthjHjfor the bright mode of the two post\nre-entrant cavity. (B) LiFe system response in terms of\nitsS\u000021 parameter as a function of external magnetic\n\feld. The red and black dashed lines are the \fts to\nCMPs and uncoupled cavity and magnon modes\nrespectively.\ngcm=(2\u0019) = 169MHz, where the subscripts p and m refer\nto the positive and negative sloped limits of the hybrid\nmode frequency respectively.\nThe \ftting in \fgure 3 (B), shows the cavity frequency is\nclose to the turnover point in frequency as designed. The\nparameter of interest is the CMP transition frequency\n(di\u000berence frequency of hybrid modes). This parameter\nand its \frst and second derivatives are shown in \fgure 4\nwhere the point of maximal hybridisation, corresponding\nto the minimum in CMP transition frequency, is marked\nwith a cross. It can be seen that the CMP transition is\ninsensitive to \frst order bias magnetic \feld \ructuations\nwhen maximally hybridised as usual, however it can also\nbe seen that the second order \feld \ructuations are also\nsuppressed. Continued tuning of the cavity frequency\nsuch that it is closer to this turnover point will reduce\nthis sensitivity further. If an oscillator were constructed\nFIG. 4: CMP transition frequency as a function of DC\nmagnetic \feld, (A), and its \frst, (B), and second, (C),\nderivatives. The orange cross marks the fully hybridized\nregime.\nbased on this transition frequency, its frequency stabil-\nity would be reduced by \ructuations of the bias \feld.\nThis is a signi\fcant limitation of these devices. Thus\nby appropriately operating the demonstrated system as\nan oscillator at the maximally hybridised bias point, the\nfrequency stability is expected to be improved.8\nCONCLUSIONS\nIn conclusion, we have presented three implementa-\ntions of cavity-magnon experiments. The \frst two fo-\ncussed on the implementation of strong coupling between\ncavity and magnon degrees of freedom through cavity en-\ngineering. Non-spherical ferrite geometries were speci\f-\ncally investigated and phenomenological \fts to spectro-\nscopic data matched well with the measured data. Ultra\nstrong coupling was shown to be achieved in both sys-\ntems making them prime candidates for use in the study\nof cavity QED or hybrid quantum systems. It is expected\nthat the limiting factor in maximising couplings in the\npresented systems was the spin density of the material\nand non-ideal mode overlaps. The use of a loop gap cav-\nity was found to be particularly interesting for future\ninvestigation, as the magnetic \feld in the bright mode\nof this cavity is also consistent with the use of spherical\nferrimagnetic samples where mode overlaps are expected\nto be better. It was predicted that the deep strong cou-\npling regime should be reasonably achievable via these\ncavities. Additionally, it is expected to make a good can-\ndidate for improved axion dark matter detection. The\nlast system presented attempted to fully hybridise a two\npost re-entrant cavity mode with a magnon mode of a\nLiFe sphere at its turnover point in bias magnetic \feld.\nThis was successful and demonstrated a suppression in\nthe sensitivity of the CMP transition frequency to both\n\frst order and second order \ructuations in bias mag-\nnetic \feld, making it useful for applications in frequency\nmetrology as an oscillator.\nACKNOWLEDGEMENTS\nThis work was supported by the Australian Research\nCouncil grant number DP190100071 and CE170100009\nas well as the Australian Government's Research Train-\ning Program.\nREFERENCES\n1M. Goryachev, S. Watt, J. Bourhill, M. Kostylev, and M. E.\nTobar, Phys. Rev. B 97, 155129 (2018).\n2A. V. Chumak, A. A. Serga, and B. Hillebrands, Nature Com-\nmunications 5, 4700 (2014), article.\n3A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands,\nNature Physics 11, 453 (2015), review Article.\n4P. Andrich, C. F. de las Casas, X. Liu, H. L. Bretscher, J. R.\nBerman, F. J. Heremans, P. F. Nealey, and D. D. Awschalom,\nnpj Quantum Information 3, 28 (2017).\n5R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa, A. Noguchi,\nR. Yamazaki, K. Usami, and Y. Nakamura, Phys. Rev. B 93,\n174427 (2016).\n6M. Kostylev and A. Stashkevich, Journal of Magnetism and Mag-\nnetic Materials 484, 329 (2019).\n7Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki,\nK. Usami, and Y. Nakamura, Comptes Rendus Physique 17,\n729 (2016), quantum microwaves / Micro-ondes quantiques.8D. Lachance-Quirion, Y. Tabuchi, S. Ishino, A. Noguchi,\nT. Ishikawa, R. Yamazaki, and Y. Nakamura, Sci-\nence Advances 3 (2017), 10.1126/sciadv.1603150,\nhttp://advances.sciencemag.org/content/3/7/e1603150.full.pdf.\n9M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan, M. Kostylev,\nand M. E. Tobar, Phys. Rev. Applied 2, 054002 (2014).\n10Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and\nY. Nakamura, Phys. Rev. Lett. 113, 083603 (2014).\n11X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Phys. Rev. Lett.\n113, 156401 (2014).\n12G. Flower, J. Bourhill, M. Goryachev, and M. E. Tobar, Physics\nof the Dark Universe 25, 100306 (2019).\n13N. Crescini, D. Alesini, C. Braggio, G. Carugno,\nD. Di Gioacchino, C. S. Gallo, U. Gambardella, C. Gatti,\nG. Iannone, G. Lamanna, C. Ligi, A. Lombardi, A. Ortolan,\nS. Pagano, R. Pengo, G. Ruoso, C. C. Speake, and L. Ta\u000barello,\nThe European Physical Journal C 78, 703 (2018).\n14R. Barbieri, C. Braggio, G. Carugno, C. Gallo, A. Lombardi,\nA. Ortolan, R. Pengo, G. Ruoso, and C. Speake, Physics of the\nDark Universe 15, 135 (2017).\n15P. Sikivie, Phys. Rev. Lett. 113, 201301 (2014).\n16A. Garcon, D. Aybas, J. W. Blanchard, G. Centers, N. L.\nFigueroa, P. W. Graham, D. F. J. Kimball, S. Rajendran, M. G.\nSendra, A. O. Sushkov, L. Trahms, T. Wang, A. Wickenbrock,\nT. Wu, and D. Budker, Quantum Science and Technology 3,\n014008 (2018).\n17L. V. Abdurakhimov, S. Khan, N. A. Panjwani, J. D. Breeze,\nM. Mochizuki, S. Seki, Y. Tokura, J. J. L. Morton, and H. Kure-\nbayashi, Phys. Rev. B 99, 140401 (2019).\n18L. S\u0013 ark\u0013 any, P. Weiss, H. Hattermann, and J. Fort\u0013 agh, Phys.\nRev. A 90, 053416 (2014).\n19S. De Liberato, Phys. Rev. Lett. 112, 016401 (2014).\n20A. Auer and G. Burkard, Phys. Rev. B 85, 235140 (2012).\n21S. D. Liberato, C. Ciuti, and I. Carusotto, Phys. Rev. Lett. 98,\n103602 (2007).\n22N. Lambert, C. Emary, and T. Brandes, Phys. Rev. Lett. 92,\n073602 (2004).\n23P. Nataf and C. Ciuti, Nature Communications 1, 72 EP (2010),\narticle.\n24S. De Liberato and C. Ciuti, Phys. Rev. Lett. 110, 133603 (2013).\n25S. De Liberato and C. Ciuti, Phys. Rev. B 77, 155321 (2008).\n26C. Ciuti and I. Carusotto, Phys. Rev. A 74, 033811 (2006).\n27S. De Liberato and C. Ciuti, Phys. Rev. B 79, 075317 (2009).\n28C. Ciuti, G. Bastard, and I. Carusotto, Phys. Rev. B 72, 115303\n(2005).\n29J. Casanova, G. Romero, I. Lizuain, J. J. Garc\u0013 \u0010a-Ripoll, and\nE. Solano, Phys. Rev. Lett. 105, 263603 (2010).\n30F. Yoshihara, T. Fuse, S. Ashhab, K. Kakuyanagi, S. Saito, and\nK. Semba, Phys. Rev. A 95, 053824 (2017).\n31T. Niemczyk, F. Deppe, H. Huebl, E. P. Menzel, F. Hocke, M. J.\nSchwarz, J. J. Garcia-Ripoll, D. Zueco, T. H ummer, E. Solano,\nA. Marx, and R. Gross, Nature Physics 6, 772 EP (2010).\n32J. Bourhill, N. Kostylev, M. Goryachev, D. L. Creedon, and\nM. E. Tobar, Phys. Rev. B 93, 144420 (2016).\n33N. Kostylev, M. Goryachev, and M. E. Tobar, Applied Physics\nLetters 108, 062402 (2016), https://doi.org/10.1063/1.4941730.\n34G. Scalari, C. Maissen, D. Tur\u0014 cinkov\u0013 a, D. Hagenm uller,\nS. De Liberato, C. Ciuti, C. Reichl, D. Schuh, W. Wegschei-\nder, M. Beck, and J. Faist, Science 335, 1323 (2012),\nhttps://science.sciencemag.org/content/335/6074/1323.full.pdf.\n35V. M. Muravev, I. V. Andreev, I. V. Kukushkin, S. Schmult, and\nW. Dietsche, Phys. Rev. B 83, 075309 (2011).\n36Y. Todorov, A. M. Andrews, R. Colombelli, S. De Liberato,\nC. Ciuti, P. Klang, G. Strasser, and C. Sirtori, Phys. Rev. Lett.\n105, 196402 (2010).\n37M. Geiser, F. Castellano, G. Scalari, M. Beck, L. Nevou, and\nJ. Faist, Phys. Rev. Lett. 108, 106402 (2012).\n38T. Schwartz, J. A. Hutchison, C. Genet, and T. W. Ebbesen,\nPhys. Rev. Lett. 106, 196405 (2011).9\n39F. Yoshihara, T. Fuse, S. Ashhab, K. Kakuyanagi, S. Saito, and\nK. Semba, Nature Physics 13, 44 EP (2016).\n40F. Yoshihara, T. Fuse, Z. Ao, S. Ashhab, K. Kakuyanagi,\nS. Saito, T. Aoki, K. Koshino, and K. Semba, Phys. Rev. Lett.\n120, 183601 (2018).\n41A. Bayer, M. Pozimski, S. Schambeck, D. Schuh, R. Huber,\nD. Bougeard, and C. Lange, Nano Letters 17, 6340 (2017).\n42A. Frisk Kockum, A. Miranowicz, S. De Liberato, S. Savasta,\nand F. Nori, Nature Reviews Physics 1, 19 (2019).\n43W. G. Farr, D. L. Creedon, M. Goryachev, K. Benmessai, and\nM. E. Tobar, Phys. Rev. B 88, 224426 (2013).\n44M. Goryachev, W. G. Farr, D. L. Creedon, and M. E. Tobar,\nPhys. Rev. B 89, 224407 (2014).\n45M. Harder, L. Bai, C. Match, J. Sirker, and C. Hu, Science China\nPhysics, Mechanics & Astronomy 59, 117511 (2016).\n46Y.-P. Wang, G.-Q. Zhang, D. Zhang, X.-Q. Luo, W. Xiong, S.-P.\nWang, T.-F. Li, C.-M. Hu, and J. Q. You, Phys. Rev. B 94,\n224410 (2016).\n47L. R. Walker, Phys. Rev. 105, 390 (1957).\n48L. R. Walker, Journal of Applied Physics 29, 318 (1958),\nhttps://doi.org/10.1063/1.1723117.\n49P. C. Fletcher and R. O. Bell, Journal of Applied Physics 30,\n687 (1959), https://doi.org/10.1063/1.1735216.\n50S. J. Blundell, Magnetism in Condensed Matter (Oxford Univer-\nsity Press, 2001) p. 98.\n51B. T. McAllister, Y. Shen, G. Flower, S. R. Parker, and M. E.\nTobar, Journal of Applied Physics 122, 144501 (2017).\n52W. G. Farr, M. Goryachev, J.-M. le Floch, P. Bushev, and M. E.\nTobar, Applied Physics Letters 107, 122401 (2015).\n53R. Barbieri, M. Cerdonio, G. Fiorentini, and S. Vitale, Physics\nLetters B 226, 357 (1989).\n54A. Kakhizde and I. Kolokolov, Sov. Phys. JETP , 598 (1991).\n55P. Vorob'ev, A. Kakhizde, and I. Kolokolov, Phys. Atom. Nuclei\n, 959 (1995).\n56I. G. Irastorza and J. Redondo, Progress in Particle and Nuclear\nPhysics 102, 89 (2018).\n57B. J. Bloom, T. L. Nicholson, J. R. Williams, S. L. Campbell,\nM. Bishof, X. Zhang, W. Zhang, S. L. Bromley, and J. Ye, Nature\n506, 71 EP (2014).\n58J. G. Hartnett, C. R. Locke, E. N. Ivanov, M. E. Tobar,\nand P. L. Stanwix, Applied Physics Letters 89, 203513 (2006),\nhttps://doi.org/10.1063/1.2387969.\n59C. Fluhr, S. Grop, B. Dubois, Y. Kersal, E. Rubiola, and\nV. Giordano, IEEE Transactions on Ultrasonics, Ferroelectrics,\nand Frequency Control 63, 915 (2016).\n60T. Rosenband, D. B. Hume, P. O. Schmidt, C. W.\nChou, A. Brusch, L. Lorini, W. H. Oskay, R. E.\nDrullinger, T. M. Fortier, J. E. Stalnaker, S. A. Did-\ndams, W. C. Swann, N. R. Newbury, W. M. Itano, D. J.\nWineland, and J. C. Bergquist, Science 319, 1808 (2008),\nhttps://science.sciencemag.org/content/319/5871/1808.full.pdf.\n61A. Derevianko, Journal of Physics: Conference Series 723,\n012043 (2016).\n62C. Guerlin, P. Delva, and P. Wolf, Comptes Rendus Physique\n16, 565 (2015), the measurement of time / La mesure du temps.\n63A. Lo, P. Haslinger, E. Mizrachi, L. Anderegg, H. M uller, M. Ho-\nhensee, M. Goryachev, and M. E. Tobar, Phys. Rev. X 6, 011018\n(2016).\n64M. Goryachev, B. McAllister, and M. E. Tobar, Physics of the\nDark Universe 23, 100244 (2019).\n65M. Goryachev, B. Mcallister, and M. E. Tobar, arXiv\narXiv:1806.07141 [physics.ins-det] (2018).\n66D. Zhang, X.-Q. Luo, Y.-P. Wang, T.-F. Li, and J. Q. You,\nNature Communications 8, 1368 (2017).\n67B. Wang, Z.-X. Liu, C. Kong, H. Xiong, and Y. Wu, Opt. Ex-\npress 26, 20248 (2018).\n68D. L. Creedon, K. Benmessai, and M. E. Tobar, Phys. Rev. Lett.\n109, 143902 (2012).\n69G. Yang, J. Wu, J. Lou, M. Liu, and N. X. Sun, IEEE Transac-\ntions on Magnetics 49, 5063 (2013).70A. A. Sweet and R. Parrott, in 2006 IEEE MTT-S International\nMicrowave Symposium Digest (2006) pp. 581{584.\n71W. Stein, F. A. M. Huber, S. Bildik, M. Aigle, and M. Vossiek,\n2017 47th European Microwave Conference (EuMC) , 767 (2017).\n72A. A. Sweet and R. Parrott, WAMICON 2014 , 1 (2014).\n73L. M. Silber, E. Tsantes, and P. Angelo, Journal of Applied\nPhysics 38, 5315 (1967), https://doi.org/10.1063/1.1709321.\n74J. Smit and H. G. Beljers, Philips Res. Rept. 10.\n75Y.-P. Wang, G.-Q. Zhang, D. Zhang, X.-Q. Luo, W. Xiong, S.-P.\nWang, T.-F. Li, C.-M. Hu, and J. Q. You, Phys. Rev. B 94,\n224410 (2016).\n76Y.-P. Wang, G.-Q. Zhang, D. Zhang, T.-F. Li, C.-M. Hu, and\nJ. Q. You, Phys. Rev. Lett. 120, 057202 (2018).\n77Z.-X. Liu, B. Wang, H. Xiong, and Y. Wu, Opt. Lett. 43, 3698\n(2018).\n78Z.-X. Liu, C. You, B. Wang, H. Xiong, and Y. Wu, Opt. Lett.\n44, 507 (2019).\n79M. A. Stel'mashenko, Soviet Physics Journal 12, 979 (1969).\n80T. Holstein and H. Primako\u000b, Phys. Rev. 58, 1098 (1940).10\nExperimental Implementations of Cavity-Magnon Systems: from Ultra Strong\nCoupling to Applications in Precision Measurement - Supplementary Material\nVI. CAVITY-MAGNON COUPLING ASSUMING A\nUNIFORM PRECESSION MODE\nThe Hamiltonian of the cavity-magnon system consists\nof its cavity and magnon parts, HcandHmrespectively,\nas well magnon-cavity interaction, Hint:\nH=Hc+Hm+Hint\nH=~!ccyc+~!mbyb+Hint;(10)\nwherecy(c) is a creation (annihilation) operator for pho-\nton,by(b) is a magnon creation (annihilation) operator,\n!cis the cavity frequency, !mis magnon frequency and\n~is the reduced Planck's constant. These expressions\ncan been found from \frst principles45,46. The interaction\nterm can then be evaluated by the Zeeman energy of the\nferrimagnetic sample:\nHint=\u0000\u00160Z\nVmM\u0001HcdV; (11)\nwhere Mis the magnetisation, His the cavity mode aux-\niliary magnetic \feld, \u00160is the permeability of free space\nand the integral is performed over the magnetic sample\nvolumeVm. The form of the magnetic \feld in the cavity\nmode can be found by the usual methods of quantisation:\nHc=1\n\u00160s\n~\n2!c\u000f0\u000fr;c(c+cy)r\u0002U; (12)\nwhere\u000f0is the permittivity of free space and \u000fc;ris an\naverage relative permittivity experienced by the cavity\nmode de\fned as:\n\u000fr;c=Z\nVc\u000frU\u0001UdV: (13)\nFinally, Usolves the wave equation and is orthonormal\nwith other cavity modes as follows, respectively:\n1\n\u000frr\u0002r\u0002 Un\u0000!n\nc2Un= 0; (14)\nZ\nVcUn\u0001UmdV=\u000enm; (15)\nwherecis the speed of light and \u000enmis the Kronecker\ndelta.\nThe uniform precession mode of the magnetic sam-\nple can also be quantised by introducing a macrospin\nS=MVm\n\r. If we assume the direction of the DC mag-\nnetic \feld which saturates the magnetic material is in\nthe z direction we can then introduce raising and lower-ing operators ( S\u0006=Sx\u0006iSy) followed by the Holstein-\nPrimako\u000b transformations80:\nS+= (p\n2S\u0000byb)b;\nS\u0000=by(p\n2S\u0000byb);\nSz=S\u0000byb;(16)\nwhereSis the total spin number of the macrospin\noperator. This number is determined by S=\u0016\ng\u0016BNs,\nwhere\u0016is the magnetic moment of the magnetic\nsample,\u0016Bis the Bohr magneton, gis the g-factor\n(g= 2) andNsis the number of spins in the sample\n(given by Ns=nsVmwithnsas spin density and\nVmas volume). For YIG, for example, it is estimated\nthat\u0016\n\u0016B= 5:050and the spin density ns= 2:2\u00021028m\u00003.\nFor low excitation numbers ( hbybi \u001c 2S), the\nmacrospin operators may be approximated by S+\u0019p\n2SbandS\u0000\u0019p\n2Sby. If we substitute eqn. 12 and\nthese transformations into eqn. 11, we arrive at the in-\nteraction Hamiltonian:\nHint=~=gx\ncm(c+cy)(b+by) +gy\ncm(c+cy)(b\u0000by)\n+gz\ncm(c+cy)byb+ \nz(c+cy)(17)\nwhere the coupling rates are de\fned as:\ngx\ncm=\u0000\r\n2Vms\n~S\n!c\u000fr;c\u000f0Z\nVm(r\u0002U)\u0001^xdV;\ngy\ncm=i\r\n2Vms\n~S\n!c\u000fr;c\u000f0Z\nVm(r\u0002U)\u0001^ydV;\ngz\ncm=\r\nVms\n~\n2!c\u000fr;c\u000f0Z\nVm(r\u0002U)\u0001^zdV;\n\nz=\u0000\rS\nVms\n~\n2!c\u000fr;c\u000f0Z\nVm(r\u0002U)\u0001^zdV:(18)\nTo aid in the evaluation of these expressions the following\nrelations can be used:\nU=EqR\nVcjEj2dV;\nr\u0002U=p\u000fr;c!c\ncHqR\nVcjHj2dV:(19)\nThese expressions, which are normalised to cavity en-\nergy, allow the use of calculated \feld shapes to evaluate\nthe coupling rates. The \frst two terms in the interaction11\nhamiltonian are standard coupled mode terms due to\ncavity RF \feld perpendicular to the external DC \feld\ncoupling to the magnon mode. This will be the focus of\nthis analysis. The third term is a parametric term due\nto the cavity RF \feld parallel to the external DC \feld\nmodulating the magnon frequency and the \fnal term isa result of the DC saturation magnetisation generating\n\feld in the cavity. These last two terms can be neglected\nunder a rotating wave approximation or by ensuring the\ncavity \feld is perpendicular to the external DC \feld.\nThat is the case in this work." }, { "title": "1612.08150v1.Impact_of_the_interface_quality_of_Pt_YIG_111__hybrids_on_their_spin_Hall_magnetoresistance.pdf", "content": "Impact of the interface quality of Pt/YIG(111) hybrids on their spin Hall\nmagnetoresistance\nSabine P utter,1,\u0003Stephan Gepr ags,2,yRichard Schlitz,2, 3Matthias Althammer,2\nAndreas Erb,2Rudolf Gross,2, 3and Sebastian T.B. Goennenwein2, 4\n1J ulich Centre for Neutron Science (JCNS) at Heinz Maier-Leibnitz Zentrum (MLZ),\nForschungszentrum J ulich GmbH, Lichtenbergstr. 1, 85747 Garching, Germany\n2Walther-Mei\u0019ner-Institut, Bayerische Akademie der Wissenschaften, 85748 Garching, Germany\n3Physik-Department, Technische Universit at M unchen, 85748 Garching, Germany\n4Institut f ur Festk orperphysik, Technische Universit at Dresden, 01062 Dresden, Germany\n(Dated: March 8, 2021)\nWe study the in\ruence of the interface quality of Pt/Y 3Fe5O12(111) hybrids on their spin Hall\nmagnetoresistance. This is achieved by exposing Y 3Fe5O12(111) single crystal substrates to di\u000berent\nwell-de\fned surface treatments prior to the Pt deposition. The quality of the Y 3Fe5O12(YIG) sur-\nface, the Pt/YIG interface and the Pt layer is monitored in-situ by re\rection high-energy electron\ndi\u000braction and Auger electron spectroscopy as well as ex-situ by atomic force microscopy and x-ray\ndi\u000braction. To identify the impact of the di\u000berent surface treatments on the spin Hall magnetore-\nsistance, angle-dependent magnetoresistance measurements are carried out at room temperature.\nThe largest spin Hall magnetoresistance is found in Pt/YIG fabricated by a two-step surface treat-\nment consisting of a \\piranha\" etch process followed by an annealing step at 500\u000eC in pure oxygen\natmosphere. Our data suggest that the small SMR in Pt/YIG without any surface treatments of\nthe YIG substrate prior to Pt deposition is caused by a considerable carbon agglomeration at the\nY3Fe5O12surface.\nIn the \feld of spintronics, the e\u000ecient generation and\ndetection of spin currents is fundamental for new mem-\nory and logic devices. Therefore, over the past years\nspin current transport has been extensively studied in\nparamagnetic (normal) metal (NM)/ferromagnetic in-\nsulator (FMI) hybrid structures in spin pumping, spin\nSeebeck e\u000bect, or spin Hall magnetoresistance (SMR)\nexperiments.1{7In all these experiments the signal ampli-\ntude sensitively depends on the transfer of a spin current,\ni.e. spin angular momentum, across the NM/FMI inter-\nface and its interconversion into an electrical signal via\nthe inverse spin Hall e\u000bect.8,9\nAccording to theory, the relevant interface property de-\ntermining the spin current \row across the NM/FMI in-\nterface is the spin mixing conductance.10,11In several ex-\nperiments it has been shown that the spin mixing conduc-\ntance sensitively depends on the quality of the NM/FMI\ninterface.12{15For example, Jung\reisch et al. reported\nan increase of the spin mixing conductance by more than\ntwo orders of magnitude using a combination of piranha\nwet etching and an in-situ O+/Ar+plasma treatment of\nthe FMI surface prior to the NM deposition.13A clean\nand well-controlled NM/FMI interface can be obtained\nbyin-situ deposition of the NM layer subsequent to the\nFMI thin \flm growth without breaking the vacuum.7\nHowever, this procedure is often not possible if single\ncrystal samples are used, which are superior to epitaxial\nthin \flms regarding structural and magnetic quality. In\nthis case the NM layer is deposited ex-situ on the single\ncrystal, which is exposed to ambient conditions prior to\nthe deposition resulting in adsorbed molecules, mainly\ncarbon, on the surface. As a consequence the molecules\nmay form additional spin-scattering centers and \fnally\nprovoke a loss of spin information at the NM/FMI inter-face.\nIn this work we systematically investigate how di\u000ber-\nent surface treatments of yttrium iron garnet (Y 3Fe5O12,\nYIG) single crystals prior to the Pt deposition impact the\nSMR in Pt/YIG hybrid structures. Up to now, only indi-\nrect information on the quality of the NM/YIG interface\nhas been derived by e.g. measuring the inverse spin Hall\ne\u000bect voltage in the NM layer.13A systematic investiga-\ntion of the surface properties is still lacking. In our study\nwe employ both in-situ andex-situ surface and structural\ncharacterization methods to obtain reliable information\nof the in\ruence of di\u000berent surface preparation proce-\ndures on the surface viz. interface properties. We then\ncorrelate the observed SMR magnitude with the interface\nproperties.\nThe YIG single crystals were grown using the travel-\ning solvent \roating zone (TSFZ) method in a 4-mirror\nimage furnace.16As a solvent in the crystal growth pro-\ncess a composition of about 20 mol per cent of Y 2O3in\nYFeO 3was used. Due to the high solubility of YIG in its\nsolvent, the growth speed was as high as 4 mm per hour.\nSingle crystals of YIG with a diameter of about 5 mm\nand a length of about 50 mm were obtained. The crys-\ntals were cut into pieces with a diameter of about 5 mm\nand a thickness of 1 mm. These crystals were polished\nalong the (111)-plane and used as a substrate for the de-\nposition of thin Pt layers. The Pt deposition was carried\nout at room temperature by electron beam evaporation\nin a DCA M600 MBE system with a base pressure of\n10\u000010mbar using a growth rate of around 0.3 \u0017A/s. Prior\nto the deposition di\u000berent surface treatments of the YIG\nsubstrates were carried out:\nProcedure A: Cleaning in ethanol and isopropanol (de-\nnoted as \\raw\" YIG crystal)arXiv:1612.08150v1 [cond-mat.mes-hall] 24 Dec 20162\n200 400 600I(a.u.)\nE(eV)procedure Dprocedure Cprocedure Bprocedure AC O Fe YS\nFIG. 1. Auger electron spectra of YIG(111) single crys-\ntals carried out after performing di\u000berent surface procedures:\ncleaning in ethanol and isopropanol (A), cleaning in \\piranha\"\netch (B), procedure A with additional annealing (C) and pro-\ncedure B with additional annealing (D). A polynomial back-\nground was subtracted from the raw data and the obtained\ncurves are vertically shifted for clarity.\nProcedure B: Cleaning in \\piranha\" etch for 10 min (de-\nnoted as \\etched\" YIG crystal)17\nProcedure C: Additional annealing of the \\raw\" YIG\ncrystal\nProcedure D: Additional annealing of the \\etched\" YIG\ncrystal\nThe annealing was performed in-situ in the MBE sys-\ntem at 500\u000eC for 40 min in a pure oxygen atmosphere of\np= 10\u00005mbar.\nAfter the di\u000berent cleaning procedures, the elemental\nsurface concentrations were determined by Auger elec-\ntron spectroscopy (AES) using an incident electron en-\nergy of 3 keV. The obtained AES spectra are shown in\nFig. 1. The evaluation of the data was carried out using\nthe peak-to-peak Auger amplitudes.18,19The thus ob-\ntained elemental concentrations are summarized in Ta-\nble I. As obvious from Fig. 1 and Table I, the elemen-\ntal surface concentrations strongly depend on the sur-\nface treatment. While carbon and oxygen dominate the\nproc. etch. anneal. Y\n(%)O\n(%)Fe\n(%)C\n(%)S\n(%)\nA\u0000 \u0000 7 22 5 66 0\nB X\u0000 23 29 9 36 3\nC\u0000 X 24 40 11 25 0\nD X X 33 52 15 0 0\nTABLE I. Elemental surface concentrations of YIG(111) sin-\ngle crystals obtained from AES using di\u000berent surface treat-\nments. The uncertainty of the elemental surface concentra-\ntions is estimated to about 5%.surface of \\raw\" YIG crystals (procedure A), the car-\nbon concentration can be reduced and the yttrium and\niron concentrations can be increased by either using a\npiranha etch (procedure B) or annealing the crystal in\noxygen (procedure C). However, after using procedure B\nwe additionally detected a small amount of sulfur caused\nby the piranha etch, which can be removed by a sub-\nsequent annealing step (procedure D). Furthermore, the\nformation of carbide on the YIG surface indicated by\nthe di\u000berent shape and position of the carbon peak was\nfound after annealing the \"raw\" YIG crystal in oxygen\n(procedure C).20\nIn fact, Fig. 1 and Table I reveal that procedure D\nyields the purest YIG surface, without carbon or sulfur\ncontamination. However, the elemental surface concen-\ntrations do not agree with the bulk concentrations of yt-\ntrium (15%), oxygen (60%), and iron (25%). In contrast,\nwe \fnd 33% of yttrium, 52% of oxygen, and 15% of iron.\nThe deviation might be explained by the di\u000berent concen-\ntration of yttrium and iron at the (111)-surface of YIG.\nNote that in YIG thin \flms fabricated by pulsed laser de-\nposition (PLD) a Fe de\fciency has also been observed.2\nAdditional structural information of the surface was\nobtained by using in-situ re\rection high energy elec-\ntron di\u000braction (RHEED) as well as low energy electron\ndi\u000braction (LEED). While for samples with procedure A\nneither RHEED nor LEED patterns were detected, for\nthose with procedure B a RHEED but no LEED pattern\nwas obtained. Note that the absence of a RHEED and\nLEED pattern means that there is neither crystalline nor\npolycrystalline order within the respective probing depth\nof RHEED (about 10 \u0017A for 15 keV electrons at low an-\ngle of incidence) and LEED (about 5 \u0017A for 100 - 500 eV).\nIn contrast, for procedure C and D LEED and RHEED\npatterns of similar quality were visible. Actually, the ob-\nservation of well-de\fned spots provides evidence for low\nsurface roughness and high crystallinity of the YIG(111)\nsurface, cf. Fig. 2(a). This is corroborated by ex-situ\natomic force microscopy (AFM) experiments, yielding a\nsurface roughness of only 1.6 \u0017A (root mean square value)\nfor this sample. In total, a carbon-free YIG surface with\nlow roughness and high crystallinity can be obtained fol-\nlowing procedure D.\nSubsequent to the di\u000berent YIG surface treatments,\nabout 6 nm thick Pt \flms were deposited in-situ , i. e.\nwithout breaking vacuum on the YIG crystals. The Pt\ndeposition was monitored by RHEED. While, again, no\nRHEED pattern was obtained for the Pt thin \flms de-\nposited on YIG crystals using procedure A or B, the\nRHEED patterns of Pt thin \flms on YIG crystals pre-\npared by procedure C and D reveal intensity rings,\ndemonstrating a polycrystalline nature of the Pt thin\n\flms (cf. Fig. 2(b),(c)). Furthermore, weak spots visi-\nble in Fig. 2(c) indicate weakly-textured Pt thin \flms\non YIG crystals using surface treatment D. This is also\ncon\frmed by x-ray di\u000braction measurements (not shown\nhere). Using Scherrer's formula the average size of the\nPt crystallites can be estimated to about 10 nm taking3\n(a)\n(b) (c)\nFIG. 2. RHEED pattern of (a) a YIG(111) surface recorded\nafter using surface treatment D. (b),(c) RHEED patterns of\n6 nm Pt after the deposition on YIG crystals using surface\ntreatment C and treatment D prior to the deposition, respec-\ntively.\nproc. Pt O C thickness \u001a0 SMR\n(%) (%) (%) ( \u0017A) (n\nm) (10\u00004)\nA 76 0 24 63 \u00062 589 0.14 \u00060.07\nB 79 0 21 61 \u00062 393 1.88 \u00060.10\nC 100 0 0 60 \u00062 408 1.24 \u00060.02\nD 100 0 0 59 \u00061 353 3.48 \u00060.01\nTABLE II. Overview of the Pt/YIG(111) samples and their\nparameters. The elemental concentration of Pt, O, and C of\nthe Pt thin \flm was obtained by AES and the thickness by\nX-ray re\rectometry. The resistivity of the Pt layer \u001a0and the\nSMR were determined by ADMR at 300 K.\nonly size e\u000bects into account.21\nAfter deposition, the elemental surface concentrations\nof the Pt \flms were investigated using in-situ AES.\nThe results are summarized in Table II. The information\ndepth of the given elements is about 1.2 nm. While pure\nPt thin \flms were obtained on YIG crystals prepared\nby procedure C or D, a carbon contamination is found\nin the Pt \flms deposited on YIG crystals using cleaning\nprocedure A or B. Obviously, the carbide formed after\nprocedure C remains at the YIG surface while the car-\nbon seems to di\u000buse into the Pt \flm.\nTo identify the impact of the di\u000berent surface treat-\nments on the YIG substrates on the SMR e\u000bect, angle-\ndependent magnetoresistance (ADMR) measurements\nwere carried out.7To this end the Pt \flms were pat-\nterned into Hall bar shaped mesa structures using pho-\ntolithography and argon-ion beam milling. The ADMR\nmeasurements were carried out in a liquid-He magnet\ncryostat at 300 K. The magnetoresistance of the Pt thin\n\flm was determined by applying a constant dc current\nofI= 200\u0016A along the Hall bar and recording the lon-\ngitudinal voltage signals Vlong, while rotating the mag-\nnetic \feld in the \flm plane (ip-rotation) as well as in two\northogonal out-of-plane rotation planes (oopj- and oopt-\nrotation) at constant external magnetic \feld magnitudes\nof 500 mT and 1000 mT (cf. Fig. 3(a)). These magnetic\n(a)\nγh\njtn\nh\njtn\nα\nβh\njtn ip oopj oopt\nPt\nY3Fe5O12\n0° 180°89.2889.2989.3089.3189.32Vlong(mV)\nα0° 180°\nβ0° 180°\nγip-rotation oopj-rotation oopt-rotation(b)\n300K, 1T\n0°180°-3-2-10\nα0°180°\nα0°180°\nα0°180°\nα300K, 0.5Tproc. A proc. B proc. C proc. D(c)\nABCD46ρ0(10-7Ωm)proc. D10-4 (ρlong/ρ0)-1FIG. 3. (Color online) ADMR measurements at 300 K of Pt\nthin \flms deposited on YIG(111) crystals after di\u000berent sur-\nface treatments. (a) Schematic of the Hall bar mesa struc-\nture, the coordinate system de\fned by j,t, and n, as well\nas the di\u000berent rotation planes of the magnetic \feld direction\nh=H=jHj. (b) Angle-dependence of the longitudinal voltage\nVlongrecorded on a Pt/YIG sample using YIG surface treat-\nment D while rotating the magnetic \feld in the \flm plane\n(ip-rotation) and in the two orthogonal out-of-plane rotation\nplanes (oopj- and oopt-rotations). Due to small tempera-\nture drifts, di\u000berent maximum values of Vlongwere obtained\nin the ip-, oopj-, and oopt-rotation measurements. (c) SMR\nrecorded while rotating the magnetic \feld in-plane of Pt/YIG\nsamples prepared by using di\u000berent surface treatments prior\nto the Pt deposition (procedure A-D). The red line represents\na cos2(\u000b)-\ft to the ADMR data to extract the SMR magni-\ntude. The inset shows the resistivity \u001a0of the Pt layer as\na function of di\u000berent surface treatments of the YIG crystal\n(procedure A-D).\n\feld values are both well above the saturation \feld of\nYIG. The longitudinal resistivity can then straightfor-\nwardly be calculated to \u001along=VlongwdPt=(Il) using the\nwidth (w= 80\u0016m) and the length ( l= 600\u0016m) of the\nHall bar mesa structure as well as the thickness dPtof\nthe Pt layer (cf. table II).\nAs an example, Figure 3(b) shows the angle-\ndependence of Vlongrecorded from a Pt/YIG sample pre-\npared by surface treatment D. Clearly, an ADMR is ob-\nserved for rotations of the magnetic \feld in-plane (ip-\nrotation) as well as out-of-plane perpendicular to the cur-4\nrent density direction (oopj-rotation), while almost no\nADMR can be detected on rotating the magnetic \feld\nout-of-plane parallel to the direction of the applied cur-\nrent (oopt-rotation). This is the characteristic \fngerprint\nof the SMR, which can be phenomenologically described\nby\u001along=\u001a0+\u001a1(1\u0000m2\nt), withmtbeing the projection of\nthe normalized YIG magnetization m=M=jMjont, see\nFig. 3(a) for illustration of the coordinate system.9We\nuse a cos2(\u000b) \ft to the ADMR data obtained at 500 mT\nto extract the \u001a0and\u001a1values. According to the theoret-\nical SMR model, the SMR magnitude is then de\fned as\n\u001a1=\u001a0.7,9Since\u001alongof the conventional anisotropic mag-\nnetoresistance (AMR) depends on m2\njand not on m2\nt,\nthe \fnite angle dependence of \u001alongin the oopj-rotation\nplane and the vanishing angle dependence in the oopt-\nrotation plane (cf. Fig. 3(b)) clearly indicate that the\npresent angle-dependent magnetoresistance is based on\nthe spin Hall magnetoresistance.7,9\nAs obvious from Fig. 3(c), the SMR value as well\nas the resistivity of the Pt thin \flm is strongly depen-\ndent on the YIG surface treatment and thus the qual-\nity of the Pt/YIG interface. Only a small SMR value\nof (0:14\u00060:07)\u000110\u00004as well as a high resistivity of\n(589\u00061)n\nm is observed in Pt thin \flms fabricated\non as-received YIG crystals (procedure A). This can be\nattributed to the high carbon contamination found in\nthe Pt thin \flms (see table II) enhancing the forma-\ntion of grain boundaries,22which increases the thin \flm\nresistivity.23{25Furthermore, the \fnite carbon contami-\nnation might also reduce the spin di\u000busion length in the\nPt thin \flm, which weakens the SMR e\u000bect. The SMR\nmagnitude can be signi\fcantly increased by etching or\nannealing the YIG crystals prior to Pt deposition (pro-\ncedure B and C). While the Pt thin \flm on the YIG\nsubstrate prepared by procedure C is chemically clean,\nthe carbide found on the YIG surface prepared accord-\ning to procedure C might act as a spin current barrier at\nthe Pt/YIG interface.However, the largest SMR value of (3 :48\u00060:01)\u000110\u00004as\nwell as the lowest resistivity of (353 \u00061)n\nm are obtained\nby using the YIG surface treatment D prior to the Pt de-\nposition. The SMR value is close to the respective SMR\nvalue of YIG/Pt thin \flm bilayers fabricated by in-situ\ndeposition of Pt.7Our results demonstrate that the best\ninterface with the highest spin Hall magnetoresistance is\nobtained by using a two-step treatment of the YIG crys-\ntal: In the \frst step the piranha etch reduces the carbon\ncontamination of the YIG surface. Subsequent anneal-\ning in oxygen atmosphere results in an increase of the Fe\ncontent as well as a vanishing carbon and sulfur content\nat the surface.\nIn summary, we experimentally investigated the SMR\nin Pt thin \flms on YIG single crystals using di\u000berent\nsurface treatments of the YIG crystal prior to the depo-\nsition of Pt. We found an almost vanishing SMR value\nin Pt/YIG samples without any surface treatment of the\nYIG crystal and attribute this to a signi\fcant carbon con-\ntamination of the YIG surface and in the Pt thin \flm.\nThe SMR value can be signi\fcantly increased by clean-\ning the YIG crystal using a piranha etch or by annealing\nthe YIG crystal in oxygen. However, in the former case,\nwe found a contamination with sulfur, while in the latter\nthe formation of carbide on the YIG surface was detected.\nThe highest SMR value, which is comparable to that of\nin-situ grown Pt/YIG bilayers,7was found for samples\nusing a combination of etching and annealing of the YIG\ncrystal prior to the Pt deposition. Our work demon-\nstrates the high relevance of the interface quality for spin\ncurrent based experiments and provides instructions for\nimproving the interface quality. We thus point the way\nhow to improve future spin current based devices.\nWe thank K. Helm-Knapp and A. Habel for techni-\ncal support and greatefully acknowledge \fnancial sup-\nport by the Deutsche Forschungsgemeinschaft via SPP\n1538 (project no. GO 944/4) and the German Excel-\nlence Initiative via the \"Nanosystems Initiative Munich\n(NIM)\".\n\u0003s.puetter@fz-juelich.de\nystephan.gepraegs@wmi.badw.de\n1R. Urban, G. Woltersdorf, and B. Heinrich, Phys. Rev.\nLett. 87, 217204 (2001).\n2B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt,\nY.-Y. Song, Y. Sun, and M. Wu, Phys. Rev. Lett. 107,\n066604 (2011).\n3F. D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler, M. Al-\nthammer, I.-M. Imort, G. Reiss, A. Thomas, W. Schoch,\nW. Limmer, et al., Phys. Rev. Lett. 107, 046601 (2011).\n4K.-i. Uchida, H. Adachi, T. Ota, H. Nakayama,\nS. Maekawa, and E. Saitoh, Appl. Phys. Lett. 97, 172505\n(2010).\n5S. Gepr ags, A. Kehlberger, F. D. Coletta, Z. Qiu, E.-J.\nGuo, T. Schulz, C. Mix, S. Meyer, A. Kamra, M. Altham-\nmer, et al., Nat. Commun. 7, 10452 (2016).\n6H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida,Y. Kajiwara, D. Kikuchi, T. Ohtani, S. Gepr ags, M. Opel,\nS. Takahashi, et al., Phys. Rev. Lett. 110, 206601 (2013).\n7M. Althammer, S. Meyer, H. Nakayama, M. Schreier,\nS. Altmannshofer, M. Weiler, H. Huebl, S. Gepr ags,\nM. Opel, R. Gross, et al., Phys. Rev. B 87, 224401 (2013).\n8J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999).\n9Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer,\nS. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer,\nPhys. Rev. B 87, 144411 (2013).\n10A. Brataas, Y. V. Nazarov, and G. E. W. Bauer, Phys.\nRev. Lett. 84, 2481 (2000).\n11M. Weiler, M. Althammer, M. Schreier, J. Lotze, M. Pern-\npeintner, S. Meyer, H. Huebl, R. Gross, A. Kamra, J. Xiao,\net al., Phys. Rev. Lett. 111, 176601 (2013).\n12C. Burrowes, B. Heinrich, B. Kardasz, E. A. Montoya,\nE. Girt, Y. Sun, Y.-Y. Song, and M. Wu, Appl. Phys.\nLett. 100, 092403 (2012).5\n13M. B. Jung\reisch, V. Lauer, R. Neb, A. V. Chumak, and\nB. Hillebrands, Appl. Phys. Lett. 103, 022411 (2013).\n14Z. Qiu, K. Ando, K. Uchida, Y. Kajiwara, R. Takahashi,\nH. Nakayama, T. An, Y. Fujikawa, and E. Saitoh, Appl.\nPhys. Lett. 103, 092404 (2013).\n15Z. Qiu, D. Hou, K. Uchida, and E. Saitoh, J. Phys. D 48,\n164013 (2015).\n16M. Lambacher, T. Helm, M. Kartsovnik, and A. Erb, The\nEuropean Physical Journal Special Topics 188, 61 (2010).\n17For the piranha acid we used concentrated sulfuric acid\n(H2SO4, 98%) and hydrogen peroxide solution (H 2O2,\n30%) in the ratio 1:1, by volume.\n18P. W. Palmberg, J. Vac. Sci. Technol. 13, 214 (1976).\n19C. J. Powell and M. P. Seah, J. Vac. Sci. Technol. A 8, 735\n(1990).\n20L. E. Davis, N. C. MacDonald, P. W. Palm-berg, G. E. Riach, and R. E. Weber, eds.,\nHandbook of Auger Electron Spectroscopy (Physical\nElectronics Division, Perkin-Elmer Corporation, Eden\nPrairie, MN, 1978), 2nd ed.\n21J. I. Langford and A. J. C. Wilson, J. Appl. Crystallogr.\n11, 102 (1978).\n22M. Hiratani, T. Nabatame, Y. Matsui, and S. Kimura,\nThin Solid Films 410, 200 (2002).\n23K. A. Telari, B. R. Rogers, H. Fang, L. Shen, R. A. Weller,\nand D. N. Braski, J. Vac. Sci. Technol. B 20, 590 (2002).\n24G. A. Battiston, R. Gerbasi, and A. Rodriguez, Chemical\nVapor Deposition 11, 130 (2005).\n25B. Gao, M. Rudneva, K. S. McGarrity, Q. Xu, F. Prins,\nJ. M. Thijssen, H. Zandbergen, and H. S. J. van der Zant,\nNanotechnology 22, 205705 (2011)." }, { "title": "1806.01394v2.Large_spin_mixing_conductance_in_highly_Bi_doped_Cu_thin_films.pdf", "content": "Large spin-mixing conductance in highly Bi-doped Cu thin \flms\nSandra Ruiz-G\u0013 omez,1A\u0013 \u0010da Serrano,2Rub\u0013 en Guerrero,3Manuel Mu~ noz,4Irene Lucas,5, 6Michael Foerster,7\nLucia Aballe,7Jos\u0013 e F. Marco,8, 9Mario Amado,10Lauren McKenzie-Sell,10Angelo di Bernardo,10Jason\nW. A. Robinson,10Miguel \u0013Angel Gonz\u0013 alez Barrio,1, 9Arantzazu Mascaraque,1, 9and Lucas P\u0013 erez1, 3, 9\n1Dept. F\u0013 \u0010sica de Materiales. Universidad Complutense de Madrid. 28040 Madrid, Spain\n2SpLine, Spanish CRG BM25 Beamline, ESRF, 38000 Grenoble, France\n3Instituto Madrile~ no de Estudios Avanzados - IMDEA Nanociencia, 28049, Madrid, Spain\n4Instituto de Micro y Nanotecnolog\u0013 \u0010a (CNM-CSIC), PTM, 28760 Tres Cantos, Madrid, Spain\n5Dpto. F\u0013 \u0010sica de la Materia Condensada, Universidad de Zaragoza, Pedro Cerbuna 12, 50009 Zaragoza, Spain\n6Instituto de Nanociencia de Arag\u0013 on (INA), Universidad de Zaragoza,\nMariano Esquillor, Edi\fcio I+D, 50018 Zaragoza, Spain\n7Alba Synchrotron Light Facility, CELLS, E-08290, Carrer de la Llum 2-23, Bellaterra, Spain\n8Instituto de Qu\u0013 \u0010mica F\u0013 \u0010sica Rocasolano - CSIC, Calle de Serrano, 119, 28006 Madrid, Spain\n9Unidad Asociada IQFR (CSIC)-UCM, 28040, Madrid, Spain\n10Department of Materials Science and Metallurgy,\nUniversity of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS\nAbstract\nSpin Hall e\u000bect provides an e\u000ecient tool for the conversion of a charge current into a spin current,\nopening the possibility of producing pure spin currents in non-magnetic materials for the next\ngeneration of spintronics devices. In this sense, giant Spin Hall E\u000bect has been recently reported\nin Cu doped with 0.5% Bi grown by sputtering and larger values are expected for larger Bi doping,\naccording to \frst principles calculations. In this work we demonstrate the possibility of doping Cu\nwith up to 10% of Bi atoms without evidences of Bi surface segregation or cluster formation, as\nstudied by di\u000berent microscopic and spectroscopic techniques. In addition, YIG/BiCu structures\nhave been grown, showing a spin mixing conductance larger that the one shown by similar Pt/YIG\nstructures. These results re\rects the potentiality of these new materials in spintronics devices.\nI. INTRODUCTION\nSpintronics studies and exploits the intrinsic spin of the\nelectron and its associated magnetic moment jointly with\nits fundamental charge for the generation, manipulation,\nand detection of spin currents that can be implemented in\nsolid state devices1. In particular, the possibility of har-\nvesting pure spin currents, i.e., without charge current,\nand use them in memory-logic devices plays a key role\nin the next generation of electronics due to the expected\nlow power consumption2,3. In this regards, spin Hall ef-\nfect (SHE) provides an e\u000ecient tool for the conversion of\na charge current into a spin current in nonmagnetic ma-\nterials without any associated current injection from the\nferromagnets4,5. To exploit this e\u000bect, identifying novel\nmaterials with a large charge-to-spin conversion, i.e. ma-\nterials with large spin Hall angles (SHA) turns out to be\nessential6{8.\nIn addition to metals showing intrinsic SHE, gi-\nant SHE has been predicted when they are conve-\nniently doped with impurities showing strong spin-orbit\ninteractions9,10. In these materials, extrinsic scattering\nmechanisms such as skew scattering11and side jump\ne\u000bect12might also give rise to SHE. In fact,a SHA of\n\u0018 \u0000 0:24 has been measured by Niimi and coworkers in\nCuBi alloys with \u00180:5% of Bi grown by sputtering13.\nThe authors mention in the Supplemental Material of\nreference 13 that a larger Bi content leads to surface seg-\nregation. However, larger values of SHA are expected\ntheoretically for higher doping of Bi in Cu14.In this work we demonstrate Bi doping of Cu \flms\nwith up to 10% without surface segregation or cluster\nformation. When grown on yttrium iron garnet (YIG)\nsubstrates, the interfaces show a large spin mixing con-\nductance. These new materials open the possibility of\nstudying the giant SHE and using it in future spintronics\ndevices.\nII. EXPERIMENTAL SECTION\nThe \frst growth stages were studied at the CIRCE\nbeamline27of the Alba synchrotron in Spain. The beam-\nline experimental station chamber houses an Elmitec III\nLow-Energy Electron Microscope (LEEM) that allows for\nfast real-space imaging of the surface of the CuBi \flms\nduring growth, as well as selected-area low energy elec-\ntron di\u000braction measurements (LEED). Samples for the\nLEEM experiments were grown in-situ onto Ru(0001)\nsingle-crystal substrates that were cleaned by exposure\nto 10\u00008mbar of oxygen at 1000K followed by \rashing\nat 1500 K in vacuum. Afterwards, Bi and Cu were co-\nevaporated from electron-beam heated dosers, at a base\npressure of 1\u000210\u000010mbar. The Bi and Cu evaporation\nrates were adjusted to ensure that the Bi content in the\n\flm was below 10% in all experiments.\nThin \flms were grown by thermal evaporation in a\nHigh-Vacuum (HV) chamber with individual Joule dosers\nfor Bi and Cu. The base pressure of the chamber was\n10\u00007mbar. Previously to the \flms growth, the dosersarXiv:1806.01394v2 [cond-mat.mtrl-sci] 24 Jul 20182\nwere calibrated using a quartz crystal microbalance. The\nsubstrates were Si(100) wafers with native SiO 2and were\nkept at room temperature during growth.\nA scanning electron microscope (SEM) JEOL JEM\n6335 equipped with an energy dispersive X-ray (EDX)\nsystem was used to study the composition of the samples.\nThe crystalline structure was studied by X-ray di\u000braction\n(XRD) with a grazing incidence angle of 0.5\u000e, with a\nPANalytical X-ray di\u000bractometer using CuK \u000bradiation.\nScanning transmission electron microscopy (STEM) was\nperformed in an FEI Titan 60 operated at 300 kV and\nequipped with a high brightness Schottky \feld emission\ngun. Z contrast imaging has been carried out in high\nangle annular dark \feld (HAADF) with a probe conver-\ngence angle of 25 mrad and an inner collection angle of\n58 mrad. The specimens analyzed are lamellas extracted\nfrom the samples by focused ion beam (FIB) milling in a\nFEI Helios Nanolab 600.\nX-ray Photoelectron Spectroscopy (XPS) data were\nrecorded with a CLAM2 analyser under a base pressure\nof 5\u000210\u00009mbar using Mg K \u000bradiation and a constant\npass energy of 200 eV and 20 eV for the wide and nar-\nrow scan spectra, respectively. The binding energy scale\nwas referenced to that of the C 1s signal of the adventi-\ntious contamination layer which was set at 284.6 eV. The\nsamples were subjected to Ar+sputtering to investigate\nthe in-depth distribution of the di\u000berent chemical ele-\nments. An integral Ar+ion gun was used at 20 keV and\n20 mA and an Ar pressure within the analysis chamber\nof 5\u000210\u00005mbar.\nX-ray absorption spectroscopy (XAS) measurements is\ncarried out at the Cu K-edge (8.98 keV) and Bi L 3-edge\n(13.42 keV) at the beamline BM25A of The European\nSynchrotron (ESRF) in Grenoble (France). Bi and Cu\nfoils were measured in transmission mode at the begin-\nning of the experiment for energy calibration. Cu 2O,\nCuO and Bi 2O3reference samples were also measured\nin transmission mode. Samples spectra were collected\nin \ruorescence yield mode placing samples at 45\u000efrom\nthe incoming X-ray beam and forming 45\u000ewith the dis-\npersive X-ray \ruorescence detector. From three to \fve\nspectra were acquired from each sample and merged in\norder to improve the signal-to-noise ratio. The acquisi-\ntion time for each energy scan was about 40 min. XAS\ndata were processed using the Demeter package and ap-\nplying standard methods28.\nFerromagnetic resonance spectroscopy (FMR) was car-\nried out at room temperature (RT) on BiCu/YIG (110)\nstructures prepared as described in the Supporting In-\nformation. The samples were placed face down in a\ngrounded coplanar waveguide in a wide band set up in\norder to excite the sample with the RF \feld. The DC\nexternal magnetic \feld was generated by an electromag-\nnet, and aligned perpendicular to the RF \feld and paral-\nlel to the sample (in-plane geometry). Microwave signal\n(constant power of -5 dBm) was applied to the waveg-\nuide using a KEYSIGHT N9918A generator, and a diode\nKEYSIGHT 8473B was used for detection. Field modu-\na) b)\nFIG. 1. (a) LEED pattern of a Cu(Bi) thin \flm grown\non Ru(0001) at RT. (b) LEED pattern of a Cu(Bi) thin \flm\ngrown on Ru(0001) at 100\u000eC. The red arrows mark charac-\nteristics spots of Cu(111) on Ru(0001) and the blue arrows\nspots of the (p\n3\u0002p\n3) R30 reconstruction of Bi on Cu(111).\nlation (0.6 Oe) and a lock-in ampli\fer were used to ex-\ntract the derivative of the absorbed power versus DC\n\feld.\nIII. RESULTS AND DISCUSSION\nBi has been widely used as surfactant in the growth of\nmetals in UHV vacuum conditions15. Therefore, as a \frst\nstep it is important to establish the growth conditions to\navoid Bi migration to the \flm surface. We have there-\nfore studied the \frst stages of growth of the model system\nCu/Ru(0001) at di\u000berent temperatures, incorporating Bi\nin Cu during growth while monitoring the process us-\ning LEED pattern. Figure 1.a shows the LEED pattern\nobtained during the growth at room-temperature. The\nspots observed in the LEED pattern correspond to the\nhexagonal ones of the Cu(111) on Ru (marked with a\nred arrow). There are no extra-spots corresponding to\nBi on a Cu surface, i.e., the Bi atoms are either not on\nthe Cu surface or they do not form an ordered structure.\nHowever, when Cu and Bi are co-evaporated at a higher\ntemperature (100\u000eC) (Figure 1.b) additional LEED spots\nappear that can be related to a (p\n3\u0002p\n3)R30\u000erecon-\nstruction, which is characteristics of a Bi monolayer on\nthe surface of a Cu(111)16. From the LEED pattern, it\nis clear that, when growing at high temperature, Bi seg-\nregates to the surface whereas no segregation is observed\nat RT.\nIf these Bi-doped Cu \flms are intended to be used in\nspintronics, it is mandatory to grow them on substrates\nthat allow for the realization of magnetotransport mea-\nsurements. Taking this into account, samples were grown\non SiO 2/Si at RT. The Cu evaporation rate is kept con-\nstant at 0.4 \u0017A/s while the Bi evaporation rate was varied\nto obtain a Bi content in Cu from 1% up to 40% (wt.)\n| as measured by EDX. Pure Cu and Bi \flms were also\ngrown as reference samples. EDX measurements reveal\nan homogeneous composition in all samples.\nA \frst approach of the Bi distribution along the cross\nsection of the BiCu \flms can be obtained from XPS tech-3\nnique. Figure S1 collects the wide scan spectra recorded\nat di\u000berent sputtering times from a Bi 85Cu15sample.\nThe spectra show only Cu, Bi, O and C signals. As\nthe sputtering time increases, the intensity of the C and\nO signals decreases strongly while the Cu 2p peaks in-\ncreases, due to removal of the contamination layer from\nthe uppermost surface being really small after long sput-\ntering times. The spectra also show a clear increase of\nthe intensity of the Cu 2p peaks with increasing sput-\ntering time. However, more than due to an increase in\nCu concentration this must be related to a much smaller,\nalmost insigni\fcant, attenuation of the Cu 2p electrons\nonce the contamination layer has been removed from the\nuppermost surface (see below).\nCu/Bi and O/Cu atomic ratios were calculated from\nthe integration of the Cu 2p, O 1s and Bi 4f spectral\nareas after background subtraction (Shirley method) us-\ning the Multiquant XPS software. This package allows\ntaking into account the attenuation brought about by\nthe adventitious carbon layer17. This is important in\nthe present case, particularly for the Cu/Bi atomic ra-\ntio, since the Cu 2p and Bi 4f spectral regions are sepa-\nrated by approximately 800 eV and therefore the signal\ncorresponding to the Cu 2p electrons, that have a much\nsmaller kinetic energy than the Bi 4f ones, is signi\fcantly\nmore attenuated by the contamination layer than that of\nthe Bi 4f electrons. Figure 2.a shows the Cu/Bi and\nO/Cu atomic ratios obtained from the XPS data. It is\nclear from Figure 2 that the O/Cu ratio decreases rapidly\nwith sputtering time, indicating that the surface oxidic\nlayer is e\u000bectively removed by Ar+bombardment (XPS\ndata, not shown, reveal that the most external part of\nthe sample contains both Cu+and Bi3+). Contrarily, the\nCu/Bi ratio remains fairly constant with sputtering time\nboth within the oxidic surface layer and in the sample it-\nself. Given the composition of the sample, the expected\nCu/Bi atomic ratio should be 5.7 which is close, within\nthe error of the experimental determination, to the value\nfound in the current experiments. Therefore, in view of\nthe present data, we can conclude that there is no en-\nrichment either in Cu or Bi within the depth explored in\nthis work.\nAdditional information on the distribution of Bi in the\nalloys can be extracted from cross-sectional high resolu-\ntion TEM images. Panel 1 of Figure 2.b shows a TEM\nimage with Z-contrast measured in the sample Cu 75Bi25.\nDark and light areas can be clearly distinguished, corre-\nsponding to zones with di\u000berent composition. In partic-\nular, blue square corresponds to a Bi-poor region (light\narea) whereas red square to a Bi-rich region (dark area).\nFrom this image it is noted a clear segregation of Bi in\nthe sample. However, the Z-contrast image measured in\nthe sample Cu 96Bi4(Panel 2 of Figure 2.b) is much more\nhomogeneous, without a clear contrast. In this case Bi\nis distributed homogeneously across the entire sample.\nNo Bi accumulation has been observed in either top or\nbottom surfaces of the thin \flms. The high-resolution\nimages (Panels 3 and 4 in Figure 2.b) evidence the poly-\n Intensity (arb. units)\n2θ (deg)20 40 60 80 100 Bi(012)\n Bi(104) Bi(110) Cu(111)\n Cu(200) Cu(220)\n Cu(311) Cu(222) Bi(003)\n Bi(107)\n Bi(128) Cu\n90Bi\n10\n Cu\n92Bi\n8\n Cu Cu\n95Bi\n5 Cu\n85Bi\n15 Cu\n60Bi\n40 Bi c) a)\n1\n023456\n0 20 40 60 80 100\nSputtering time (min.)Atomic ratiosCu/Bi\nO/Cu\n10nm\n 10nm1. 2.\n10nm 10nm3. 4.b)FIG. 2. (a) Atomic ratios obtained from the evaluation of\nthe XPS data for a Cu 75Bi15\flm. (b) (1) Z-contrast and\n(3) HR-TEM image of Cu 75Bi25, (2) Z-contrast and (4) HR-\nTEM image of Cu 96Bi4. (c) XRD patterns for the Bi-doped\nsamples under study. Re\rections corresponding to the Cu-\nfcc spectrum are marked with gray dashed lines and the ones\ncorresponding to the orthorhombic Bi structure with orange\ndashed lines.\ncrystalline nature of the samples where the disorder in-\ncreased with Bi content.\nThe presence of large clusters can be explored by XRD.\nConsidering the thickness of the \flms (below 50 nm in\nall cases), we have measured in grazing incidence con\fg-\nuration to reduce the signal from the substrate and get a\nbetter signal-to-noise ratio. Figure 2.c collects the XRD\npatterns for the thin \flms under study, together with a\nCu and Bi reference thin \flm. Re\rections correspond-\ning to the Cu-fcc structure are marked with black lines,\nthe ones corresponding to the orthorhombic Bi structure\nwith orange lines and the one marked with an asterisk\ncorrespond to the Si substrate. As expected, Cu and\nBi reference samples only show re\rections that can be\nindexed as pure Cu or Bi respectively. For low doped\nsamples (Cu 95Bi5, Cu 92Bi8and Cu 90Bi10), we observe\nCu(111), Cu(200) and Cu(220) re\rections, the same as\nin the Cu reference. There is only a slight increase in the\nwidth of the peaks, probably due to the disorder induced\nin the Cu lattice by the incorporation of Bi atoms. No\nBi re\rections are detected. The Bi atoms seem incorpo-\nrated into the fcc-Cu lattice. When increasing the dop-\ning level above 10%, see samples Cu 85Bi15and Cu 60Bi40,\nnew re\rections appear that can be indexed as Bi(012)\nand Bi(311). Thus, as expected, for high Bi doping Bi\nagglomerates and clusters are detected by XRD.\nTo further investigate the structure of the \flms and\nto elucidate whether Bi clusters are formed into the\nCu matrix, we employed X-ray absorption \fne struc-\nture techniques, which are sensitive to the local atomic\nenvironment of X-ray absorbing atoms. Figure 3.a dis-\nplays the normalized X-ray absorption near edge struc-4\n8970 9000 9020Normalized XAS \nEnergy (eV)13400 13425\nEnergy (eV) a) b) d)\n9880 8990 8910 13450 0 10 20 30 40 500.00.51.01.5Valence\nBi [%]Normalized XAS Cu85Bi15\n Cu90Bi10 Bi\n Cu95Bi5 Cu60Bi40\n Bi2O3,\n \n FT (k2X(k))\nR (A)o1.5 2.0 2.5 3.03.5 Cu85Bi15\n Cu90Bi10\n Cu Cu95Bi5 Cu60Bi40\n Cu2O\n CuO Cu\n90Bi\n10\n Cu\n92Bi\n8\n Cu Cu\n95Bi\n5 Cu\n85Bi\n15 Cu\n60Bi\n40c)\nCu K-edge Bi L -edge3\nFIG. 3. (a) Normalized XANES spectra at Cu K-edge of the di\u000berent Cu 1-xBixthin \flms together with the Cu, CuO and CuO\nreference samples.(b) Normalized XANES spectra at the Bi L3-edge of Cu 1-xBixthin \flms and Bi and Bi 2O3references.(c)\nvalence of the Bi atoms as a function of the Bi content of the alloys, calculated as described in the text. (d) Fourier transform\nfunction of EXAFS signal (experimental and \ftting) of \flms from signal collected at Cu K-edge.\nture (XANES) spectra at the Cu K-edge for the di\u000berent\nCuxBiythin \flms together with the Cu foil, Cu 2O and\nCuO references. A close inspection of the di\u000berent curves\nreveals that the incorporation of Bi does not change the\nshape of the spectra or the position of the energy edge un-\nless the Bi content exceeds 15%. In the Cu 60Bi40sample,\na shift in the absorption edge and the resonances after\nthe edge towards higher energies, as well as dissimilari-\nties in the line shape, are observed. This might be a clear\nindication of the introduction of a large disorder in the\nCu structure. To quantify the possible oxidation of the\nlayers, the XANES spectra of the samples were \ftted to\na linear combination of the spectra of di\u000berent reference\nsamples. All samples show an oxide content around 8%,\nindependent of the Bi doping. This oxide could be as-\ncribed to a surface oxidation layer, as supported by XPS\ndata shown before. Visual examination of the XANES\nspectra measured at Bi L 3-edge (Figure 3.b) reinforces\nthe idea of Bi diluted in the Cu matrix. Spectra cor-\nresponding to the samples with Bi content below 15%\nare very di\u000berent from the Bi reference sample as well as\nfrom the Bi 2O3reference sample, which re\rects a di\u000ber-\nent local environment of the doping Bi atoms.\nThe mean valence of the Bi doping atoms in the struc-\nture can be calculated using Kunzl's law18, by linear in-\nterpolation of the shift of the edge position with respect\nto the absorption edge of Bi metallic and oxide refer-\nences. The output of this interpolation as a function of\nthe Bi content in the thin \flms is shown in Figure 3.c.\nIt is possible to see that, within the noise, there are only\ntwo set of values for the valence related to Bi in Cu ma-\ntrix and Bi in clusters form, with a limiting value around\n10%. It is particularly noticeable that the value of the\nvalence obtained for samples with a content of bismuth\nbelow 10%, a value close to 1.5, is in good agreement\nwith reported values obtained form ab initio calculations\nin homogeneously Bi-doped Cu \flms19.Table I. Nearest neighbour structural parameters obtained by\nthe FTk2\u001f(k) curve \ftting for Cu foil reference and Cu(Bi)\n\flms. N is the coordination number that has \fxed for the Cu\nfoil reference, R Cu-Cu is the average interatomic distance and\n\u001b2are the Debye-Waller factors.\n%Bi N R Cu-Cu (\u0017A)\u001b2(\u000210\u00003\u0017A2)\nFoil 12 2.544 (2) 8.76 (9)\n0 11.1 (2) 2.543 (2) 8.6 (1)\n5 10.6 (1) 2.542 (7) 8.7 (1)\n8 10.1 (1) 2.542 (1) 8.40 (9)\n10 10.8 (1) 2.543 (9) 8.7 (1)\n15 10.8 (1) 2.544 (3) 8.6 (1)\n40 9.9 (2) 2.548 (5) 9.0 (2)\nAnalysis of the Fourier transform (FT) of the extended\nX-ray absorption \fne structure (EXAFS) spectra was\nperformed for several Bi-doped Cu \flms as well as the\nCu foil reference. A k2weighting was used in the krange\n2:7\u000013:0\u0017A\u00001for \ftting of FT signals in R space using\ntheoretical functions from the FEFF code20. Experimen-\ntal FT module and the \ftting is shown in Figure 3.d.\nTable I displays the \ftting structural parameters. FT\nk2\u001f(k) \ftting of the \frst interatomic distance is very\nsimilar for all samples under study and to that of Cu\nfoil. Structural parameters obtained at the \frst Cu-Cu\nshell do not alter at local order the Cu structure except\nfor sample with a 40% Bi in which the incorporation of\nBi atoms into the Cu lattice induces a slight decrease of\ncoordination number, an elongation of Cu-Cu distance\nand an increase of the Debye-Waller factor. Fitting of\nsecond shell in each FT k2\u001f(k) spectrum was also per-\nformed. However these do not show a clear tendency\nwith Bi content. It seems that for low Bi content, Bi\natoms incorporates in the Cu lattice, producing a distor-\ntion of it at larger local order, which makes di\u000ecult to\nanalyze the second shell considering slight distortions of\nCu structure.5\nTable II. Damping constants and spin mixing conductance for bare YIG substrates as well as for YIG/CuBi interfaces.\nSample \u000bYIG(\u000210\u00003) \u000bYIG/CuBi (\u000210\u00003) \u000bsp(\u000210\u00003) Ge\u000b(\u00021018m\u00002)\nCu99Bi1 3:7\u00060:2 5 :4\u00060:2 1 :7\u00060:4 7 :1\u00060:7\nCu96Bi4 1:7\u00060:1 3 :7\u00060:1 2 :0\u00060:2 7 :3\u00060:5\n4 6 8 10121416182022\n \n1 2 3 4 5 6 7468101214161820\nFrequency (GHz) Frequency (GHz)∆H (Oe)a) b)\n Cu Bi /YIG\n YIG99 1∆H (Oe)Linear fit\nLinear fit Cu Bi /YIG\n YIG96 4\nLinear fit\nLinear fit\nFIG. 4. (a) Frequency dependence of the FMR linewidth\nfor sample Cu 99Bi1after and before CuBi deposition and (b)\nsample Cu 96Bi4after and before CuBi deposition.\nFinally, we performed FMR measurements on two\nYIG/BiCu heterostructures. The FMR was measured as\ndescribed in the supplementary information. In order to\ncalculate the damping constant, the measurements were\nperformed at di\u000berent values of the excitation frequency.\nUsing Kittel's equation for in plane measurements21,22\nit is possible to obtain the values of e\u000bective saturation\nmagnetization and the Land\u0013 e gyromagnetic factor ( g).\nThe continuous line in Figure S3 corresponds to the \ft-\nting of experimental data using this equation\nf=j\rjp\nHFMR(HFMR + 4\u0019Ms\u0000Hani) (1)\nRegarding the YIG substrates whose measurements are\nshown in Figures S2, we have found a 4 \u0019MSof 1730 G in\nthe \frst case and of 1493 G in the second case. The value\nof the gyromagnetic ratio is 2.8 MHz/Oe in both cases,\nwhich is in good agreement with reported values22{25.\nPlotting now the dependence of the linewidth with fre-\nquency, the damping can be calculated from the following\nequation:\n\u0001HFMR = \u0001H0+2\u000bfp\n3\r(2)\nAfter capping the YIG with a CuBi layer, a signif-\nicant increase in the slope of the frequency-dependent\nlinewidth and hence an increased Gilbert damping con-\nstant is observed (See Figure 4). When a ferromagnetic\nlayer as YIG is capped with a metallic layer as CuBi,\nthe precession of the magnetization in the magnetic layer\ncauses a \row of spins to the metallic layer because CuBi\nacts as a spin sink. Therefore, the damping constant for\nYIG/CuBi is the damping constant for uncapped YIGplus a contribution due to spin pumping \u000b0=\u000b+\u000bsp\n. The damping obtained for both samples is summa-\nrized in Table II. Due to conservation of angular mo-\nmentum, this additional damping can be used to eval-\nuate the CuBi/YIG interface spin-mixing conductance.\nThe additional Gilbert damping is related to the e\u000bective\ninterface spin-mixing conductance Ge\u000bby the following\nrelationship23:\n\u000bsp=g\u0016B4\u0019MSGeff\ntYIG(3)\nwhere MSis the saturation magnetization and t YIGis\nthe thickness of the magnetic material, g is the g factor\nand\u0016Bis the Bohr magneton. The spin mixing con-\nductance obtained for both samples is summarized in\nTable II. These values are in the same range than the\nvalues measured in optimized Pt/YIG interfaces26\nIV. CONCLUSIONS\nTo sum up, we have demonstrated that it is possible\nto incorporate up to 10% of Bi atoms into the Cu struc-\nture by co-evaporation of Bi and Cu atoms in a molecular\nbeam epitaxy system at room temperature. Bi incorpo-\nrates in the Cu lattice, without any trace of segregation\nor cluster formation below 10% of Bi. There is also no\npresence of Cu or Bi oxides apart from the surface oxi-\ndation layer formed when the sample is exposed to air.\nStructural properties of Bi-doped Cu with up to 10% Bi\nare similar to the one of Cu, re\recting the incorporation\nof Bi in the Cu structure, forming an alloy. CuBi/YIG\ninterfaces have also been studied by FMR. These inter-\nfaces show a large spin-mixing conductance, which opens\nthe possibility of exploring the spin hall e\u000bect of these\nalloys beyond the region explored up to date, expanding\ntheir possible use in spintronics.\nACKNOWLEDGMENTS\nThis work has been partially funded by MAT2014-\n52477-C5, MAT2017-87072-C4 and MAT2015-64110-C2-\n2-P from the Ministerio de Ciencia e Innovaci\u0013 on and\nNanofrontmag from Comunidad de Madrid. IMDEA\nNanociencia acknowledges support from the Severo\nOchoa Programme for Centres of Excellence in R&D\n(MINECO, Grant SEV-2016-0686). We acknowledge\nThe European Synchrotron Radiation Facility (ESRF),6\nMINECO and CSIC for provision of synchrotron radia-\ntion facilities, BM25-SpLine sta\u000b for the technical sup-\nport beyond their duties and the \fnancial support for\nthe beamline (PIE-2010-OE-013-200014). We thank the\nSpanish National Center of Electron Microscopy for SEM\nmeasurements and the CAI de Difracci\u0013 on de Rayos X,\nUniversidad Complutense de Madrid, for XRD measure-ments. The TEM works have been conducted in the\nLaboratorio de Microscop\u0013 \u0010as Avanzadas (LMA) at the\nInstituto de Nanociencia de Arag\u0013 on (INA)- Universi-\ndad de Zaragoza. Authors acknowledge the LMA-INA\nfor o\u000bering access to their instruments and expertise.\nM.A. acknowledeges MSCA-IFEF-ST No. 656485-Spin3\nJ.W.A.R Acknowledges Royal Society (Superconducting\nSpintronics), Leverhulme Trust (IN-2013-033).\n1I. Zutic, J. Fabian, and S. Das Sarma, Rev. Mod. Phys.\n76, 323 (2004).\n2F. Pullizi, Nat. Mater. 11, 367 (2012).\n3A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands, Nat. Phys. 11, 453 (2015).\n4T. Jungwirth, J. Wunderlich, and K. Olejn\u0013 \u0010k, Nat. Mater.\n11, 382 (2012).\n5J. Sinova, S. O. Valenzuela, J. Wunderlich, C. H. Back,\nand T. Jungwirth, Rev. Mod. Phys. 87, 1213 (2015).\n6T. Wang, W. Wang, Y. Xie, M. A. Warsi, J. Wu, Y. Chen,\nV. O. Lorenz, X. Fan, and J. Q. Xiao, Sci. Rep. 7, 1036\n(2017).\n7J. Balakrishnan, G. K. W. Koon, A. Avsar, Y. Ho, J. H.\nLee, M. Jaiswal, S. Baeck, J. Ahn, A. Ferreira, M. A.\nCazalilla, A. H. C. Neto, and B. Ozyilmaz, Nat. Comm.\n5, 4748 (2014).\n8M. J. Jin, S. Y. Moon, J. Park, V. Modepalli, J. Jo, S.-I.\nKim, H. C. Koo, B. Min, H. Lee, S. H. Baek, and J. W.\nYooo, Nano. Lett. 17, 36 (2017).\n9M. Gradhand, D. V. Fedorov, P. Zahn, and I. Mertig,\nPhys. Rev. B 81, 245109 (2010).\n10Y. Niimi and Y. Otani, Rep. Prog. Phys. 78, 124501\n(2015).\n11C. Herschbach, D. V. Fedorov, I. Mertig, M. Gradhand,\nK. Chadova, H. Ebert, and D. K odderitzsch, Phys. Rev.\nB88, 205102 (2013).\n12A. Fert and P. M. Levy, Phys. Rev. Lett. 106, 157208\n(2011).\n13Y. Niimi, Y. Kawanishi, D. H. Wei, C. Deranlot, H. X.\nYang, M. Chshiev, T. Valet, A. Fert, and Y. Otani, Phys.\nRev. Lett. 109, 156606 (2012).\n14D. V. Fedorov, C. Herschbach, A. Johansson, S. Ostanin,\nI. Mertig, M. Granhand, K. Chadova, D. K \u0013'odderitzsch,and H. Ebert, Phys. Rev. B. 88, 085116 (2013).\n15E. C. Young, S. Tixier, and T. Tiedje, J. Cryst. Growth\n43, 106 (2015).\n16R. van Gastel, D. Kaminski, E. Vlieg, and B. Poelsema,\nPhys. Rev. B 89, 075431 (2014).\n17M. Mohai, Surf. Interface Anal. 36, 828 (2004).\n18C. Kittel, 4, 213 (1932).\n19P. . Levy, H. Yand, M. Chshiev, and A. Fert, Phys. Rev.\nB88, 214432 (2013).\n20A. L. Ankudinov, B. Ravel, J. J. Rehr, and S. D. Conrad-\nson, Phys. Rev. B 58, 7565 (1998).\n21C. Kittel, Phys. Rev. 73, 155 (1948).\n22C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt,\nM. Qaid, H. Deniz, D. Hesse, M. Sawicki, S. G. Ebbing-\nhaus, and G. Schmidt, Sci. Rep. 6, 20827 (2016).\n23M. Haertinger, C. H. Back, J. Lotze, M. Weiler, S. Geprags,\nH. Huebl, S. T. B. Goennenwein, and G. Woltersdorf,\nPhys. Rev. B 92, 054437 (2015).\n24C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Naletov,\nand J. B. Youssef, Phys. Rev. B 87, 174417 (2013).\n25H. Kurebayashi, O. Dzyapko, V. E.Demidov, D. Fang,\nA. .Ferguson, and S. O. Demokritov, Nat. Mater. 10, 660\n(2011).\n26H. Wang, C. Du, P. C. Hammel, and F. Yang, Appl. Phys.\nLett. 110, 062402 (2017).\n27L. Aballe, M. Foerster, E. Pellegrin, J. Nicolas, and S. Fer-\nrer, J. Synchrotron Rad. 22, 745 (2015).\n28B. Ravel and M. Newville, J. Synchrotron Rad. 12, 537\n(2005)." }, { "title": "1302.4416v1.Comparative_Measurements_of_Inverse_Spin_Hall_and_Magnetoresistance_in_YIG_Pt_and_YIG_Ta.pdf", "content": "arXiv:1302.4416v1 [cond-mat.mes-hall] 18 Feb 2013Comparative Measurements of Inverse Spin Hall and Magnetor esistance\nin YIG|Pt and YIG |Ta\nC. Hahn, G. de Loubens, O. Klein, and M. Viret\nService de Physique de l’ ´Etat Condens´ e (CNRS URA 2464), CEA Saclay, 91191 Gif-sur-Y vette, France∗\nV.V. Naletov\nService de Physique de l’ ´Etat Condens´ e (CNRS URA 2464), CEA Saclay, 91191 Gif-sur-Y vette, France∗and\nInstitute of Physics, Kazan Federal University, Kazan 4200 08, Russian Federation\nJ. Ben Youssef\nUniversit´ e de Bretagne Occidentale, Laboratoire de Magn´ etisme de Bretagne CNRS, 6 Avenue Le Gorgeu, 29285 Brest, Fra nce\n(Dated: September 12, 2018)\nWe report on a comparative study of spin Hall related effects a nd magnetoresistance in YIG |Pt\nand YIG |Ta bilayers. These combined measurements allow to estimate the characteristic transport\nparameters of both Pt and Ta layers juxtaposed to YIG: the spi n mixing conductance G↑↓at the\nYIG|normal metal interface, the spin Hall angle Θ SH, and the spin diffusion length λsdin the normal\nmetal. The inverse spin Hall voltages generated in Pt and Ta b y the pure spin current pumped from\nYIG excited at resonance confirm the opposite signs of spin Ha ll angles in these two materials.\nMoreover, from the dependence of the inverse spin Hall volta ge on the Ta thickness, we extract the\nspin diffusion length in Ta, found to be λTa\nsd= 1.8±0.7 nm. Both the YIG |Pt and YIG |Ta systems\ndisplay a similar variation of resistance upon magnetic fiel d orientation, which can be explained in\nthe recently developed framework of spin Hall magnetoresis tance.\nI. INTRODUCTION\nSpintronics aims at designing devices which capitalize\non the interplay between the spin- and charge-degrees\nof freedom of the electron. In particular, it is of cen-\ntral interest to study the interconversion from a spin\ncurrent, the motion of spin angular momentum, to a\ncharge current and the transfer of spin angular momen-\ntum between the conduction electrons of a normal metal\n(NM) and the magnetization of a ferromagnetic mate-\nrial (FM). The separation of oppositely spin polarized\nelectrons of a charge-current through spin-orbit-coupling\nis called spin Hall effect (SHE)1,2. Its inverse process\n(ISHE) converts spin-currents into charge-currents and\nhas recently sparked an intense research activity3,4, as it\nallowsforanelectricaldetectionofthedynamicalstateof\naferromagnet5,6. Indeed, aprecessingmagnetizationin a\nferromagnet generates a spin current via spin pumping7,\nwhich can be converted, at the interface with an adja-\ncent normal layer, to a dc voltage by ISHE. Moreover,\nelectronic transport can also be affected by the static\nmagnetization in the FM as electrons spins separated by\nSHE can undergo different spin-flip-scattering on the in-\nterface with the FM layer. In particular, spin flipped\nelectrons are deflected by ISHE in a direction opposite\nto the initial current, leading to a reduced total current\nat constant voltage. This effect depends on the relative\norientationbetween magnetizationand current direction,\nand has recently been called spin Hall magnetoresistance\n(SMR)8.\nExperimental studies on spin pumping induced inverse\nspin Hall voltages ( VISH) in FM|NM bilayers were first\ncarried out with Pt as NM in combination with NiFe as\nFM5,9–12and more recently with the insulating ferrimag-net Yttrium Iron Garnet (YIG)6,13–17. Although other\nstrong spin-orbit metals have been tried in combination\nwith the metallic ferromagnetsNiFe18,19and CoFeB20,21,\ninverse spin Hall voltage6,17and magnetoresistance8,22\nmeasurementsmade on YIG |NM haveso far been limited\nto NM=Pt. Still, it would be very interesting to compare\nVISHand SMR measurements on different YIG |NM sys-\ntems, including metals having opposite spin Hall angles,\nsuch as Pt vs. Ta20,23. Ab initio calculations indeed pre-\ndict the spin Hall angle of the resistive β-phase of Ta\nto be larger and of opposite sign to that of Pt24. The\ndefining parameters for VISHand SMR are the spin dif-\nfusion length in the normal metal ( λsd), the spin Hall\nangle (Θ SH) which quantifies the efficiency of spin- to\ncharge-current conversion, and the spin mixing conduc-\ntance (G↑↓) which depends on the scattering matrices for\nelectronsat the FM |NM interface7and canbe seen asthe\ntransparency of the interface for transfer of spin angular\nmomentum25. Evaluation of the three above mentioned\nparameters is a delicate task26, as the measured VISH\nvoltages and SMR ratio depend on all of them.\nIn this paper, we present a comparative study of\nYIG|Pt and YIG |Ta bilayers, where we measure both the\nISHE and SMR on each sample. We confirm the oppo-\nsite signs of spin Hall angles in Pt and Ta and the origin\nof SMR, which has been explained in Ref.8. Thanks to\nthese combined measurements, we can evaluate the spin\nmixing conductances of the YIG |Pt and YIG |Ta inter-\nfaces and the spin Hall angles in Pt and Ta. In order\nto get more insight on the previously unexplored YIG |Ta\nsystem, we study the dependence of ISHE on Ta film\nthickness, which enables us to extract the spin diffusion\nlength in Ta.\nThe remaining of the manuscript is organized as fol-2\nFIG. 1. (Color online). (a) Standard in-plane FMR spectrum\nof a bare YIG 200 nm thin film used in this study. (b) Full\nFMR linewidth vs. frequency.\nlows. Section II gives details on the samples and experi-\nmental setup used in this study. In section III, the exper-\nimental data of VISHand SMR obtained on the YIG |Pt\nand YIG |Ta systems are presented and analyzed. In sec-\ntion IV, we discuss the transport parameters extracted\nfrom our measurements. We also comment on the ab-\nsence of direct effect of a charge current in Pt on the\nlinewidth of our 200 nm thick YIG samples. Finally, we\nemphasizethemain resultsofthisworkin theconclusion.\nII. EXPERIMENTAL DETAILS\nA. Samples\n1. YIG films\nTwo single crystal Y 3Fe5O12(YIG) films of 200 nm\nthickness were grown by liquid phase epitaxy on (111)\nGd3Ga5O12(GGG) substrates27, and labeled YIG1 and\nYIG2. Epitaxial growth of the YIG was verified by X-\nraydiffractionandthefilmsroughnesswasdeterminedby\natomic force microscopyto be below 5 ˚A. Their magnetic\nstatic properties were investigated by vibrating sample\nmagnetometry. The in-plane behavior of the thin YIG\nfilms is isotropic with a coercitivity below 0.6 Oe27. The\nsaturationmagnetization, found to be 140emu/cm3, cor-\nresponds to the one of bulk YIG. This value was verified\nby performing ferromagnetic resonance (FMR) at differ-\nent excitation frequencies.\nFMR also allows to extract the magnetic dynamic\nproperties of the 200 nm thick YIG films. A typical\nFMR spectrum of the YIG1 film obtained at 10 GHz\nand low microwave power ( P=−20 dBm) is presented\nin Fig.1a. The gyromagnetic ratio of our YIG films is\nfound to be γ= 1.79·107rad/s/Oe. From the depen-\ndence of the linewidth on the excitation frequency, their\nGilbert damping αG= (2.0±0.2)·10−4can be deter-\nmined, see Fig.1b. This value highlights the very small\nmagnetic relaxation of these thin films. Still, there is an\ninhomogeneous part to the linewidth (∆ H0= 0.4 Oe inFig.1b). Foroneofthetwopreparedfilms (YIG2), twoto\nthree closely spaced resonance lines could be observed in\nsome cases, which we attribute to distinct sample regions\nhaving slightly different properties.\n2. YIG |Pt and YIG |Ta bilayers\nAfter these standard magnetic characterizations, the\nYIG films were cut into slabs with lateral dimensions of\n1.1 mm ×7 mm in order to perform inverse spin Hall\nvoltage and magnetoresistance measurements. Platinum\nand tantalum thin films were then grown by sputter de-\nposition, at a power density of 4 W/cm2. The growth\nof the resistive β-phase Ta was achieved by optimizing\nthe Ar-pressure during the sputtering process. The ap-\npearance of this tetragonal crystalline phase in a narrow\nwindow around 10−2mbar was verified by the presence\nof characteristic lines in the X-ray diffraction spectra.\nTheβ-phase was also confirmed by the resistivity of the\nfilms20, which for 10 nm Ta thickness lies at 200 µΩ·cm.\nIn order to compare ISHE and SMR on YIG |Pt and\nYIG|Ta bilayers, a 15 nm thick Pt and a 3 nm thick Ta\nlayers were grown on the YIG1 sample. The conductivi-\nties of these metallic films are σPt= 2.45·106Ω−1·m−1\n(in agreement with the values reported in Refs.17,18) and\nσTa= 3.05·105Ω−1·m−1, respectively. These two sam-\nples have been used to obtain the results presented in\nFigs.2 and 4. The dependence on Pt thickness of both\nVISH17and magnetoresistance22,28has been studied ear-\nlier. In this work, we have used the YIG2 sample to\nstudy the dependence as a function of the Ta thickness,\nwhich was varied from 1.5 nm to 15 nm (1.5, 2, 3, 5, 10\nand 15 nm). The conductivity of these Ta films increases\nfrom 0.8·105Ω−1·m−1to 7.5·105Ω−1·m−1with the film\nthickness. This series of samples has been used to ob-\ntain the data of Fig.3. Finally, Pt films with thicknesses\n10 nm and 15 nm were also grown on YIG2, for the sake\nof comparison with YIG1.\nB. Measurement setup\nA 500µm wide, 2 µm thick Au transmission line cell\nand electronics providing frequencies up to 20 GHz were\nused for microwave measurements. The long axis of the\nsample was aligned perpendicularly to the microwave\nline, thus parallel to the excitation field hrfas indicated\nin the inset of Fig.2. VISHwas measured by a lock-in\ntechnique (with the microwave power turned on and off\nat a frequency of a few kHz) with electrical connections\nthrough gold leads at equal distance to the area of exci-\ntation. Magnetotransport measurements of the YIG |NM\nslabs were performed using a 4-point configuration. The\nsamples were placed at the center of an electromagnet,\nwhich can be rotated around its axis in order to obtain\ncurvesofmagnetoresistancevs. angle. The measurement\ncell was placed in a cryostat, with the possibility to cool3\nFIG. 2. (Color online). Inverse spin Hall voltage measured\nat 3.5 GHz for YIG |Ta and YIG |Pt. Inset: sketch of the\nexperiment.\ndown to 77 K. All the measurements presented in this\npaper were performed at room temperature, except for\nthose reported in Fig.5.\nIII. EXPERIMENTAL RESULTS AND\nANALYSIS\nA. Inverse spin Hall voltage: YIG |Pt vs. YIG |Ta\nFirst, we compare in Fig.2 the inverse spin Hall volt-\nagesmeasuredat 3.5GHz ( P= +10dBm) in the YIG |Pt\nand YIG |Ta bilayers. It shows that one can electrically\ndetect the FMR of YIG in these hybrid systems6. The\nspin current Jspumped into the adjacent normal metal\nby the precessing magnetization in YIG is converted into\na charge current by ISHE,\nJe=2e\n/planckover2pi1ΘSHJs, (1)\nwhereeis the electron charge and /planckover2pi1the reduced Planck\nconstant. This leads to a transverse voltage VISH(across\nthe length of the YIG |NM slab), as sketched in the inset\nofFig.2. Moreover, VISHmustchangesignuponreversing\nthe magnetization of YIG because of the concomitant re-\nversal of the spin pumped current Js(henceJe). This is\nobserved in both the YIG |Pt and YIG |Ta systems, where\nVISHis odd in applied magnetic field, which shows that\nthe voltage generated at resonance is not due to a ther-\nmoelectrical effect.\nThe striking feature to be observed here is the oppo-\nsite signs of VISHin these two samples. This remains\ntrue at all microwave frequencies (from 2 to 8 GHz) and\npower levels (from −8 to +10 dBm) which were mea-\nsured, as well as for the different YIG |Pt and YIG |Ta\nbilayers made from YIG1 and YIG2 samples. It thus\nconfirms that the spin Hall angles in Ta and Pt have\nopposite signs, as predicted by ab initio calculations24and inferred from measurements where the spin current\nwas generated by a metallic ferromagnet20,23. Moreover,\nfrom the electrical circuit which was used in the mea-\nsurements (anode of the voltmeter is on the left in Fig.2\ninset), it can be found that ΘPt\nSH>0 while ΘTa\nSH<0.\nThe precise estimation of the spin Hall angles in these\ntwo materials requires the more analysis presented in the\nfollowing sections. Still, it is interesting to note that the\n4µV amplitude of VISHmeasured in Fig.2 on our 15 nm\nthick Pt is close to the one reported in Ref.17(2 to 3µV)\nwith comparable experimental conditions.\nB. Dependence of inverse spin Hall voltage on Ta\nthickness\nIn this work,we havemeasuredthe dependence of VISH\nonly on Ta thickness. The study as a function of Pt\nthickness was already reported in Ref.17, using a simi-\nlar 200 nm thick YIG film (fabricated in the same lab).\nIn Fig.3, we have plotted using red squares the depen-\ndence of VISHon the Ta thickness measured on the series\nof samples described above. Here, VISHis produced by\nthe precession of magnetization in YIG, resonantly ex-\ncited at 3.8 GHz by the microwavefield ( P= +10 dBm).\nVISHincreases from less than 2 µV up to 70 µV as the\nTa layer thickness is reduced from 15 nm to 2 nm, at\nwhich the maximal voltage is measured. For the thinnest\nTa layer ( tTa= 1.5 nm),VISHdrops to about 10 µV, a\nvalue close to the one observed at tTa= 10 nm. A sim-\nilar dependence of VISHon Pt thickness was reported in\nRef.17, where a maximum of voltage was observed be-\ntweentPt= 1.5 nm and tPt= 6 nm.\nThe resistance measured across the length of the\nYIG|Ta slab is also plotted with green crosses in Fig.3\nas a function of tTa(see right scale). It is interesting to\nnote that both VISHandRfollow a similar dependence\non the Ta thickness, if one excludes the thinnest Ta layer,\nwhich might be discontinuous or oxidised, and thus ex-\nhibits a very large resistance ( R= 95 kΩ is out-of-range\nof the graph).\nToanalyzethethicknessdependenceoftheinversespin\nHall voltage, we follow the approach derived in Ref.17.\nThe spin diffusion equation with the appropriate source\nterm and boundary conditions leads to the following ex-\npression:\nVISH= ΘSHG↑↓\nG↑↓+σ\nλsd1−exp(−2tNM/λsd)\n1+exp( −2tNM/λsd)\n×hLPfsin2(θ)\n2etNM(1−exp(−tNM/λsd))2\n1+exp( −2tNM/λsd),(2)\nwhereσis the conductivity of the normal metal, tNM\nits thickness, Lthe length of the YIG |NM slab excited\nat frequency fby the microwave field, θthe angle of\nprecession of YIG, and Pan ellipticity correction factor.\nThe latter depends on the excitation frequency18and in\nour case P≃1.25.4\nFIG. 3. (Color online). Dependence of inverse spin Hall volt -\nage on Ta thickness (red squares, left scale). The microwave\nfrequency is 3.8 GHz ( P= +10 dBm). The lines are theoret-\nical predictions17from Eq.2 for different values of λsd, with\nthe parameters G↑↓= 4.3·1013Ω−1·m−2and Θ SH=−0.02.\nThe resistance of the samples is also displayed (green cross es,\nright scale). The resistance of the 1.5 nm thin Ta sample\n(95 kΩ) is out-of-range.\nFromEq.2,the amplitude ofVISHdependsonthetrans-\nport parameters λsd,G↑↓and Θ SH, as well as on the res-\nonant precession angle θ. We do not have a direct mea-\nsurement of θ, but it can be evaluated from the strength\nof the microwave field hrfand the measured linewidth\n∆H29. By performing network analyzer measurements\nand consideringthe geometryofthe transmissionline, we\nestimate the strength of the microwave field hrf≃0.2 Oe\nfor aP= +10 dBm output power from the synthesizer.\nFor the series of YIG |Ta samples, it yields a precession\nangleθ≃3.3◦in YIGat3.8GHz. Nevertheless,the mea-\nsurements presented in Fig.3 are not sufficient to extract\nindependently G↑↓and Θ SH.\nThethickness dependence ofVISHprimarilydependson\nλsd, through the argument of the exponential functions\nin Eq.2. The spin diffusion length can thus be adjusted\nto fit the shape of VISHvs.tTain Fig.3. The series of\nlines in Fig.3 displays the result of calculations based on\nEq.2 for three different values of λsd, using the thickness\ndependent conductivity σTameasured experimentally. A\nvery good overall agreement to the data is found for a\nspin diffusion length λTa\nsd= 1.8 nm. We explain the dis-\ncrepancy observed at tTa= 1.5 nm, at which the mea-\nsured voltage is about five times smaller than predicted,\nby the fact that the thinnest Ta layer is discontinuous\nor oxidised, as already pointed out. We note that the\nspin diffusion length extracted from the YIG |Ta data of\nFig.3 is somewhat shorter than the 2.7 nm inferred fromnonlocal spin-valve measurements23.\nC. Magnetoresistance: YIG |Pt vs. YIG |Ta\nWe now turn to the measurements of dc magnetore-\nsistance in our hybrid YIG |NM bilayers. We have mea-\nsured the variation of resistance in the exact same sam-\nplesasthe onesstudied byISHEin Fig.2, YIG |Pt(15nm)\nand YIG |Ta(3 nm), as a function of the angle of the ap-\nplied field with respect to the three main axes of the\nslabs. In these experiments, the applied field was fixed\ntoH= 3 kOe (sufficient to saturate the YIG), and a dc\ncurrent of a few mA together with a 61/2digits voltmeter\nwere used to probe the resistance of the NM layers in a\n4-probe configuration. The results obtained by rotating\nthe magnetic field in the plane of the sample (angle α),\nfrom in-plane perpendicular to the charge current Jeto\nout-of-plane (angle β) and from in-plane parallel to Jeto\nout-of-plane (angle γ) are presented in Figs.4a, 4b, and\n4c, respectively (see also associated sketches).\nInboththeYIG |PtandYIG |Tabilayers,wedoobserve\nsome weak magnetoresistance(∆ Rmax/R0of 5·10−5and\n4·10−5, respectively), as it was first reported on the\nYIG|Pt system22. We checked that this weak variation\ndoes not depend on the sign or strength of the probing\ncurrent. In contrast to the inverse spin Hall voltage mea-\nsurements presented in Fig.2, we also note that the sign\n(or symmetry) of the effect is identical in YIG |Pt and\nYIG|Ta.\nIn order to interpret this magnetoresistance, it is im-\nportant to understand its dependence on all three dif-\nferent angles, α,βandγ, shown in Fig.4. If one would\njust look at the in-plane behavior (Fig.4a), one could\nconclude that the NM resistance Rchanges according to\nsome anisotropic magnetoresistance (AMR) effect, as if\nthe NM would be magnetized at the interface with YIG\ndue to proximity effect22. But with AMR, Rdepends on\nthe angle between the charge current Jeand the mag-\nnetization (applied field H). Hence, no change of Ris\nexpected with the angle β, whereas Rshould vary with\nthe angle γ, which is exactly opposite to what is ob-\nserved in Figs.4b and 4c, respectively. Therefore usual\nAMR as the origin of the magnetoresistance in YIG |Pt\nand YIG |Ta bilayers has to be excluded.\nInstead, the spin Hall magnetoresistance(SMR) mech-\nanism proposed in Ref.8is well supported by our mag-\nnetoresistance data. In this scenario, the electrons car-\nried by the charge current in the NM layer are deflected\nby SHE in opposite directions depending on their spin.\nThose whose spin is flipped by scattering at the interface\nwith the FM can oppose the initial current by ISHE and\nlead to an increase of resistance. Therefore, the spin Hall\nmagnetoresistancedepends on the relative angle between\nthe magnetization Mof the FM and the accumulated\nspinssat the FM |NM interface:\nR=R0+∆Rmaxsin2(M,s). (3)5\nFIG. 4. (Color online). (a −c) Magnetoresistance in YIG |Ta\nand YIG |Pt as a function of the angle of the applied field\n(H= 3 kOe) sketched at the top (the samples are the same\nas the ones measured in Fig.2). Dashed lines are predictions\nfrom Eq.3 of the SMR theory8.\nThe increase of resistance is maximal when Mandsare\nperpendicular, because the spin-flip-scattering governed\nbyG↑↓at the interface is the largest. In the geometry\ndepicted in Fig.4, the charge current is applied along y,\nhence the spins accumulated at the YIG |NM interface\ndue to SHE are oriented along x. The dashed lines plot-\nted in Figs.4a −c are the prediction of the SMR theory.\nAs can be seen, Eq.3 explains well the presence (absence)\nofresistancevariationuponthe appliedfieldangles αand\nβ(γ). Due to demagnetizing effects, the magnetization\nof YIG is not always aligned with the applied field. This\nis the reason why the measured curves in Figs.4a and\n4b have different shapes, and a simple calculation29of\nthe equilibrium position of Min combination with Eq.3\nreproduces them quite well.The SMR ratio was also calculated in Ref.8:\nSMR =∆Rmax\nR0= Θ2\nSH2λ2\nsd\nσtNMG↑↓tanh2/parenleftBig\ntNM\n2λsd/parenrightBig\n1+2λsd\nσG↑↓coth/parenleftBig\ntNM\nλsd/parenrightBig.(4)\nAs for the inverse spin Hall voltage VISH(Eq.2), the\nSMR depends on all the transport parameters G↑↓, ΘSH\nandλsd, whichthereforecannotbeextractedindividually\nfrom a single measurement. In section IVA, we will take\nadvantage of the combined measurements of VISH(Figs.2\nand 3) and SMR (Fig.4) to do so. For now, it is interest-\ning to point out that because both SHE and ISHE are at\nplay in spin Hall magnetoresistance, the SMR depends\non thesquareof the spin Hall angle. This explains the\npositive SMR for both YIG |Pt and YIG |Ta, even though\nthe spin Hall angles of Pt and Ta are opposite.\nFinally, it would have been interesting to measure the\ndependence of SMR on Ta thickness (the dependence on\nPt thickness was studied in Refs.22and28). Unfortu-\nnately, itwasdifficulttorealizelownoise4-pointcontacts\nto investigatethe faint magnetoresistanceon the series of\nTa samples prepared to study VISHvs.tTa. From our at-\ntempts, we found that the SMR of YIG |Ta(10 nm) is less\nthan 2·10−5. This is consistent with the decrease pre-\ndicted by Eq.4 (assuming λTa\nsd= 1.8 nm) with respect to\nthe SMR ≃4·10−5measured for YIG |Ta(3 nm).\nIV. DISCUSSION\nA. Transport parameters\nAs already discussed, both VISHand SMR depend on\nthe set of transport parameters ( G↑↓, ΘSH,λsd). By\nstudying VISHas a function of the NM thickness, the spin\ndiffusion length can be determined, and we found that in\nTa,λTa\nsd= 1.8±0.7 nm, see Fig.3. We mention here\nthat from a similar study on YIG |Pt,λPt\nsd= 3.0±0.5 nm\ncould be inferred17. This value lies in the range of spin\ndiffusion lengths reported on Pt, which span over almost\nan order of magnitude26, from slightly more than 1 nm\nup to 10 nm.\nThere is a direct way to get the spin mixing conduc-\ntance of a FM |NM interface, by determining the increase\nof damping in the FM layer associated to spin pumping\nin the adjacent NM layer7. Due to its interfacial nature,\nthis effect is inversely proportional to the thickness of\nthe FM and can be measured only on ultra-thin films.\nThis was recently achieved in nm-thick YIG films grown\nby pulsed laser deposition30,31, where spin mixing con-\nductances G↑↓= (0.7−3.5)·1014Ω−1·m−2have been\nreported for the YIG |Au interface.\nEven for 200 nm thick YIG films as ours, it is possi-\nble to obtain the full set of transport parameters thanks\nto our combined measurements of VISHand SMR on\nYIG|NM hybrid structures. In fact, from Eqs.2 and 4,\nthe ratio V2\nISH/SMR does not depend on Θ SH, which al-\nlows to determine G↑↓. Then, the last unknown Θ SH6\nTABLE I. Transport parameters obtained from the analysis of inverse spin Hall voltage (Figs.2 and 3 + Eq.2) and spin Hall\nmagnetoresistance (Fig.4 + Eq.4) performed on YIG |Ta(1.5 nm −15 nm) and YIG |Pt(15 nm).\nYIG|Ta (1.5 nm −15 nm) YIG |Pt (15 nm)\nσ(106Ω−1·m−1) 0.08−0.75 2.45±0.10\nλsd(10−9m) 1.8±0.7 n/a [from 1.5 to 10]26\nG↑↓(1013Ω−1·m−2) 4.3±11\n2 6.2±14\n4\nΘSH −0.02±0.008\n0.015 0.03±0.04\n0.015\ncan be found from the VISHor SMR signal. This is how\nwe proceed to determine the transport parameters which\nare collected in Table I. The drawback of this method\nis that it critically relies on: i) λsd, which enters in the\nargument of exponential functions in Eqs.2 and 4; and\nii) the angle of precession θin the inverse spin Hall ex-\nperiment, since V2\nISH/SMR∝θ4. Our estimation of θ\nbeing within ±25%, the value extracted for G↑↓from the\nratioV2\nISH/SMR can vary by a factor up to 8 due to this\nuncertainty. The spin Hall angle Θ SHis less sensitive\nto other parameters, still it can vary by a factor up to\n3. This explains the rather large error bars in Table I. In\nthis study, we did not determine the spin diffusion length\nin Pt, hence we used the range of values reported in the\nliterature26.\nThe spin mixing conductances determined from our\ncombined VISHand SMR measurements on YIG |Ta and\nYIG|Pt bilayerslie in the same window as the ones deter-\nmined from interfacial increase of damping in YIG |Au30,\nfrom inverse spin Hall voltage in BiY 2Fe5O12|Au and\nPt32, and from first-principles calculations in YIG |Ag25.\nWe would like to point out that despite the large uncer-\ntainty,G↑↓for YIG|Ta is likely less than for YIG |Pt. We\nnote that the smaller damping measured in CoFeB |Ta\ncompared to CoFeB |Pt was tentatively attributed to a\nsmaller spin mixing conductance20.\nThe spin Hall angles that we report for Pt and Ta\nare both of a few percents. In particular, ΘTa\nSH≃ −0.02\nlies in between the values determined from nonlocal spin-\nvalve measurements ( ≃ −0.004)23and from spin-torque\nswitching using the SHE ( ≃ −0.12)20.\nThe main conclusion which arises from the summary\npresented in Table I is that the sets of transport param-\neters determined for the hybrid YIG |Ta and YIG |Pt sys-\ntems are quite similar. Apart from the opposite sign\nof ΘSHin Ta and Pt, the main difference concerns the\nconductivity: σβ−Tais roughly one order of magnitude\nsmaller than σPt. This explains the large inverse spin\nHall voltages that can be detected in our YIG |Ta bilay-\ners (up to 70 µV atP= +10 dBm), since from Eq.2\nVISH∝1/σ, which could be a useful feature of the Ta\nlayer.B. Influence of a dc current on FMR linewidth\nOnsager reciprocal relations imply that if there is an\nISHE voltage produced by the precession of YIG, there\nmust also be a transfer of spin angular momentum from\nthe NM conduction electrons to the magnetization of\nYIG, through the finite spin mixing conductance at the\nYIG|NM interface25. Therefore, one would expect to be\nable to control the relaxation of the insulating YIG by\ninjecting a dc current in an adjacent strong spin-orbit\nmetal, as it was shown on YIG |Pt in the pioneering work\nof Kajiwara et al.6. Although this direct effect is well es-\ntablished when the ferromagnetic layer is ultra-thin and\nmetallic33–36, only a few works report on conclusive ef-\nfects on micron-thick YIG6,37,38or provide a theoretical\ninterpretation to the phenomenon39.\nThe 200 nm thick YIG films that have been grown for\nthis study are about 6 times thinner than the one used\nin Ref.6, with an intrinsic relaxation close to bulk YIG.\nBecause the spin transfer torque is an interfacial effect\nand sizable spin mixing conductances have been mea-\nsured in our YIG |Ta and YIG |Pt bilayers, our samples\nmust be good candidates to observe the direct effect of a\ndc current on the relaxation of YIG. Due to their large\nresistance, β-Ta films arenot convenientto passthe large\ncurrent densities required to observe such an effect (large\nJoule heating). Therefore, we have conducted these ex-\nperimentsonlyontheYIG |Ptfilmspreparedinthiswork.\nThe inverse spin Hall voltage measurements presented\nin Fig.2 have therefore been repeated in presence of a\ndc current flowing through the Pt layer. This type of\nexperiment, where a ferromagnetic layer is excited by\na small amplitude signal and a spin polarized current\ncan influence the linewidth of the resonance, has already\nbeen reported on spin-valve spin-torque oscillators40,41\nand NiFe |Pt bilayers42. The results obtained on our\nYIG(200 nm) |Pt(15 nm) at 77 K when the dc current\nis varied from −40 to +40 mA are displayed in Fig.5.\nLet us now comment on these experiments. We first\nemphasize that the current injected in Pt is truly dc (not\npulsed). A sizable Joule heating is thus induced, as re-\nflectedbytheincreaseofPtresistance. Asaconsequence,\nthe main effect of dc current injection at room tempera-\nture is the displacement of the resonance towards larger\nfield, due to the decrease of the YIG saturation magne-\ntization Ms. To avoid this trivial effect, we have per-\nformed these experiments directly in liquid nitrogen. In7\nFIG. 5. (Color online). Inverse spin Hall voltage measured\nat 2.95 GHz ( P= +10 dBm) for YIG |Pt as a function of the\ndc current flowing in the Pt layer. A small current dependent\noffset (<0.2µV) has been subtracted to the data.\nthat case, the increase of Pt resistance is very limited\n(+0.2% at±40 mA). We note that when cooled from\n300 K down to 77 K, the peak of the inverse spin Hall\nvoltage measured in the YIG |Pt bilayer is displaced to-\nwards lower field due to the increase of Msof YIG (from\n140 emu/cm3up to 200 emu/cm3), and its amplitude\nslightly decreases.\nThe main conclusion that can be drawn from Fig.5 is\nthat there is basically no effect of the dc current injected\nin Pt on the YIG resonance. We stress that the maxi-\nmal current density reached in Pt in these experiments\nisJe= 2.4·109A.m−2,i.e., twice larger than the one\nat which YIG magnetization oscillationswerereported in\nRef.6. In our experiments, we are not looking for auto-\noscillations of YIG, which requires that the damping is\nfully compensated by spin transfer torque, but only for\nsome variation of the linewidth. The fact that we do not\nsee any change in the shape of the resonant peak of our\n200 nm thin YIG film is thus in contradiction with the\nobservation of bulk auto-oscillations in thicker films6.\nWe have also performed similar experiments on the\nother YIG(200 nm) |Pt samples which were prepared us-\ning the two different YIG films grown for this study.\nAlthough the current density was increased up to 6 ·\n109A.m−2, we were never able to detect any sizable vari-\nation of the linewidth of YIG. Instead, we have measured\nthat the dc current can affect the inverse spin Hall volt-\nage in different ways. First, when a charge current is\ninjected into Pt, a non-zero offset of the lock-in signal\ncan be detected (it was subtracted in Fig.5). This is due\nto the increase of Pt resistance induced by the microwave\npower, as it was verified by monitoring this offset while\nvarying the modulation frequency of the microwave. Sec-\nondly, the amplitude of the VISHpeaks can be affected\nby the dc current (but again, notthe linewidth). This\neffect can at first be confused with some influence on the\nrelaxation of YIG, because it displays the appropriatesymmetries vs. field and current. But instead, we have\nfound that this is a bolometric effect43: when the YIG is\nexcited at resonance, it heats up, thereby heating the ad-\njacent Pt whose resistance gets slightly larger. Hence an\nadditional voltage to VISHis picked up on the lock-in due\nto the non-zero dc current flowing in Pt. Therefore, one\nshould be very careful in interpreting changes in inverse\nspin Hall voltage as the indication of damping variation\nin YIG. Finally, we observed that at very large current\ndensity, the resonance peak slightly shifts towards larger\nfield due to Joule heating, even at 77 K.\nV. CONCLUSION\nIn this paper, we have presented and analyzed a com-\nparative set of data of inverse spin Hall voltage VISH\nand magnetoresistance obtained on YIG |Pt and YIG |Ta\nbilayers. We have detected the voltages generated by\nspin pumping at the YIG |Pt interface (already well\nestablished6) and at the YIG |Ta interface (for the first\ntime). Their opposite signs are assigned to the opposite\nspin Hall angles in Pt and Ta24. From the thickness de-\npendence of VISH, we have been able to obtain the spin\ndiffusion length in Ta, λTa\nsd= 1.8±0.7 nm, in reasonable\nagreement with the value extracted from non-local spin\nvalve measurements23. From symmetry arguments, we\nhave shown that the weak magnetoresistance measured\non our hybrid YIG |NM layers cannot be attributed to\nusual AMR, but is instead well understood in the frame-\nwork of the recently introduced spin Hall magnetoresis-\ntance (SMR)8. By taking advantage of the combined\nmeasurements of VISHand SMR performed on the same\nsamples,wehavebeenabletoextractthespinHallangles\nin Pt and Ta, as well as the spin mixing conductances at\nthe YIG|Pt and YIG |Ta interfaces.\nThese transport parameters have all been found to\nbe of the same order of magnitude as those already\nmeasured20,30or predicted25. We believe that at least\npart of the discrepancies between the parameters eval-\nuated in different works26depend on the details of the\nYIG|NM interface31and on the quality of the NM18,19,23.\nFinally, we could not detect any change of linewidth\nin our YIG |Pt samples by passing large current densi-\nties through the Pt layer. One might argue that our\nhigh quality 200 nm YIG thin films are still too thick\nto observe any appreciable effect of spin transfer torque,\nwhich is an interfacial mechanism, or that the spin-waves\nwhich can auto-oscillate under the action of spin trans-\nfer at the interface with Pt are different from the uni-\nform mode that we excite with the microwave field in\nour experiments6,39. If one would estimate the threshold\ncurrent required to fully compensate the damping of all\nthe magnetic moments contained in our YIG films20,39,\nJth≃2eαωM stYIG/(ΘSHγ/planckover2pi1), onewouldgetcurrentden-\nsities of about 1011A.m−2. This is 20 times larger than\nthe largestcurrentdensity which we havetried. Thus the\nlack of a visible effect in our Fig.5 is not a real surprise8\nin itself, but it is inconsistent with the results reported\nin Ref.6. Future experiments on ultra-thin YIG |NM hy-\nbrid films, in which the spin mixing conductance can\nbe directly determined from the interfacial increase of\ndamping30, might give a definite answer to this point.ACKNOWLEDGMENTS\nThisresearchwassupportedbytheFrenchANR Grant\nTrinidad (ASTRID 2012 program).\n∗christian.hahn@cea.fr\n1V. I. Dyakonov, M. I. & Perel, JETP Lett. 13(1971)\n2J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999)\n3S. O. Valenzuela and M. Tinkham, Nature (London) 442,\n176 (2006)\n4T. Kimura, Y. Otani, T. Sato, S. Takahashi, and\nS. Maekawa, Phys. Rev. Lett. 98, 156601 (2007)\n5E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara,\nAppl. Phys. Lett. 88, 182509 (2006)\n6Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe,\nK. Uchida, M. Mizuguchi, H. Umezawa, H. Kawai,\nK. Ando, K. Takanashi, S. Maekawa, and E. Saitoh,\nNature (London) 464, 262 (2010)\n7Y. Tserkovnyak, A. Brataas, G. E. W. Bauer, and B. I.\nHalperin, Rev. Mod. Phys. 77, 1375 (2005)\n8H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida,\nY. Kajiwara, D. Kikuchi, T. Ohtani, S. Geprgs, M. Opel,\nS. Takahashi, R. Gross, G. E. W. Bauer, S. T. B. Goen-\nnenwein, and E. Saitoh, arXiv:1211.0098(2012)\n9K. Ando, Y.Kajiwara, S.Takahashi, S.Maekawa, K. Take-\nmoto, M. Takatsu, and E. Saitoh, Phys. Rev. B 78, 014413\n(2008)\n10A. Azevedo, L. H. Vilela-Le˜ ao, R. L. Rodr´ ıguez-Su´ arez,\nA. F. Lacerda Santos, and S. M. Rezende, Phys. Rev. B\n83, 144402 (2011)\n11Z. Feng, J. Hu, L. Sun, B. You, D. Wu, J. Du, W. Zhang,\nA. Hu, Y. Yang, D. M. Tang, B. S. Zhang, and H. F. Ding,\nPhys. Rev. B 85, 214423 (2012)\n12O.Rousseau andM. Viret,Phys. Rev. B 85, 144413 (2012)\n13C. W. Sandweg, Y. Kajiwara, K. Ando, E. Saitoh, and\nB. Hillebrands, Appl. Phys. Lett. 97, 252504 (2010)\n14H. Kurebayashi, O. Dzyapko, V. E. Demidov,\nD. Fang, A. J. Ferguson, and S. O. Demokritov,\nNature Mater. (London) 10, 660 (2011)\n15L. H. Vilela-Le˜ ao, C. Salvador, A. Azevedo, and S. M.\nRezende, Appl. Phys. Lett. 99, 102505 (2011)\n16A. V. Chumak, A. A. Serga, M. B. Jungfleisch, R. Neb,\nD. A. Bozhko, V. S. Tiberkevich, and B. Hillebrands,\nAppl. Phys. Lett. 100, 082405 (2012)\n17V. Castel, N.Vlietstra, J. Ben Youssef, andB. J. vanWees,\nAppl. Phys. Lett. 101, 132414 (2012)\n18O. Mosendz, V. Vlaminck, J. E. Pearson, F. Y. Fradin,\nG. E. W. Bauer, S. D. Bader, and A. Hoffmann,\nPhys. Rev. B 82, 214403 (2010)\n19K. Kondou, H. Sukegawa, S. Mitani, K. Tsukagoshi, and\nS. Kasai, Appl. Phys. Express 5, 073002 (2012)\n20L. Liu, C.-F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, and\nR. A. Buhrman, Science 336, 555 (2012)\n21C.-F. Pai, L. Liu, Y. Li, H. W. Tseng, D. C. Ralph, and\nR. A. Buhrman, Appl. Phys. Lett. 101, 122404 (2012)\n22S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang,\nJ. Wu, T. Y. Chen, J. Q. Xiao, and C. L. Chien,Phys. Rev. Lett. 109, 107204 (2012)\n23M. Morota, Y. Niimi, K. Ohnishi, D. H. Wei, T. Tanaka,\nH. Kontani, T. Kimura, and Y. Otani, Phys. Rev. B 83,\n174405 (2011)\n24T. Tanaka, H. Kontani, M. Naito, T. Naito, D. S. Hi-\nrashima, K. Yamada, and J. Inoue, Phys. Rev. B 77,\n165117 (2008)\n25X. Jia, K. Liu, K. Xia, and G. E. W. Bauer, Europhys.\nLett.96, 17005 (2011)\n26L. Liu, R. A. Buhrman, and D. C. Ralph,\narXiv:1111.3702v3(2011)\n27V. Castel, N. Vlietstra, B. J. vanWees, andJ. BenYoussef,\nPhys. Rev. B 86, 134419 (2012)\n28N. Vlietstra, J. Shan, V. Castel, J. Ben Youssef, and B. J.\nvan Wees, arXiv:1301.3266(2013)\n29A. G. Gurevich and G. A. Melkov, Magnetization Oscilla-\ntions and Waves (CRC Press, 1996)\n30B.Heinrich, C.Burrowes, E.Montoya, B.Kardasz, E.Girt,\nY.-Y. Song, Y. Sun, and M. Wu, Phys. Rev. Lett. 107,\n066604 (2011)\n31C. Burrowes, B. Heinrich, B. Kardasz, E. A. Montoya,\nE. Girt, Y. Sun, Y.-Y. Song, andM. Wu, Appl. Phys. Lett.\n100, 092403 (2012)\n32R. Takahashi, R. Iguchi, K. Ando, H. Nakayama,\nT. Yoshino, and E. Saitoh, J. Appl. Phys. 111, 07C307\n(2012)\n33K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda,\nS. Maekawa, and E. Saitoh, Phys. Rev. Lett. 101, 036601\n(2008)\n34V. E. Demidov, S. Urazhdin, E. R. J. Edwards,\nM. D. Stiles, R. D. McMichael, and S. O. Demokritov,\nPhys. Rev. Lett. 107, 107204 (2011)\n35V. Demidov, S. Urazhdin, H. Ulrichs, V. Tiberkevich,\nA. Slavin, D. Baither, G. Schmitz, and S. O. Demokritov,\nNature Mater. (London) 11, 1028 (2012)\n36L. Liu, C.-F. Pai, D. C. Ralph, and R. A. Buhrman,\nPhys. Rev. Lett. 109, 186602 (2012)\n37Z. Wang, Y. Sun, M. Wu, V. Tiberkevich, and A. Slavin,\nPhys. Rev. Lett. 107, 146602 (2011)\n38E. Padr´ on-Hern´ andez, A. Azevedo, and S. M. Rezende,\nAppl. Phys. Lett. 99, 192511 (2011)\n39J. Xiao and G. E. W. Bauer, Phys. Rev. Lett. 108, 217204\n(2012)\n40J. C. Sankey, P. M. Braganca, A. G. F. Garcia, I. N. Kriv-\norotov, R. A. Buhrman, and D. C. Ralph, Phys. Rev. Lett.\n96, 227601 (2006)\n41W. Chen, J.-M. L. Beaujour, G. de Loubens, A. D. Kent,\nand J. Z. Sun, Appl. Phys. Lett. 92, 012507 (2008)\n42L. Liu, T. Moriyama, D. C. Ralph, and R. A. Buhrman,\nPhys. Rev. Lett. 106, 036601 (2011)\n43Y. S. Gui, N. Mecking, A. Wirthmann, L. H. Bai, and\nC.-M. Hu, Appl. Phys. Lett. 91, 082503 (2007)" }, { "title": "1112.4969v1.Direct_detection_of_magnon_spin_transport_by_the_inverse_spin_Hall_effect.pdf", "content": "arXiv:1112.4969v1 [cond-mat.mes-hall] 21 Dec 2011Direct detection of magnon spin transport by the inverse spi n Hall effect\nA. V. Chumak,1,a)A. A. Serga,1M. B. Jungfleisch,1R. Neb,1D. A. Bozhko,2V. S. Tiberkevich,3and\nB. Hillebrands1\n1)Fachbereich Physik and Forschungszentrum OPTIMAS, Techni sche Universit¨ at Kaiserslautern,\n67663 Kaiserslautern, Germany\n2)Faculty of Radiophysics, Taras Shevchenko National Univer sity of Kyiv, Kyiv,\nUkraine\n3)Department of Physics, Oakland University, Rochester, MI 4 8309, USA\n(Dated: 8 May 2018)\nConversion of traveling magnons into an electron carried spin curre nt is demonstrated in a time resolved\nexperiment using a spatially separated inductive spin-wave source a nd an inverse spin Hall effect (ISHE)\ndetector. A short spin-wave packet is excited in a yttrium-iron gar net (YIG) waveguide by a microwave\nsignal and is detected at a distance of 3 mm by an attached Pt layer a s a delayed ISHE voltage pulse.\nThe delay in the detection appears due to the finite spin-wave group velocity and proves the magnon spin\ntransport. The experiment suggests utilization of spin waves for t he information transfer over macroscopic\ndistances in spintronic devices and circuits.\nSpin pumping (SP)1and the inverse spin Hall ef-\nfect (ISHE)2are key mechanisms allowing conversion\nof magnons – quanta of spin waves (SW)3excited in a\nferromagnetic media into electron carried spin current\nand, consecutively, charge current in the attached non-\nmagnetic metal layer. The use of spin waves in spin-\ntronics allows the transfer of a spin angular momen-\ntum over macroscopic distances since the SW free path4\nis normally orders of magnitude larger than the spin-\ndiffusion length in metals.5Furthermore, a spin wave it-\nself can be used for information processing (see for ex-\nample Refs.4,6–8).\nAt the same time, most of the works in the\nfield deal with non-distant transducers and study\nstanding SW modes,2,9,10practically non-propagating\ndipolar-exchange11and short-wavelength exchange spin\nwaves.12,13Even the coupling between two closely placed\nPt layers in Ref.14can be understood as a result of the\nexcitationofa standingSW mode ratherthan ofatravel-\ningwave. Thus, nodirect evidenceonSP-ISHEdetection\nof the magnon transport has been presented to date.\nIn this Letter, we use time-resolved measurements to\ndemonstrate magnon spin transport between the spa-\ntially separated inductive spin-wave source and the SP-\nISHE detector. The role of the traveling magnons is con-\nfirmed by a delay in the detection of the ISHE voltage\npulse associating with the SW packet propagation time.\nThe structure under investigation is schematically il-\nlustrated in Fig. 1. It comprises a 2.1 µm thick YIG\nwaveguide(19 ×3mm2) with a 10 nm thick (0 .2×3 mm2,\n374 Ohm) Pt strip deposited on the top.15The strip has\nbeen chosen to be sufficiently narrow to minimize distor-\ntions of spin waves due to their reflection and absorp-\ntion. The YIG waveguide is magnetized along its long\naxis by an external bias magnetic field of H0= 1754 Oe\nproviding conditions for the propagation of a backward\na)Electronic mail: chumak@physik.uni-kl.de3 mm\nMagnetic field H0PtOscillo-\nscopeMicrowave\npulse\n200 µmYIGDC\nAC\nInputantennaSpin wave\nFIG. 1. (Color online) Schematic illustration of the experi -\nmental setup: Spin-wave packet is excited in the YIG waveg-\nuide using a microstrip antenna and detected at the 3 mm\nseparated Pt stripe as electromagnetically induced AC and\nISHE voltage DC signals.\nvolume magnetostatic wave (BVMSW).3The BVMSW\nis excited by a microwave Oersted field of a 50 µm-wide\nCu microstrip antenna placed at a distance of 3 mm from\nthe Pt strip. The transmitted wave is detected at the Pt\nlayer in two different ways (see Fig. 1): (1) as an induc-\ntively excited AC microwave signal (like for the case of a\nconventional microstrip antenna) or (2) as an ISHE DC\nvoltage. Bothsignalsaremeasuredusingthesamecircuit\ncomprising of a voltage preamplifier and an oscilloscope,\nbut in the first case a microwave diode is utilized to rec-\ntify the signal (the name “AC” to denote the envelope of\nthis signal), while for the DC measurements the diode is\nsubstituted by a low-pass filter.\nThe experiment was performed in the following fash-\nion: At time t= 0 ns the microwave pulse having a\ncarrier frequency of fs= 7 GHz and the duration τsin\nthe range from 30 ns to 1 µs is applied to the input\nmicrostrip antenna. This results in the excitation of a\ntravelling wave packet which propagates towards the Pt\nstrip.4After a delay of approximately 200 ns determined\nby the SW group velocity the transmitted SW packet is2\ndetectedasanACsignalatthePtantenna(seesolidlines\nin Fig. 2(a) and Fig. 2(b)). Switching the setup to the\nDC regime shows that the DC pulse is also detected with\npractically the same delay (see Fig. 2(c) and Fig. 2(d)).\nAs one can see from the Figure the reversal of YIG mag-\nnetization results in a change of the detected DC voltage\nsign proving its ISHE nature.2This experiments directly\ndemonstrates the detection of magnon spin transport by\nthe inverse spin Hall effect.\nAn increase in the duration τsresults in an increase in\nthe amplitude and the duration of both the AC and DC\nsignals,16additionally pointing to their spin-wave origin.\nHowever, a more careful comparison of the SW intensity\nand the ISHE voltage shows that the maximum of the\nDC signal is slightly shifted in time compared to the AC\nsignal. Furthermore, the ISHE pulse shows a slower de-\ncay. These effects have been recently reported in Ref.11\nand are due to the contribution to the spin pumping of\nsecondary spin waves. In the linear case ( Ps= 10 mW)\nthese wavesare known to be dipolar-exchangespin waves\n(DESWs) excited as a result of elastic two-magnon scat-\ntering of the propagating wave.3,17,18Due to their small\nwavelengths, DESWs are not detectable by the inductive\nantenna (Pt strip in our case),4,12but they contribute\neffectively to the spin pumping and the ISHE voltage.11\nBesides, due to their smaller velocity and lower damping,\nDESWs produce the ISHE voltage even after the travel-\ning magnons have left the Pt region. This results in the\nslower decay of the DC pulse.\nWe have estimated the contribution of the DESWs to\nthe ISHE voltage pulse. Since the antenna’s width is\nlarger than the DESWs propagation length, but much\nsmaller than the decay distance of primary SW, one can\nneglect propagation of DESWs and feedback reaction of\nDESWs on the primary SW dynamics. In this case the\ndynamics of the number nkof magnons in k-th DESW\n02468\n0 100 200 300 400-4-2024\nTime (ns) tISHE voltage(µV)SW intensity(arb. unit.)\n0 100 200 300 400+H0\nTime (ns) tApplied pulse Applied pulse\n50 ns 100 ns+H0\n-H0 -H0(d)(a) (b)\n(c)\nFIG. 2. (Color online) Temporal evolution of the spin-wave\nintensity and the ISHE voltage for different field polarities .\nThe dependencies are measured for the input signal dura-\ntionsτs= 50 ns (panels (a) and (c)), and 100 ns (panels\n(b) and (d)), magnetic field H0= 1754 Oe, applied power\nPs= 10 mV. Dashed lines in (a) and (b) show normalized\ntotal magnon densities nsumcalculated according to Eq. (2).1 10 1021030.1110\nApplied microwave power (mW) PS0.1110\nSW intensity (arb. unit.)ISHE voltage ( V)/c109\nStrongly\nnonlinear\nregimeLinear regimeNonlinear\nregime\nFIG. 3. (Color online) Transmitted SW intensity (open cir-\ncles) and ISHE voltage (filled circles) as functions of the ap -\nplied microwave power Ps. The pulse duration is τs= 100 ns.\nmode can be modeled as\n∂nk/∂t+2Γknk= 2Rkn0(t), (1)\nwhere Γ kis the damping parameter of the k-th DESW,\nRkis the intensity of 2-magnon scattering from SW to\nk-th DESW, and n0(t) is the time profile of primary SW\nunder the antenna. Assuming, for simplicity, that all\nDESWs have the same damping rate Γ k= Γ =const,\none can derive simple expression for the total density\nnsum(t) =n0(t) +/summationtext\nknk(t) of magnons under the an-\ntenna:\nnsum=n0(t)+2R/integraldisplayt\n−∞n0(t)e−2Γ(t−t′)dt′,(2)\nwhereR=/summationtext\nkRkis the total intensity of 2-magnon\nscattering.\nThe numerically calculated normalized density nsumis\nshown in Fig. 2(a) and Fig. 2(b) with dashed lines. The\ndamping Γ = 7 ·106rad/s and the scattering efficiency\nR= 20·106rad/s were used as fitting parameters.19Ac-\ncumulation of DESW during the duration of the primary\nSW pulse results in a significant DESWs contribution to\nthe ISHE voltage: at the maxima, nsum/n0≈1.8 for\nτs= 50 ns and nsum/n0≈2.1 forτs= 100 ns. As a\nresult one sees in Fig. 2 the slower decay and the shift of\nthe maxima for nsum.\nThe dependencies of the SW intensity and ISHE volt-\nage on the applied microwave power Psare shown in\nFig. 3. Three different regions can be assigned as it is\nshown in the figure. In the first region the detected ISHE\nvoltageispracticallyproportionaltothe SWintensity. In\nthe second region, with increasing power, the SW inten-\nsity as well as the ISHE voltage decrease. This drop is\ndue to the onset of nonlinear multi-magnon scattering\nprocesses which partially suppress the propagating SW\npacket.17,20Thesuppressingtakesplacemostlyunderthe\ninput antenna and the linear (but already decreased in\nintensity) traveling waves propagate toward the Pt strip.\nThe secondary magnons, which are excited near the in-\nput antenna, are rather slow and practically do not reach\nthe detector area. Nevertheless, a further increase of Ps\nbringsthe systemto a new regime: the density ofthe sec-\nondary magnons is so high that even a small percentage3\n1745 1750 1755 1760ISHE voltage( V)/c109\n2\n01\n-20.1SW intensity(arb. unit.)\nSP effeciency(arb. unit.)\nMagnetic field (Oe) H01\n0.1100 200 0SW wavenumber (rad/cm) k\n(b)(a)\nFIG. 4. (Color online) The transmitted SW intensity (open\ncircles) and ISHE voltage (filled circles) are shown in panel\n(a) as functions of the bias magnetic field H0. Corresponding\nto theH0BVMSW wavenumbers kare indicated on the top\nscale. The spin pumping efficiency in panel (b) is found as a\nratio of the ISHE voltage to the SW intensity with additional\naccount of the SW detection efficiency F(k). The carrier sig-\nnal frequency fs= 7 GHz, the signal duration τs= 1µs.\nof them, that reaches the Pt detector, contributes to the\nISHE voltage more than the suppressed traveling SWs.\nThis effect is visible as an increase of the ISHE voltage\nindependent from the detected SW intensity.\nIntheexperimentweuseanarrowinputantennawhich\nallows for excitation of traveling spin waves in a certain\nrange of wavenumbers kby varying the field H0. The\ntransmitted SW intensity as a function of H0is shown\nin Fig. 4(a) with open circles. This dependence is stan-\ndardforBVMSW: it has the maximumslightly abovethe\npoint of uniform precession k= 0 and decreases with in-\ncreasein kduetothedropofexcitationandACdetection\nefficiencies.4The dependence of the ISHE voltage on kis\nshown in the same figure with filled circles. One can see\nthat with an increase in H0the voltage decreases slower\nin comparison to the SW intensity. This might suggest\nthat the spin pumping efficiency, defined as the ratio of\nthe detected ISHE voltage to the SW intensity, increases\nwith an increase in k. However, this behavior can be eas-\nily explained by taking into account k-dependence of the\nACdetectionefficiency F(k) = (sin(kw/2)/(kw))2bythe\nPt antenna ( w= 200µm is the width of the Pt strip).21\nAs one can see from Fig. 4(b) the corrected spin pumping\nefficiency is independent of the k-vector of the travelling\nspin wave within the experimental uncertainty.22\nIn conclusion, we have detected a magnon spin trans-\nport over a macroscopic distance as spin and charge cur-\nrentsinthenon-magneticmetalattachedtotheferrimag-\nnetic spin-wave waveguide. In addition, the contribution\nof the secondary excited magnons to the ISHE voltage is\nmeasured and estimated theoretically. It has been shown\nthat the contribution of the secondary magnons to theISHE voltage is comparable to that of the originally ex-\ncited traveling magnons and leads to delay and shape\ndistortion of the ISHE voltage pulse. The field depen-\ndent measurements have shown that the spin pumping\nefficiency in YIG-Pt bi-layers does not depend on the\nspin-wave wavelength.\nWe thank G. E. W. Bauer and G. A. Melkov for valu-\nable discussions and the Nano-Structuring Center, TU\nKaiserslautern,fortechnicalsupport. Thisworkwassup-\nported in part by the Grant No. ECCS-1001815 from\nNational Science Foundation of the USA.\n1Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev. B\n66, 224403 (2002).\n2E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys.\nLett.88, 182509 (2006).\n3A. G. Gurevich and G. A. Melkov, Magnetization Oscillations\nand Waves (CRC, New York, 1996).\n4A. A. Serga, A. V. Chumak, and B. Hillebrands, J. Phys. D:\nAppl. Phys. 43, 264002 (2010).\n5J. Bass and W. P. Pratt Jr., J. Phys.: Condensed Matter 19,\n183201 (2007).\n6T. Schneider, A. A. Serga, B. Leven, B. Hillebrands, R. L.\nStamps, andM.P.Kostylev, Appl.Phys.Lett. 92,022505 (2008).\n7A. Khitun, M. Bao, J. Lee, K. Wang, D. W. Lee, and S. Wang,\nMaterials Research 998(2007).\n8A. V. Chumak, V. S. Tiberkevich, A. D. Karenowska, A. A.\nSerga, J. F. Gregg, A. N. Slavin, and B. Hillebrands, Nature\nCommun. 1, 141 (2010).\n9M. V. Costache, M. Sladkov, S. M. Watts, C. H. van der Wal,\nand B. J. van Wees, Phys. Rev. Lett. 97, 216603 (2006).\n10K. Ando, J. Ieda, K. Sasage, S. Takahashi, S. Maekawa, and E.\nSaitoh, Appl. Phys. Lett. 94, 262505 (2009).\n11M. B. Jungfleisch, A. V. Chumak, V. I. Vasyuchka, A. A. Serga,\nB.Obry, H.Schultheiss, P.A.Beck, A.D.Karenowska, E.Sait oh,\nand B. Hillebrands, Appl. Phys. Lett. 99, 182512 (2011).\n12C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A. Serga, V.\nI. Vasyuchka, M. B. Jungfleisch, E. Saitoh, and B. Hillebrand s,\nPhys. Rev. Lett. 106, 216601 (2011).\n13H. Kurebayashi, O. Dzyapko, V. E. Demidov, D. Fang, A. J.\nFerguson, and S. O. Demokritov, Appl. Phys. Lett. 99, 162502\n(2011).\n14Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida, M.\nMizuguchi, H. Umezawa, H. Kawai, K. Ando, K. Takanashi, S.\nMaekawa, and E. Saitoh, Nature 464, 262 (2010).\n15In order to ensure the quality of the YIG/Pt interface we\ntested the YIG-Pt sample using the ferromagnetic resonance\ntechnique.11The ISHE voltage reached values up to ±1.5 mV\nfor an applied microwave power of 1 W.\n16The increase of the SW intensity with τsoccurs due to the bet-\nter passing of the input pulse spectrum through the limited S W\nfrequency band.\n17M. Sparks, Ferromagnetic Relaxation Theory (McGraw-Hill,\nNew York, 1964).\n18G. A. Melkov, V. I. Vasyuchka, Yu. V. Kobljanskyj, and A. N.\nSlavin, Phys. Rev. B 70, 224407 (2004).\n19This value of Γ corresponds to resonance linewidth ∆ H= 0.8 Oe\nwhich is larger than the literature values of 0.1-0.2 Oe. Thi s in-\ncrease of the DESW damping under the Pt antenna might be\ncaused by the additional DESW losses due to the spin-pumping\nmechanism.\n20V. S. L’vov, Wave Turbulence under Parametric Excitations:\nApplications to Magnetics (Springer, Berlin, 1994).\n21A. K. Ganguly and D. C. Webb, IEEE Trans. Microwave Theor.\nand Techn. MTT-23 , 998 (1975).\n22The region left to the point k= 0 corresponds to the magneto-\nstatic surface spin-wave mode propagating under some angle to\nthe biasmagnetic field.Nodependence ofspin-pumpingefficie ncy\non the SW wavenumbers is visible here as well." }, { "title": "2011.07648v1.A_tunable_magneto_acoustic_oscillator_with_low_phase_noise.pdf", "content": "A tunable magneto-acoustic oscillator with low phase noise\nA. Litvinenko,1,\u0003R. Khymyn,2V. Tyberkevych,3V. Tikhonov,1A. Slavin,3and S. Nikitov1, 4, 5\n1Laboratory of metamaterials, Saratov State University, 410012, Saratov, Russia.\n2Department of Physics, University of Gothenburg, 412 96, Gothenburg, Sweden.\n3Department of Physics, Oakland University, 48309, Rochester, Michigan, USA.\n4Kotelnikov Institute of Radioengineering and Electronics of Russian Academy of Sciences, 125009, Moscow, Russia.\n5Moscow Institute of Physics and Technology (National Research University), 141700, Dolgoprudny, Moscow Region, Russia.\n(Dated: November 17, 2020)\nA frequency-tunable low phase noise magneto-acoustic resonator is developed on the base of\na parallel-plate straight-edge bilayer consisting of a yttrium-iron garnet (YIG) layer grown on a\nsubstrate of a gallium-gadolinium garnet(GGG). When a YIG/GGG sample forms an ideal parallel\nplate, it supports a series of high-quality-factor acoustic modes standing along the plate thickness.\nDue to the magnetostriction of the YIG layer the ferromagnetic resonance (FMR) mode of the YIG\nlayer can strongly interact with the acoustic thickness modes of the YIG/GGG structure, when the\nmodes' frequencies match. A particular acoustic thickness mode used for the resonance excitations\nof the hybrid magneto-acoustic oscillations in a YIG/GGG bilayer is chosen by the YIG layer FMR\nfrequency, which can be tuned by the variation of the external bias magnetic \feld. A composite\nmagneto-acoustic oscillator, which includes an FMR-based resonance pre-selector, is developed to\nguarantee satisfaction of the Barkhausen criteria for a single-acoustic-mode oscillation regime. The\ndeveloped low phase noise composite magneto-acoustic oscillator can be tuned from 0.84 GHz to\n1 GHz with an increment of about 4.8 MHz (frequency distance between the adjacent acoustic\nthickness modes in a YIG/GGG parallel plate), and demonstrates the phase noise of -116 dBc/Hz\nat the o\u000bset frequency of 10 KHz.\nPACS numbers: 85.75.-d, 05.45.Xt, 75.40.Gb, 75.47.-m, 84.30.Qi:\nI. INTRODUCTION\nOne of the most important tasks in the modern com-\nmunication and radar technology is the development of\nreference oscillators with low phase noise, as the low level\nof phase noise translates into a high level of frequency\nstability necessary for the improved device performance.\nAlso, in digital communication systems phase noise af-\nfects the system bit-error rate, and, therefore, the speed\nof data processing. In radar applications, lowering the\nphase noise leads to the increase of a radar range and\nsensitivity, as it allows to detect a signal re\rected from\nthe target with a lower power level.\nIn many common applications, reference or local tun-\nable oscillators are based on the yttrium-iron garnet\n(YIG) resonators, because the frequency of a ferromag-\nnetic resonance (FMR) in YIG can be easily tuned\nover a decade by applied bias magnetic \feld. Also\nYIG resonators biased by powerful permanent magnets\ncould have rather high resonance frequencies lying in the\nGHz frequency range, and demonstrate a relatively low\nlinewidth, and, therefore, a relatively low level of the\nphase noise, especially at the reasonably large o\u000bset fre-\nquencies from the carrier. Another common method to\nreduce the oscillator phase noise is to use voltage con-\ntrolled oscillators (VCO) stabilized with a phase locked\nloop (PLL) [1{3], but, although this technique allows to\n\u0003Currently with Spintec, France. Correspondence to: Litvi-\nnenkoAN@gmail.comsigni\fcantly reduce the close-in phase noise, the far-out\nphase noise still remains determined by the intrinsic pa-\nrameters of the used VCO.\nThe phase noise of an oscillator can be estimated using\nan empirical Leeson's equation[4]:\nL(\u0001!) = 10log\u0014FkT\n2Ps\u0012\n1+\u0010!0\n2Q\u0001!\u00112\u0013\u0012\n1+!c\n\u0001!\u0013\u0015\n(1)\nwhere!0is the oscillator central (or \"carrier\") frequency,\n\u0001!| is the \"o\u000bset\" frequency, Ps{ is the signal power,\nF{ is the noise factor of the oscillator active element,\nk{ is the Boltzmann constant, T{ is the ambient abso-\nlute temperature, Q{ is the unloaded resonator quality\nfactor, and !c{ is the \ricker corner frequency [4]. As it\nfollows from the Leeson's equation (1), both the \"close-\nin\" and the \"far-out\" levels of the phase noise of an os-\ncillator are, mainly, determined by the quality factor of\nan resonator used in the oscillator.\nThus, the enhancement of the resonator Q-factor is\na key element in the development of new reference\noscillators for information and signal processing[5{8].\nThis goal, in principle, can be achieved by using res-\nonators with low energy losses, such as dielectric[9],\noptoelectronic[10], acoustic[11], and magnetic oscillators\n[12] or the combinations of these oscillator types[13].\nThe highest Q-factor, so far, is found in optoelectronic\nand dielectric resonators, but, unfortunately, these res-\nonator types are, usually, rather bulky and have insu\u000e-\ncient thermal stability of their resonance frequency. An\nalternative is to use the solid-state acoustic resonators\nthat can demonstrate Q-factors that are much higher\nthan in magnetic YIG magnetic resonators, while havingarXiv:2011.07648v1 [physics.app-ph] 15 Nov 20202\nFIG. 1. a) Scheme of the a simple one-port re\rection-based MAR , which was experimentally characterized using a vector\nnetwork analyzer (VNA); b) Thickness distributions of the magnetic FMR mode and standing acoustic modes in the YIG/GGG\nbilayer sample; c) S11-parameters of the one-port MAR at di\u000berent values of the perpendicular-to-plane magnetic bias \feld.\nsizes that are much smaller than the sizes of dielectric\nand optoelectronic resonators. Unfortunately, the purely\nacoustic resonators are not tunable.\nA compromise solution would be to use hybrid\nmagneto-acoustic resonators (MAR) that can support\nhybrid magneto-elastic oscillation modes that combine a\nhigh quality factor of the purely acoustic modes with the\nexcellent tunability of the magnetic modes. It was shown\nin 1950s-60s that YIG has a considerable magnetostric-\ntion constant[14], and that magneto-elastic waves of the\nGHz frequency range can be e\u000eciently excited in mag-\nnetic layered \flms and hetero-structures [15{22]. In the\n1980s the technological progress in the liquid-phase epi-\ntaxy resulted in the development of high-quality (FMR\nlinewidth below 0.5 Oe) YIG \flms grown on the (al-\nmost lattice-matched) mono-crystalline gadolinium gal-\nlium garnet (GGG) substrates. It was also demonstrated\nthat magnetic oscillations excited in YIG through magne-\ntostriction can e\u000bectively excite standing acoustic thick-\nness modes in the whole YIG-GGG garnet structure, be-\ncause the sound velocities in YIG and GGG are almost\nequal [23{26]. The interest to magneto-acoustic e\u000bects\nin garnet hetero-structures has been recently revived in a\nnumber of papers where YIG-GGG structures were used\neither in the transmission line con\fguration [27{29] or\nwith ZnO acoustical transducers which were used for a\nbroad-band excitation of acoustic modes in these struc-\ntures [30{33].\nBelow, we show that a traditional parallel-plate\nstraight-edge YIG/GGG resonator can be successfully\nused as a tunable high-Q-factor magneto-acoustic res-\nonance element of a local oscillator with a low phase\nnoise. YIG/GGG \flms were previously used as hy-\nbrid magneto-acoustic resonators (MAR)[34, 35], where\nthe YIG \flm served as an e\u000bective, narrow-band and\nfrequency-tunable transducer which can selectively excite\nan acoustic thickness standing mode of the YIG/GGG\nstructure, having a desirable frequency. In the con\fg-uration presented in Fig. 1 the whole YIG/GGG struc-\nture acts as an e\u000bective high-overtone bulk acoustic res-\nonator (HBAR)[11, 36, 37]. Note, that HBARs among all\nthe known acoustic resonators demonstrate the highest\nQ-factor (up to 1014), making the proposed YIG/GGG\nMAR design well-suited for the realization of low phase\nnoise local oscillators. In this work, using the results\nof theoretical analysis of the magneto-acoustic interac-\ntion and experimental parameters of the YIG/GGG epi-\ntaxial parallel-plate structures, we design a novel tun-\nable magneto-acoustic oscillator that has a level of phase\nnoise, that is much lower than in conventional magnetic\noscillators based only on the FMR mode of a YIG \flm.\nII. MAGNETO-ACOUSTIC RESONATOR WITH\nA HIGH Q-FACTOR\nThe scheme of the YIG/GGG MAR is shown in the\nFig. 1(a). It consists of a parallel-plate straight-edge rect-\nangular resonator cut from a monocrystalline epitaxial\nYIG/GGG bilayer magnetized to saturation perpendicu-\nlar to its plane by a bias magnetic \feld H0, and excited\nby a strip-line antenna connected to a vector network an-\nalyzer (VNA). The YIG \flm in the bilayer has the static\nmagnetization 4 \u0019Ms= 1740 Gs , the FMR linewidth\n\u0001H0= 0.5 Oe and the thickness of 9.75 \u0016m, and the\nin-plane sizes of 2x2 mm2. The thickness of the GGG\nlayer is 364 \u0016m.\nA signal of a given frequency ffrom the strip-line an-\ntenna excites the FMR mode in the YIG layer (uniform\nalong the YIG \flm thickness) corresponding to a partic-\nular magnitude of the bias perpendicular bias magnetic\n\feldH0. The FMR mode of the YIG resonator is coupled\nthrough the YIG magnetostriction to the standing thick-\nness acoustic modes of the YIG/GGG hetero-structure,\nand by simultaneous variation of the excitation frequency\nfand the bias magnetic \feld H0it is possible to align any3\nof the discrete thickness acoustic modes of the YIG/GGG\nhetero-structure with the YIG resonator FMR frequency\ngiven by the Kittel formula:\nf=\r(H0\u0000\u00160Ms); (2)\nwhere\r= 28:3 GHz/T - is a gyromagnetic ratio of YIG.\nIn Fig. 1(c) S11-parameter of the MAR is shown at\ndi\u000berent values of bias magnetic \feld H0. A distinctive\nfeature ofS11-parameter of the MAR is a dip with nar-\nrow inverse acoustic peaks. A broadband dip belongs\nto the FMR mode of the YIG \flm, while the narrow\nacoustic peaks which appear at bias \feld H0values of\n2054, 2153 and 2174 Oe correspond to the high overtone\nmagnetoacoustic resonances in the YIG-GGG structure.\nNote, also that there are frequencies and corresponding\nbias \feld values at which acoustic peaks do not appear\nwithin the FMR dip. This indicates the absence of the\nmagneto-acoustic coupling.\nTo analyze the magneto-acoustic coupling in the pro-\nposed structure as a function of the frequency and the\nacoustic mode number we employ the theoretical descrip-\ntion of the magneto-acouistic interaction in the sample\n(Fig. 1) developed in [38, 39]. We start with the density\nof magneto-elastic energy in the form:\nW=Wmag+Wel+Wmel: (3)\nHereWmag is the density of magnetic energy ,\nwhich includes contributions from the Zeeman, ex-\nchange and magneto-dipolar interactions; Wel=h\n\u001a(d\u0018=dt )2+ciklmuikulmi\n=2 is the elastic energy with\nthe tensor of elastic constants ciklm anduik=\n(d\u0018i=dxk+d\u0018k=dxi)=2,\u0018is an acoustic displacement,\nand\u001ais the density of the material; Wmel =\nbiklmMiMkulm=M2\nsis the magneto-elastic interaction\nwith the tensor of magnetostriction constants biklm[40].\nUsing the expression for the energy in Eq. (3), one can\nwrite two coupled equations for the elastic displacement\n\u0018and magnetization Mas:\n\u001a@2\u0018m\n@t2=@\n@xl@W\n@ulm=biklm\nM2s@Mi\n@xlMk+ciklm@uik\n@xl(4)\ndM\ndt=\u0000\r\u0002\nM\u0002\u0000\nHmag+Hmel\u0001\u0003\n; (5)\nwhere Hmag=@Wmag=@M andHmel\ni =\n2biklmMkulm=M2\ns.\nBelow we represent the magnetization vector Mas a\nsum of its static and precessional (dynamic) parts, and\nthe latter is expressed as the FMR mode of the thin YIG\n\flm:\nM=Msh\n\u00160+ma(t)e\u0000(i!0+\u0000)t+c:c:i\n; (6)\nwhere!0and \u0000 are the angular frequency and damping\nparameter of the FMR mode.\nIn our case the static magnetization of YIG \u00160is per-\npendicular to the \flm plane, while the dynamic magneti-\nzation m?\u00160describes the spatial (thickness) pro\fle ofthe FMR mode in the absence of the magneto-elastic in-\nteraction Hac= 0. Similarly, we represent the dynamic\nacoustic displacement, using the known pro\fles of the\nacoustic thickness eigenmodes of the YIG-GGG struc-\nture:\n\u0018(z) =X\n\u0015~\u0018\u0015(z)b\u0015(t)e\u0000(~\u0000+i~!\u0015)t+c:c: (7)\nTaking into account the following orthogonality relations\nfor magnetic and acoustic modes\nMs\n\rZd=2\n\u0000d=2m\u0003(\u00160\u0002m)dz=\u0000iA (8)\n2\u001a!\u0015Zd=2\n\u0000L+d=2~\u0018\u0003\n\u0015~\u0018\u00150dz=Q\u0015\u000e\u0015\u00150; (9)\none can rewrite Eqs. (4-5) as:\nA[_a(t) +i!a(t) + \u0000 0a(t)] =i\u0014\u0015b(t)\nB\u0015h\n_b\u0015(t) +i~!\u0015b\u0015(t) +~\u0000\u0015b\u0015(t)i\n=i\u0014\u0003\n\u0015a(t);(10)\nwith the coupling constant de\fned by the expression:\n\u00142\n\u0015=b2\r\n2!\u0015\u001aMsLd\f\f\f\f\fZ\n\u00160m\u0003@~\u0018\u0015\n@zdz\f\f\f\f\f2\n; (11)\nwhereb=b1111\u0000b1122. Ifmand\u0018describe plane waves\n(i.e. magnons and phonons), the coe\u000ecient \u0014de\fnes the\nbandgap at the point of avoided crossing( hybridization)\nof their spectra. In our case \u00142de\fnes the part of the\noscillator \"energy\" involved in the magneto-elastic inter-\naction. Please, note that the interaction coe\u000ecient \u0014is\nexpressed in the units of frequency, i.e. it is de\fned in\nrelation to the central (carrier) frequency of the MAR.\nWe assume that the thickness pro\fles of both the FMR\nmagnetic mode and the standing acoustic modes satisfy\nthe \"free\" (or \"unpinned\") boundary conditions at the\nboth parallel-plate surfaces and at the YIG-GGG inter-\nface:\n~m= 1\n~\u0018\u0015(z) = cos [(z\u0000d=2)\u0019\u0015=L ];(12)\nFor the spatially uniform static magnetization in the YIG\nlayer with a su\u000eciently sharp transition at the YIG-GGG\ninterface one can write \u00160(z) = \u0002(d=2 +z)\u0002(d=2\u0000z)\nandm(z) = ~m\u0002(d=2 +z)\u0002(d=2\u0000z), where \u0002( z) means\nHeaviside theta function.\nFinally, for the coupling coe\u000ecient[41] we obtain:\n\u00142\n\u0015=\rb2\n2\u00192d\u0015Mspc44\u001a\u0012\n1\u0000cos\u0019\u0015d\nL\u00132\n; (13)\nwherec44is the elastic modulus of YIG[42].\nThe theoretically calculated coupling coe\u000ecient for the\nYIG/GGG sample used in our experiments is shown in4\nFIG. 2. Coupling coe\u000ecients in the MAR as functions of the excitation frequency and the excited acoustic mode number \u0015: (a)\n- theoretically calculated coupling coe\u000ecient between the FMR mode of the YIG resonator and the thickness acoustic modes of\nthe YIG/GGG structure; (b) - experimentally measured coupling coe\u000ecients : \u001bYIG{ between the strip-line line and the FMR\nmode of the YIG resonator(blue squares); \u001b{ between the FMR mode of the YIG resonator and the acoustic thickness modes\nof the YIG/GGG structure (red circles), \u001bMAR { overall coupling between the strip-line and the acoustic thickness modes (green\ntriangles). The region highlighted in yellow indicates the frequency band where the overall coupling coe\u000ecient is suitable for\nthe operation of the oscillator scheme. Note, that the FMR frequency of the YIG resonator is adjusted for a particular acoustic\nmode by changing the bias magnetic \feld H0. In the frame (c) experimental S11-parameter at the magnetic \feld H0= 2153\nOe and in the frequency band 869 \u000615 MHz is plotted on the Smith charts. The main loop with a diameter \u0001 corresponds\nto the FMR mode, while inner loops correspond to acoustic modes. The central inner loop with a diameter \u000ecorresponds to\nthe acoustic mode with which the FMR frequency is aligned. The values of \u0001 ;\u000eare used to calculate the experimental values\nof\u001bYIG,\u001bMAR,\u001b, while the frequencies f0;f1;f2;f11;f22are used to calculate the Q-factors QYIG;QMAR, as described in the\n\"Methods\". At the frequencies where the coupling coe\u000ecient \u000eis close to zero, the inner acoustic loops disappear from the\nMARS11-parameter diagram.\nFig.2 (a) (black open circles). As it can be seen from the\n\fgure, the coupling coe\u000ecient \u0014demonstrates an oscillat-\ning behavior: the overlap integral between the thickness\npro\fles of the FMR mode and the acoustic modes has lo-\ncal maxima when there are n=2 acoustic wavelength over\nthe thickness of the YIG \flm, and this integral vanishes\nto zero when there are ( n+1)=2 acoustic wavelength over\nthe thickness of the YIG layer. The oscillations in the\nmagnitude of the coupling coe\u000ecient reduce the operat-\ning range of frequencies for the MAR. As it follows from\nthe theory, in order to increase the period of oscillations\nof the coupling coe\u000ecient \u0014and extend the operating fre-\nquency range of the MAR one has to reduce the thickness\nof the YIG \flm.\nThe magneto-elastic coupling can be described using\na Darko Kajfez's method[43] modi\fed for hybrid MAR\nhaving two resonant subsystems (see description in the\n\"Methods\" section). The experimentally measured oscil-\nlations in the magneto-elastic coupling have the same pe-\nriod as the ones calculated theoretically. This agreement\nbetween the theory and the experiment con\frms that in\nthe proposed structure of a MAR the FMR mode, in-\ndeed, excites the acoustic shear modes of the YIG-GGG\nstructure.\nDue to the relatively high value of YIG resonator Q-factorQYIG\u0019200\u0000400 the frequency bandwidth of\nthe FMR in a laterally constrained YIG resonator is nar-\nrower, than the frequency spacing between the acous-\ntic thickness modes of the YIG-GGG structure \u0001 fa=\nVa=(2L) = 4:773MHz, where Va= 3:57\u0002105cm/s is the\nvelocity of transverse acoustic waves in GGG (for com-\nparison, the transverse acoustic wave velocity in YIG is\nVa= 3:85\u0002105cm/s). With this the YIG-\flm resonator\ncan selectively excite a single acoustic shear mode of the\nYIG-GGG structure without using any narrow-band ex-\nternal \flters. A YIG-GGG MAR can be tuned to excite\ne\u000bectively a single acoustic resonance mode having num-\nbers from 173 to 208 in the [840MHz : 1.0GHz] frequency\nband with the step \u0001 fa= 4:8MHz by changing the mag-\nnitude of the bias magnetic \feld H0applied to the YIG\n\flm.\nSince the YIG \flm works as a transducer between the\nelectric signals in the strip-line antenna and the acoustic\nthickness modes, the overall coupling coe\u000ecient between\nstrip-line and acoustic modes has to be taken into account\nfor the oscillator design. The overall coupling coe\u000ecient\nis shown in the Fig. 2(b). The detailed description on\nhow to obtain experimental coupling coe\u000ecients of the\nhybrid YIG-GGG resonator is given in the \"Methods\".5\nIII. DESIGN OF MAGNETO-ACOUSTIC\nOSCILLATOR\nAn important parameter for the design of an oscilla-\ntor which employs high overtone resonators is the mode\nselectivity. In order to get stable oscillations without\nmodulations and random spurs in the phase noise char-\nacteristic one has to make sure that when a particular\nmode is selected to be resonant, the damping of the adja-\ncent modes is su\u000eciently strong. For the above described\nMAR, the selectivity depends on the frequency separa-\ntion between acoustic modes and on the linewidth of the\nFMR mode of the YIG layer.\nUsing our experimental data, we found that the cou-\npling coe\u000ecient of the MAR with the resonant acous-\ntic mode having number 182 is 3.5 times larger than\nthe corresponding coupling coe\u000ecients with the adjacent\nacoustic modes having numbers 181/183. The caulking\nadvantage for the resonant acoustic mode can be fur-\nther increased if an additional YIG-preselector is used.\nMoreover, with the increase of the central frequency of\nthe MAR the loaded Q-factor of the magnetic (YIG)\nresonator grows linearly and, therefore, the e\u000bective\nlinewidth of the YIG FMR mode decreases, thus increas-\ning selectivity of the MAR.\nFIG. 3. S-parameters of the one-port (see Fig.1) and two-\nport composite (Fig.4) MARs: black line - S11-parameter of\nthe one-port MAR and S21-parameter of a composite two-\nport MAR; blue line - S21-parameter of the FMR-based pre-\nselector; red line - S21-parameter of the composite two-port\nMAR. The mode numbers of a corresponding acoustic thick-\nness modes in the YIG/GGG parallel plate are given in brack-\nets. A, B and C are the points where the Barkhausen criterion\nfor stable auto-oscillations is satis\fed\nThe basic principles of the design of an e\u000ecient\nmagneto-acoustic oscillator can be understood from the\nanalysis of the experimental S-parameter data presented\nin Fig. 3. First of all, let us look at the experimentally\nmeasured overall coupling coe\u000ecient of the one-port sim-\nple MAR presented in Fig.1 (green triangles in Fig. 2).\nIn the experience of practical oscillator design, the min-\nimal coupling coe\u000ecient between the oscillator core and\nthe resonator should be above 0.1 for high stability andlow phase noise. Therefore, according to this empiri-\ncal condition the oscillator based on the MAR can oper-\nate in the range of frequencies between 0.84 and 1GHz\n(see the region highlighted in yellow in Fig.2). For fur-\nther design steps we chose the resonance acoustic mode\nwithin a yellow region having number 182, and the Q-\nfactor ofQ= 2497. As it was discussed earlier, the reso-\nnance characteristic of a one-port re\rection-based MAR\nhas an unusual form of a dip, caused by the FMR in\nthe YIG layer with an inverted central peak in the mid-\ndle attributed to the resonance acoustic mode with the\nnumber 182 of the whole YIG-GGG structure. The anal-\nysis, however, shows that if we use a conventional one-\nport re\rection-based oscillator design, the Barkhausen\nstability criterion for the auto-oscillations is only satis-\n\fed at the points A and B (see Fig. 3 which are situated\noutside of the central peak of the acoustic resonance for\nthe mode 182. Therefore, the use of such a design for a\nmagneto-acoustical oscillator (MAO) will not substan-\ntially decrease the MAO phase noise \fgure, since the\nphase noise will, mostly, be determined by a relatively\nlow Q-factor of the FMR mode. Moreover, in the sys-\ntems where several competing resonance modes (corre-\nsponding to points A and B on the black curve) can be\nexcited simultaneously it is possible to have mode bista-\nbility and chaotic dynamics[44].\nIn order to take the full advantage of the high Q-factor\nof a single acoustic resonance mode , a special scheme\nbased on the one-port MAR is designed to satisfy with\nthe Barkhausen stability criterion near the frequency of\nthe acoustic resonance mode. It is done in two steps.\nFirst, a circulator is added serially to the one-port MAR\nforming a two-port circuit which has an S21-parameter\nabsolutely identical to a S11-parameter of the one-port\nMAR. For a ring oscillator scheme based on such a two-\nport circuit (see a part of the scheme between point 1\nand 3) given adjusted open loop phase and ampli\fcation\nthe Barkhausen stability criterion would be satis\fed at\nthe same time at the narrow peak corresponding to the\nfrequency of the acoustic resonance and at frequencies\nfar from the FMR dip. Therefore, in a second step we\nintroduce an additional purely magnetic two-port YIG-\nresonator patterned on the same GGG substrate as a pre-\nselector-bandpass-\flter (having a scratched bottom GGG\nsurface to prevent the formation of the standing acoustic\nthickness modes) which suppresses the signal at frequen-\ncies outside of the FMR resonance. As a result of such a\ndesign, the transmission ( S21) characteristic of the com-\nposite two-port MAR (red line in Fig.3) is approximately\na product of the transmission characteristic of the two-\nport circuit based on the MAR and a circulator (black\ncurve in Fig.3), and the transmission characteristic of\nthe FMR-based pre-selector (blue curve in Fig.3). As\na result the transmission characteristic of the composite\ntwo-port MAR (Fig.4) has a usual form of a resonance\ncharacteristic with a central maximum. We note, that\na MAR transmission characteristic with a central maxi-\nmum, similar to the one shown by the red curve in Fig.3,6\ncan be obtained by simpler means in a three-layer YIG-\nGGG-YIG structure which was used in [35], without use\nof an additional pre-selector. However, in that case the\nthickness of the GGG layer can not be adjusted by polish-\ning, and the frequency spacing of the acoustic thickness\nmodes mode can not be adjusted after the growth of the\nYIG layers by liquid epitaxy. Another limitation is that\nthe liquid epitaxy process requires the GGG substrate\nthickness to be at least 300 \u0016m, while with polishing of\none sided YIG-GGG structure this thickness can be re-\nduced down to 50-100 \u0016m.\nFIG. 4. Scheme of a composite two-port MAR consisting of a\nYIG/GGG MAR (left part of a YIG layer) connected to a YIG\nFMR-based pre-selector-\flter (right part of the YIG layer).\nThe left part forms a two-port MAR , similar to the one shown\nin Fig.1, connected to the purely magnetic YIG-FMR-based\npre-selector-\flter formed by the right part of the YIG layer,\nwhere the acoustic modes are eliminated by scratching the\nbottom surface of the GGG substrate.\nFinally, to complete the scheme of MAO a low phase\nnoise ampli\fer ABA-54563 is added (see the circuit Fig.4)\nto compensate losses in the oscillator feedback loop. A\nvariable delay line is used to obtain the correct phase\nshift in the loop to satisfy the phase condition of the\nBarkhausen stability criterion for oscillations. A vari-\nable attenuator is introduced to limit the signal power\nat the input of the MAR to suppress the nonlineari-\nties and avoid the increase of the phase noise. Finally,\na coupler is used to extract the output signal. Given\nthe adjusted ampli\fcation, phase shift in the feedback\nloop, and the bias magnetic \feld applied to the YIG \flm,\nthe auto-oscillation conditions for the composite two-port\nMAR are satis\fed only at the frequency of the acoustic\nthickness mode having the number 182 and the e\u000bective\nQ-factor of 2497 (see point \"C\" in Fig. 3). Moreover,\nthe adjacent acoustic thickness mode with the number\n181 is suppressed by 13 dB as compared to the reso-\nnant mode with the number 182. Thus, a single-mode\nauto-oscillation regime based on a high-Q-factor acousticthickness mode in a composite two-port MAR is realised.\nIV. RESULTS ON LOW PHASE NOISE\nFIG. 5. Experimentally measured phase noise \fgures for auto-\noscillators based on a purely magnetic YIG FMR resonator\n(blue line) and on a composite two-port magneto-acoustic\nYIG/GGG resonator (red line). Dotted black line shows the\nestimation of the phase noise obtained from the Leeson's for-\nmula (1) for a FMR-based magnetic pre-selector resonator\n(Q= 365) , while the dashed black line shows a similar esti-\nmation of a phase noise for the two-port MAR (Fig.4) having\nthe Q-factor Q= 2497.\nThe experimentally measured phase noise \fgure of an\nauto-oscillator based the composite two-port MAR (see\nFig.4) having ( Q= 2497) is presented in Fig. 5 by a red\ncurve. In the same \fgure, for comparison, we show by\nthe blue curve the experimentally measured phase noise\n\fgure for an auto-oscillator based on a purely magnetic\nYIG FMR pre-selector oscillator ( Q= 365) . The the-\noretical estimations of the phase noise \fgures in these\ntwo auto-oscillators obtained from Eq.(1) are shown in\nFig. 5 by the black dashed line and the black dotted line,\nrespectively.\nThe phase noise of the MAO based on the compos-\nite two-port MAR is -87dBc/Hz at the 1kHz o\u000bset and\n-116dBc/Hz at the 10kHz o\u000bset, which is at least 20 dB\nbetter than the phase noise \fgure of a conventional auto-\noscillator based on a YIG FMR resonator. Note, at the\nsame time, that at large o\u000b-set frequencies the phase\nnoise of an auto-oscillator based on a composite two-port\nMAR degrades, and becomes higher than in the case of\na conventional YIG-FMR oscillator. This increase in the\nMAR phase noise is caused, mainly, by the presence of a\nvariable attenuator in the MAR scheme (Fig. 4) which is\nintroduced to avoid saturation of the one-port MAR in\nnonlinear mode. Note, also, that the nonlinearity thresh-\nold of the MAR is lower, than of the YIG-FMR two-port\nresonator. We would like to mention that an ampli\fer\nwith a lower saturation power level can be used instead7\nof the variable attenuator in the MAO design scheme to\nreduce the maximum level of power at the MAR input,\nand, consequently, the noise factor of the feedback loop.\nThis will improve the phase noise \fgure in the whole\nrange of o\u000bset frequencies.\nV. CONCLUSION\nWe have shown that the FMR mode excited in a\nYIG layer of a parallel-plate YIG/GGG hetero-structure\ncan be e\u000bectively coupled to the high-Q-factor standing\nthickness acoustic modes of the YIG/GGG bilayer. The\nfrequency dependence of this magneto-elastic coupling\nwas studied both theoretically and experimentally, and\nthe optimum conditions for this coupling corresponding\nto the stable single-mode auto-oscillations were found.\nA composite two-port MAR based on the YIG/GGG\nhetero-structure was developed and practically realized,\nand the phase noise \fgure in the auto-oscillator based\non the developed MAR was substantially improved, in\ncomparison with the auto-oscillator based on the conven-\ntional YIG FMR two-port resonator. We would like to\nstress, that the advantage of using shear acoustic modes\nin the developed MAR over the longitudinal acoustic\nwaves in conventional HBARs is caused by the fact that\nshear acoustic modes are insensitive to the amorphous\nload. This property provides a signi\fcant simpli\fcation\nof technological requirements for the manufacturing of\nthe proposed composite MARs.\nIn summary, we have designed a composite magneto-\nacoustic auto-oscillator with a low phase noise based on a\nparallel-plate YIG/GGG bilayer. The relatively narrow\nFMR linewidth of the YIG layer provides the possibil-\nity for selective resonance excitation of a single acoustic\nthickness mode of the YIG/GGG structure and, there-\nfore, makes possible a signi\fcant improvement of the Q-\nfactor of the resulting MAR. The phase noise \fgure of the\nanto-oscillator based on the developed composite MAR is\n-87dBc/Hz at 1kHz o\u000bset and -116dBc/Hz at 10kHz o\u000b-\nset which is at least 20dB better than the performance of\nthe conventional auto-oscillating scheme based on a con-\nventinal the YIG FMR two-port resonator. The designed\nMAO can be used in the frequency-agile data transmis-\nsion where abrupt frequency-hoping is employed. As an\noutlook, we note that the microwave circulator used in\nour scheme of the composite two-port MAR can be re-\nplaced with a matching circuit or an active component\nto make the developed MAR CMOS-compatible.\nACKNOWLEDGMENTS\nThis work was supported by the Grant of the Gov-\nernment of the Russian Federation for supporting sci-\nenti\fc research projects supervised by leading scientists\nat Russian institutions of higher education (Contract\nNo. 11.G34.31.0030) and supported in part by theU.S. National Science Foundation (Grants No. EFMA-\n1641989), by the U.S. Air Force O\u000ece of Scienti\fc Re-\nsearch under the MURI grant No. FA9550-19-1-0307,\nand by the Oakland University Foundation. The work\nof SAN was supported by Agreement with Ministry of\nScience and Higher Education of the Russian Federation\n#13:1902:21:0010.\nWe would like to thank Dr. Olivier Klein for the help\nwith precise measurement of the YIG and GGG thickness\nusing interferometry technique.\nAppendix A: Device fabrication\nThe scheme of the one-port re\rection-type MAR is\nshown in the Fig. 1. The MAR is manufactured us-\ning a two layer parallel-plate YIG/GGG structure with\nthe YIG thickness of d= 9:75\u0016m, GGG thickness of\nL= 364\u0016m, and YIG saturation magnetization of M0=\n1740=(4\u0019)Oe. The chemical-mechanical polishing tech-\nnique was used to create the highly parallel surfaces of\nYIG/GGG structure with the wedge angle less than 200,\nwhich ensures the formation of high overtone acoustic\nthickness resonances in the YIG/GGG structure. The\nfabricated sample had the lateral dimensions of 1x2.5mm.\nAppendix B: Device characterization\nThe developed MAR and the YIG pre-selector FMR\nresonator were characterized using Keysight (Agilent\nE8361A) vector network analyzer. The phase noise of the\ndeveloped composite MAO was measured using a signal\nsource analyzer (Keysight E5052B).\nThe experimental method used for the characterization\nof the magneto-acoustic coupling in the MAR was a mod-\ni\fed Darko Kajfez's method. This method is based on the\nanalysis of the resonance loops in an S11-parameter graph\nplotted on a Smith chart. This method gives dimension-\nless values of the loaded Q-factors, and coupling coef-\n\fcients that de\fne interaction of electrical sub-systems\nin the \flter theory. To obtain the S11-parameter of the\nMAR we used an electrical setup shown in Fig.1a. The\nstrip-line antenna used in our experiments had the width\nof 0.5 mm, which is comparable to the sample size, and\nensures an e\u000ecient excitation of the FMR mode. In order\nto obtain the loaded Q-factors and coupling coe\u000ecients\nof the composite MAR some additional geometrical con-\nstructions were used on the Smith chart. First, to get the\nparameters of a magnetic resonator, three lines have to\nbe drawn from the node of the big loop, as shown in Fig.\n1c: one line goes through the center of the loop, while\ntwo other lines go at the angle of 45 degrees to each side\nof the center line. This allows us to extract from the\nS-parameter measurement the values of the correspond-\ning frequencies f1,f2andf0as the intersection points\nbetween the auxiliary lines and the S-parameter curve.\nThe interval f2\u0000f1de\fnes the FMR linewidth, and is8\nused to calculate the loaded Q-factor of the YIG mag-\nnetic resonator:\nQYIG=f0\nf2\u0000f1; (B1)\nSimilar geometric constructions were made with the\nlargest inner loop, in order to obtain the frequencies f11,\nf21, which de\fne the width of the resonant acoustic mode\nof the YIG/GGG structure, and its loaded Q-factor:\nQMAR =f0\nf21\u0000f11; (B2)\nIn order to calculate the coupling coe\u000ecients between the\nsubsystems in the MAR one should measure the diameter\nof the main resonance loop and the largest inner loop.\nThe coupling between the strip-line and the YIG FMR\nmagnetic resonator is de\fned by the expression:\n\u001bYIG=\u0001\n2R\u0000\u0001; (B3)where 2R=2 is a radius of the Smith Chart. The overall\ncoupling between the strip-line and the acoustic subsys-\ntem can be obtained using a similar formula:\n\u001bMAR =\u000e\n2R\u0000\u000e; (B4)\nIn order to compare the theoretically calculated \u0014with\nthe experimental data we introduced a coupling coe\u000e-\ncient\u001b, which provides a measure of coupling between\nthe magnetic and acoustic sub-systems:\n\u001b=\u000e\n\u0001\u0000\u000e; (B5)\nDetailed explanation and derivation of the above pre-\nsented expressions can be found in [43].\n[1] R. L. Carter, J. M. Owens, and D. K. De, Yig oscilla-\ntors: Is a planar geometry better? (short papers), IEEE\nTransactions on Microwave Theory and Techniques 32,\n1671 (1984).\n[2] A. Chenakin, Microwaves and Rf 50, 72 (2011).\n[3] R. E. Best, Phase-Locked Loops (New York:McGraw Hill,\n2007).\n[4] D. B. Leeson, A simple model of feedback oscillator noise\nspectrum, Proceedings of the IEEE 54, 329 (1966).\n[5] A. Vorobiev and S. Gevorgian, Tunable thin \flm bulk\nacoustic wave resonators with improved q-factor, Applied\nPhysics Letters 96, 212904 (2010).\n[6] K. Geerlings, S. Shankar, E. Edwards, L. Frunzio, R. J.\nSchoelkopf, and M. H. Devoret, Improving the quality\nfactor of microwave compact resonators by optimizing\ntheir geometrical parameters, Applied Physics Letters\n100, 192601 (2012).\n[7] W. Xu, X. Zhang, H. Yu, A. Abbaspour-Tamijani, and\nJ. Chae, In-liquid quality factor improvement for \flm\nbulk acoustic resonators by integration of micro\ruidic\nchannels, IEEE Electron Device Letters 30, 647 (2009).\n[8] D.-P. Cai, J.-H. Lu, C.-C. Chen, C.-C. Lee, C.-E. Lin, and\nT.-J. Yen, High q-factor microring resonator wrapped by\nthe curved waveguide, Scienti\fc reports 5, 10078 (2015).\n[9] D. Kajfez and P. Guillon, Dielectric resonators, Norwood,\nMA, Artech House, Inc., 1986, 547 p. No individual items\nare abstracted in this volume. (1986).\n[10] K. Volyanskiy, J. Cussey, H. Tavernier, P. Salzenstein,\nG. Sauvage, L. Larger, and E. Rubiola, Applications\nof the optical \fber to the generation and measurement\nof low-phase-noise microwave signals, JOSA B 25, 2140\n(2008).\n[11] K. M. Lakin, G. R. Kline, and K. T. McCarron, High-q\nmicrowave acoustic resonators and \flters, IEEE Trans-\nactions on Microwave Theory and Techniques 41, 2139\n(1993).\n[12] E. Bankowski, T. Meitzler, R. S. Khymyn, V. S. Tiberke-vich, A. N. Slavin, and H. X. Tang, Magnonic crystal as a\ndelay line for low-noise auto-oscillators, Applied Physics\nLetters 107, 122409 (2015).\n[13] V. Vitko, A. Nikitin, A. Ustinov, and B. Kalinikos, A\ntheoretical model of dual tunable optoelectronic oscilla-\ntor, Journal of Physics: Conference Series 1038 , 012106\n(2018).\n[14] R. Comstock, Magnetoelastic coupling constants of the\nferrites and garnets, Proceedings of the IEEE 53, 1508\n(1965).\n[15] C. Kittel, Interaction of spin waves and ultrasonic waves\nin ferromagnetic crystals, Physical Review 110, 836\n(1958).\n[16] E. G. Spencer and R. LeCraw, Magnetoacoustic reso-\nnance in yttrium iron garnet, Physical Review Letters\n1, 241 (1958).\n[17] J. R. Eshbach, Spin-wave propagation and the magne-\ntoelastic interaction in yttrium iron garnet, Journal of\nApplied Physics 34, 1298 (1963).\n[18] E. Schl omann and R. I. Joseph, Generation of spin waves\nin nonuniform magnetic \felds. iii. magnetoelastic inter-\naction, Journal of Applied Physics 35, 2382 (1964).\n[19] W. Strauss, Magnetoelastic waves in yttrium iron garnet,\nJournal of Applied Physics 36, 118 (1965).\n[20] B. Auld, J. Collins, and D. Webb, Excitation of mag-\nnetoelastic waves in yig delay lines, Journal of Applied\nPhysics 39, 1598 (1968).\n[21] S. M. Rezende and F. R. Morgenthaler, Magnetoelastic\nwaves in time-varying magnetic \felds. i. theory, Journal\nof Applied Physics 40, 524 (1969).\n[22] H. Matthews and H. van de Vaart, Magnetoelastic love\nwaves, Applied Physics Letters 15, 373 (1969).\n[23] Y. V. Gulyaev, P. E. ZilBerman, G. T. Kazakov, V. G.\nSysoev, V. V. Tikhonov, Y. A. Filimonov, B. P. Nam,\nand A. S. Khe, Observation of fast magnetoelastic waves\nin thin yttrium-iron garnet wafers and epitaxial \flms,\nSoviet Journal of Experimental and Theoretical Physics9\nLetters 34, 477 (1981).\n[24] G. Kazakov, V. Tikhonov, and P. Zilberman, Magneto-\ndipole and elastic wave resonance interaction in yig plates\nand \flms, Fizika Tverdogo Tela 25, 2307 (1983).\n[25] P. Zilberman, G. Kazakov, and V. Tikhonov, Self-\nmodulation of fast magnetoelastic waves in yttrium iron\ngarnet \flms, Technical Physics Letters 11, 769 (1985).\n[26] Y. V. Gulyaev and P. ZilBerman, Magnetoelastic waves\nin ferromagnet plates and \flms, Soviet Physics Journal\n31, 860 (1988).\n[27] M. Weiler, H. Huebl, F. S. Goerg, F. D. Czeschka,\nR. Gross, and S. T. B. Goennenwein, Spin pumping with\ncoherent elastic waves, Phys. Rev. Lett. 108, 176601\n(2012).\n[28] P. Chowdhury, P. Dhagat, and A. Jander, Parametric\nampli\fcation of spin waves using acoustic waves, IEEE\nTransactions on Magnetics 51, 1 (2015).\n[29] Y. V. Khivintsev, V. K. Sakharov, S. L. Vysotskii, A. I.\nFilimonov, Yu. A.and Stognii, and S. A. Nikitov, Magne-\ntoelastic waves in submicron yttrium{iron garnet \flms\nmanufactured by means of ion-beam sputtering onto\ngadolinium{gallium garnet substrates, Technical Physics\n63, 1029 (2018).\n[30] N. Polzikova, S. Alekseev, I. Kotelyanskii, A. Raevskiy,\nand Y. Fetisov, Magnetic \feld tunable acoustic resonator\nwith ferromagnetic-ferroelectric layered structure, Jour-\nnal of Applied Physics 113, 17C704 (2013).\n[31] I. Pyataikin, N. Polzikova, S. Alekseev, I. Kotelyanskii,\nV. Luzanov, A. Raevskiy, and L. Galchenkov, Spin pump-\ning in a composite high overtone bulk acoustic wave res-\nonator, Bulletin of the Russian Academy of Sciences:\nPhysics 81, 962 (2017).\n[32] N. Polzikova, S. Alekseev, V. Luzanov, and A. Raevskiy,\nAcoustic excitation and electrical detection of spin waves\nand spin currents in hypersonic bulk waves resonator\nwith yig/pt system, Journal of Magnetism and Magnetic\nMaterials 479, 38 (2019).\n[33] S. G. Alekseev, S. E. Dizhur, N. I. Polzikova, V. A.\nLuzanov, A. O. Raevskiy, A. P. Orlov, V. A. Kotov,\nand S. A. Nikitov, Magnons parametric pumping in bulk\nacoustic waves resonator, Applied Physics Letters 117,\n072408 (2020).[34] A. N. Litvinenko, A. V. Sadovnikov, V. V. Tikhonov,\nand S. A. Nikitov, Brillouin light scattering spectroscopy\nof magneto-acoustic resonances in a thin-\flm garnet res-\nonator, IEEE Magnetics Letters 6, 1 (2015).\n[35] K. An, A. Litvinenko, R. Kohno, A. Fuad, V. Nale-\ntov, L. Vila, U. Ebels, G. de Loubens, H. Hurde-\nquint, N. Beaulieu, et al. , Coherent long-range transfer\nof angular momentum between magnon kittel modes by\nphonons, Physical Review B 101, 060407 (2020).\n[36] R. Boudot, G. Martin, J.-M. Friedt, and E. Rubiola, Fre-\nquency \ricker of 2.3 ghz aln-sapphire high-overtone bulk\nacoustic resonators, Journal of Applied Physics 120(22) ,\n224903 (2016).\n[37] H. Yu, C. Lee, W. Pang, H. Zhang, A. Brannon, J. Kitch-\ning, and E. S. Kim, Hbar-based 3.6 ghz oscillator with low\npower consumption and low phase noise, IEEE Trans. Ul-\ntrason. Ferroelectr. Freq. Control 56(2) , 400 (2009).\n[38] R. Verba, I. Lisenkov, I. Krivorotov, V. Tiberkevich, and\nA. Slavin, Nonreciprocal surface acoustic waves in multi-\nlayers with magnetoelastic and interfacial dzyaloshinskii-\nmoriya interactions, Physical Review Applied 9, 064014\n(2018).\n[39] I. Lisenkov, A. Jander, and P. Dhagat, Magnetoelastic\nparametric instabilities of localized spin waves induced\nby traveling elastic waves, Physical Review B 99, 184433\n(2019).\n[40] S. Chikazumi, Physics of Ferromagnetism , International\nSeries of Monographs on Physics (OUP Oxford, 2009).\n[41] A. Brataas, B. van Wees, O. Klein, G. de Loubens,\nand M. Viret, Spin insulatronics, Physics Reports 885, 1\n(2020).\n[42] A. Borovik-Romanov and S. Sinha, Spin Waves and Mag-\nnetic Excitations , ISSN (Elsevier Science, 2012).\n[43] D. Kajfez and E. J. Hwan, Q-factor measurement with\nnetwork analyzer, IEEE transactions on microwave the-\nory and techniques 32, 666 (1984).\n[44] A. Litvinenko, S. Grishin, Y. P. Sharaevskii,\nV. Tikhonov, and S. Nikitov, A chaotic magnetoa-\ncoustic oscillator with delay and bistability, Technical\nPhysics Letters 44, 263 (2018)." }, { "title": "2311.09098v1.Broad_Wavevector_Spin_Pumping_of_Flat_Band_Magnons.pdf", "content": "Broad-Wavevector Spin Pumping of Flat-Band Magnons\nJinlong Wang,1,∗Hanchen Wang,2,†Jilei Chen,3, 4,∗William Legrand,2,∗Peng Chen,5,∗\nLutong Sheng,1Jihao Xia,5Guibin Lan,5Yuelin Zhang,1Rundong Yuan,1Jing Dong,5\nXiufeng Han,5Jean-Philippe Ansermet,6and Haiming Yu1, 3,‡\n1Fert Beijing Institute, MIIT Key Laboratory of Spintronics,\nSchool of Integrated Circuit Science and Engineering, Beihang University, Beijing 100191, China.\n2Department of Materials, ETH Zurich, Zurich 8093, Switzerland.\n3International Quantum Academy, Shenzhen 518055, China.\n4Shenzhen Institute for Quantum Science and Engineering (SIQSE), and Department of Physics,\nSouthern University of Science and Technology (SUSTech), Shenzhen 518055, China.\n5Beijing National Laboratory for Condensed Matter Physics,\nInstitute of Physics, University of Chinese Academy of Sciences,\nChinese Academy of Sciences, Beijing 100190, China.\n6Institute of Physics, ´Ecole Polytechnique F´ ed´ erale de Lausanne (EPFL), 1015, Lausanne, Switzerland\nWe report the experimental observation of large spin pumping signals in YIG/Pt system driven by\nbroad-wavevector spin-wave spin current. 280 nm-wide microwave inductive antennas offer broad-\nwavevector excitation which, in combination with quasi-flatband of YIG, allows a large number of\nmagnons to participate in spin pumping at a given frequency. Through comparison with ferromag-\nnetic resonance spin pumping, we attribute the enhancement of the spin current to the multichro-\nmatic magnons. The high efficiency of spin current generation enables us to uncover nontrivial\npropagating properties in ultra-low power regions. Additionally, our study achieves the spatially\nseparated detection of magnons, allowing the direct extraction of the decay length. The synergistic\ncombination of the capability of broad-wavevector excitation, enhanced voltage signals, and nonlocal\ndetection provides a new avenue for the electrical exploration of spin waves dynamics.\nI. INTRODUCTION\nMagnons, the quanta of spin wave excitations in mag-\nnetic materials [1–6], exhibit remarkable characteristics,\nincluding the ability to propagate over centimeter dis-\ntances without experiencing Joule heating dissipation [7–\n9]. This propagation length significantly surpasses the\ntypical spin diffusion length by several orders of magni-\ntude [10, 11]. The magnon generation, propagation, and\ndetection scheme can play a role in developing efficient\nmagnon spintronic devices, for example, magnon-based\nlogic gates [3, 12]. The combination of two physical ef-\nfects: spin pumping (SP) and the inverse spin Hall effect\n(ISHE) offers a viable approach. Spin pumping (SP) is\nthe process of generating spin currents Jsthrough the ex-\ncitation of magnons within a ferromagnetic (FM) layer,\nfollowed by their injection into an adjacent nonmagnetic\n(NM) layer [13–15]. Subsequently, the inverse spin Hall\neffect (ISHE) converts these spin currents into charge cur-\nrentsJc, more commonly manifesting as voltages [16–18].\nExtensive experimental and theoretical efforts have been\ndevoted to understanding the insightful physical mech-\nanisms related to the SP. This includes the investiga-\ntion of low damping magnetic material systems such as\nmetallic magnets [19–21], organic-based magnets [22], in-\nsulating magnets, for example, yttrium iron garnet (YIG)\n∗These authors contributed equally to this work.\n†These authors contributed equally to this work.;\nhanchen.wang@mat.ethz.ch\n‡haiming.yu@buaa.edu.cn[23], and, more recently, antiferromagnetic hematite [24–\n26]. Studies have explored the relationship between spin\npumping and the thickness of FM and NM materials,\nas well as the impact of excitation frequency and power\n[27–29]. Furthermore, there is an emphasis on enhanc-\ning interface quality to further improve the spin-mixing\nconductance [30–32].\nThe leading process for SP is the generation of Js,\nwhere the magnitude of Jsis proportional to the to-\ntal number of magnons for various wavevectors Js=\nℏP\nkvknk[23, 33, 34]. Here, vk=∂ωk/∂kis the\nspin waves velocity and nkis the number of magnons.\nThe uniform ferromagnetic resonance (FMR) [30, 35–37],\nstanding spin waves [16, 38, 39], dipolar spin waves [40–\n44], exchange spin waves [45], and parametrically excited\nspin waves [46–50] are all employed in the generation of\nJs. The majority of previous research has concentrated\non the magnons excited with a narrow range of wavevec-\ntor. This method yields relatively small spin currents Js,\nwhich, when converted to ISHE voltages, are typically in\nthe range of sub-microvolts or even less at the power level\nof several milliwatts. This also limits the efficiency of the\ndetection of spin waves, which has consistently garnered\nsignificant attention because of its effective information\ntransfer capabilities [3, 51].\nIn this study, we report a systematic investigation of\nspin pumping signals that arise in the YIG/Pt system,\nin which a nanometer-scale inductive antenna allows the\nexcitation of magnons with a broad range of wavevec-\ntors. By varying the angle between the magnetic field\nand the wavevector direction, we are able to manipulate\nthe dispersion of the magnons to quasi-flatband. The co-arXiv:2311.09098v1 [cond-mat.mes-hall] 15 Nov 20232\n+YIG\ndJs\ntkNanostripline\nDetectorV\nGGG-\nJcσ\nz\nx\nHyθ\n(d) (e)(a) (b)\n(c)\n2.83.03.23.4f (GHz)\n2.83.03.23.4f (GHz)\nkx (rad/µm)wavelength\n0.1 1.0 1020°\nHkx0.5\n0.01.0Amplitude\nkx (rad/µm)05 1520 10\n2 4 6 0∆k\nVISHE (µV)\nswPt Ti/Au\n∆kµm nm mm cmMicrowave\nFIG. 1. (a) Schematic illustration of the broad-wavevector\nSP set-up. Magnons in the YIG layer are excited using a mi-\ncrowave flowing in a nanostripline antenna. A nano-voltmeter\nis connected to the Pt bar to detect voltage induced by the\ncharge currents Jc.θdenotes the in-plane angle between the\nwavevector kand the applied external field H. (b) The scan-\nning electron microscope (SEM) image of the antennas, where\nthe scale bar is 1 µm. (c) Broad-wavevector distribution cal-\nculated by the width of NSL using FFT. The half value of\nthe maximum wavevector excitation, represented by ∆ k, is\nchosen to an effective range of wavevector. (d) The magnon\ndispersion and the mechanism of the broad-wavevector spin\npumping process at flat-band scenario. The pink shadow is\nthe effective range of broad-wavevector excitation. (e) The\npropagating magnons detected by ISHE at the power of PMW\n= 63 µW (-12 dBm) and θ= 20 °with µ0H= 51 mT.\nexistence of these two conditions leads to a large number\nof magnons participating in the spin-pumping process,\nresulting in a significant enhancement of ISHE voltages.\nFurthermore, we find the nearly 100% nonreciprocity of\nnonlocal ISHE voltage in the configuration close to the\ncase where the angle between the magnetic field and the\nwave vector is from 60 °to 80 °. The magnon decay length\nis experimentally estimated by changing the propagation\ndistances.\nII. RESULTS AND DISCUSSION\nThe sample used in the present study comprises YIG\nthin films with thickness t= 80 nm grown on (111)-\noriented gadolinium gallium garnet (GGG) substrates\nthrough magnetron sputtering [52]. The post-annealing\nprocess is performed in an oxygen atmosphere at temper-\natures ranging from 800 to 900◦C. The Gilbert damp-\ning parameter is determined by flipchip ferromagnetic\nresonance measurements and found to be α= 5.6 ±0.2×10−4, and the long-range inhomogeneity-caused\nlinewidth is about µ0∆H0= 0.42 mT, as shown in Fig.\nS1 in the Supplemental Material [53], consistent with\npreviously reported values [54, 55]. The Ti/Au for mi-\ncrowave (MW) antenna is deposited by electron beam\nevaporation and heavy metal Pt (7 nm) for detectors is\ndc-sputter-deposited at room temperature under a work-\ning pressure of 2.8 mTorr and a base pressure of 2.0 ×\n10−7Torr.\nFigure 1 (a) depicts the schematic illustration of the\nconversion mechanism from propagating magnons to\ncharge current. A nanostripline (NSL) antenna is fab-\nricated on the YIG, and the antenna is connected to a\nvector network analyzer (VNA) via microwave probes.\nThe injected microwave current generates a time-varying\noscillating magnetic field ( µ0hrf) that excites magnons\nand then propagates s= 2µm to the Pt detector. Subse-\nquently, spin-wave spin current is converted into a charge\ncurrent locally at the detection part. The Pt bar is\nconnected to a nano-voltmeter to detect ISHE voltage\n(VISHE). The magnon dispersion of an 80 nm-thick YIG\nfilm, calculated by the dipolar-exchange spin waves the-\nory [56], is presented in Fig. 1 (d). We find that when\na magnetic field µ0His applied at a 20 °angle with re-\nspect to wavevector, a near quasi-flat-band of magnons\n[57–60] appears within the wavevector range from 0 to\n10 rad/ µm. The 280-nm-wide NSL (Fig. 1 (b)) offers\nthe ability of the broad range of wavevector excitation.\nBroad-wavevector distribution calculated by the width\nof NSL using Fast Fourier transform (FFT) is shown in\nFig. 1 (c). The half-value of the maximum wavevector\nexcitation is chosen to be an effective width of wavevec-\ntor, represented by ∆ k= 10 rad/ µm, which is enough\nto cover the wavevector associated with the flat-band\nmagnons. As the excitation frequency approaches a spe-\ncific value close to the flat-band frequency, a significant\npopulation of magnons with various wavevectors get ex-\ncited and participate in the process of generating spin\ncurrent after propagating to the detection part. The DC\ncomponent of the spin current for each wavevector at the\ninterface can be described as, [17, 61, 62]\nJk\ns=ℏf\n2Re (g↑↓)Psin2ϕk, (1)\nwhere fis the excitation frequency, Re ( g↑↓) is the real\npart of the interfacial spin mixing conductance g↑↓,ϕk\nis the precession cone angle of spin waves with wave\nvector k, and Pis a factor arising from the ellipticity\nof the magnetization precession. Considering the num-\nber of magnons nk∝ϕ2\nk∝Jk\ns[63], the total spin cur-\nrent generated by magnons with different wavevectors k\ncan be represented as Jtot\ns=P\nkJk\ns. Because of ISHE,\nthe spin current can be converted into charge current\nJc=2e\nℏΘSH[Jtot\ns×σ],and is detected as a voltage via\nPt bar. Here, Θ SHrepresents the spin Hall angle, and σ\nis the spin polarization direction aligned with the mag-\nnetization M. Finally, the ISHE-induced charge current3\n(a) (b)\n(c)\n0246VISHE (µV)\nVmax\n0.30.60.9\n0VISHE (µV)918\n0VISHE (µV)\n∆k = 0\n∆k = 0∆k ≠ 0 ∆k ≠ 0\n030 60 90 50 48 52 54\nPMW (mW)PMW = 0.1 mW\nPMW = 10 mW0 0.5 1.0\nPMW (mW)\nµ0H (mT)x 10\nFIG. 2. (a) VISHE as a function of the field at f= 3 GHz for\nbroad-wavevector spin pumping (∆k ̸= 0 rad/ µm) and uni-\nform spin pumping (∆ k= 0 rad/ µm) with excitation powers\nof 63 µW and 10 mW, respectively. Vmaxdenotes the maxi-\nmum voltage. (b) Excitation power dependence of maximum\nvalue in voltage spectra for ∆k ̸= 0 rad/ µm and (c) for ∆ k= 0\nrad/µm, respectively. The red solid line is the linear fitting\nresult.\nresults in charge accumulation at the two ends of the de-\ntector, which can be detected by a nano-voltmeter as the\nISHE voltage [17, 64–66]:\nVISHE =2eΘSH\nℏ1\nσNdNλSDtanh\u0012dN\n2λSD\u0013\nLJtot\ns,(2)\nwhere the electron charge e, charge conductivities and\nthe thickness of the normal metal layer σNanddN, the\nspin-diffusion length λSD, and the effective length Lof\nspin pumping. Fig. 1 (e) shows the VISHE spectrum of\npropagating magnons recorded at a distance s= 2µm,\nµ0H= 51 mT with microwave power PMW= 63 µW.\nWe rule out the possibility of the voltage signal contri-\nbution from the spin rectification effect imposed by spin\nHall magnetoresistance [67, 68] or spin Seebeck effect [69]\n(see Fig. S2 in Supplementary Material [53]). Under the\nsimultaneous fulfilment of a broad-wavevector excitation\nand flat-band magnon dispersion, the increased popula-\ntion of magnons leads to the large VISHE.\nTo attribute the multichromatic magnons to the en-\nhancement of the spin current, we conduct VISHE mea-\nsurements using uniform spin pumping ( V∆k= 0) (see\nsetup in Fig. S3 [53]) for comparison with broad-\nwavevector spin pumping ( V∆k̸= 0). The excitation\npower is 10 mW for ∆ k= 0 measurements and 63 µW\nfor ∆ k̸= 0 measurements, respectively, maintaining a\nlinear precession region (see Figs. 2 (b) and 2(c)). Wefixf= 3 GHz and sweep the magnetic field. The VISHE\ncurves are depicted in Fig. 2 (a), where the maximum\nvoltage VmaxforV∆k̸= 0is 6.2 µV and the black dot\nline denotes the ferromagnetic resonance field µ0HFMR.\nThe maximum value for V∆k= 0atµ0HFMR is 0.09 µV.\nCompared to the measurements with ∆ k= 0, the volt-\nage observed in the ∆ k̸= 0 measurements shows a sig-\nnificant enhancement. In Eq. 2, one can find that there\nare many parameters related to the VISHE. The λSD≈\n8 nm for Pt , surpasses dN, where dNis 5 nm for ∆ k=\n0 and 7 nm for ∆ k̸= 0 measurements. Considering that\nthe termλSD\ndNtanh(dN\n2λSD) is essentially constant for λSD\n> dNdue to the limitation of film thickness [64], it has a\nfeeble effect on the measured voltage. Consequently, we\nhaveV∆k ̸= 0\nV∆k= 0=L∆k ̸= 0\nL∆k= 0P\nkJk\ns\nJ0s, where J0\nsis the spin current\ngenerated by uniform spin pumping. One needs a factor\nδmeetP\nkJk\ns=δJk\ns, by which the whole contribution\nof the broad-wavevector magnons may be qualitatively\nextracted from the measured value of the spin-pumping\nvoltage. According to Eq. 1, Jsis the function of the\ncone angle ϕ.ϕis generally small (1 °or less) and can be\nexpressed as sin ϕk≈ϕk=γµ0hrf\n4παk\nefff, where αk\neffis the wave\nvector-dependent effective Gilbert damping. Combining\nit with δ, we have:\nδ=L∆k̸= 0\nL∆k= 0 \nµ0h∆k̸= 0\nrf\nµ0h∆k= 0\nrf!2\u0012αYIG/Pt\nαk\neff\u00132\n. (3)\nWe normalize the parameters spin pumping effective\nlength L,µ0hrf, and effective damping αfor ∆ k̸= 0\nand ∆ k= 0 measurements. Assuming that the broad-\nwavevector magnons are uniformly excited along the\nlength of the NSL, we can approximate the length of the\nPt detector as L∆k̸=0, which is approximately 100 µm.\nConsidering a 120 nm-thin NSL of width ωwith its centre\natx=z= 0, the electromagnetic field distribution is cal-\nculated, and it reveals the maximum µ0hrfvalue of 0.93\nmT at the central position with input PMW= 63 µW (see\nFig. S4(a) [53]). The L(µ0hrf)2for ∆ k̸= 0 is estimated\nto 0.09 mT2mm. The value L(µ0hrf)2= 0.13 mT2mm\nis calculated for ∆ k= 0 by integrating over the effective\nregion influenced by the antenna’s field when PMW= 10\nmW (see Fig. S4(b) [53]). From Fig. 2 (a), for V∆k̸= 0,\nthe magnetic field µ0Hcorresponding to the Vmaxis 52.2\nmT. The frequency fof spin-wave with wavevector k\n[56, 70–72]:\nf=|γ|µ0\n2π\u0014\u0012\nH+2A\nµ0Msk2\u0013\n×\u0012\nH+2A\nµ0Msk2+FM s\u0013\u00151\n2\n, (4)\nF= 1−\u0012\n1−1−e−kt\nkt\u0013\ncos2θ+ \nMs\nH+2A\nµ0Msk2!\u00121−e−2kt\n4\u0013\nsin2θ, (5)4\n(a) (b)\n(d) (e) (c) -1802.04.0\n3.5\n2.53.04.5f (GHz)\nθ (deg) 0 -90 90 180VISHE (µV)\n 2 1 0 -1 - 2\n -180\nθ (deg) 0 -90 90 180Microwave\nV+kV-k-kx-k\n+kx+k\n+k\n030\n-3060\n-6090\n-90120\n-120150\n180\n-150030\n-3060\n-6090\n-90120\n-120150\n180\n-1506\n63\n30-k|VISHE| (µV)\nθ (deg)0050100\n180 120 60|η| (%)Hθ \nPt PtTi/Au\nFIG. 3. (a) The device wherein two identical Pt strips are placed symmetrically in the left (V −k) and right (V +k) sides of\nNSL with same propagation distance 2 µm, where scale bar is 1 µm. (b) Angle-dependent spectra of VISHE for magnons with\nopposite propagating directions at µ0H= 52 mT and PMW= 63 µW. (c) θdependence of VISHE nonreciprocity η. (d) Angular\ndependence of the absolute voltage with the extraction of maximum VISHE values at each angle from - kspectrum and (e) from\n+kspectrum.\n.\nwhere |γ|= 2π×28 GHz/T is the gyromagnetic ratio,\nA= 3 pJ/m is the exchange stiffness constant[72], tis\nthe thickness of the YIG layer, and Fis the dipolar ar-\nray factor. When excitation frequency f= 3 GHz, the\nmaximum kcorresponding to 52.2 mT is 2.5 rad/ µm\n(see Fig. S6 [53]). Given the varying damping between\nhigh- kmagnons and k= 0 magnons, which tends to in-\ncrease with increasing the wavevector, we derived the ef-\nfective damping αefffrom the wavevector-dependent re-\nlaxation time τ(k) = [2 παefff(k)]−1[71, 73]. The effec-\ntive damping αk\neffis approximately 6.5 ×10−4when kis\n2.5 rad/ µm. The damping of YIG/Pt bilayer αYIG/Pt\nfor ∆ k= 0 measurements is 7.8 ×10−4(see Fig. S5\n[53]). Taking into account the combined influence of\nL∆k ̸= 0\nL∆k= 0\u0010h∆k ̸= 0\nrf\nh∆k= 0\nrf\u00112\u0010αYIG/Pt\nαk\neff\u00112\n, it gives a ratio nearly 1,\ncompared with the ratio of the maximum voltage ob-\nserved in the experimentV∆k ̸= 0\nV∆k= 0= 60, there should have\na factor of 60 from δ. The evolution of the VISHE signals\nas a function of wavevector for excitations also demon-\nstrates the effectiveness of broad-wavevector spin pump-\ning (see Fig. S7 [53]). Figs. 2 (b) and 2(c) summarize the\nmaximum value in a voltage spectrum under different ex-citation powers for ∆ k̸= 0 and ∆ k= 0, respectively.\nThe spin pumping with ∆ k= 0 exhibits a linear rela-\ntionship with PMWup to the maximum microwave power\nof 100 mW (Fig. 2 (c)). In comparison, the signal of spin\npumping with ∆ k̸= 0 maintains in the linear region only\nup to 0.1 mW. This demonstrates that the spin pumping\nwith ∆ k̸= 0 requires much lower microwave power than\nthe ∆ k= 0 to achieve the same spin current, and also\nmuch easier step into the nonlinear regime [74].\nIn order to gain more insight into the magnon-driven\nISHE, we study its angular dependence (Fig. 3 ) with\na MW power of 63 µW. First, two identical Pt detec-\ntors are positioned on the two opposite sides of the NSL\nwith a separated distance of 2 µm. At 52 mT, we record\nthe angle-dependent spectra VISHE for both - kand + k\nmagnons (Fig. 3 (b)) by rotating the in-plane magnetic\nfield. We extract the Vmaxat each angle (Figs. 3 (d)\nand 3(e)). The output voltage exhibits an asymmetric\ndependency resembling a butterfly pattern. At the exci-\ntation part, the varying microwave absorption capability\nof the magnetic material under different θleads to an\nangular dependency in the NSL’s excitation efficiency,\ndescribed using Asin2θ+B[26]. At the detection part,\nthe ISHE follows a cos θlaw [75]. Merely considering the5\n(a) (b)\n0246VISHE (µV)\n0\n-22ln(VISHE) (µV)2 µm\n4 µm\n6 µm\n8 µm\n10 µm\n14 µm\ns (µm)3.0 2.8 2.9 3.1 3.2 8 4 0 12 16Data\nFit\nf (GHz)\nFIG. 4. (a) Frequency-dependent VISHE lineplots measured\nin devices with different propagation distances at µ0H= 52.2\nmT, θ= 20 °andPMW= 63 µW. The grey dash line corre-\nsponds to frequency f= 3 GHz. (b) The dependence of the\nlogarithm of ln( VISHE) as a function of propagation distance\nat 3 GHz. The red line is the linear fitting using Eq. 7.\nangular dependencies of excitation and detection doesn’t\nalign with the experimental outcomes (see Fig. S8 [53]).\nTherefore, we consider that the propagation of magnons\ncontributes to additional asymmetry. As θgradually ro-\ntates from 0 °towards 90 °, the spin wave mode transi-\ntions from a volume mode to a surface mode, exhibiting\nnoticeable nonreciprocal propagating (see Fig. S8 within\nthe Supplemental Material [53]). We quantify the VISHE\nnonreciprocity in terms of the ratio,\nη=V−k−V+k\nV−k+V+k, (6)\nwhere |η|= 100% indicates perfect unidirectional VISHE\ndetection. We show the |η|extracted from the exper-\niments as a function of θin Fig. 3 (c), which exhibits\nnearly 100% when θis rotated between 60 °and 80 °. Due\nto the finite thickness of YIG, the amplitude distribu-\ntion of precession profile along the thickness direction\nis non-uniform, particularly in the context of dipolar-\nexchange spin waves. Consequently, the Damon-Eshbach\n(DE) mode has the capability to induce a spin-wave spin\ncurrent at the top or bottom surfaces of the material. As\nan illustrative example, when considering the case of + k\nmagnons, apart from the detection restrictions causing\nVISHE signal of zero within the DE mode, in the vicin-\nity of the DE mode at angles θ, roughly between 60 °and\n80°, a close-to-zero VISHE can also be observed. This phe-\nnomenon underscores the interfacial nature of the spin\npumping process, implying that the majority of magnons\nlikely propagate along the bottom surface. This, in turn,\nsuggests that the primary source of the spin current is\nsurface-bound magnons, as opposed to magnons travers-\ning the entire thickness of the material.\nThe spatially separated detection enables us to mea-\nsure the decay length of magnons. Consequently, keep-\ning the excitation NSL unchanged, we conduct mea-\nsurements to explore the VISHE dependence on distance.\nFig. 4 (a) show the VISHE recorded at µ0H= 52.2 mT\nwith propagation distances s= 2, 4, 6, 8, 10, and 14 µm.\nThe longer the propagation distance is, the less magnons\ncan then be detected, and therefore the weaker ISHEsignal. With a fixed frequency at 3 GHz, the maximum\nvalues of VISHE at different propagation distances are ex-\ntracted. The dependence of the logarithm of ln( VISHE)\nas a function of sis shown in Fig. 4 (b) and fitted them\nby,\nln\u0012VISHE\nCm\u0013\n=−s\nλm, (7)\nwhere Cmandλmare distance-independent prefactor and\nmagnon decay length, respectively. By fitting the results\nof the experiment, the decay length λmis extracted about\n3.3µm, which compares well to previous experimental re-\nsults [76, 77]. Decay length λm=vg/2παf, is largest for\nmagnons with high group velocity or small damping. Un-\nlike the conventional uniform excitation SP, which neces-\nsitates the deposition of Pt on the entire surface of the\nYIG, creating a YIG/Pt heterostructure, our approach\nobviates this requirement, thus mitigating the increase\nin YIG’s damping coefficient. This approach allows us to\npreserve a relatively large decay length. Moreover, spa-\ntially separated detection offers distinct advantages in\nterms of facilitating gating and manipulating spin waves.\nWhen combined with the efficient excitation of magnons,\nit paves the way for a novel route in the generation, prop-\nagation, and detection of spin currents, which is essential\nfor the development of magnon-based logic devices.\nIII. CONCLUSION\nIn conclusion, we experimentally observe significant\nvoltage signals from broad-wavevector excitation flat-\nband magnons spin pumping in thin YIG films. The\nutilization of nanoscale stripline enables efficient angular\nmomentum transfer of flat-band magnons across a broad\nrange of wavevectors into the spin current. The genera-\ntion of spin-wave spin current with ∆ k= 2.5 rad/ µm is\nfound to be nearly 60 times greater than that of ∆ k= 0\nrad/µm one. We also clarify that spin pumping primar-\nily originates from magnons at the surface rather than\nspanning the full thickness, supported by the nonrecip-\nrocal propagation of magnetostatic surface spin waves.\nThe ability of unidirectional detection of VISHE in combi-\nnation with long-distance propagating magnons can be-\ncome a key functionality in reconfigurable nanomagnonic\nlogic and computing devices. Our find allows for the\ndownsizing of input microwave power and spin pumping\nstructures, all while preserving adequately robust signals\nand the dynamic properties of spin waves. The periodic\nDzyaloshinskii-Moriya coupling or moir´ e pattern also in-\nduced flat-band [57–60]. In the future, combining this\nwith broad-wavevector spin pumping could offer new in-\nsights into the spin waves dynamics regime.6\nACKNOWLEDGMENTS\nThe authors thank G. E. W. Bauer, P. Gambardella,\nK. Yamamoto, and S. Maekawa for their helpful dis-\ncussions. We wish to acknowledge the support by the\nNational Key Research and Development Program of\nChina Grant No. 2022YFA1402801, by NSF China underGrants No. 12074026, No. 52225106, and No. U1801661,\nand by Shenzhen Institute for Quantum Science and En-\ngineering, Southern University of Science and Technol-\nogy (Grant No. SIQSE202007). H. W. acknowledge sup-\nport by China Scholarship Council (CSC) under Grant\nNo. 202206020091 and W. L. acknowledge support by an\nETH Zurich Postdoctoral Fellowship (21-1 FEL-48).\n[1] V. Kruglyak, S. Demokritov, and D. Grundler, Magnon-\nics, J. Phys. D: Appl. Phys. 43, 264001 (2010).\n[2] B. Lenk, H. Ulrichs, F. Garbs, and M. M¨ unzenberg,\nThe building blocks of magnonics, Phys. Rep. 507, 107\n(2011).\n[3] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and\nB. Hillebrands, Magnon spintronics, Nat. Phys. 11, 453\n(2015).\n[4] P. Pirro, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands, Advances in coherent magnonics, Nat. Rev.\nMater. 6, 1114 (2021).\n[5] H. Yu, J. Xiao, and H. Schultheiss, Magnetic texture\nbased magnonics, Phys. Rep. 905, 1 (2021).\n[6] J. Chen, H. Yu, and G. Gubbiotti, Unidirectional spin-\nwave propagation and devices, J. Phys. D: Appl. Phys.\n55, 123001 (2021).\n[7] A. Serga, A. Chumak, and B. Hillebrands, YIG magnon-\nics, J. Phys. D: Appl. Phys. 43, 264002 (2010).\n[8] A. V. Chumak, A. A. Serga, and B. Hillebrands, Magnon\ntransistor for all-magnon data processing, Nat. Commun.\n5, 4700 (2014).\n[9] J. Chen, H. Wang, T. Hula, C. Liu, S. Liu, T. Liu,\nH. Jia, Q. Song, C. Guo, Y. Zhang, et al. , Reconfigurable\nspin-wave interferometer at the nanoscale, Nano Lett. 21,\n6237 (2021).\n[10] J. Bass and W. P. Pratt, Spin-diffusion lengths in metals\nand alloys, and spin-flipping at metal/metal interfaces:\nan experimentalist’s critical review, J. Phys. Condens.\nMatter 19, 183201 (2007).\n[11] R. Jansen, S. P. Dash, S. Sharma, and B. Min, Silicon\nspintronics with ferromagnetic tunnel devices, Semicond\nSci Technol 27, 083001 (2012).\n[12] K. Ganzhorn, S. Klingler, T. Wimmer, S. Gepr¨ ags,\nR. Gross, H. Huebl, and S. T. Goennenwein, Magnon-\nbased logic in a multi-terminal YIG/Pt nanostructure,\nAppl. Phys. Lett. 109, 2 (2016).\n[13] P. Monod, H. Hurdequint, A. J´ anossy, J. Obert, and\nJ. Chaumont, Giant electron spin-resonance transmission\nin Cu ion implanted with Mn, Phys. Rev. Lett. 29, 1327\n(1972).\n[14] A. J´ anossy and P. Monod, Spin waves for single electrons\nin paramagnetic metals, Phys. Rev. Lett. 37, 612 (1976).\n[15] Y. Tserkovnyak, A. Brataas, and G. E. Bauer, Spin\npumping and magnetization dynamics in metallic mul-\ntilayers, Phys. Rev. B 66, 224403 (2002).\n[16] Y. Chen, J. Lin, S. Huang, and C. Chien, Incoherent\nspin pumping from YIG single crystals, Phys. Rev. B\n99, 220402 (2019).\n[17] O. Mosendz, V. Vlaminck, J. Pearson, F. Fradin,\nG. Bauer, S. Bader, and A. Hoffmann, Detection and\nquantification of inverse spin Hall effect from spin pump-\ning in permalloy/normal metal bilayers, Phys. Rev. B 82,214403 (2010).\n[18] D. Wei, M. Obstbaum, M. Ribow, C. H. Back, and\nG. Woltersdorf, Spin Hall voltages from ac and dc spin\ncurrents, Nat. Commun. 5, 3768 (2014).\n[19] K. Ando, Y. Kajiwara, S. Takahashi, S. Maekawa,\nK. Takemoto, M. Takatsu, and E. Saitoh, Angular depen-\ndence of inverse spin-Hall effect induced by spin pumping\ninvestigated in a Ni 81Fe19/Pt thin film, Phys. Rev. B 78,\n014413 (2008).\n[20] S. Gupta, R. Medwal, D. Kodama, K. Kondou, Y. Otani,\nand Y. Fukuma, Important role of magnetization preces-\nsion angle measurement in inverse spin hall effect induced\nby spin pumping, Appl. Phys. Lett. 110(2017).\n[21] Y. Wang, M. M. Decker, T. N. Meier, X. Chen, C. Song,\nT. Gr¨ unbaum, W. Zhao, J. Zhang, L. Chen, and\nC. H. Back, Spin pumping during the antiferromagnetic–\nferromagnetic phase transition of iron–rhodium, Nat.\nCommun. 11, 275 (2020).\n[22] H. Liu, H. Malissa, R. M. Stolley, J. Singh, M. Groes-\nbeck, H. Popli, M. Kavand, S. K. Chong, V. V. Desh-\npande, J. S. Miller, et al., Spin wave excitation, detection,\nand utilization in the organic-based magnet, V(TCNE)x\n(TCNE = Tetracyanoethylene), Adv. Mater. 32, 2002663\n(2020).\n[23] Y. Kajiwara, K. Harii, S. Takahashi, J.-i. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, et al. , Transmission of electrical signals by\nspin-wave interconversion in a magnetic insulator, Nature\n464, 262 (2010).\n[24] H. Wang, R. Yuan, Y. Zhou, Y. Zhang, J. Chen, S. Liu,\nH. Jia, D. Yu, J.-P. Ansermet, C. Song, et al. , Long-\ndistance coherent propagation of high-velocity antifer-\nromagnetic spin waves, Phys. Rev. Lett. 130, 096701\n(2023).\n[25] M. Hamdi, F. Posva, and D. Grundler, Spin wave disper-\nsion of ultra-low damping hematite ( α-Fe2O3) at GHz\nfrequencies, Phys. Rev. Mater 7, 054407 (2023).\n[26] A. El Kanj, O. Gomonay, I. Boventer, P. Bortolotti,\nV. Cros, A. Anane, and R. Lebrun, Antiferromagnetic\nmagnon spintronic based on nonreciprocal and nonde-\ngenerated ultra-fast spin-waves in the canted antiferro-\nmagnet α-Fe2O3, Sci. Adv. 9, eadh1601 (2023).\n[27] M. Haertinger, C. H. Back, J. Lotze, M. Weiler,\nS. Gepr¨ ags, H. Huebl, S. T. G¨ onnenwein, and G. Wolters-\ndorf, Spin pumping in YIG/Pt bilayers as a function of\nlayer thickness, Phys. Rev. B 92, 054437 (2015).\n[28] V. Castel, N. Vlietstra, B. Van Wees, and J. B. Youssef,\nFrequency and power dependence of spin-current emis-\nsion by spin pumping in a thin-film YIG/Pt system,\nPhys. Rev. B 86, 134419 (2012).\n[29] M. Jungfleisch, A. Chumak, A. Kehlberger, V. Lauer,\nD. Kim, M. Onbasli, C. Ross, M. Kl¨ aui, and B. Hille-7\nbrands, Thickness and power dependence of the spin-\npumping effect in Y 3Fe5O12/Pt heterostructures mea-\nsured by the inverse spin Hall effect, Physical Review\nB91, 134407 (2015).\n[30] H. Wang, C. Du, Y. Pu, R. Adur, P. Hammel, and\nF. Yang, Large spin pumping from epitaxial Y 3Fe5O12\nthin films to Pt and W layers, Phys. Rev. B 88, 100406\n(2013).\n[31] S. Takizawa, M. Kimata, Y. Omori, Y. Niimi, and\nY. Otani, Spin mixing conductance in Cu–Ir dilute al-\nloys, Appl. Phys. Express. 9, 063009 (2016).\n[32] M. Weiler, M. Althammer, M. Schreier, J. Lotze,\nM. Pernpeintner, S. Meyer, H. Huebl, R. Gross,\nA. Kamra, J. Xiao, et al. , Experimental test of the spin\nmixing interface conductivity concept, Phys. Rev. Lett.\n111, 176601 (2013).\n[33] S. Maekawa, S. O. Valenzuela, E. Saitoh, and T. Kimura,\nSpin current , Vol. 22 (Oxford University Press, 2017).\n[34] S. Maekawa, T. Kikkawa, H. Chudo, J. Ieda, and\nE. Saitoh, Spin and spin current—from fundamentals to\nrecent progress, J. Appl. Phys 133(2023).\n[35] O. Mosendz, J. Pearson, F. Fradin, G. Bauer, S. Bader,\nand A. Hoffmann, Quantifying spin Hall angles from spin\npumping: Experiments and theory, Phys. Rev. Lett. 104,\n046601 (2010).\n[36] Y. Sun, H. Chang, M. Kabatek, Y.-Y. Song, Z. Wang,\nM. Jantz, W. Schneider, M. Wu, E. Montoya, B. Kar-\ndasz, et al. , Damping in Yttrium Iron Garnet nanoscale\nfilms capped by Platinum, Phys. Rev. Lett. 111, 106601\n(2013).\n[37] M. Costache, M. Sladkov, S. Watts, C. Van Der Wal, and\nB. Van Wees, Electrical detection of spin pumping due\nto the precessing magnetization of a single ferromagnet,\nPhys. Rev. Lett. 97, 216603 (2006).\n[38] K. Ando, J. Ieda, K. Sasage, S. Takahashi, S. Maekawa,\nand E. Saitoh, Electric detection of spin wave reso-\nnance using inverse spin-Hall effect, Appl. Phys. Lett.\n94, 262505 (2009).\n[39] P. Wang, Spin pumping by higher-order dipole-exchange\nspin-wave modes, Chin. Phys. B 32, 037601 (2023).\n[40] A. Chumak, A. Serga, M. Jungfleisch, R. Neb, D. Bozhko,\nV. Tiberkevich, and B. Hillebrands, Direct detection of\nmagnon spin transport by the inverse spin Hall effect,\nAppl. Phys. Lett. 100, 082405 (2012).\n[41] S. S. Mukherjee, P. Deorani, J. H. Kwon, and H. Yang,\nAttenuation characteristics of spin-pumping signal due\nto traveling spin waves, Phys. Rev. B 85, 094416 (2012).\n[42] C. Sandweg, Y. Kajiwara, K. Ando, E. Saitoh, and\nB. Hillebrands, Enhancement of the spin pumping effi-\nciency by spin wave mode selection, Appl. Phys. Lett.\n97, 252504 (2010).\n[43] S. Dushenko, Y. Higuchi, Y. Ando, T. Shinjo, and\nM. Shiraishi, Ferromagnetic resonance and spin pump-\ning efficiency for inverse spin-Hall effect normalization\nin Yttrium-Iron-Garnet-based systems, Appl. Phys. Ex-\npress. 8, 103002 (2015).\n[44] R. Iguchi, K. Ando, Z. Qiu, T. An, E. Saitoh, and\nT. Sato, Spin pumping by nonreciprocal spin waves under\nlocal excitation, Appl. Phys. Lett. 102, 022406 (2013).\n[45] T. Bracher, M. Fabre, T. Meyer, T. Fischer, S. Auffret,\nO. Boulle, U. Ebels, P. Pirro, and G. Gaudin, Detection\nof short-waved spin waves in individual microscopic spin-\nwave waveguides using the inverse spin Hall effect, Nano\nLett.17, 7234 (2017).[46] S. Manuilov, C. Du, R. Adur, H. Wang, V. Bhallamudi,\nF. Yang, and P. C. Hammel, Spin pumping from spin-\nwaves in thin film YIG, Appl. Phys. Lett. 107, 042405\n(2015).\n[47] M. Fukami, Y. Tateno, K. Sekiguchi, and K. Ando, Wave-\nvector-dependent spin pumping as a probe of exchange-\ncoupled magnons, Phys. Rev. B 93, 184429 (2016).\n[48] K. Ando and E. Saitoh, Spin pumping driven by bistable\nexchange spin waves, Phys. Rev. Lett. 109, 026602\n(2012).\n[49] C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A.\nSerga, V. I. Vasyuchka, M. B. Jungfleisch, E. Saitoh, and\nB. Hillebrands, Spin pumping by parametrically excited\nexchange magnons, Phys. Rev. Lett. 106, 216601 (2011).\n[50] H. Kurebayashi, O. Dzyapko, V. Demidov, D. Fang,\nA. Ferguson, and S. Demokritov, Spin pumping by para-\nmetrically excited short-wavelength spin waves, Appl.\nPhys. Lett. 99, 162502 (2011).\n[51] G. Csaba, ´A. Papp, and W. Porod, Perspectives of using\nspin waves for computing and signal processing, Phys.\nLett. A 381, 1471 (2017).\n[52] T. Liu, H. Chang, V. Vlaminck, Y. Sun, M. Kabatek,\nA. Hoffmann, L. Deng, and M. Wu, Ferromagnetic reso-\nnance of sputtered Yttrium Iron Garnet nanometer films,\nJ. Appl. Phys. 115, 17A501 (2014).\n[53] see Supplementary Materials for field dependent flipchip\nFMR spectra and damping estimation, the magnon prop-\nagation spectra detected by ISHE, the schematic layout\nof the uniform spin pumping experimental setup, the spa-\ntial distribution of the µ0hrfcomponents for NSL and\nCPW, the damping fitting of YIG/Pt heterostructure,\ndispersion for 80 nm-thick YIG film at µ0H= 52.2 mT,\nwavevector-dependent inverse spin Hall voltage, angle de-\npendence of ISHE multiplied by the angle dependence\nof power absorption and angle-dependent transmission\nspectra of spin waves, .\n[54] O. d’Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef,\nC. Hahn, A. H. Molpeceres, C. Carr´ et´ ero, E. Jacquet,\nC. Deranlot, P. Bortolotti, et al. , Inverse spin hall ef-\nfect in nanometer-thick Yttrium Iron Garnet/Pt system,\nAppl. Phys. Lett. 103, 082408 (2013).\n[55] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek, M. Jantz,\nW. Schneider, M. Wu, H. Schultheiss, and A. Hoff-\nmann, Growth and ferromagnetic resonance properties of\nnanometer-thick Yttrium Iron Garnet films, Appl. Phys.\nLett.101, 152405 (2012).\n[56] B. Kalinikos and A. Slavin, Theory of dipole-exchange\nspin wave spectrum for ferromagnetic films with mixed\nexchange boundary conditions, J. Phys. C: Solid State\nPhys. 19, 7013 (1986).\n[57] R. Gallardo, D. Cort´ es-Ortu˜ no, T. Schneider, A. Rold´ an-\nMolina, F. Ma, R. Troncoso, K. Lenz, H. Fangohr,\nJ. Lindner, and P. Landeros, Flat bands, indirect gaps,\nand unconventional spin-wave behavior induced by a\nperiodic Dzyaloshinskii-Moriya interaction, Phys. Rev.\nLett.122, 067204 (2019).\n[58] J. Chen, L. Zeng, H. Wang, M. Madami, G. Gubbiotti,\nS. Liu, J. Zhang, Z. Wang, W. Jiang, Y. Zhang, et al. ,\nMagic-angle magnonic nanocavity in a magnetic moir´ e\nsuperlattice, Phys. Rev. B 105, 094445 (2022).\n[59] H. Wang, M. Madami, J. Chen, H. Jia, Y. Zhang,\nR. Yuan, Y. Wang, W. He, L. Sheng, Y. Zhang, et al. ,\nObservation of spin-wave moir´ e edge and cavity modes8\nin twisted magnetic lattices, Phys. Rev. X 13, 021016\n(2023).\n[60] S. Tacchi, J. Flores-Far´ ıas, D. Petti, F. Brevis, A. Cat-\ntoni, G. Scaramuzzi, D. Girardi, D. Cort´ es-Ortu˜ no, R. A.\nGallardo, E. Albisetti, et al. , Experimental observation\nof flat bands in one-dimensional chiral magnonic crystals,\nNano Lett. (2023).\n[61] M. Althammer, Pure spin currents in magnetically or-\ndered insulator/normal metal heterostructures, J. Phys.\nD: Appl. Phys. 51, 313001 (2018).\n[62] F. Yang and P. C. Hammel, FMR-driven spin pumping\nin Y 3Fe5O12-based structures, J. Phys. D: Appl. Phys.\n51, 253001 (2018).\n[63] T. Holstein and H. Primakoff, Field dependence of the\nintrinsic domain magnetization of a ferromagnet, Phys.\nRev.58, 1098 (1940).\n[64] H. Wang, C. Du, Y. Pu, R. Adur, P. C. Hammel, and\nF. Yang, Scaling of spin Hall angle in 3 d, 4d, and 5 d\nmetals from Y3Fe5O12/metal spin pumping, Phys. Rev.\nLett.112, 197201 (2014).\n[65] F. D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler,\nM. Althammer, I.-M. Imort, G. Reiss, A. Thomas,\nW. Schoch, W. Limmer, et al. , Scaling behavior of the\nspin pumping effect in ferromagnet-Platinum bilayers,\nPhys. Rev. Lett. 107, 046601 (2011).\n[66] E. Shikoh, K. Ando, K. Kubo, E. Saitoh, T. Shinjo,\nand M. Shiraishi, Spin-pump-induced spin transport in\np-type Si at room temperature, Phys. Rev. Lett. 110,\n127201 (2013).\n[67] M. Harder, Y. Gui, and C.-M. Hu, Electrical detection\nof magnetization dynamics via spin rectification effects,\nPhys. Rep. 661, 1 (2016).\n[68] K. He, J. Cheng, M. Yang, Y. Zhang, L. Yu, Q. Liu,\nL. Sun, B. Miao, C. Hu, and H. Ding, Spin rectification\neffect induced by planar hall effect and its strong im-\npact on spin-pumping measurements, Phys. Rev. B 105,\n104406 (2022).[69] K.-I. Uchida, S. Takahashi, K. Harii, J. Ieda,\nW. Koshibae, K. Ando, S. Maekawa, and E. Saitoh,\nObservation of the spin seebeck effect, nature 455, 778\n(2008).\n[70] H. Yu, O. d’Allivy Kelly, V. Cros, R. Bernard, P. Bor-\ntolotti, A. Anane, F. Brandl, R. Huber, I. Stasinopoulos,\nand D. Grundler, Magnetic thin-film insulator with ultra-\nlow spin wave damping for coherent nanomagnonics, Sci.\nRep.4, 6848 (2014).\n[71] H. Wang, W. He, R. Yuan, Y. Wang, J. Wang, Y. Zhang,\nI. Medlej, J. Chen, G. Yu, X. Han, et al. , Hybridized\npropagating spin waves in a CoFeB/IrMn bilayer, Phys.\nRev. B 106, 064410 (2022).\n[72] J. Chen, T. Yu, C. Liu, T. Liu, M. Madami, K. Shen,\nJ. Zhang, S. Tu, M. S. Alam, K. Xia, et al. , Excitation of\nunidirectional exchange spin waves by a nanoscale mag-\nnetic grating, Phys. Rev. B 100, 104427 (2019).\n[73] A. Prabhakar and D. D. Stancil, Spin waves: Theory and\napplications , Vol. 5 (Springer, 2009).\n[74] L. Sheng, M. Elyasi, J. Chen, W. He, Y. Wang, H. Wang,\nH. Feng, Y. Zhang, I. Medlej, S. Liu, et al. , Nonlocal\ndetection of interlayer three-magnon coupling, Phys. Rev.\nLett.130, 046701 (2023).\n[75] K. Ando, S. Takahashi, J. Ieda, Y. Kajiwara,\nH. Nakayama, T. Yoshino, K. Harii, Y. Fujikawa,\nM. Matsuo, S. Maekawa, et al. , Inverse spin-Hall effect\ninduced by spin pumping in metallic system, J. Appl.\nPhys. 109, 103913 (2011).\n[76] H. Qin, S. J. H¨ am¨ al¨ ainen, K. Arjas, J. Witteveen, and\nS. Van Dijken, Propagating spin waves in nanometer-\nthick yttrium iron garnet films: Dependence on wave\nvector, magnetic field strength, and angle, Phys. Rev.\nB98, 224422 (2018).\n[77] A. Talalaevskij, M. Decker, J. Stigloher, A. Mitra,\nH. K¨ orner, O. Cespedes, C. Back, and B. Hickey, Mag-\nnetic properties of spin waves in thin yttrium iron garnet\nfilms, Phys. Rev. B 95, 064409 (2017)." }, { "title": "1503.07388v2.Nature_of_magnetotransport_in_metal_insulating_ferromagnet_heterostructures__Spin_Hall_magnetoresistance_or_magnetic_proximity_effect.pdf", "content": "arXiv:1503.07388v2 [cond-mat.mtrl-sci] 2 Apr 2015Nature ofmagnetotransport inmetal/insulating-ferromag net heterostructures: Spin Hall\nmagnetoresistance ormagneticproximity effect\nX. Zhou,1L. Ma,1Z. Shi,1W. J. Fan,1Jian-Guo Zheng,2R. F. L. Evans,3and S. M. Zhou1\n1Shanghai Key Laboratory of Special Artificial Microstructu re Materials and Technology and Pohl Institute of\nSolid State Physics and School of Physics Science and Engine ering, Tongji University, Shanghai 200092, China\n2Irvine Materials Research Institute, University of Califo rnia, Irvine, CA 92697-2800, USA\n3Department of Physics, University of York, York YO10 5DD, Un ited Kingdom\n(Dated: August 15, 2021)\nSUPPLEMENTARYMATERIAL\nFabrication andmeasurement details\nIrMn3(=IrMn)/Y 3Fe5O12(=YIG) (20 nm) and Pt/YIG\n(20 nm) bilayers were fabricated on (111)-oriented, single\ncrystallineGd 3Ga5O12(GGG)substrates. The basepressures\nof the PLD and sputtering systems were 1 .0×10−6Pa. The\nYIG layer was epitaxially grown via pulsed laser deposition\n(PLD) from a stoichiometric polycrystalline target using a\nKrF excimer laser with the pulse energy of 285 mJ. The\nsubstrate temperature was 625◦C during the deposition of\nthe YIG layer. Then, the sample was annealed at the same\ntemperature in an O 2pressure of 6 ×104Pa for 4 hours.\nAfter the sample was cooled to the ambient temperature,\nit was transferred without the air exposure from the PLD\nchamber to the sputtering chamber through a load-lock\nchamber. Afterwards, the metallic layer was deposited at\nambienttemperaturebyDCmagnetronsputtering,in orderto\navoidinterfacialdiffusion. TheArpressurewas0.3Paduri ng\ndeposition of the metallic layer. The deposition rate of the\nmetalliclayerwasabout0.1-0.2nm/s.\nStructural propertiesand film thicknesswere characterize d\nbyX-raydiffraction(XRD)andX-rayreflection(XRR)using\na D8 Discover X-ray diffractometer with Cu K αradiation\n(wavelength of about 1.54 ˚A). The epitaxial growth of the\nYIGfilmwasprovedbypolefigureswith ΦandΨscanat2θ\nfixed for the (008) reflection of the GGG substrate and YIG\nfilm. Transmissionelectronicmicroscopy(TEM)experiment s\nwere carried out in FEI/Philips CM-20 TEM with a LaB 6\nfilament operated at 200 kV at Irvine Materials Research\nInstitute, University of California Irvine. Cross-sectio nal\nTEM specimens were prepared in a FEI Quanta 3D FEG\ndual-beam system with focused ion beam (FIB). A typical\nFIB procedure recommended by FEI Company was used to\nprepare the specimens. The thin film was well protected by\ntwo Pt layers deposited first by an electron beam and then\nby an ion beam. The final thinning step using a low energy\n(2 kV) ion beam is crucial to minimize an amorphous layer,\na damaged layer caused by Ga-ion beam, on both sides of\nthe TEM specimens. Magnetization hysteresis loops of the\nsamplesweremeasuredusingphysicalpropertymeasurement\nsystem (PPMS). The magnetization (134 emu/cm3) of the\nYIG film is close to the theoretical value (131 emu/cm3) andthe coercivity is very small, 6 Oe. The films were patterned\ninto normal Hall bar, and the transverse Hall resistivity ( ρxy)\nandthelongitudinalresistivity( ρxx)weremeasuredbyPPMS.\nXRDandXRR results\nTheXRR spectrumin Fig. S1(a) showsthat YIG andIrMn\nlayers are 20 ±0.6 and 5±0.5 nm thick, respectively. The\nx-raydiffraction(XRD)spectruminFig.S1(b)showsthatth e\nGGGsubstrateandtheYIGfilmareof(444)and(888)orien-\ntations. The pole figures in Fig. S1(c) and Fig. S1(d) confirm\ntheepitaxialgrowthofthe YIGfilm.\n0 2 4 49 50 51 52 53\n40600\n30\n60\n90\n120\n150180210240270300330\n40\n600\n30\n60\n90\n120\n150180210240270300330 fit\n measuredIntensity (a.u) \n2θ (deg)(a)\n(d)(c)(b) GGG (444)\n2θ (deg) YIG (444)\nFIG.S1: (a)Smallanglex-rayreflection,(b)largeanglex-r aydiffrac-\ntion for IrMn (5 nm)/YIG (20 nm) bilayer, ΦandΨscan with fixed\n2θfor the (008) reflectionofGGG substrate (c) andYIG film(d).\nTEMresults\nFigure S2(a) shows a typical high resolution TEM image\nof the IrMn/YIG on the GGG substrate. The IrMn and YIG\nlayersarecharacterizedtobeabout2.5nmand20nminthick-\nness,respectively. TheIrMnlayerispolycrystalline,whi lethe2\nFIG. S2: (a) Cross-sectional high resolution TEM (low magni fica-\ntion) image of IrMn/YIGbilayer on(111) GGGsubstrate, wher e the\nIrMn and YIG layers are 2.5 nm and 20 nm, respectively. The in-\nset shows the atomic scale structure of the interface betwee n YIG\nand GGG, indicating the epitaxial growth of YIG on GGG, (b) hi gh\nresolutionTEM(highmagnification) image of theinterface b etween\nIrMnand YIG.\nYIG layer is single crystal. The YIG is grown epitaxially on\nthe GGG (111)substrate (inset of Fig. S2(a)). Detailed inte r-\nfacestructurebetweenIrMnandYIGisshowedinFig.S2(b).\nThe fine Pt particles on top of the IrMn thin film form the\nprotection layer which was deposited during TEM specimen\npreparation. The white area at the IrMn/YIG interface may\nbe producedduringthe preparationof the TEMspecimenbe-\ncause the milling rate at the interface is slightly higher th an\nthat in YIG. The interface between YIG and IrMn is flat and\nabruptalthoughthe small YIG surface roughnessat the inter -\nface is observed, where the root mean square surface rough-\nnessoftheYIGlayeris0.35nm.\nTemperaturedependenceof exchange bias\nFigureS3(a)showsthatforIrMn/YIGbilayersthehystere-\nsisloopat30Kisshiftedawayfromzeromagneticfieldafter\na field cooling procedure under an in-plane magnetic field.\nThe exchange field is 75 Oe at 30 K and decreases with in-\ncreasing temperature T, and finally approaches zero near 70\nK,asshowninFig.S3(b).\nAtomistic simulationsof theinterfacialmoment in γ-IrMn/YIG\nbilayers\nTo confirm the presence of an interfacial spin moment in\nthe IrMn layer, we perform atomistic spin dynamics simula--1 0 1-101\n0 100 200 3000100200300 Rxy (mΩ)\nH (kOe)(a) 30KHE (Oe)\nT (K)(b)\nFIG. S3: (a) In-plane hysteresis loop at 30 K and (b) temperat ure\ndependence of the exchange field for IrMn (2.5 nm)/YIG (20 nm)\nbilayers.\ntions of an γ-IrMn3/FM bilayer using the VAMPIRE software\npackage[1]. Thepropertiesof the γ-IrMn3are modeledusing\na parameterized spin Hamiltonian which reproduces the 3Q\nmagnetic ground state structure[2]. A 20 nm ×20 nm×3\nnm thick IrMn layer is then coupled to a 3 nm thick generic\nferromagnetwith spin moment2.5 µB. The magnetic ground\nstate for the coupled system is determined by field cooling\nthrough the N´ eel temperature using the stochastic Landau-\nLifshitz-Gilbertequationappliedattheatomisticlevel[ 1]. The\nlow temperature state is then analyzed to calculate the tota l\nmagneticmomentineachatomiclayer,asshownin Fig.S4.\nThecouplingofthetwolayershasanegligibleeffectonthe\nFM magnetization, but induces a small magnetic moment in\nthefirstIrMnlayer(layer16)ofaround0.2 µBperspinonav-\nerage. The secondIrMn layersandbeyondhaveno apprecia-\nblemagneticmomentduetotheirantiferromagneticstructu re,\nmakingthemagneticproximityeffectinYIG/IrMnextremely\nshort ranged. The atomistic simulations are applicable at t he\nsinglegranlevel,butabovetheblockingtemperaturethelo cal\ndirectionsoftheinterfacialmagneticmomentarerandomiz ed,\nleadingtozeronetmagneticproximityeffect.\nAnomalousHall conductivityversus IrMnlayer thickness\nAt lowT, the SH AHE almost disappears and only exists\ntheanomalousHalleffect(AHE).FigureS5showsthedepen-\ndenceoftheanomalousHallconductivity(AHC)at5Konthe\nIrMn layer thickness. The experimental data can be approx-\nimately fitted by the inverse proportion function of the IrMn\nlayerthickness,indicatingtheinterfacenatureoftheAHC .3\n0 5 10 15 20 25 300.00.51.01.52.02.5\nAFMMagnetic moment ( µB/atom)\nDistance x (atomic planes)FM\nFIG. S4: Atomistic calculation of the layer-wise total magn etic mo-\nment for a γ-IrMn/FMbilayer,showing aninterfacial moment inthe\nfirstatomic layer of IrMn.0 2 4 6 8 10 1204080120\n −σAH(10-3 S/cm)\nt AFM (nm)\nFIG.S5: ForIrMn/YIG(20nm) bilayers, theAHCat 5K versus th e\nIrMnlayer thickness.\n[1] R. F. L. Evans, W. J. Fan, P. Chureemart, T. A. Ostler, M. O. A.\nEllis and R. W. Chantrell, J. Phys.: Condens. Matter 26103202\n(2014)\n[2] S. Jenkins, W. J. Fan, R. Giana, R. W. Chantrell and R. F. L.\nEvans, Inpreparation (2015)arXiv:1503.07388v2 [cond-mat.mtrl-sci] 2 Apr 2015Nature ofmagnetotransport inmetal/insulating-ferromag net heterostructures: Spin Hall\nmagnetoresistance ormagneticproximity effect\nX. Zhou,1L. Ma,1Z. Shi,1W. J. Fan,1Jian-Guo Zheng,2R. F. L. Evans,3and S. M. Zhou1\n1Shanghai Key Laboratory of Special Artificial Microstructu re Materials and Technology and Pohl Institute of\nSolid State Physics and School of Physics Science and Engine ering, Tongji University, Shanghai 200092, China\n2Irvine Materials Research Institute, University of Califo rnia, Irvine, CA 92697-2800, USA\n3Department of Physics, University of York, York YO10 5DD, Un ited Kingdom\n(Dated: August 15, 2021)\nWestudytheanomalous Hall-likeeffect(AHLE)andtheeffec tiveanisotropicmagnetoresistance (EAMR)in\nantiferromagnetic γ-IrMn3/Y3Fe5O12(YIG)andPt/YIGheterostructures. For γ-IrMn3/YIG,theEAMRandthe\nAHLEresistivitychange signwithtemperatureduetothecom petitionbetweenthespinHallmagnetoresistance\n(SMR) and the magnetic proximity effect (MPE) induced by the interfacial antiferromagnetic uncompensated\nmagnetic moment. Incontrast, forPt/YIGtheAHLEresistivi tychanges signwithtemperature whereas nosign\nchange isobservedintheEAMR.Thisisbecause theMPEandthe SMRplayadominant roleintheAHLEand\nthe EAMR, respectively. As new types of galvanomagnetic pro perty, the AHLE and the EAMR have proved\nvitalindisentangling the MPE andthe SMR inmetal/insulati ng-ferromagnet heterostructures.\nPACS numbers: 72.25.Mk,72.25.Ba,75.47.-m\nSincethefirstobservationofspinHalleffect(SHE)insemi-\nconductors,ithasbeenstudiedextensivelybecauseofintr igu-\ning physics and important applications in generation and de -\ntection of pure spin currents [1–4]. The SHE in heavy non-\nmagneticmetal(NM)stronglydependsontheelectronicband\nstructure and the spin orbit coupling (SOC) [3]. The inverse\nspin Hall effect (ISHE) enables to electrically detect the s pin\ncurrent [5]. In the spin pumping technique, for example, the\nISHE is employed to detect the spin current in a NM layer\nby measuring the transverse voltage when the magnetization\nprecession of a neighboring ferromagnet (FM) layer is ex-\ncited[6, 7].\nIn their pioneering work, Nakayama et al.proposed spin\nHallmagnetoresistance(SMR)inNM/insulating-FMasaway\nto study the SHE in heavy NM [8]. Since then, the SMR has\nattracted a lot of attention [9, 10]. When a charge current\nis applied in the NM layer, a spin current is produced along\nthe film normal direction due to the SHE and the reflected\nspin current is modified by the orientation of the underlying\nFM magnetization with respect to the charge current. Since\nthe reflected spin current producesan additional electric fi eld\nthrough the ISHE, the measured resistivity of the NM layer\nstronglydependson the orientationof the FM magnetization .\nThelongitudinalandthetransverseresistivityread[8]:\nρxx=ρ0+ρ1m2\nt,ρxy=−ρ1mtmj+ρ2mn,(1)\nwheremnis the component of the magnetization unit vector\nalong the film normaldirection, andthe in-planecomponents\nmjandmtare parallel to and perpendicular to the sensing\ncharge current, respectively. Being negative, parameters ρ1\nandρ2refer to the spin Hall induced anisotropic magnetore-\nsistance (SH AMR) and anomalous Hall effect (SH AHE),\nrespectively. However, Huang et alfound that the magnetic\nproximity effect (MPE) may be involved [11–16]. For the\nspin polarized NM layer, the magnetoresistance (MR) effectoccursasobservedin conventionalmetallicFMs[17]:\nρxx=ρ0+ΔρAMRm2\nj,ρxy=ΔρAMRmtmj+ρAHEmn,(2)\nwhereρAHEandΔρAMRcorrespond to the MPE induced\nanomalous Hall effect (MPE AHE) and the MPE AMR,\nrespectively. The emerging MPE makes it complicated\nto clarify the mechanism of either the MR phenomena in\nNM/insulating-FMorthe SHEin theNMlayer[8–14].\nWiththeexternalmagneticfield Halongthefilmnormaldi-\nrection, the Hall resistivity in the NM layer exhibitsa simi lar\nmagneticfielddependencefortheAHEinbulkmetallicFMs,\nexhibiting the anomalous Hall-like effect (AHLE). Since th e\ntwo components, the MPE AHE and the SH AHE, are of an\ninterfacial nature, unlike the bulk feature of the conventional\nAHE, the AHLE is expected to bring new interesting infor-\nmation. For Pt/Y 3Fe5O12(YIG), for example, the AHLE re-\nsistivityρAHLEchanges sign with temperature T[12, 18, 19]\nwhereasforPd/YIGitispositivefor Tintheregionfrom5K\nto 300 K [18]. In a similar way, the effective AMR (EAMR)\ncanbedefinedwhen Hisrotatedinthe xyplane.\nIn this Letter, we study AHLE and EAMR in γ-\nIrMn3(=IrMn)/YIG and Pt/YIG to determine the role of the\nMPE, where IrMn and Pt layers are antiferromagnetic and\nnearly ferromagnetic, respectively, exhibiting differen t mag-\nnetic attributes. With a strong SOC of heavy Ir atoms and a\nlowmagneticdampingparameterin the insulatingYIG layer,\na sizable SMR effect is expected in IrMn/YIG. Meanwhile,\nexchangebias(EB)canbeestablishedbelowtheblockingtem -\nperatureTBand a small uncompensated magnetic moment\nmaybeinduced[20],exhibitinganeffectsimilar tothe MPE.\nAccordingly,theMPEoccursat low Tanddisappearsat high\nT. ForPt/YIG,however,theMPEexistsatall T. Thedifferent\nTdependenciesoftheMPEinthetwohybridstructuresallow\nthe SMR and the MPE to be separated and demonstrate the\nimportantroleofAHLEandEAMRinstudiesoftheintricate\nMRpropertiesin NM/insulating-FMheterostructures.2\nj, xt, yn, z\nα\n0510\n0306090\n120\n150\n180\n210\n2402703003300\n5\n10\n0510\n0306090\n120\n150\n180\n210\n2402703003300\n5\n100510\n0306090\n120\n150\n180\n210\n2402703003300\n5\n10\n0510\n0306090\n120\n150\n180\n210\n2402703003300\n5\n10NM j, xt, yn, z\nβ\n(f), 300 K(e), 5K\n(d), 300 K(c), 5 K(b) -105 Δρ/ρ0 MPE AMR(a)\nYIGNM\nα\nα -105 Δρ/ρ0YIG\nρxx~cos2αβ SH AMR\nβ\nρxx∼sin2β \nFIG. 1: Measurement geometries of the MPE AMR (a) and the SH\nAMR (b). In (a, b), the sensing electric current is applied al ong the\nxaxis. Angular dependent Δρ/ρ0in thexz(c, d) and yz(e, f) planes\nat 5 K (c, e) and 300 K (d, f) for IrMn/YIG. Here, the red and gree n\nlines refer to the clockwise and counter clockwise rotation s of the\nexternal magnetic field H=10kOe, and Δρ=ρxx−ρ0.\n-20\n048\n0 100 200 300-50510\n, Jγ\nxHy\nz\nyHβ\nz\nxHα\n(c)(a)105ΔρAMR/ρ0 MPE ΑΜR, α\n(b), J\n⊗-105ρ1/ρ0 SH AMR, β\nJ\n EAMR, γ\n α+β105ΔρEAMR/ρ0\nT (K)\nFIG. 2: For IrMn/YIG, the Tdependencies of ΔρAMR/ρ0(a),\n−ρ1/ρ0(b),andΔρEAMR/ρ0(c). The datain(a,b,c) wereachieved\nfrom measurements of angular dependence in xz,yz, andxyplanes\nunderH=10 kOe, respectively. In (c), the sum of −ρ1/ρ0and\nΔρAMR/ρ0isalsogiven.\nIrMn(2.5nm)/YIG(20nm)andPt (2.5nm)/YIG(20nm)\nheterostructures were fabricated by pulsed laser depositi on\nandsubsequentDCmagnetronsputteringin anultrahighvac-\nuum system on (111)-oriented,single crystalline Gd 3Ga5O12\n(GGG) substrates. Epitaxial growth of the YIG layer was\nprovedbyx-raydiffractionathighanglesandpolefigure,an dby transmission electronic microscopy (TEM), as shown in\nFigs.S1 and S2 in supplementary materials [21]. The thick-\nness of the YIG layer was characterized by x-ray reflection\n(XRR). Magnetization hysteresis loops of the samples were\nmeasured using the physical property measurement system\n(PPMS). After the films were patterned into a normal Hall\nbar, the longitudinal resistivity ( ρxx) and the transverse Hall\nresistivity( ρxy)were measuredbyPPMS.\nWhen the magnetic field His applied in the xzplane in\nFig. 1(a), ρxxapproximatelyshows the cos2αangulardepen-\ndence at low T, i.e.,ρxx≃ρ0+ΔρAMRcos2α, but it has no\nvariation at high T, as shown in Figs. 1(c) and 1(d). The os-\ncillation amplitude ΔρAMRdecreases with increasing Tand\nvanishesat high T. Withmt≡0inthexzplane,theSH AMR\nisexcludedandaboveresultsarisefromtheMPEAMRwhich\nisinturnaccompaniedbyEBat low T,asshownin Fig.S3in\nthe supplementary materials [21]. Atomistic simulations[ 22]\nconfirmthe presenceof an uncompensatedmagneticmoment\nattheIrMn/FMinterface,shownin Fig.S4inthesupplemen-\ntarymaterials[21]. Due to the structuraldegradationindu ced\nby the lattice mismatch between IrMn and YIG layers, TBof\n100KintheultrathinIrMnlayerismuchlowerthantheN´ eel\ntemperature (400-520 K) of bulk IrMn [23]. When His ro-\ntatedinthe yzplaneinFig.1(b), mj≡0,theMPEisexcluded,\nand the results in Figs. 1(e) and 1(f) correspond to the SH\nAMR. At high T,ρxxhas the sin2βangular dependence, i.e,\nρxx=ρ0+ρ1sin2β, whereas ρxxhas no variation at low T,\nthat is to say, the oscillatory amplitude |ρ1|increaseswith in-\ncreasingT.\nFigure 2(a) shows that the ratio ΔρAMR/ρ0increases from\nnegativeto positive and finally approacheszero as Tchanges\nfrom 5 K to 300 K. This phenomenon stems from the mea-\nsurement strategy in which ΔρAMRis obtained by the angular\ndependence of ρxxand contributed by three different mech-\nanisms. Induced by the uncompensated magnetic moment,\nthe first effect, MPE AMR, appears at low Tand vanishes at\nT>TB. Thesecondeffectiscausedbytheforcedmagnetiza-\ntioninducedMRunderhigh H. Theuncompensatedmagnetic\nmomentat finite Tfavorsalignmentunderhigh H, leadingto\na negative MR. Near TB, the second one becomes prominent\nand then vanishes at T>TB. Caused by the ordinary MR,\nthe third term is always positive for all Tand becomes weak\nwhenthemeanfreepathbecomesshortathigh T. Figure2(b)\nshows that the ratio −ρ1/ρ0becomes large in magnitude at\nhighT. Apparently,theSHAMRandtheMPEAMRbecome\nstrongandweakwithincreasing T,respectively. Interestingly,\nFig. 2(c) shows that ΔρEAMR/ρ0measured in the xyplane in\nwhichmn≡0andm2\nj+m2\nt≡1,isapproximatelyequaltothe\nsum ofΔρAMR/ρ0and−ρ1/ρ0. As observedin Pd/YIG [15],\nonehasthefollowingequationaccordingto Eqs.1and2,\nΔρEAMR=ΔρAMR−ρ1,\n(3)\nIn particular, ΔρEAMRalso changes from negative to positive\nas a function of T, indicating the competition between the\nMPEandtheSMR.3\nFigure 3(a) shows that the angular dependencies of ρxyin\nthexzandyzplanes are identical, in agreement with Eqs. 1\nand 2. Since the value of ρAHLEincludes the ordinary Hall\neffect (OHE) [10], and the OHE at H=10 kOe might be\nmuch larger than the value of ρ2, it is necessary to exclude\nthe OHE contribution. In order to rigorously achieve ρAHLE,\nthe Hall loops were measured for all samples, as shown in\nFig. 3(b). Here, ρAHLE= (ρxy+−ρxy−)/2, where ρxy+and\nρxy−are extrapolated from positive and negative saturations,\nrespectively. Significantly, the AHLE angle ρAHLE/ρ0also\nchanges sign near T=100 K, as shown in Fig. 3(c). Since\nρ2isalwaysnegative[8],thesignchangecannotbeexplained\nonlyin termsofthe SH AHE, and the MPE AHE shouldalso\nbeconsideredaccordingtothefollowingequation:\nρAHLE=ρAHE+ρ2. (4)\nIt is revealing to analyze the sign changes of ρAHLEand\nΔρEAMRin IrMn/YIG. The EB is established at TTB.\nMeanwhile, the SH AHE and the SH AMR, i.e., ρ2andρ1,\nare small at low Tand become large in magnitude at high T.\nApparently, both ρAHLEandΔρEAMRare mainly contributed\nby the MPE at low Tand the SMR at high T, respectively.\nSince the signs of ΔρAMRandρAHEare opposite to those\nof−ρ1andρ2, the sign changes of ρAHLEandΔρEAMRcan\nthereforebe easilyunderstood.\nWithout the data of the spin diffusion length, it is difficult\nto separate ρ2andρAHEin IrMn/YIG. At 5 K, however, ρ2\nis expected to be zero due to vanishing ρ1in Fig. 2(b), and\nρAHEis approximately equal to the measured ρAHLE, i.e.,\nρAHE≃ρAHLE=2.0×10−3µΩcm. TheanomalousHallcon-\nductivity(AHC)intheultrathinIrMnlayeris σAHC=−0.045\nS/cm, much smaller than the calculated results of bulk IrMn\n(200-400 S/cm) based on the model of nonlinear antiferro-\nmagnetism [24]. Since ρAHEat 5 K decreases sharply with\nthe IrMn layer thickness, as shown in Fig.S5 [21], the MPE\nAHEatlow TisprovedtooriginatefromtheinterfacialIrMn\nuncompensatedmagneticmoment and other physicalsources\ncan be excluded. Furthermore, near T=300 K, the MPE\nAHE disappears, i.e., ρAHE=0, andρ2is thus equal to the\nmeasured ρAHLE, i.e.,ρ2=ρAHLE=1.76×10−3µΩcm.\nFigure 4(a) shows ρAHLEandρ2in Pt(2.5 nm)/YIG.\nHere,ρ2was calculated in the frame of the SMR theory [8],\nwith the film thickness (2.5 nm) of Pt, the ratio of real and\nimaginary parts of the spin mixing conductance at Pt/YIG\ninterface [10], i.e., Gi/Gr=0.03, the spin diffusionlength in\nthe inset of Fig. 4(a) [25], and the measured ρ1in Fig. 4(b).\nSince|ρ2| ≪ |ρAHLE|atall T, the sign change of ρAHLE\ncannot be explained in terms of the SH AHE, and instead\nit is mainly caused by the MPE AHE according to Eq. 4.\nIt is noted that no sign change was observed in ρAHLEfor-30 -20 -10 0 10 20 30-4-2024\n0 100 200 300-10120 90 180 270 360-101\nAFM\nFM\nAFM\nFMρxy-\n(c)(b)\n300 K100 Kρxy (10-3 µΩ⋅cm)\nH (kOe)2 K(a)\nρxy+\nT>TB(c)105 ρAHLE/ρ0\nT (K)T0) generated via various\nnonlinear spin wave processes can be received and\nconverted by the output antenna that subsequently\nlaunches post-generation spin waves back to the YIG, or\ncirculates (in electromagnetic wave form) through the\nphotonic part and re-enters the input antenna. Such\na property is critical to the observations of harmonic\nfrequencies to be discussed later. The strength of the\napplied magnetic field is another critical factor that not\nonly serves as a requisite condition for the self-generation\nin the MOEO, but also is needed for the onset of the\nnonlinear interactions and the excitation of chaos. In\nour setup, we use a quadratic-pole electromagnet system\nsupplying vector magnetic fields up to 0.38 T, which\nconveniently enables the various configurations for\nspin wave activation, such as surface or volume waves\non-demand (details to be discussed later). Overall, the\nYIG delayline represents a broadband, passive device\nwith continuous frequency spectrum, tunable time delay,and a host of nonlinear behaviors, whose characteristics\ncan be readily controlled by the external magnetic field\nbesides microwave power.\nIII. RESULTS AND DISCUSSIONS\nA. Generic Optoelectronic Performance\nWe first focus on the generic signal feedback behaviors\nof the OEO subsystems without inserting the YIG delay-\nline. In Table I, we have outlined the parameter space,\nin which the most important controls are the MZM dc-\nbias,V,the feedback gain, G, the externalmagnetic field,\nH, and the delay time, τ. The feedback gain is usually\ndefined as the onset power level for observing the auto-\noscillation. Here, it is continuously tuned by the atten-\nuation level, A, of the loop via a variable microwave at-\ntenuator. Earlier works using a YIG feedback loop were\nconducted under either a fixed V42–44orH48, thus the G\nis a fixed number throughout the discussion. Here, due\ntothedifferentauto-oscillationthresholdsofthefeedback\nloop with respect to changing V,H, the gain will have to\nbe defined differently for each scenario. We thus find it\nis more convenient to use just the attenuation level, A,\nthroughout the discussion. V, A, and H will be scanned\nwith high resolution over a broad range via automation,\nand the delay time will be manually varied by adjusting\nthe optical fiber length, the phase shifter, and the spin\nwaves in YIG.\nFigure 3 shows the OEO signals (without inserting the\nmagnonic part) in the frequency (top panel) and time\n(bottom panel) domains. The spectra and realtime os-\ncillations in each subplot are scanned with increasing\nthe loop gain (reducing the attenuation level, from 20\nto 3 dB, of the variable attenuator). Harmonic frequen-\ncies are sharply generated at a certain attenuation level,\nwhich can be defined as the reference gain, i.e. G= 0\ndB, to indicate the onset of the auto-oscillation. We ob-\nserve a series of the signal harmonics at 694.1, 1389.5,\n2084.8... MHz, which corresponds to a short time period\nof 1.4 ns (Fig. 3, top panel) . As the ring gain increases,\nthe signal starts to bifurcate and eventually enters the\nchaotic regime. The thresholds for the auto-oscillation\nare slightly different at different MZM dc bias within the\noperational window, as seen in Fig. 3, but otherwise the\nobserved feature are all similar. Such a dependence on\nthedcBiasisalsoeasilyseenbythescanned( V, A)phase\ndiagram in Fig. 4, which we will discuss further below\nand in comparison with the insertion of the magnonic\n(YIG) part.\nB. Magnonics-based mode-filtering\nThe use of the vector magnet allows us to construct a\n2D magnetic field via vector addition, see Fig. 2. Gener-5\nFIG. 3. The spectrum (top panel) and waveform (bottom panel) of the microwave signals as a function of the ring gain and at\ndifferent MZM dc Bias values. Harmonic frequencies are obser ved with a fundamental frequency at 694.1 MHz and ∆ f∼695\nMHz.The horizontal axis is the power attenuation value in dB, sca nned from 20 to 3 dB. The smaller the attenuation, the\nhigher power flowing inside the loop.\nally, spin waves in the YIG strip can be excited in three\ndifferent configurationswith distinct dynamic properties,\ni.e., forward volume spin wave, backward volume spin\nwave,andmagnetostaticsurfacespinwave(MSSW)49–51.\nIn this present work, as an example, we use MSSWs\nfor our MOEO study and demonstrate auto-oscillations,\nmode selecting and filtering, as well as harmonic modes\nintermixing. However, we note that the system, in gen-\neral, could also be used to investigate other types of spin\nwave and/or spin dynamics involving complex field-scan\nsequences.\nIn the MSSW configuration, the external magnetic\nfieldHis applied in the plane of the YIG, and perpendic-\nular to the direction of spin wave propagation. We mea-\nsure the transmission characteristics (via the microwave\ncoupler) by scanning the dc bias and the gain, and there-\nfore construct the 2D phase-diagram of ( V, A).\nFigure 4(a) first shows such a 2D map for a measure-\nment without the magnonic part. The transmission win-\ndow lies from 0 V to ∼3.9 V and peaks at ∼1.8 V.\nThe minimum transmission point is 2.1 V away from\nthe maximum, corresponding to the1\n2Vπof the MZM,\nwhich are expected for typical OEO performance. After\ninserting the magnonic part, the YIG component simul-\ntaneously plays the role of a mode filtering, time delay,\nand nonlinear element. Due to the spin wave mode se-\nlection, a significant amount of power transmission is re-\nduced. While the phase diagram by itself largely repre-\nsentsgenericcharacteristicsofthe electronicsofthe OEO\nloop, the magnetic field dependence reflects the selective\npower transmission due to magnonic mode selection in\nthe YIG strip, and is clearly evident from our measure-\nments.\nFigure4(b)-(e) comparesthe ( V, A)diagramsofpowertransmission in the presence of the YIG delayline at dif-\nferent applied magnetic fields. The transmitted power\nis an order of magnitude smaller than the case without\nthe YIG in Fig. 2(a). At H= 20 mT [Fig. 4(b)], the\ntransmission across the Vrange becomes more contin-\nuous than in (a), where the peak value can still be rec-\nognized (at lower gain values), around 1.8 V. Then, in-\ncreasing the field to H= 40 mT [Fig. 4(c)] results in a\nstrongshiftofthe transmissionpattern, inwhichastrong\npower transmission (of spin waves) emerges around the\nVπpoint of the MZM. As the field further increases, the\ntransmission window keeps narrowing down at 70 mT,\nFig. 4(d), and eventually to a very narrow transmission\nregime, once the magnetic field passes the YIG spin wave\nbands around90 mT, in Fig. 4(e). The ( V, A) phase dia-\ngram provides an overall evaluation of the change in the\ntotal power transmission pattern of the microwave sig-\nnals by the insertion of the YIG delayline. It is desirable\nto gain a closer look at the details of the spin wave bands\nupon scanning the parameters V,A, andH.\nC. Magnetic-field-tunable signal channels\nOne of the initial motivations in studying spin wave\npropagation was the realization of microwave filters\nfor analog data processing. For example, studies of\nmagnonic crystals demonstrate engineered spin wave\nspectra which comprise regions of frequencies for which\nthe transmission of the microwave signals is prohibited,\nlike a bandgap26–29. The central frequencies of the\npass/stop bands can be readily controlled by the applied\nmagneticfield. However,to-datetherehasbeennoreport6\nFIG. 4. Scanned ( V, A) phase diagrams, (a) without the YIG\ndelayline, and with the YIG at different magnetic fields, (b)\n20 mT, (c) 40 mT, (d) 70 mT, and (e) 90 mT.\nshowing the use of magnonic crystals in auto-oscillating\nsystems.\nHere, one important advantage of the MOEO lies in\nthe existence of two-tone excitation that are of very dif-\nferent nature: one is the OEO photonic mode that fea-\nturessharpresonanceprofileandhighspectrapurity, and\nis relatively insensitive to the magnetic field; the other is\nthe magnonic mode that is significantly more lossy, and\nFIG. 5. Evolution of the spin wave bands versus the ring\nattenuation at different external magnetic field near a ∼4\nGHz OEO mode. The right panel is the example 1D trace\ntaken at a ring attenuation level of 3.75 dB.susceptible to magnetic fields and nonlinear effects. Dif-\nferent from a pure YIG feedback ring where the funda-\nmental mode (and all the higher harmonics modes) are\nboth of magnetic origin (Kittel modes), the MOEO al-\nlows tuning the Kittel modes close to or away from the\noptoelectronic (OEO modes) by changing magnetic field.\nFigure 6 shows an example of an OEO harmonic mode\ntuned near a spin wave band (at∼4 GHz) and at se-\nlective field steps, from 66 mT to 80 mT . At 66 mT, a\nbroad excitation band covering from ∼3.3 - 4.2 GHz are\nfound, whose amplitude persists even at quite high at-\ntenuation A(low gain) level. At 69 mT, the spectrum\nsignificantly narrows down at high Avalues (above ∼15\ndB), demonstrating a magnetic-field-tuned bandpassing.\nAs the field further increases to 72 mT, the passband\ncontinues to narrow for A>12 dB, and in addition, the\nhigher power regime also starts to exhibit some instabil-\nity over the power level. Finally, at 80 mT, only a very\nnarrowspectraneartheOEOmodecanbe seenatalmost\nthewholepowerattenuationrange,whichisaccompanied\nalso by a significant instability at higher power levels ( A\n<7 dB). Finally, the broad spin wave bands is due to the\nthickand wide YIG strip that hosts a broad a range of\nwavenumbersand also due to the perpendicular standing\nspin wave (PSSW) modes that are co-excited which will\nbe discussed later. Using thinner and narrowerYIG sam-\nples and/ormagnonic crystalswould potentially result in\na narrower passband and also mitigate the PSSW mode\nexcitations.53,54\nD. Combinatorial time delay\nThe total delay time is an important parameter to the\nfrequency self-generation and memory effect in the auto-\noscillating system52. Generally, frequency generation en-\nters after a positive delayed feedback has been added\nto the system. If the loop gain is sufficient to compen-\nsate the losses of the loop, a signal can circulate in the\nring, thus forming a ring resonator with a discrete set\nof frequencies. In opto-electronic systems, the time de-\nlay is achieved by light traveling in the photonic fibers\nor other optical phase-changing components19(photonic\nand electronic parts) . In the active ring configuration,\nthe microwave signal from the output antenna is ampli-\nfied and then injected back to the input end17. Delay\ncomponents such as rf phase shifter and/or electronic\ndelay55can be inserted in the microwave path. The time\ndelay in the YIG strip is achieved by spin waves travel-\ning between the two antennas, resulting in a phase shift\nof the microwave signal across the YIG strip (magnonic\npart). Therefore, the total time delay of the loop is:\nτ=τp+τe+τm, in which the subscripts, p,e,mrepre-\nsents photonic, electronic, and magnonic delays. Cor-\nrespondingly, the resulting phase shift can be written\nas:Φ = Φ p+Φe+Φm43. Here, Φ p=neffKlpis the linear\noptical phase shift, where neffis an effective refractive\nindex in the fiber, Kis the optical wavenumber, and lpis7\nthe length of the fiber. Φ eis the electronic phase that ac-\ncounts for the microwave cables, amplifers, and rf phase\nshifter. Φ m=klm, in which kis the spin wave wavenum-\nber and lmis the length of the delay line. Often times\nit is also necessary to include the nonlinear counterparts\nof the phase contributions from the above components,\nin which the spin wave nonlinear contribution to phase,\nΦNL\nm=−Nm2\n2M0lm/vg, would play a nontrivial role such\nas the microwave bistability44. In the formula, Nis the\nnonlinear self-coefficient for MSSW, mis the transverse\nmagnetization, M0is the total magnetization, and vgis\nthe spin wave group velocity.\nIn our measurements, we have tested our MOEO sys-\ntem with different fiber lengths ranging from short de-\nlays (1, 2, 5 m) and long delays (500, 1000, 2000 m).\nThe resultant time delay ranges from several ns to ∼\n5µs. Previous works have mostly focused on long de-\nlay from the photonic part. Here we explore the situa-\ntion where the two delay time are comparable and also\nwhere the magnonic delay is even more than the opti-\ncal delay. Overall, we found that the spectral purity is\nlargely enhanced at longer delay time by the elimination\nof higher-order harmonic modes, however, the dominant\nOEO mode and its intermixing with the Kittel mode are\nalwaysobserved(to be discussedlater). In addition, elec-\ntronic delay such as using microwave cables and the rf\nphase shifter yields similar results that are not interest-\ning within the present context. However, we note thatthe rf shifter is found to be very effective in fine-tuning\nthe OEO modes owing to the larger photon wavelength\nat the microwave frequencies. On the other hand, the\nmagnonic delay due to the YIG strip is governed by the\nrelevant propagating spin waves and their dispersion re-\nlationship and group velocities, which we will separately\ndiscuss in detail in below.\nE. Spin wave dispersion and group velocity\nIn the YIG delayline, which eigenmodes are excited\ndepends on the frequency characteristics, i.e., the delay-\nline’s transductionfrequency band and the spin wavedis-\npersion relation. Fig. 6 plots the MOEO spectra as a\nfunction of the applied magnetic field. Figure 6(a) sum-\nmarizes the OEO ( fo) and Kittel ( fm) modes and the\nprocess of harmonic modes generation with respect to\nthe magnetic field. Fig. 6(b)-(d) shows the example dis-\npersion maps at different attenuation levels, 30, 19, and\n3 dB. The dashed lines are corresponding fits to the har-\nmonic spin wave beating modes.\nThe magnetic field direction supports the MSSW\nmodes. First, at frequencies below ∼5 GHz we observe\ntwo strong branches with symmetric dispersion curve for\npositive and negative fields, Fig. 6(b). They correspond\nto the dominant MSSW modes that can be expressed\nas56:\nfMSSW(H,k) =/radicalbigg\n(fH+λ2exfMk2)(fH+λ2exfMk2+fM)+f2\nM\n4(1−e−2kd) (1)\nwherefH=γH,fM=γ(4πMs),His the applied mag-\nnetic field, Msis the saturation magnetization, dis the\nthickness of the YIG, kis the wavevector, and γis the\ngyromagnetic ratio, λexis the exchange length and is\nequal to/radicalbig\nAex/2πM2s, andAexis the exchange stiffness.\nIn Fig. 6(b,c,d), we also show the fitting curve by taking\nthe dominant OEOmode as fo=ωo/2π= 2.9 GHz, withthe mode beating taking place for both ωo, 2ωo, and 4ωo.\nWe take the values for YIG, γ/2π= 28 GHz/T, µ0Ms=\n0.1835T, Aex= 3.5 pJ/m, and d= 7.8µm, in our analy-\nsis. The fitting curves nicely reproduce the experimental\nspectra. The MSSW group velocities, vgM, of spin wave\nin the YIG delayline can be derived as:\nvgM=∂f\n∂k=2λ2\nexfMk(fH+λ2\nexfMk2+fM/2)+f2\nMde−2kd/4/radicalBig\n(fH+λ2exfMk2)(fH+λ2exfMk2+fM)+f2\nM\n4(1−e−2kd)(2)\nAstheattenuationisdecreased,theauto-oscillationspec-\ntrum becomes stronger and broader in frequency, espe-\ncially towards higher frequency, as seen in Fig. 6(c).\nThis is due to the onset of auto-oscillationfor the MSSW\nmodes with higher k, which require larger gain to com-\npensate the energy loss for reaching self-oscillation. Con-\nsidering the range of the spin wave modes excited in ourexperiment as shown in Fig. 6, we calculate the spin\nwave dispersion and group velocities versus the magnetic\nfield as shown in Fig. 7(a) and (b). The spin wavevectors\nrange from 0 to 105rad/m. The spin wave velocities can\nrange from ∼10 to 30 km/s, corresponding to a delay\ntime from ∼200 to 600 ns, at H= 20 mT for example.\nBesides the two main MSSW modes, many additional8\nFIG. 6. (a) Schematic illustration showing the two-tone exc itation from the OEO modes, foand its harmonics, and the Kittel\nmodes,fm. Harmonic beating modes are generated due to nonlinear exci tation, which extends the signal self-generation to\nregions further away from the dominant OEO modes by the exter nal field. The zoom-in section illustrates the four-wave mix ing\nprocess when the spin wave band span across the the OEO mode. E xample dispersion maps of the MOEO modes measured at\ndifferent attenuation levels, (b) 30 dB, (c) 19 dB, and (d) 3 dB .\nauto-oscillation branches appear further away from the\ndominant MSSW waveband when the attenuation is de-\ncreased, as can be seen in Fig. 6(d). These new modes\nare the higher-order harmonics of the MSSW modes in-\ntermixed with the OEO modes that are nearly indepen-\ndent ofH. In addition, we also observe auto-oscillation\nbranches with frequencies that decrease with H(beating\nmodes), especially for 3 dB attenuation. In Fig. 6(d),\nup to the 4thorder harmonics of the MSSW modes can\nbe observed. Both the higher harmonics and the inter-\nmixed modes are due to the nonlinear effects of the mag-netization dynamics in the YIG9,59,60, especially in the\nauto-oscillation regime where the dynamics is of larger\namplitude.\nIn addition, the broadening of the auto-oscillation\nspectra may also come from the excitation of perpendic-\nular standing spin wave (PSSW) modes along the thick-\nness direction. Since the thickness of the YIG delay line\nis 7.8µm, the PSSW modes are highly degenerate and\ncan mix with the MSSW modes with higher in-plane k\nvalues53,61. The dispersion relation for the YIG PSSWs\nare written as57,58:\nfPSSW(H,k) =/radicalbigg\n[fH+λ2exfMk2\nin+λ2exfM(nπ\nd)2][fH+λ2exfMk2\nin+λ2exfM(nπ\nd)2+fM] (3)9\nwhere the total wavenumber ktot=/radicalBig\nk2\nin+k2perp,kinis\nthe in-plane wavenumber, and kperp=nπ/d,nis the\nPSSW mode number. The available PSSW modes and\ntheir wavevectors are constrained by the film thickness.Due to the relatively thick YIG film in our present study,\nd= 7.8µm, the frequency gap between adjacent PSSW\nmodes are quite close to each other, appearing as a spin\nwave band especially at higher nvalues53. The PSSW\nspin wave group velocities, vgP, can be given as:\nvgP=∂f\n∂k=2λ2\nexfMkin[fH+λ2\nexfMk2\nin+λ2\nexfM(nπ\nd)2]+fM/2\n[fH+λ2exfMk2\nin+λ2exfM(nπ\nd)2][fH+λ2exfMk2\nin+λ2exfM(nπ\nd)2+fM](4)\nThe PSSWspin wavedispersionand groupvelocities ver-\nsus the magnetic field are shown in Fig. 7(c) and (d), at\nexample nvalues, 1, 35, and 50. Compared with the\nMSSWs, the PSSW spin wave velocities are only a few\nm/s, thus they are expected to play a negligible role in\nthe spin wave propagation of the YIG delayline even if\nthey are present in the dispersion curves.\nF. Optoelectronic and magnonic beating modes\nWethen comebacktoFig.6and concentrateontheres-\nonanceharmonicsandthe nonlinearmixingofspin waves\nunder the two tone excitation unique to our MOEO. It\nis noted that conventional optical fiber nonlinearity are\ndifficult to achieve; and, the advantage of using a YIG\nFIG. 7. (a) Calculated MSSW frequency band as a function\nofµ0H. The plotted krange is chosen from 0 to 105rad/m\nto match the experimental observation. (b) The correspond-\ning MSSW group velocity bands as a function of µ0H(lighter\ncolors indicate larger kvalues). (c) Calculated PSSW fre-\nquencies as a function of µ0H, showing example trace of n=\n1 (blue), n= 35 (red), and n= 50 (black). The plotted k\nrange is chosen from 0 to 105rad/m. (d) PSSW group veloc-\nity bands as a function of µ0H, showing the cases for n= 1\n(blue),n= 35 (red), and n= 50 (black).delayline is its narrow linewidth, which allows, even at\nmodest applied microwave power, the access to the non-\nlinear responses with abundant features in high-order\ndynamics including phase conjugation (time reversal),\ntwo-magnon, three-magnon process, and four-wave mix-\ning and squeezing30–39. Experimental methods towards\nthe nonlinear effects also often involve parametric pump-\ning, spin-wave bullets (soliton), and dynamic spin-wave\nchannels37,62,63. Only recently, four-wave mixing and\nphase conjugated magnons are reported in the continu-\nouswave(CW) regimebyusingspatiallyseparatedpump\nand probe beams64. However, current reports involv-\ning two-tone auto-oscillations and nonlinear harmonics\nare only contained within the context of pure magnon\nmodes36–39,64.\nWe can understand the origin of the mode beating ef-\nfect by examining the macrospin version of the Landau-\nLifshitz equation:\ndm\ndt=−|γ|m×Heff. (5)\nThetransversedynamicmagnetization, m, couplestothe\nrf field,h, from the antennas. Due to the delayline struc-\nture with the two transducers, the nonlinear excitation\nat both ends of the delayline introduce a two-tone exci-\ntation as illustrated in Fig. 6(a). One tone is the OEO\nmode (whose fundamental frequency is at fo) with the rf\nfield amplitude, ho. This mode is strong, narrowband,\nand sensitive to the accumulated phase of the whole cir-\ncuit and be effectively fined-tuned by the rf phase shifter.\nThe other tone, as introduced earlier, is due to the ex-\ncitation of the Kittel mode, fm, from the YIG, with an\nexcitation amplitude, hm. The beating modes observed\ninFig.6(a)result fromthe nonlinearinteractionsbetween\nthe OEO tone and the propagating magnonic tone in the\nYIG delayline, similar to the observations in spin-torque\noscillators. The antenna converts the power of the elec-\ntromagnetic waves into spin waves and vice versa, and,\nnew harmonic frequencies generated via four-wave pro-\ncesses can be also received and converted by one antenna\nthat subsequently launches post-generationspin wavesin\nthe YIG after circulating in the loop and re-entering the\nother antenna. Therefore, the total rf excitation field, h,\nunder the self-generation, has the dual components:\nh=hoeiωot+h∗\noe−iωot+hmeiωmt+h∗\nme−iωmt(6)10\nFIG. 8. Evolution of the frequency- and time-domain spectra for a small magnetic field window (scanned from 60 to 80 mT)\nand at different loop attenuation level (from 15 to 6.5 dB). Fo r the frequency spectra, the frequency range of interest is f rom\n7.0 to 9.0 GHz, to enclose an local OEO harmonic mode center ar ound∼8 GHz, and the power is scanned from -100 to 0 dBm.\nFor the time spectra, the scanned time window is 0 to 500 ns. On ly selective 1D traces are shown due to their representative\nfeatures. The harmonics from different generations are mark ed by thin vertical lines in the plot.\nwhereωo= 2πfoandωm= 2πfmare the angular fre-\nquencies for the OEO and Kittel tones, respectively. Un-\nder high power and in the nonlinear regime, the trans-\nverse magnetization are given by considering hand the\nsusceptability χwith including also the higher odd-order\nterms (the even orders are omitted due to its thermal\norigin): m=χ(1)h+χ(3)h3+.... The higher or-\nder terms allow introducing the harmonics terms, for\nexample, the higher magnon harmonics, h3\nmei(3ωm)t,\nh∗3\nme−i(3ωm)t, as well as the mixed spin wave and OEO\nmodes,hmh2\noei(2ωo+ωm)tandh∗\nmh2\noei(2ωo−ωm)t, andsoon.\nIn our present work, we observe the two-tone beating\nmodes involving up to the 4-th of OEO harmonics and\nthe 3-rd of spin wave harmonics.\nA better insight may be gained by evaluating the spec-\ntral evolution when the spin wave modes are tuned (by\nthe magnetic field) to intersect with an OEO mode and\nat different loop attenuation levels. Figure 8 presents the\nevolution of the frequency spectra for a small magnetic\nfield window (scanned from 60 to 80 mT) and at different\nloop attenuation level (from 15 to 6.5 dB). The frequency\nrange of interest is from 7.0 to 9.0 GHz, to enclose an lo-\ncal OEO harmonic mode center around ∼8 GHz, and\nthe power is scanned from -100 to 0 dBm. Only selective\n1D traces are shown due to their representative features\nas discussed below.\nIn Figure 8, at 60 mT, before the spin wave band kicksin, no strong harmonics are observed even for the OEO\nmodes. This reflects the property that YIG delayline\nbeing a good narrowband rf filter similar to previously\nreported27,43. As field increases to 68 mT, a wide spin\nwave band shows up, and then at 70 mT, distinct OEO\nharmonics can be observed at selective attenuation level,\ne.g., 13.5 to 10 dB. As the spin wave band further shifts\nto the higher frequency, at 72 mT, the OEO harmon-\nics can be more broadly observed for almost the whole\npowerrange. Inparticular,bytracingtheplotsvertically,\nthe power evolution of the spectra at this magnetic field\nshows a process of frequency-halving (periodic doubling)\ndue to four-wave mixing. First, a single, strong OEO\nmode is observed at A >13.5 dB, and two sidebands\nemerges at A= 12.5 dB. Second, further reducing the\nloop attenuation results in more sidebands and also the\nbroadening of the mode spectra. These modes are uni-\nformly spaced and centered around the dominant mode.\nThen, at A= 9.0 dB, a clear frequency-halving (periodic\ndoubling) due to the four-wave process is evident. Fi-\nnally, the spectrum further broadens at A= 6.5 dB. The\nharmonics from different generations are marked by thin\nvertical lines in the plot. The corresponding time traces\nmeasured concurrently by the 20-GHz oscilloscope is also\nincluded as the insets to each key frequency spectrum.\nSimilar features are also present for H= 74 and 76\nmT, however, as the spin wave band shifts to higher fre-11\nquency with the magnetic field, the dominant harmonic\nmodeandsidebandsalsoshift tothe right. Eventually,as\nthe spin wave band moves out of the frequency window,\nfor example at 80 mT, the OEO modes are also greatly\nattenuated. The OEO harmonics are known to hold im-\nportant applications in optoelectronics such as low-noise\nrf generation, frequency combs, and signal amplification.\nThe four-wave mixing is also an important process to-\nwards nonlinear signal generations, in its close context\nwith the modulation instability and chaotic spin wave\nexcitation. The OEO modes are usually set at discrete\nfrequencies locked by the loop delaytime. On the other\nhand, the Kittel modes are smoothly tuned by the mag-\nnetic field, and the nonlinear mixing of the two may offer\nextended tunability in their 2D dispersion map in Fig. 6,\nespecially at the regimes where conventional OEO mode\nfrequencies are hard to generate.\nFIG. 9. Time-domain block diagram a typical MOEO. The\nvariable x(t) circulates in the clockwise direction and is sub-\njected to the four characteristic elements of the loop, i.e. non-\nlinearity, time-delay, gain, and linear filter. Notably, th e YIG\nmagnonic component plays a role in all four elements due to\nits respective properties introduced above.\nIn summary, we report the construction and character-\nization ofacomprehensiveMOEOsystembased on 1550-\nnm photonics and YIG magnonics. The architecture of\nthe feedback loop, following the time-domain block dia-\ngram illustrated in Fig. 9, is characterized by the four\nessential elements, namely, the nonlinearity, time-delay,\ngain, and spectral filtering. The dynamics of the system\ncan be tracked by a dynamical variable x(t). In particu-\nlar, as compared to conventional OEO systems, the YIG\ncomponent effectively introducescontributionsto all four\nkey elements of the feedback loop, through the YIG’s re-\nspective magnonic characteristics. Figure 10 indicates\nthat the hybrid optoelectronic systems may be another\nplatform for exploring the advantages of YIG magnonics.\nThe MOEO system exhibits a rich parameter space in-\nvolving synergistic control from the photonic, electronic,\nand magnonic parts. Taking advantage of the spin wave\ndispersion of YIG, the frequency self-generation as well\nas the related nonlinear processes become sensitive tothe external magnetic field. Besides known as a narrow-\nbandfilter, the YIGspinwavemodescanbe controlledto\nmix with the OEO modes to generate harmonic beating\nmodes. With the ultrahigh sensitivity of the oscillator\nfrequencies and harmonics and versatile tunability under\nexternal perturbations such as heat, strain, electric field,\nand spin-torques , the MOEO system may find usefulness\nin sensing applications in magnetism and spintronics be-\nyond optoelectronics and photonics.\nACKNOWLEDGMENT\nThis work, including apparatus buildup, experimental\nmeasurements, and data analysis, was supported by U.S.\nNational Science Foundation under Grants No. ECCS-\n1933301 and ECCS-1941426. Work at Argonne, includ-\ning sample holder fabrication and spin wave modeling,\nwas supported by U.S. DOE, Office of Science, Materi-\nals Sciences and Engineering Division. We are extremely\ngratefulforAndrii Chumak forprovidingthe testing YIG\ndelayline and Vasyl Tyberkevych, Andrei Slavin, Aaron\nHagerstrom, and Mingzhong Wu for helpful discussions.\nDATA AVAILABILITY\nThe data that support the findings of this study are\navailable from the corresponding author upon reasonable\nrequest.\n1M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt, ”Cavity\nOptomechanics”, Rev. Mod. Phys. 86, 1391 (2014).\n2M. I. Rabinovich, P. Varona, A. I. Selverston, and H. D. I. Aba r-\nbanel, ”Dynamical principles in neuroscience”, Rev. Mod. P hys.\n78, 1213 (2006).\n3H. Aref, et al., ”Frontiers of chaotic advection”, Rev. Mod. Phys.\n89, 025007 (2017).\n4A. Argyris, D. Syvridis, L. Larger, V. Annovazzi-Lodi, P. Co let,\nI. Fischer, J. Garcia-Ojalvo, C. R. Mirasso, L. Pesquera, an d K.\nA. Shore, ”Chaos-based communications at high bit rates usi ng\ncommercial fibre-optics links”, Nature. 438, 343 (2005).\n5F. Varela, J. Lachaux, E. Rodriguez, and J. Martinerie, ”The\nbrainweb: Phase synchronizatin and large-scale integrati on”,\nNat. Rev. Neurosci. 2, 229 (2001).\n6H. Haken and H. Sauermann, ”Frequency shifts of laser modes\nin solid state and gaseous systems”, Z. Phys. 176, 47 (1963).\n7J.Grollier,S.Guha, H.Ohno, and I.K.Schuller, ”Preface to Spe-\ncial Topic: New Physics and Materials for Neuromorphic Com-\nputation”, J. Appl. Phys. 124, 151801 (2018), and the topical\narticles therein.\n8A. Chernikov and G. Schmidt, ”Conditions for synchronizati on\nin Josephson-junction arrays”, Phys. Rev. E 52, 3415 (1995).\n9A. Slavin and V. S. Tiberkevich, ”Nonlinear auto-oscillato r the-\nory of microwave generation by spin-polarized current”, IE EE\nTransaction on Magnetics 45, 1875 (2009).\n10A. Ruotolo, et al. ”Phase-locking of magnetic vortices mediated\nby antivortices”, Nature Nanotechnology 4,528 (2009).12\n11J. Grollier, V. Cros, and A. Fert, ”Synchronization of spin-\ntransfer oscillators driven by stimulated microwave curre nts”,\nPhys. Rev. B 73060409(R) (2006).\n12V. S. Tiberkevich, A. Slavin, E. Bankowski, and G. Gerhart,\n”Phase-locking and frustration in an array of nonlinear spi n-\ntorque nano-oscillators”, Appl. Phys. Lett. 95, 262505 (2009).\n13X. S. Yao and L. Maleki, ”Optoelectronic Oscillator for Pho-\ntonic Systems”, IEEE Journal of Quantum Electronics 32, 1141\n(1996).\n14M. C. Soriano, J. Garcia-Ojalvo, C. R. Mirasso, and I. Fis-\ncher, ”Complex photonics: Dynamics and applications of del ay-\ncoupled semiconductors lasers”, Rev. Mod. Phys. 85, 421 (2013).\n15L. Larger, ”Complexity in electro-optic delay dynamics: mo d-\nelling, design and applications”, Phil Trans R Soc A 371,\n20120464 (2013).\n16Z. Wang, A. Hagerstrom, J. Q.Anderson, W. Tong, M.Wu, L. D.\nCarr, R. Eykholt, and B. Kalinikos, ”Chaotic spin-wave soli tons\nin magnetic film feedback rings”, Phys. Rev. Lett. 107, 114102\n(2011).\n17M. Wu, ”Nonlinear spin waves in magnetic film feedback rings” ,\nSolid State Physics 62, 163 (2010).\n18L. Maleki, ”The optoelectronic oscillator”, Nature Photon ics5,\n728 (2011).\n19Y. K. Chembo, D. Brunner, M. Jacquot, and L. Larger, ”Op-\ntoelectronic oscillators with time-delayed feedback”, Re v. Mod.\nPhys.91, 035006 (2019).\n20M. Harder and C. -M. Hu, ”Cavity Spintronics: An Early Re-\nview of Recent Progress in the Study of Magnon-Photon Level\nRepulsion”, Solid State Physics, 69, 47 (2018). R. Stamps and\nR. Camley (Ed.), Academic Press.\n21H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifenstei n,\nA. Marx, R. Gross, and S. T. B. Goennenwein, ”High Coopera-\ntivity in Coupled Microwave Resonator Ferrimagnetic Insul ator\nHybrids”, Phys. Rev. Lett. 111, 127003 (2013).\n22X. Zhang, C. -L. Zou, L. Jiang, and H. X. Tang, ”Strongly Cou-\npled Magnons and Cavity Microwave Photons”, Phys. Rev. Lett .\n113, 156401 (2014).\n23Y. Li,et al., ”Strong coupling between magnons and microwave\nphotons in resonant ferromagnet-superconductor thin-film de-\nvices”, Phys. Rev. Lett. 123, 107701 (2019).\n24J. T. Hou and L. Liu, ”Strong coupling between microwave pho-\ntons and nanomagnet magnons”, Phys. Rev. Lett. 123, 107702\n(2019).\n25Y. Li, W. Zhang, V. Tyberkevych, W. -K. Kwok, A. Hoffmann,\nV. Novosad, ”Hybrid magnonics: Physics, circuits, and appl ica-\ntions for coherent information processing”, J. Appl. Phys. 128,\n130902 (2020).\n26A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands ,\n”Magnon spintronics”, Nature Phys. 11, 453 (2015).\n27A. V. Chumak, A. A. Serga, and B. Hillebrands, ”Magnonic crys -\ntals for data processing”, J. Phys. D: Appl. Phys. 50, 244001\n(2017).\n28V. V. Kruglyak, S. O. Demokritov, and D. Grundler, ”Magnon-\nics”, J. Phys. D: Appl. Phys. 43, 260301 (2010).\n29A. V. Chumak, V. S. Tiberkevich, A. D. Karenowska, A. A.\nSerga, J. F. Gregg, A. N. Slavin, and B. Hillebrands, ”All-li near\ntime reversal by a dynamic artificial crystal”, Nature Commu n.\n1, 141 (2010).\n30W. Platow, A. N. Anisimov, G. L. Dunifer, M. Farle, and\nK. Baberschke, ”Correlations between ferromagnetic-reso nance\nlinewidths and sample quality in the study of metallic ultra thin\nfilms”, Phys. Rev. B 58, 5611 (1998).\n31G. Woltersdorf and B. Heinrich, ”Two-magnon scattering in a\nself-assembled nanoscale network of misfit dislocations”, Phys.\nRev. B69, 184417 (2004).\n32A. M. Hagerstrom, W. Tong, M. Wu, B. A. Kalinikos, and R.\nEykholt, ”Excitation of Chaotic Spin Waves in Magnetic Film\nFeedback Rings through Three-Wave Nonlinear Interactions ”,\nPhys. Rev. Lett. 102, 207202 (2009).33S. R. Lopes and A. C. -L. Chian, ”Controlling chaos in nonline ar\nthree-wave coupling”, Phys. Rev. Lett. 54, 170 (1996).\n34J. Marsh and R. E. Camley, ”Two-wave mixing in nonlinear mag-\nnetization dynamics: A perturbation expansion of the Landa u-\nLifshitz-Gilbert equation”, Phys. Rev. B 86, 224405 (2012).\n35R. E. Camley, ”Three-magnon processes in magnetic nanoele-\nments: Quantization and localized mode effects”, Phys. Rev. B\n89, 214402 (2014).\n36D. M. Bloom and G. C. Bjorklund, ”Conjugate wave-front gen-\neration and image reconstruction by four-wave mixing”, App l.\nPhys. Lett. 31, 592 (1977).\n37A. A. Serga, B. Hillebrands, S. O. Demokritov, A. N. Slavin, P .\nWierzbicki, V. Vasyuchka, O. Dzyapko, and A. Chumak, ”Para-\nmetric Generation of Forward and Phase-Conjugated Spin-Wa ve\nBullets in Magnetic Films”, Phys. Rev. Lett. 94, 167202 (2005).\n38Y. Khivintsev, J. Marsh, V. Zagorodnii, I. Harward, J. Lovej oy,\nP. Krivosik, R. E. Camley, and Z. Celinski, ”Nonlinear ampli fi-\ncation and mixing of spin waves in a microstrip geometry with\nmetallic ferromagnets”, Appl. Phys. Lett. 98, 042505 (2011).\n39M. Bao, A. Khitun, Y. Wu, J.-Y. Lee, K. L. Wang, and A. P.\nJacob, ”Coplanar waveguide radio frequency ferromagnetic para-\nmetric amplifier”, Appl. Phys. Lett. 93, 072509 (2008).\n40V.V.Vitko, A. A.Nikitin, A. B. Ustinov, and B.A. Kalinikos, ”A\nTheoretical Model of Dual Tunable Optoelectronic Oscillat or”,\nJournal of Physics: Conf. Series 1038, 012106 (2018).\n41A.A. Nikitin, V.V. Vitko, A.V. Kondrashov, A.B. Ustinov, an d\nB.A. Kalinikos, ”Theory of Resonant Frequency Spectrum of\nTunable Multi-loop Spin-wave Optoelectronic Oscillators ”, Pro-\nceedings of the 47th European Microwave Conference p1108-1 1\n(2017).\n42A. B. Ustinov, A. V. Kondrashov, A. A. Nikitin, A. V. Droz-\ndovskii, and B. A. Kalinikos, ”Self-Generation of Chaotic M i-\ncrowave Signal in Spin Wave Optoelectronic Generator”, Phy sics\nof the Solid State, 60, 2127 (2018).\n43A. B. Ustinov, A. A. Nikitin, and Boris A. Kalinikos, ”Magnet i-\ncally Tunable Microwave Spin-Wave Photonic Oscillator”, I EEE\nMagn. Lett. 6, 3500704 (2015).\n44V. V.Vitko, A.A. Nikitin, A. B.Ustinov, and BorisA. Kalinik os,\n”Microwave Bistability in Active Ring Resonators With Dual\nSpin-Wave and Optical Nonlinearities”, IEEE Magn. Lett. 9,\n3506304 (2018).\n45https://www.thatec-innovation.com/\n46J. Lim, W. Bang, J. Trossman, A. Kreisel, M. B. Jungfleisch,\nA. Hoffmann, C. C. Tsai, and J. B. Ketterson, “Direct detectio n\nof multiple backward volume modes in yttrium iron garnet at\nmicron scale wavelengths”, Phys. Rev. B 99, 014435 (2019).\n47A. Kriesel, F. Sauli, L. Bartosch, and P. Kopietz, “Microsco pic\nspin-wave theory for yttrium-iron garnet films”, The Europe an\nPhysical Journal B 71, 59 (2009).\n48S. Watt and M. Kostylev, ”Reservoir cmoputing using a spin-\nwave delay-line active-ring resonator based on Yttrium-Ir on-\nGarnet film”, Phys. Rev. Appl. 13, 034057 (2020).\n49R. W. Damon and J. R. Eshbach, “Magnetostatic modes of a\nferromagnet slab”, Journal of Physics and Chemistry of Soli ds\n19, 308-320 (1961).\n50J. Lim, W. Bang, J. Trossman, D. Amanov, C. C. Tsai, A. Hoff-\nmann, and J. B. Ketterson, “Magnetostatic spin-waves in an y t-\ntrium iron garnet thin film: Comparison between theory and ex -\nperiment for arbitrary field directions”,Jour. of App. Phys .126,\n243906 (2019).\n51J.Lim, W.Bang, J. Trossmann, D.Amanov, and J.B.Ketterson,\n“Forward volume and surface magnetostatic modes in an yttri um\niron garnet film for out-of-plane magnetic fields: Theory and\nexperiment”,AIP Adv. 8, 056018 (2018).\n52V. S. Tiberkevich, R. S. Khymyn, H. X. Tang, and A. Slavin,\n”Sensitivity to external signals and synchronization prop erties\nof a non-isochronous auto-oscillator with delayed feedbac k”, Sci.\nRep.4, 3873 (2014).\n53Y. Xiong, et al.”Probing magnon–magnon coupling in exchange\ncoupled Y3Fe5O12/Permalloy bilayers with magneto-optica l ef-13\nfects”, NPG Sci. Rep. 10, 12548 (2020).\n54Y. Li,et al.”Coherent Spin Pumping in a Strongly Coupled\nMagnon-Magnon Hybrid System”, Phys. Rev. Lett. 124, 117202\n(2020).\n55M. Riou, J. Torrejon, B. Garitaine, F. Abreu Araujo, P. Bor-\ntolotti, V.Cros, S.Tsunegi, K.Yakushiji, A.Fukushima, H. Kub-\nota, S. Yuasa, D. Querlioz, M.D. Stiles, and J. Grollier, ”Te m-\nporal Pattern Recognition with Delayed-Feedback Spin-Tor que\nNano-Oscillators”, Phys. Rev. Applied 12, 024049 (2019).\n56B. A. Kalinikos and A. N. Slavin, ”Theory of dipole-exchange\nspin wave spectrum for ferromagnetic films with mixed exchan ge\nboundary conditions”, J. Phys. C: Solid State Physics 19, 7013\n(1986).\n57S. O. Demokritov, B. Hillebrands, and A. Slavin, ”Brillouin light\nscattering studies of confined spin waves: linear and nonlin ear\nconfinement”, Physics Reports, 348, 441 (2001).\n58Y. S. Gui, N. Mecking, and C. -M. Hu, ”Quantized Spin Excita-\ntions in a Ferromagnetic Microstrip from Microwave Photovo lt-\nage Measurements”, Phys. Rev. Lett. 98, 217603 (2007).\n59P. K. Muduli, Ye. Pogoryelov, S. Bonetti, G. Consolo, Fred\nMancoff, and J. ˚Akerman, ”Nonlinear frequency and amplitudemodulation of a nanocontact-based spin-torque oscillator ”, Phys.\nRev. B81, 140408, (2010).\n60M. Quinsat, F. Garcia-Sanchez, A. S. Jenkins, V. S. Tiberkev ich,\nA. N. Slavin, L. D. Buda-Prejbeanu, A. Zeltser, J. A. Katine, B.\nDieny, M.-C. Cyrille, and U. Ebels, ”Modulation bandwidth o f\nspin torque oscillators under current modulation”, Appl. P hys.\nLett.105, 152401, (2014).\n61K. An, V.S. Bhat, M. Mruczkiewicz, C. Dubs, and D. Grundler,\n”Optimization of Spin-Wave Propagation with Enhanced Grou p\nVelocities by Exchange-Coupled Ferrimagnet-Ferromagnet Bilay-\ners”, Phys. Rev. Applied 11, 034065 (2019).\n62G. A. Melkov, V. I. Vasyuchka, A. V. Chumak, and A. Slavin,\n”Double-wave-front reversal of dipole-exchange spin wave s in\nyttrium-iron garnet films”, J. Appl. Phys. 98, 074908 (2005).\n63G. A. Melkov, Yu. V. Koblyanskiy, R. A. Slipets, A. V. Ta-\nlalaevskij, and A. N.Slavin, ”Nonlinearinteractions of sp inwaves\nwith parametric pumping in permalloy metal films”, Phys. Rev .\nB79134411 (2009).\n64A. Inglis and J. F. Gregg, ”Onset of spin wave time-domain fra c-\ntals in a dynamic artificial crystal”, J. Magn. Magn. Mater. 495\n165868 (2020)." }, { "title": "1308.3787v1.Thickness_and_power_dependence_of_the_spin_pumping_effect_in_Y3Fe5O12_Pt_heterostructures_measured_by_the_inverse_spin_Hall_effect.pdf", "content": "arXiv:1308.3787v1 [cond-mat.mes-hall] 17 Aug 2013Thickness and power dependence of the spin-pumping effect in Y3Fe5O12/Pt\nheterostructures measured by the inverse spin Hall effect\nM. B. Jungfleisch,1,∗A. V. Chumak,1A. Kehlberger,2V. Lauer,1\nD. H. Kim,3M. C. Onbasli,3C. A. Ross,3M. Kl¨ aui,2and B. Hillebrands1\n1Fachbereich Physik and Landesforschungszentrum OPTIMAS,\nTechnische Universit¨ at Kaiserslautern, 67663 Kaisersla utern, Germany\n2Institute of Physics, Johannes Gutenberg-University Main z, 55099 Mainz, Germany\n3Department of Materials Science and Engineering, MIT, Camb ridge, MA 02139, USA\n(Dated: September 17, 2018)\nThe dependence of the spin-pumpingeffect on the yttrium iron garnet (Y 3Fe5O12, YIG) thickness\ndetected by the inverse spin Hall effect (ISHE)has been inves tigated quantitatively. Due to the spin-\npumping effect driven by the magnetization precession in the ferrimagnetic insulator Y 3Fe5O12film\na spin-polarized electron current is injected into the Pt la yer. This spin current is transformed into\nelectrical charge current by means of the ISHE. An increase o f the ISHE-voltage with increasing film\nthickness is observed and compared to the theoretically exp ected behavior. The effective damping\nparameter of the YIG/Pt samples is found to be enhanced with d ecreasing Y 3Fe5O12film thickness.\nThe investigated samples exhibit a spin mixing conductance ofg↑↓\neff= (7.43±0.36)×1018m−2and\na spin Hall angle of θISHE= 0.009±0.0008. Furthermore, the influence of nonlinear effects on the\ngenerated voltage and on the Gilbert damping parameter at hi gh excitation powers are revealed. It\nis shown that for small YIG film thicknesses a broadening of th e linewidth due to nonlinear effects at\nhighexcitation powers is suppressedbecause of alack ofnon linear multi-magnon scatteringchannels.\nWe have found that the variation of the spin-pumping efficienc y for thick YIG samples exhibiting\npronounced nonlinear effects is much smaller than the nonlin ear enhancement of the damping.\nI. INTRODUCTION\nThe generation and detection of spin currents have at-\ntracted much attention in the field of spintronics.1,2An\neffective method for detecting magnonic spin currents is\nthe combination of spin pumping and the inverse spin\nHall effect (ISHE). Spin pumping refers to the genera-\ntion of spin-polarized electron currents in a normal metal\nfrom the magnetization precession in an attached mag-\nnetic material.3,4These spin-polarized electron currents\nare transformed into conventional charge currents by the\nISHE, which allows for a convenient electric detection of\nspin-wave spin currents.5–7\nAfter the discovery of the spin-pumping effect in fer-\nrimagnetic insulator (yttrium iron garnet, Y 3Fe5O12,\nYIG)/non-magnetic metal (platinum, Pt) heterosystems\nby Kajiwara et al.7, there was rapidly emerging inter-\nest in the investigation of these structures.6–13Since\nY3Fe5O12is an insulator with a bandgap of 2.85 eV14no\ndirect injection of a spin-polarized electron current into\nthe Pt layer is possible. Thus, spin pumping in YIG/Pt\nstructures can only be realized by exchange interaction\nbetween conduction electrons in the Pt layer and local-\nized electrons in the YIG film.\nSpin pumping into the Pt layer transfers spin angular\nmomentum from the YIG film thus reducing the mag-\nnetization in the YIG. This angular momentum transfer\nresults in turn in an enhancement of the Gilbert damp-\ning of the magnetization precession. The magnitude of\nthe transfer of angular momentum is independent of the\nferromagnetic film thickness since spin pumping is an in-\nterface effect. However, with decreasing film thickness,\nthe ratio between surface to volume increases and, thus,the interface character of the spin-pumping effect comes\ninto play: the deprivation of spin angular momentum be-\ncomes notable with respect to the precession ofthe entire\nmagnetizationinthe ferromagneticlayer. Thus, theaver-\nage damping for the whole film increases with decreasing\nfilm thicknesses. It is predicted theoretically3and shown\nexperimentallyinferromagneticmetal/normalmetalhet-\nerostructures (Ni 81Fe19/Pt) that the damping enhance-\nment due to spin pumping is inversely proportional to\nthe thickness of the ferromagnet.15,16\nSince the direct injection of electrons from the insula-\ntor YIG into the Pt layer is not possible and spin pump-\ning is an interface effect, an optimal interface quality is\nrequired in order to obtain a high spin- to charge cur-\nrent conversion efficiency.17,18Furthermore, Tashiro et\nal. have experimentally demonstrated that the spin mix-\ning conductance is independent of the YIG thickness in\nYIG/Pt structures.11Recently, Castel et al. reported\non the YIG thickness and frequency dependence of the\nspin-pumpingprocess.19Incontrasttoourinvestigations,\nthey concentrate on rather thick ( >200 nm) YIG films,\nwhich are much thicker than the exchange correlation\nlength in YIG20–22and thicker than the Pt thickness.\nThus, the YIG film thickness dependence in the nanome-\nter range is still not addressed till now.\nIn this paper, we report systematic measurements of\nthe spin- to charge-current conversion in YIG/Pt struc-\ntures as a function of the YIG film thickness from 20 nm\nto 300 nm. The Pt thickness is kept constant at 8.5 nm\nfor all samples. We determine the effective damping as\nwell as the ISHE-voltage as a function of YIG thickness\nand find that the thickness plays a key role. From these\ncharacteristics the spin mixing conductance and the spin2\nFIG. 1. (Color online) (a) Schematic illustration of the ex-\nperimental setup. (b) Dimensions of the structured Pt layer\non the YIG films. The Pt layer was patterned by means of\noptical lithography and ion etching. (c) Scheme of combined\nspin-pumping process and inverse spin Hall effect.\nHall angle are estimated. The second part of this paper\naddresses microwave power dependent measurements of\nthe ISHE-induced voltage UISHEand the ferromagnetic\nresonance linewidth for varying YIG film thicknesses.\nThe occurrence of nonlinear magnon-magnon scattering\nprocessesonthe widening ofthe linewidth aswell astheir\ninfluence on the spin-pumping efficiency are discussed.\nII. SAMPLE FABRICATION AND\nEXPERIMENTAL DETAILS\nIn Fig.1(a) a schematic illustration of the investigated\nsamples is shown. Mono-crystalline Y 3Fe5O12samples of\n20, 70, 130, 200 and 300 nm thickness were deposited by\nmeans of pulsed laser deposition (PLD) from a stoichio-\nmetric target using a KrF excimer laser with a fluence of\n2.6J/cm2andarepetition rateof10Hz.23In orderto en-\nsure epitaxial growth of the films, single crystalline sub-\nTABLE I. Variation of saturation magnetization MSand\nGilbert damping parameter α0as a function of the YIG film\nthickness. Results are obtained using a VNA-FMR measure-\nment technique.\ndYIG(nm)MS(kA/m) α0(×10−3)\n20 161.7 ±0.2 2.169 ±0.069\n70 176.4 ±0.1 0.489 ±0.007\n130 175.1 ±0.2 0.430 ±0.015\n200 176.4 ±0.1 0.162 ±0.008\n300 176.5 ±0.1 0.093 ±0.007FIG. 2. Original Gilbert damping parameter α0measured by\nVNA-FMR technique. The increased damping at low sample\nthicknesses is explained by an enhanced ratio between surfa ce\nto volume, which results in an increased number of scatterin g\ncenters and, thus, in an increased damping. The inset shows\nthe saturation magnetization as a function of the YIG film\nthickness dYIG. The error bars are not visible in this scale.\nstratesofgadoliniumgalliumgarnet(Gd 3Ga5O12, GGG)\nin the (100) orientation were used. We achieved opti-\nmal deposition conditions for a substrate temperature\nof 650◦C±30◦C and an oxygen pressure of 6.67 ×10−3\nmbar. Afterwards, each film was annealed ex-situ at\n820◦C±30◦C by rapid thermal annealing for 300 s un-\nder a steady flow of oxygen. This improves the crystal-\nlographic order and reduces oxygen vacancies. We deter-\nmined the YIG thickness by profilometer measurements\nandthecrystallinequalitywascontrolledbyx-raydiffrac-\ntion(XRD). InordertodepositPtontothesamples,they\nwere transferred at atmosphere leading to possible sur-\nface adsorbates. Therefore, the YIG film surfaces were\ncleaned in-situ by a low power ion etching before the\nPt deposition.17We used DC sputtering under an ar-\ngon pressure of 1 ×10−2mbar at room temperature to\ndeposit the Pt layers. XRR measurements yielded a Pt\nthickness of 8.5 nm, which is identical for every sample\ndue to the simultaneously performed Pt deposition. The\nPt layer was patterned by means of optical lithography\nand ion etching. In order to isolate the Pt stripes from\nthe antenna we deposited a 300 nm thick square of SU-8\nphotoresist on the top. A sketch of the samples and the\nexperimental setup is shown in Fig. 1(a), the dimensions\nof the structured Pt stripe are depicted in Fig. 1(b).\nIn order to corroborate the quality of the fabricated\nYIG samples, we performed ferromagnetic resonance\n(FMR) measurements using a vector network analyzer\n(VNA).25Since the area deposited by Pt is small com-\npared to the entire sample size, we measure the damp-\ningα0of the bare YIG by VNA (this approach results\nin a small overestimate of α0), whereas in the spin-\npumping measurement we detect the enhanced damp-\ningαeffof the Pt covered YIG films. The VNA-FMR\nresults are summarized in Tab. Iand in Fig. 2. Appar-\nently, the 20 nm sample features the largest damping3\nofα20nm\n0= (2.169±0.069)×10−3. With increasing film\nthickness α0decreasesto α300nm\n0= (0.093±0.007)×10−3.\nThere might be two reasons for the observed behavior:\n(1) The quality of the thinner YIG films might be worse\ndue to the fabrication process by PLD. (2) For smaller\nYIG film thicknesses, the ratio between surface to vol-\nume increases. Thus, the two-magnon scattering pro-\ncess at the interface is more pronounced for smaller film\nthicknesses and gives rise to additional damping.26The\nVNA-FMR technique yields the saturation magnetiza-\ntionMSfor the YIG samples (see inset in Fig. 2and\nTab.I). The observed values for MSare larger than the\nbulkvalue,27,28butinagreementwiththevaluesreported\nfor thin films.29The general trend of the film thickness\ndependence of MSis in agreement with the one reported\nin Ref.29,30and might be associated with a lower crystal\nquality after the annealing.\nThespin-pumpingmeasurementsfordifferentYIGfilm\nthicknesses were performed in the following way. The\nsamples were magnetized in the film plane by an exter-\nnal magnetic field H, and the magnetization dynamics\nwas excited at a constant frequency of f= 6.8 GHz by\nan Agilent E8257D microwave source. The microwave\nsignals with powers Pappliedof 1, 10, 20, 50, 100, 250 and\n500mW wereapplied to a 600 µm wide 50Ohm-matched\nCumicrostripantenna. Whiletheexternalmagneticfield\nwas swept, the ISHE-voltage UISHEwas recorded at the\nedges of the Pt stripe using a lock-in technique with an\namplitudemodulationatafrequencyof500Hz, aswellas\nthe absorbed microwave power Pabs. All measurements\nwere performed at room temperature.\nIII. THEORETICAL BACKGROUND\nThe equations describing the ferromagnetic resonance,\nthe spin pumping and the inverse spin Hall effect are\nprovided in the following and used in the experimental\npart of this paper.\nA. Ferromagnetic resonance\nIn equilibrium the magnetization Min aferromagnetic\nmaterial is aligned along the bias magnetic field H. Ap-\nplying an alternating microwave magnetic field h∼per-\npendicularly to the external field Hresults in a torque\nonMand causes the magnetic moments in the sample to\nprecess (see also Fig. 1(a)). In ferromagnetic resonance\n(FMR)themagneticfield Handtheprecessionfrequency\nffulfill the Kittel equation31\nf=µ0γ\n2π/radicalbig\nHFMR(HFMR+MS), (1)\nwhereµ0is the vacuum permeability, γis the gyromag-\nnetic ratio, HFMRis the ferromagnetic resonance field\nandMSis the saturation magnetization (experimentallyobtained values of MSfor our samples can be found in\nTab.I).\nThe FMR linewidth ∆ H(full width at half maximum)\nis related to the Gilbert damping parameter αas16,18,27\nµ0∆H= 4πfα/γ. (2)\nB. Spin pumping\nBy attaching a thin Pt layer to a ferromagnet, the\nresonance linewidth is enhanced,3which accounts for an\ninjection of a spin current from the ferromagnet into the\nnormal metal due to the spin-pumping effect (see illus-\ntration in Fig. 1(c)). In this process the magnetization\nprecession loses spin angular momentum, which gives\nrise to additional damping and, thus, to an enhanced\nlinewidth. The effective Gilbert damping parameter αeff\nfor the YIG/Pt film is described as16\nαeff=α0+∆α=α0+gµB\n4πMSdYIGg↑↓\neff,(3)\nwhereα0is the intrinsic damping of the bare YIG film,\ngis the g-factor, µBis the Bohr magneton, dYIGis the\nYIG film thickness and g↑↓\neffis the real part of the ef-\nfective spin mixing conductance. The effective Gilbert\ndamping parameter αeffis inversely proportional to the\nYIG film thickness dYIG: with decreasing YIG thickness\nthe linewidth and, thus, the effective damping parameter\nincreases.\nWhen the system is resonantlydriven in the FMR con-\ndition, a spin-polarized electron current is injected from\nthe magnetic material (YIG) into the normal metal (Pt).\nInaphenomenologicalspin-pumpingmodel, theDCcom-\nponent of the spin-current density jsat the interface, in-\njected in y-direction into the Pt layer (Fig. 1(c)), can be\ndescribed as15,16,32\njs=f/integraldisplay1/f\n0¯h\n4πg↑↓\neff1\nM2\nS/braceleftBig\nM(t)×dM(t)\ndt/bracerightBig\nzdt,(4)\nwhereM(t) is the magnetization. {M(t)×dM(t)\ndt}zis the\nz-component of {M(t)×dM(t)\ndt}, which is directed along\nthe equilibrium axis of the magnetization (see Fig. 1(c)).\nDue to spin relaxation in the normal metal (Pt) the\ninjected spin current jsdecays along the Pt thickness\n(y-direction in Fig. 1(c)) as15,16\njs(y) =sinhdPt−y\nλ\nsinhdPt\nλj0\ns, (5)\nwhereλis the spin-diffusion length in the Pt layer. From\nEq. (4) one can deduce the spin-current density at the\ninterface ( y= 0)15\nj0\ns=g↑↓\neffγ2(µ0h∼)2¯h(µ0MSγ+/radicalbig\n(µ0MSγ)2+16(πf)2)\n8πα2\neff((µ0MSγ)2+16(πf)2).\n(6)4\nFIG. 3. (Color online) ISHE-induced voltage UISHEas a func-\ntion of the magnetic field Hfor different YIG film thicknesses\ndYIG. Applied microwave power Papplied= 10 mW, ISHE-\nvoltage for the 20 nm thick sample is multiplied by a factor\nof 5.\nSincej0\nsis inverselyproportionalto α2\neffandαeffdepends\ninversely on dYIG(Eq. (3)), the spin-current density at\nthe interface j0\nsincreases with increasing YIG film thick-\nnessdYIG.\nC. Inverse spin Hall effect\nThe Pt layer acts as a spin-current detector and trans-\nforms the spin-polarized electron current injected due to\nthe spin-pumping effect into an electrical charge current\nby means of the ISHE (see Fig. 1(c)) as6,7,12,15,16\njc=θISHE2e\n¯hjs×σ, (7)\nwhereθISHE,e,σdenote the spin Hall angle, the elec-\ntron’s elementary charge and the spin-polarization vec-\ntor, respectively. Averaging the charge-current density\nover the Pt thickness and taking into account Eqs. ( 4) –\n(7) yields\n¯jc=1\ndPt/integraldisplaydPt\n0jc(y)dy=θISHEλ\ndPt2e\n¯htanh/parenleftbigdPt\n2λ/parenrightbig\nj0\ns.(8)\nTaking into account Eqs. ( 3), (6) and (8) we calcu-\nlate the theoretically expected behavior of IISHE=A¯jc,\nwhereAis the cross section of the Pt layer. Ohm’s law\nconnects the ISHE-voltage UISHEwith the ISHE-current\nIISHEviaUISHE=IISHE·R, whereRis the electric resis-\ntance of the Pt layer. Rvaries between 1450 Ω and 1850\nΩ for the different samples.\nIV. YIG FILM THICKNESS DEPENDENCE OF\nTHE SPIN-PUMPING EFFECT DETECTED BY\nTHE ISHE\nIn Fig.3the magnetic field dependence of the gener-\nated ISHE-voltage UISHEas a function of the YIG filmthickness is shown. Clearly, the maximal voltage UISHE\nat the resonance field HFMRand the FMR linewidth ∆ H\nvary with the YIG film thickness. The general trend\nshows, that the thinner the sample the smaller is the\nmagnitude of the observed voltage UISHE. At the same\ntime the FMR linewidth increases with decreasing YIG\nfilm thickness.\nIn the following the ISHE-voltage generated by spin\npumping is investigated as a function of the YIG film\nthickness. For these investigations we have chosen a\nrather small exciting microwave power of 1 mW. Thus,\nnonlinear effects like the FMR linewidth broadening due\nto nonlinear multi-magnon processes can be excluded\n(such processes will be discussed in Sec. V). Sec.IVA\ncovers the YIG thickness dependent variation of the en-\nhanced damping parameter αeff. From these measure-\nments the spin mixing conductance g↑↓\neffis deduced. In\nSec.IVBwe focus on the maximal ISHE-voltage driven\nby spin pumping as a function of the YIG film thickness.\nFinally, the spin Hall angle θISHEis determined.\nA. YIG film parameters as a function of the YIG\nfilm thickness\nAs described in Sec. IIIB, the damping parameter is\nenhanced when a Pt layeris deposited onto the YIG film.\nThisenhancementisinvestigatedasafunctionoftheYIG\nfilm thickness: the effective Gilbert damping parameter\nαeff(see Eq. ( 3)) is obtained from a Lorentzian fit to the\nexperimental data depicted in Fig. 3and Eq. ( 2). The\nresultisshowninFig. 4. With decreasingYIG film thick-\nness the linewidth and, thus, the effective damping αeff\nincreases. This behavior is theoretically expected: ac-\ncording to Eq. ( 3)αeffis inversely proportional to dYIG.\nSince the Pt film is grown onto all YIG samples simulta-\nFIG. 4. (Color online) Enhanced damping parameter αeff\nof the YIG/Pt samples obtained by spin-pumping measure-\nments. The red solid curve shows a fit to Eq. (3) taking the\nFMR measured values for MSand a constant value for g↑↓\neff\ninto account. Papplied= 1 mW. The error bars for the mea-\nsurement points at higher sample thicknesses are not visibl e\nin this scale.5\nFIG. 5. (Color online) (a) ISHE-voltage UISHEas a function\nof the YIG film thickness dYIG. The black line is a linear in-\nterpolation as a guide tothe eye. (b) Corresponding thickne ss\ndependent charge current IISHE. The red curve shows a fit to\nEqs. (6), (7), (8) with theparameters g↑↓\neff=(7.43±0.36)×1018\nm−2andθISHE= 0.009±0.0008. The applied microwave\npower used is Papplied= 1 mW.\nneously, the spin mixing conductance g↑↓\neffat the interface\nis considered to be constant for all samples.11Assum-\ningg↑↓\neffas constant and taking the saturation magne-\ntizationMSobtained by VNA-FMR measurements (see\nFig.2and Tab. I) into account, a fit to Eq. ( 3) yields\ng↑↓\neff= (7.43±0.36)×1018m−2. The fit is depicted as a\nred solid line in Fig. 4.\nB. YIG thickness dependence of the ISHE-voltage\ndriven by spin pumping\nFig.5(a) shows the maximum voltage UISHEat the\nresonance field HFMRas a function of the YIG film\nthickness. UISHEincreases up to a YIG film thickness\nof around 200 nm when it starts to saturate (in the\ncase of an applied microwave power of P applied= 1\nmW). The corresponding charge current IISHEis shown\nin Fig.5(b). The observed thickness dependent behavior\nis in agreement with the one reported for Ni 81Fe19/Pt16\nand for Y 3Fe5O12/Pt.11With increasing YIG film thick-\nness the generated ISHE-current increases and tends to\nsaturate at thicknesses near 200 nm (Fig. 5(b)). Accord-\ning to Eq. ( 3), (6) and (8) it isIISHE∝j0\ns∝1/α2\neff∝\n(α0+c/dYIG)−2, wherecis a constant. Therefore, theISHE-current IISHEincreases with increasing YIG film\nthickness dYIGand goes into saturation at a certain YIG\nthickness.\nFrom Eqs. ( 3), (6) and (8) we determine the expected\nbehavior of IISHE=A¯jcand compare it with our ex-\nperimental data. In order to do so, the measured values\nforMS(see Tab. I), the original damping parameter α0\ndetermined by VNA-FMR measurements at 1 mW (see\nTab.I) and the enhanced damping parameter αeffob-\ntained by spin-pumping measurements at a microwave\npower of 1 mW (see Fig. 4) are used. The Pt layer thick-\nness isdPt= 8.5 nm and the microwave magnetic field\nis determined to be h∼= 3.2 A/m for an applied mi-\ncrowavepower of 1 mW using an analytical expression.24\nThe spin-diffusion length in Pt is taken from literature as\nλ= 10 nm33,36and the damping parameter is assumed\nto be constant as α0= 6.68×10−4, which is the aver-\nage of the measured values of α0. The fit is shown as a\nred solid line in Fig. 5(b). We find a spin Hall angle of\nθISHE= 0.009±0.0008,which is in agreementwith litera-\nture values varying in a range of 0.0037- 0.08.33–35Using\nFIG. 6. (Color online) (a) YIG thickness dependence of the\nISHE-voltage driven by spin pumping for microwave powers\nin the range between 1 and 500 mW. The general thickness\ndependent behavior is independent of the applied microwave\npower. The error bars for the measurement at lower mi-\ncrowave powers are not visible in this scale. (b) Deviation\nof the ISHE-voltage from the linear behavior with respect to\nthe measured voltage U500mW\nISHE. The inset shows experimental\ndata for a YIG film thickness dYIG= 20 nm and the theoret-\nically expected curve. The error bars of the 20 nm and the\n70 nm samples are not visible in this scale.6\nthe fit we estimate the saturation value of the generated\ncurrent. Although we observe a transition to saturation\nat sample thicknesses of 200 – 300 nm, we find that ac-\ncording to our fit, 90% of the estimated saturation level\nof 5 nA is reached at a sample thickness of 1.2 µm.\nV. INFLUENCE OF NONLINEAR EFFECTS ON\nTHE SPIN-PUMPING PROCESS FOR VARYING\nYIG FILM THICKNESSES\nIn order to investigate nonlinear effects on the spin-\npumping effect for varying YIG film thicknesses, we per-\nformed microwavepower dependent measurements of the\nISHE-voltage UISHEas function of the film thickness\ndYIG. For higher microwavepowersin the rangeof 1 mW\nto 500 mW we observe the same thickness-dependent\nbehavior of the ISHE-voltage as in the linear case\n(Papplied= 1 mW, discussed in Sec. IVB): Near 200 nm\nUISHEstarts to saturate independently of the applied mi-\ncrowave power, as it is shown in Fig. 6(a). Furthermore,\nit is clearly visible from Fig. 6(a) that for a constant\nfilm thickness the spin pumping driven ISHE-voltage in-\ncreases with increasing applied microwave power. At\nhigh microwave powers the voltage does not grow lin-\nearly and saturates. Fig. 6(b) shows the deviation of\nthe ISHE-voltage ∆ UISHEfrom the linear behavior with\nrespect to the measured value of U500mW\nISHEat the excita-\ntion power Papplied= 500 mW. In order to obtain the\nrelation between UISHEandPappliedfor each YIG film\nthickness dYIGthe low power regime up to 20 mW is\nfitted by a linear curve and extrapolated to 500 mW.\nTheinsetin Fig. 6(b) showsthe correspondingviewgraph\nfor the case of the 20 nm thick sample. As it is visible\nfrom Fig. 6(b), the deviation from the linear behavior\nis drastically enhanced for larger YIG thicknesses. For\nthe thin 20 nm and 70 nm samples we observe an almost\nlinear behavior between UISHEandPappliedover the en-\ntire microwavepower range, whereas for the thicker sam-\nples the estimated linear behavior and the observed non-\nlinear behavior differ approximately by a factor of 2.5\n(Fig.6(b)). We observe an increase of the ISHE-voltage\nas well as an broadening of the FMR linewidth with in-\ncreasing microwave power. In Fig. 7(a) the normalized\nISHE-voltage UISHEas function of the external magnetic\nfieldHis shown for different microwave powers Papplied\nin the range of 1 mW to 500 mW (YIG film thickness\ndYIG= 300 nm). The linewidth tends to be asymmet-\nric at higher microwave powers. The shoulder at lower\nmagnetic field is widened in comparison to the shoulder\nat higher fields. The reason for this asymmetry might\nbe due to the formation of a foldover effect,37,38due to\nnonlinear damping or a nonlinear frequency shift.39,40\nThe results of the damping parameter αeffobtained\nby microwave power dependent spin-pumping measure-\nments are depicted in Fig. 7(b). It can be seen, that\nwith increasing excitation power the Gilbert damping for\nthicker YIG films is drastically increased. To present\nFIG. 7. (Color online) (a) Illustration of the linewidth bro ad-\nening at higher excitation powers. The normalized ISHE-\nvoltage spectra are shown as a function of the magnetic\nfieldHfor different excitation powers. Sample thickness:\n300 nm. (b) Power dependent measurement of the damp-\ning parameter αefffor different YIG film thicknesses dYIGob-\ntained by a Lorentzian fit to the ISHE-voltage signal. The\nerror bars are omitted in order to provide a better readabil-\nity of the viewgraph. (c) Nonlinear damping enhancement\n(α500mW\neff−α1mW\neff)/α1mW\neffas a function of the YIG film thick-\nnessdYIG. Due to a reduced number of scattering channels to\nother spin-wave modes for film thicknesses below 70 nm, the\ndamping is only enhanced for thicker YIG films with increas-\ning applied microwave powers. The error bars of the 200 nm\nand the 300 nm samples are not visible on this scale.\nthis result more clearly the nonlinear damping enhance-\nment (α500mW\neff−α1mW\neff)/α1mW\neffis shown in Fig. 7(c). The\ndampingparameterat asamplethicknessof20nm α20nm\neff7\nFIG. 8. (Color online) Dispersion relations calculated for each sample thickness taking into account the measured valu es of the\nsaturation magnetization MS(see Tab. I). Backward volume magnetostatic spin-wave mode s as well as magnetostatic surface\nspin-wave modes (in red) and the first perpendicular standin g thickness spin-wave modes are depicted (in black and gray) .\n(a)–(e) show the dispersion relations for the investigated sample thicknesses of 20 nm – 300 nm.\nis almost unaffected by a nonlinear broadening at high\nmicrowave powers. With increasing film thickness the\noriginal damping α1mW\neffatPapplied= 1 mW increases by\na factor of around 3 at Papplied= 500 mW. This factor\nis very close to the value of the deviation of the ISHE-\nvoltage from the linear behavior (Fig. 6(b)).\nThis behavior can be attributed to the enhanced prob-\nability of nonlinear multi-magnon processes at larger\nsample thicknesses: In order to understand this, a fun-\ndamental understanding of the restrictions for multi-\nmagnon scattering processes can be derived from the en-\nergy and momentum conservation laws:\nN/summationdisplay\ni¯hωi=M/summationdisplay\nj¯hωjandN/summationdisplay\ni¯hki=M/summationdisplay\nj¯hkj,(9)\nwhere the left/right sum of the equations runs over the\ninitial/final magnons with indices i/j which exist be-\nfore/after the scattering process, respectively.41–43The\nmost probable scattering mechanism in our case is the\nfour-magnon scattering process with N= 2 and M=\n2.43In Eq. (9) the wavevector ki/jand the frequency ωi/j\nare connected by the dispersion relation 2 πfi/j(ki/j) =\nωi/j(ki/j). The calculated dispersion relations are shown\nin Fig.8(backward volume magnetostatic spin-wave\nmodes with a propagation angle /negationslash(H,k) = 0◦as well\nas magnetostatic surface spin-wave modes /negationslash(H,k) =\n90◦).44For this purpose, the measured values of MS\n(Tab.I) for each sample are used. In the case of the\n20 nm sample thickness, the first perpendicular standing\nspin-wave mode (thickness mode) lies above 40 GHz, thesecond above 120 GHz. Thus, the nonlinear scattering\nprobability obeying the energy- and momentum conser-\nvation is largely reduced. This means magnons cannot\nfind a proper scattering partner and, thus, multi-magnon\nprocesses are prohibited or at least largely suppressed.\nWith increasing film thickness the number of standing\nspin-wavemodesincreasesand, thus, thescatteringprob-\nability grows. As a result, the scattering of spin waves\nfrom the initially excited uniform precession (FMR) to\nother modes is allowed and the relaxation of the original\nFMR mode is enhanced. Thus, the damping increases\nand we observe a broadening of the linewidth, which is\nequivalent to an enhanced Gilbert damping parameter\nαeffat higher YIG film thicknesses (see Fig. 7).\nIn orderto investigatehowthe spin-pumping efficiency\nis affected by the applied microwave power, we measure\nsimultaneously the generated ISHE-voltage UISHEand\nthe transmitted ( Ptrans), as well as the reflected ( Prefl)\nmicrowave power, which enables us to determine the\nabsorbed microwave power Pabs=Papplied−(Ptrans+\nPrefl).17Since the 300 nm sample exhibits a strong non-\nlinearity(largedeviationfromthelinearbehavior(Fig. 6)\nand large nonlinear linewidth enhancement (Fig. 7)), we\nanalyze this sample thickness. In Fig. 9the normalized\nabsorbed microwave power Pnorm=Pabs/PPapplied=1mW\nabs\nand the normalized ISHE-voltage in resonance Unorm=\nUISHE/UPapplied=1mW\nISHEare shown as a function of the ap-\nplied power Papplied. Both curves tend to saturate at\nhigh microwave powers above 100 mW. The absorbed\nmicrowave power increases by a factor of 110 for applied\nmicrowave powers in the range between 1 and 500 mW,8\nFIG. 9. (Color online) Normalized absorbed power Pnorm=\nPabs/PPapplied=1mW\nabs (black squares) and normalized ISHE-\nvoltageUnorm=UISHE/UPapplied=1mW\nISHE (red dots) for varying\nmicrowave powers Papplied. The inset illustrates the indepen-\ndence of the spin-pumping efficiency UISHE/PabsonPapplied.\nYIG thickness illustrated: 300 nm. Error bars of the low\npower measurements are not visible in this scale.\nwhereas the generated voltage increases by a factor of\n80. The spin-pumping efficiency UISHE/Pabs(see inset\nin Fig.9) varies within a range of 30% for the differ-\nent microwave powers Pappliedwithout clear trend. Since\nthe 300 nm thick film shows a nonlinear deviation of the\nISHE-voltageby afactorof2.3 (Fig. 6(b)) and the damp-\ning is enhanced by a factor of 3 in the same range of\nPapplied(Fig.7(c)), we conclude that the spin-pumping\nprocess is only weakly dependent on the magnitude of\nthe applied microwave power (see inset in Fig. 9). In our\nprevious studies reported in Ref.12,13we show that sec-\nondary magnons generated in a process of multi-magnon\nscattering contribute to the spin-pumping process and,\nthus, the spin-pumping efficiency does not depend on the\napplied microwave power.\nVI. SUMMARY\nThe Y 3Fe5O12thickness dependence of the spin-\npumping effect detected by the ISHE has been inves-\ntigated quantitatively. It is shown that the effective\nGilbert damping parameter of the the YIG/Pt sam-\nples is enhanced for smaller YIG film thicknesses, which\nis attributed to an increase of the ratio between sur-\nface to volume and, thus, to the interface character of\nthe spin-pumping effect. We observe a theoretically\nexpected increase of the ISHE-voltage with increasing\nYIG film thickness tending to saturate above thick-\nnesses near 200 – 300 nm. The spin mixing conductance\ng↑↓\neff= (7.43±0.36)×1018m−2as well as the spin Hall an-gleθISHE= 0.009±0.0008 are calculated and are found\nto be in agreement with values reported in the literature\nfor our materials.\nThe microwave power dependent measurements reveal\nthe occurrence of nonlinear effects for the different YIG\nfilm thicknesses: for low powers, the induced voltage\ngrows linearly with the power. At high powers, we ob-\nserve a saturation of the ISHE-voltage UISHEand a de-\nviation by a factor of 2.5 from the linear behavior. The\nmicrowave power dependent investigations of the Gilbert\ndamping parameter by spin pumping show an enhance-\nment by a factor of 3 at high sample thicknesses due\nto nonlinear effects. This enhancement of the damping\nis due to nonlinear scattering processes representing an\nadditional damping channel which absorbs energy from\nthe originally excited FMR. We have shown that the\nsmaller the sample thickness, the less dense is the spin-\nwave spectrum and, thus, the less nonlinear scattering\nchannels exist. Hence, the smallest investigated sample\nthicknesses (20 and 70 nm) exhibit a small deviation of\nthe ISHE-voltage from the linear behavior and a largely\nreduced enhancement of the damping parameter at high\nexcitation powers. Furthermore, we have found that the\nvariation of the spin-pumping efficiencies for thick YIG\nsamples which show strongly nonlinear effects is much\nsmaller than the nonlinear enhancement of the damping.\nThis is attributed to secondary magnons generated in a\nprocessofmulti-magnonscatteringthatcontributetothe\nspin pumping. It is shown, that even for thick samples\n(300 nm) the spin-pumping efficiency is only weakly de-\npendent on the applied microwave power and varies only\nwithin a range of 30% for the different microwave powers\nwithout a clear trend.\nOur findings provide a guideline to design and create\nefficient magnon- to charge current converters. Further-\nmore, the results are also substantial for the reversed\neffects: the excitation of spin waves in thin YIG/Pt bi-\nlayers by the direct spin Hall effect and the spin-transfer\ntorque effect.45\nVII. ACKNOWLEDGMENTS\nWe thank G.E.W. Bauer and V.I. Vasyuchka for valu-\nable discussions. Financial support by the Deutsche\nForschungsgemeinschaft within the project CH 1037/1-\n1 are gratefully acknowledged. AK would like to thank\nthe Graduate School of Excellence Materials Science in\nMainz (MAINZ) GSC 266. CAR, MCO and DHK ac-\nknowledge support from the National Science Founda-\ntion. Shared experimental facilities supported by NSF\nMRSEC award DMR-0819762 were used.\n∗jungfleisch@physik.uni-kl.de1I.ˇZuti´ c, J. Fabian, and S. Das Sarma, Rev. Mod. Phys.\n76, 323 (2004).9\n2S.A. Wolf, D.D. Awschalom, R.A. Buhrman,\nJ.M. Daughton, S. von Moln´ ar, M.L. Roukes,\nA.Y. Chtchelkanova, and D.M. Treger, Science 294,\n1488 (2001).\n3Y. Tserkovnyak, A. Brataas, and G.E.W. Bauer, Phys.\nRev. Lett. 88, 117601 (2002).\n4M.V. Costache, M. Sladkov, S.M.Watts, C.H. vanderWal,\nand B.J. van Wees, Phys. Rev. Lett. 97, 216603 (2006).\n5J.E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999).\n6E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl.\nPhys. Lett. 88, 182509 (2006).\n7Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi , H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, S. Maekawa, and E. Saitoh, Nature 464,\n262 (2010).\n8C.W. Sandweg, Y. Kajiwara, A.V. Chumak, A.A. Serga,\nV.I. Vasyuchka, M.B. Jungfleisch, E. Saitoh, and B. Hille-\nbrands, Phys. Rev. Lett. 106, 216601 (2011).\n9H. Kurebayashi, O. Dzyapko, V.E. Demidov, D. Fang,\nA.J. Ferguson, and S.O. Demokritov, Appl. Phys. Lett.\n99, 162502 (2011).\n10V. Castel, N. Vlietstra, J. Ben Youssef, and B.J. van Wees,\nAppl. Phys. Lett. 101, 132414 (2012).\n11T. Tashiro, R. Takahashi, Y. Kajiwara, K. Ando,\nH.Nakayama, T.Yoshino, D.Kikuchi, andE.Saitoh, Proc.\nof SPIE 8461, 846106 (2012).\n12M.B. Jungfleisch, A.V. Chumak, V.I. Vasyuchka,\nA.A. Serga, B. Obry, H. Schultheiss, P.A. Beck,\nA.D. Karenowska, E. Saitoh, and B. Hillebrands, Appl.\nPhys. Lett. 99, 182512 (2011).\n13A.V. Chumak, A.A. Serga, M.B. Jungfleisch, R. Neb,\nD.A. Bozhko, V.S. Tiberkevich, and B. Hillebrands, Appl.\nPhys. Lett. 100, 082405 (2012).\n14X. Jia, K. Liu, K. Xia, and G.E.W. Bauer, Europhys. Lett.\n96, 17005 (2011).\n15K. Ando, S. Takahashi, J. Ieda, Y. Kajiwara,\nH. Nakayama, T. Yoshino, K. Harii, Y. Fujikawa, M. Mat-\nsuo, S. Maekawa, and E. Saitoh, J. Appl. Phys. 109,\n103913 (2011).\n16H. Nakayama, K. Ando, K. Harii, T. Yoshino, R. Taka-\nhashi, Y. Kajiwara, K. Uchida, and Y. Fujikawa, and\nE. Saitoh, Phys. Rev. B 85, 144408 (2012).\n17M.B. Jungfleisch, V. Lauer, R. Neb, A.V. Chumak, and\nB. Hillebrands, Appl. Phys. Lett., in print (2013).\n18C. Burrowes, B. Heinrich, B. Kardasz, E.A. Montoya,\nE. Girt, Yiyan Sun, Young-Yeal Song, and M. Wu, Appl.\nPhys. Lett. 101, 092403 (2012).\n19V. Castel, N. Vlietstra, J. Ben Youssef, and B.J. van Wees,\narXiv:1304.2190 v1 [cond-mat.mtrl-sci].\n20K. Yu. Guslienko, B.A. Ivanov, V. Novosad, Y. Otani,\nH. Shima, and K. Fukamichi, J. Appl. Phys. 91, 8037\n(2002).\n21S.O. Demokritov, Spin Wave Confinement , (Pan Stanford\nPublishing, Singapore 2008).22The exchange correlation length is given by lex=/radicalbig\nA/(2πM2\nS), where Ais the exchange constant and MSis\nthe saturation magnetization. With A= 3.6×10−7erg/cm\nwe obtain an exchange length of lex≈17 nm for YIG.\n23L. Bi, J. Hu, P.Jiang, D.H. Kim, G.F. Dionne, L.C. Kimer-\nling, and C.A. Ross, Nature Photon. 5, 758762 (2011).\n24D. Chumakov, Dissertation thesis (2006).\n25S.S. Kalarickal, P. Krivosik, M. Wu, C.E. Patton,\nM.L. Schneider, P. Kabos, T.J. Silva, and J.P. Nibarger,\nJ. Appl. Phys. 99, 093909 (2006).\n26M. Sparks, Ferromagnetic Relaxation Theory (McGraw-\nHill, New York, 1964).\n27D.D. Stancil and A. Prabhakar, Spin Waves - Theory and\nApplications , (Springer, 2009).\n28W.H. Von Aulock, Handbook of Microwave Ferrite Mate-\nrials(Academic, London, 1965).\n29N. Kumar, D.S. Misra, N. Venkataramani, S. Prasad, and\nR. Krishnan, J. Magn. Magn. Mater. 272, 899 (2004).\n30E. Popva, N. Keller, F. Gendron, L. Thomas, M.C. Bri-\nanso, M. Guyot, M. Tessier, and S.S.P. Parkin, J. Vac. Sci.\nTechnol. A 19, 2567 (2001).\n31C. Kittel, Phys. Rev. 73, 155 (1948).\n32Y. Tserkovnyak, A. Brataas, G.E.W. Bauer, and\nB.I. Halperin, Rev. Mod. Phys. 77, 1375 (2005).\n33O. Mosendz, J.E. Pearson, F.Y. Fradin, G.E.W. Bauer,\nS.D. Bader, and A. Hoffmann, Phys. Rev. Lett. 104,\n046601 (2010).\n34K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda,\nS. Maekawa, and E. Saitoh, Phys. Rev. Lett. 101, 036601\n(2008).\n35T. Kimura, Y. Otani, T. Sato, S. Takahashi, and\nS. Maekawa, Phys. Rev. Lett. 98, 156601 (2007).\n36H. Kurt, R. Loloee, K. Eid, J.W.P. Pratt, and J. Bass,\nAppl. Phys. Lett. 81, 4787 (2002).\n37Y.S.Gui, A.Wirthmann, N.Mecking, andC.-M.Hu,Phys.\nRev. B80, 060402(R) (2009).\n38K. Ando and E. Saitoh, Phys. Rev. Lett. 109, 026602\n(2012).\n39Y. Khivintsev, Bijoy Kuanr, T.J. Fal, M. Haftel,\nR.E. Camley, Z. Celinski, and D.L. Mills, Phys. Rev. B\n81, 054436 (2010).\n40V.E. Demidov, H. Ulrichs, S.O. Demokritov, and\nS. Urazhdin, Phys. Rev. B 83, 020404(R) (2011).\n41H. Schultheiss, K. Vogt, and B. Hillebrands, Phys. Rev. B\n86, 054414 (2012).\n42T. Sebastian, T. Br¨ acher, P. Pirro, A.A. Serga, B. Hille-\nbrands, T. Kubota, H. Naganuma, M. Oogane, and Y.\nAndo, Phys. Rev. Lett. 110, 067201 (2013).\n43S.O. Demokritov, V.E. Demidov, O. Dzyapko,\nG.A. Melkov, A.A. Serga, B. Hillebrands, and A.N. Slavin,\nNature443, 430 (2006).\n44R.W. Damon and J.R. Eshbach, J. Phys. Chem. Solids 19,\n308 (1961).\n45J. C. Slonczewski, J. Magn. Magn. Mater. 159, L1 (1996),\nand L. Berger, Phys. Rev. B 54, 9353 (1996)." }, { "title": "1704.03056v2.Patterned_growth_of_crystalline_Y___3__Fe___5__O___12___nanostructures_with_engineered_magnetic_shape_anisotropy.pdf", "content": "1 \n Patterned growth of crystalline Y 3Fe5O12 nanostructures with \nengineered magnetic shape anisotropy \nNa Zhu ,1 Houchen Chang ,2 Andrew Franson ,3 Tao Liu ,2 Xufeng Zhang ,1 E. Johnston -Halperin,3 Mingzhong Wu ,2 \nand Hong X. Tang1 \n1Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511, USA \n2Department of Physics, Colorado State University, Fort Collins, Colorado 80523 , USA \n3Department of Physics, The Ohio State University, Columbus, Ohio 43210 -1117, USA \n \nAbstract \n \nWe demonstrate patterned growth of epitaxial yttrium iron g arnet (YIG) thin films using lithographical ly defined \ntemplates on gadolinium gallium g arnet (GGG) substrate s. The fabricated YIG nanostructures yield the desired \ncrystallographic orientation , excelle nt surface morphology, and narrow ferromagnetic resonance (FMR) linewidth (~ \n4 Oe). Shape -induced magnetic anisotropy is clearly observed in a patterned array of nanobars engineered to exhibit \nthe larger coercivity (40 Oe) compared with that of continuous films . Both hysteresis loop and ang le-dependent \nFMR spectra measurements indicate that the easy axis align s along the longitudinal direction of the nanobar s, with \nan effective anisotropy field of 195 Oe. Our work overcomes difficulties in patterning YIG th in films and provides \nan effective means to control their magnetic properties and magnetic bias conditions. \n \n \nNanostructure d ferromagnetic thin films have been considered as a promising platform in bot h \nlongstanding fundamental studies of magnetic excitations and technological improvement of \nspintronic devices .1–3 A large variety of magnetic nanostructures , such as nanoparticles, \nnanowires, and nanodots, have been successfully fabricated and widely studied for ferromagnetic \nmetals such as p ermallo y and organic -based fer rites,4–9 demonstrating the great potential of the 2 \n utilization of these nanostructured devices in applications such as biological sensing,10 data \nstorage ,11,12 and logic devices.13 Moreover, the precise dimension and morphology control \noffered by the nanostructured magnetic thin films would offer a promising protocol in \nengineering the unique properties of spin -wave excitations within the devices, paving the way for \nthe advancement of future fund amental studies and practical applications of spin tronic and \nmagnonic devices .14–17 \n \nThe shape anisotropy engineering of the ferromagnetic materials is attracting considerable \ninterests for its applications in studying spin dynamics and building nanostructured microwave \nisolators and circulators .18 –20 However , in these works, the dimensions of the magnetic \nnanowires cannot be precisely defined and patt erned, limiting the range of the operation \nfrequency and the insertion loss of the devices . Therefore, p recise shape anisotropy engineering \nvia high -resolution geometric patterning is required to achieve fine tuning of the device magnetic \nproperties, such as res onance frequency and coercivity. \n \nAmong all the magnonic media, ferrimagnetic insulator yttri um iron garnet (Y 3Fe5O12, YIG) \nattracts particular interest s thanks to its extremely low damping.21,22 High-quality single -crystal \nYIG films can be grown on lattice -matched substrate s such as ga dolinium gallium garnet \n(Gd 3Ga5O12, GGG) ,23 by using liquid phase epitaxy (LPE), pulsed laser deposition (PLD) , and \nmagnetron sputtering.21–26 However, the fine etching of single -crystal YIG thin films has long \nbeen a barrier for the study of magnonics and device applications of YIG at submicron \ndimension s. The previous methods for patterning microstructured single -crystal YIG films are \nwet chemical etching using phosphoric acid and ion milling , while using resist s as masks . 3 \n Microstructured s ingle crystal YIG has been fabricated via photoresist patterning and a following \nphosphoric acid wet etch to study the spin wave propagations in the magnonic crystal .27 \nHowever, t he wet etching process can only create relative ly large structures and often leads to \nrough etching surfaces and etched steps that are not vertical with a significant slope.28,29 Also, \nthe YIG nanodisks have been used in spin wave studies by using resist patterning and ion milling \netch.30 –32 But, t he ion milling of YIG thin films, on the other hand, ind uces mechanical defects \nand the modifications of the magnetic properties of the films.33,34 Another method for patterning \nsingle crystal YIG is selective -area growth, which is used for fabricating magnetooptic devices, \noffering limited resolution and device roughness.35 Recently, anodic alumina oxide (AAO) \nmembranes are used as the mask for patterning conical YIG nanoparticles on silicon substrates.36 \nThis interesting technique, however, is limited by the morphology of the AAO masks and cannot \nbe extended to fa bricate complex nanostructures such as nanoscale wires, rings, and disks. \n \nIn this paper, we demonstrate engineered magnetic shape anisotropy in YIG nanostructures \nformed by lithographical lift -off of YIG on lattice -matched GGG substrates followed by the high \ntemperature annealing .37 –42 The patterned nanostructures retain very low magnetic loss with an \npeak -to-peak ferromagnetic resonance (FMR) linewidth as narrow as 4 Oe at 9.868 GHz. X-ray \ndiffraction and surface metrology studies show that the formed nanostructures have desired \ncrystallographic orientation and excellent morphology. The magnetic shape anisotropy is \ncharacterized by both hysteresis loop measurements and angle -dependent FMR measurements, \nand the data show that the patterned YIG fil m has been engineere d to have a much larger \ncoerciv ity compared with that of continuous films . The easy axis of the film is along the \nnanostrip’s length direction with an effecti ve anisotropy field of about 195 Oe. Our results 4 \n demonstrate the great potential of utilizing patterned YIG thin films for both fundamental studies \nof nano -magnetism and the development of functional magnonic devices. \n \nThe device fabrication flow is schematically presented in Fig. 1(a). The process begins with a \n(111) -oriente d GGG substrate. Bilayer PMMA resists (200 -nm A3 and 1 -m EL 13) are first \nspun on a pre -cleaned GGG substrate, followed by sputtering deposition of a layer of 10 -nm-\nthick gold to avoid electron charging effects on the insulating GGG substrate during the e lectron \nbeam exposure process. The gold -coated sample is then exposed using an electron beam \nlithography tool ( Vistec EBPG 5000+ ), and the gold layer is removed by gold etch after the \nexposure. The exposed sample is developed in a MIKB:IPA 1:1 solution to form a resist profile \nwith deep undercuts resulting from the differential sensitivities of the two resists, which is \ncritical for the final successful lift -off of YIG films after magnetron sputtering deposition. \n \n \nFIG. 1. (a) Schematic fabrication flow c hart; (b) & (c) are the s canning electron microscope (SEM) image s of the patterned YIG \nnanobars, rings, and disks. The white/black/red scale bar is 2/20/10 m respectively; (d) X -ray diffraction spectra of the patterned \n5 \n YIG nanobars and a pure GGG substrate. The inset is the atomic force microscope (AFM) surface image of the YIG nanobar \nsample. \n \nA RF face -to-face magnetron sputtering chamber i s used for our YIG fil m deposition. The \ndeposition i s carried out at room temperature with an Ar gas flow o f 4 sccm, a gas pressure of 20 \nmTorr, and a sputtering power of 75 W. The thickness of the sputtered YIG film is around 75 nm , \nfollowing by a lift -off process in acetone to remove the organic resist mask and the residual of \nsputtered amorphous YIG on the m ask. Finally, the sample i s annealed at 750 C for 1 hour in a \ntube furnace with 10 Torr oxygen to form well crystallized structures. For the annealing process, \nthe heating and cooling rates are about 10 C/min and 2 C/min, respectively. \n \nThe surface morphology of the fabricated nanostructured films is characterized by scanning \nelectron microscopy (SEM), as shown in Figs. 1(b) and 1(c). To showcase our capability in \npatterning complex magnetic nanostructures, nanobars, rings, and disks with different \ndimensions are fabricated. All the patterned structures have clean boundaries and well -defined \nshapes with few defects. To confirm that the patterned YIG film is well crystallized, x -ray \ndiffraction (XRD) measurements are performed on both the p atterned YIG nanobars and the pure \nGGG substrate, and the spectra are shown in Fig. 1(d). The result reveals the existence of the \nYIG phase and no other phases, suggesting that the patterned YIG sample has a well crystallized \nstructure with (111) orientati on. Further morphological properties are analyzed by atomic force \nmicroscopy (AFM), as shown in the inset of Fig. 1(d), indicating the high -quality surface with a \nsmall root -mean -square surface roughness of about 0.47 nm. Note that the roughness value is an \naverage over measurements on two different 0.5×0.5 m areas, five times each. Our 6 \n nanopatterned film via magnetron sputtering has a surface roughness similar to the surface \nroughness of (111) orientated GGG substrate and as -grown LPE YIG films (~0.4 nm) .43 \n \nAn array of nanobars is then used for the characterization of magnetic properties. The dimension \nof each individual YIG nanobar is 3 m×0.8 m×75 nm, and the total patterned area is \naround 2 mm ×2 mm with lattice spacing of 3 m and 6 m along the width and length \ndirections, respectively. The nanobar has an approximate aspect ratio of 4:1 which leads to shape \nanisotropy induced by demagnetization along the transverse axis and the corresponding \nmodification of the magnetic properties of t he nanobar array as compared to continuous YIG thin \nfilms. 7 \n \nFIG. 2. (a) -(c) Room temperature hysteresis loops of the YIG nanobars measured at different magnetic field orientations. The \ninsets are the FMR spectra measured at the corresponding field directi ons. \n \nThis can be seen in the hysteresis loops shown in Fig. 2, which a re acquired at room temperature \nvia vibrating sample magnetometer (VSM) with the external magnetic field varied between in -\nplane and out -of-plane directions. For the in -plane measurements, the external field is applied \nboth parallel and perpendicular to the nanobar length direction. In contrast to the typical \nmeasuremen t results for continuous YIG thin films, the hysteresis loops for the in -plane \ngeome try are significantly broadened and also show decreased saturation fields for fields applied \nparallel to the long a xis of the nanobars. It is clear that the easy axis is al igned with the nanobar \n8 \n length direction, along which the magnetization saturates at a lower external field. Further, Fig. \n2(a) shows that the coercive field is around 40 Oe when the field is parallel to the long axis. This \ncoercivity is much higher than t hat for continuous films (~1 Oe) .37 This increase of the coercivity \ncan be attributed to the increase of shape anisotropy due to geometry engineeri ng of the nanobar \nstructure.20 When the external field is applied along the hard axis, the coercive field drops to 5 \nOe, as shown in Fig. 2(b). Such an angular dependence can be qualitatively described by the \nStoner -Wohlfa rth model44 in which a larger coercive field is expected when the external \nmagnetic field is aligned along the easy axis of a hard magnet, while a smaller coercivity is \nexpected when the external field is parallel to the hard axis. \n \nAs we can observe from the VSM measurements, the values of the saturation magnetization \nacquired from three VSM loops are slightly different. The measured saturation magnetization s \nwhen the external field is applied along longitudinal, transverse, and out -of-plane directions are \n1736 ±70 G, 1783 ±74 G, and 1809 ±55 G, respectively. The averaged value for the in -plane \nconfiguration is calculated to be 1759 G, which is close to the standard value of saturation \nmagnetization of YIG (1760 G). The difference between the mea sured values and the standard \nvalue can be explained by the nonstoichiometry due to the existence of the chemical lift -off \nprocess in our fabrications. \n \nThe insets of Fig. 2 are the FMR spectra measured at room temperature by a Bruker electron \nparamagnetic resonance (ESR), which uses a microwave cavity with field modulation and lock -\nin detection techniques. The spectra show clean resonances with narrow linewidths, about 4 Oe \nfor magnetic fields applied along the easy axis, indicating the high quality of the patterned film. 9 \n Compared with that of continuous films,22,23,25 the relatively larger linewidth can be attributed to \nthe magnon scattering from grain boundaries and void -like defects,45 dimension distributions of \nthe nanobars, residual materials around the boundaries, and inhomogeneous linewidth \nbroadening.22 The FMR linewidth can be further reduced by improving the patterning technique \nto offer smoother boundaries and more uniform dimension distribution. High order modes have \nbeen observed when the applied magnetic field is scanned over a larger range, showin g an \ninhomogeneous magnetization distribution in the patterned structures. \n \nTo further study the magnetic shape anisotropy, we carried out angle -depe ndent FMR \nmeasurements at 9.868 G Hz for an input microwave power of 0.22 mW. Figure 3(a) shows the \nspectra taken by stepping the angle of an in -plane magnetic field relative to the nanobar length \naxis from 0 ° to 360 °. A clear shift of the main resonance is observed, confirming the magnetic \nanisotropy of the nanobar array. Another interesting feature of the sp ectra is the second \nresonance around 2767 Oe which has a much weaker angular dependence and corresponds to the \nFMR resonance of a ref erence square marker of 100 m × 100 m co -fabricated with the \nnanobar arrays. The relative resonance intensity difference be tween the nanobars and the square \nreference marker matches the total YIG volume ratio between them. In other words, this feature \nre-affirms that the shift of t he FMR resonance field is dominated by the magnetic shape \nanisotropy field of the nanobars, not due to, for example, the magnetic crystalline anisotropy \nwithin the YIG film. \n \nThe angle dependence of the FMR resonances from the square marker can be used to extract the \nvalue of the magnetic crystalline anis otropy of the film. If we define 𝜃 and 𝜃𝐻 as the angles of 10 \n the magnetization and the external field, respectively, with respect to the easy axis, t he \ndispersion relation of the square marker for the in -plane magnetized configuration can be written \nas46–49 \n 𝜔\n𝛾=√(𝐻cos(𝜃−𝜃𝐻)+𝐻𝑐cos4𝜃)×(𝐻cos(𝜃−𝜃𝐻)+𝐻⊥+𝐻𝑐(1−1\n2sin22𝜃)) (1) \n \n, where the frequency 𝜔 equals 2𝜋×9.868 GHz, 𝛾 is the absolute gyromagnetic ratio, H is the \nexternal magnetic field, 𝐻⊥=4𝜋𝑀𝑠(𝑁𝑧−𝑁𝑦), 𝐻𝑐 is the magnetocrystalline cubic anisotropy \nfield, and 𝑁𝑥, 𝑁𝑦 and 𝑁𝑧 are the demagnetizing factors along x, y, and z directions respectively. \nIn our device configuration , where we assume 𝜃≈𝜃𝐻, the fitted value for cubic anisotropy field \nHc is −5.4 Oe. Using the first order cubic anisotropy constant for the single crys tal YIG thin film \n𝐾1=−610 J/m3,50 the magnitude of cubic anisotropy field is calculated as |2𝐾1𝑀𝑠⁄ |= 87.5 Oe. \nThe difference between these two values indicates that large area marker has multiple magnetic \ndomains , instead of a single one . \n \n \n11 \n FIG. 3. (a) FMR spectra for patterned YIG nanobars when rotating an in -plane field; (b) FMR resonances field as a function of \nthe in -plane field orientation is measured (symbol) and fitted via Eq. (1) in the main text (solid curve). \n \nIn order to extract the value of the effective magnetic anisotropy field , the center field of the \nFMR resonance is plotted as a function of the field angle in Fig. 3(b). The data exhibit a clear \nsinusoidal variation of the resonant field with regards to the angle of the applied field. Since t he \nspacing between the patterned nanobars is as large as 3 m, the magnetic dipole interaction and \nthe exchange interaction between them can be neglected. As a result, we consider a magneto -\nstatic model of individual rectangular nanobars and approximate th em as a uniformly magnetized \nsingle -domain ellipsoid.7,8,51 –53 Considering the in -plane effective magnetic anisotropy field, the \nFMR frequency can be written as46–49 \n \n𝜔\n𝛾=√(𝐻cos(𝜃−𝜃𝐻)+𝐻𝐴cos2𝜃+𝐻𝑐cos4𝜃)×\n(𝐻cos(𝜃−𝜃𝐻)+𝐻⊥+𝐻𝐴cos2𝜃+𝐻𝑐(1−1\n2sin22𝜃)) (2) \n, where 𝐻𝐴=4𝜋𝑀𝑠(𝑁𝑦−𝑁𝑥) is the effective shape -induced in-plane anisotropy field . By \nsubstituting Hc which equals −5.4 Oe, the values of HA, 𝐻⊥, and 𝛾 are fitted by Eq. 2 , and the \nfitted curve is plotted as the solid line in Fig. 3(b). The fitting yields HA = 195 Oe, 𝐻⊥=\n1416 Oe, and 𝛾 = 2.87 MHz/Oe. The fitted 𝛾 value is close to the standard value which is 2.8 \nMHz/Oe. \n \nThe value s of HA and 𝐻⊥ can be calculated theoretically by using an effective demagnetizing \ntensor of the patterned nanobars. The nanobar with dimension 3 m×0.8 m×75 nm can be \napproximated as a general ellipsoid with demagnetizing factors along three principle axis ( x,y, \nand z) to be 0.0096, 0.0881, and 0.91, respective ly.54 Using an average in-plane saturation 12 \n magnetization of 1759 G we obtain ed in VSM measurements, the calculated 𝐻𝐴=4𝜋𝑀𝑠(𝑁𝑦−\n𝑁𝑥)=138 Oe is close to the fitted value. The difference can be explained by the coupling \nbetween nanobars, the nonstoichiometry of the deposited material , the dimension distribution of \nthe nanobars and the simplification of the model by treating the nanobar as a general ellipsoid. \nMoreover, the calcuated 𝐻⊥=4𝜋𝑀𝑠(𝑁𝑧−𝑁𝑦) is 1445 Oe, which is also very close to the fitted \nvalue. \n \nIn conclusion, we have demonstrated the synthesis of high -quality YIG nanostructures on lattice -\nmatched GGG substrates via room temperature magnetron sputtering and lithography. Structures \nwith different geometries and dimensions have be en realized. In particular, the structural and \nmagnetic properties of YIG nanobar devices are systematically studied. The devices ar e \ndemonstrated to have crystalline structures and narrow FMR linewidths. Hysteresis \nmeasurements and FMR characterization s reveal that geometry engineering of the YIG nanobars \ncontrols magnetic properties such as shape anisotropy and coercivity, allowing significant \nimprovement as compared to the behavior of un -patterned YIG films. Our results establish the \nfeasibility of preci sely tuning the magnetic properties of high -qualit y YIG thin films and present \nmore opportunities for the utilization of structured YIG for spintronic and magnonic device \napplications , such as spin torqu e transfer , spin dynamics i n coupled nanopatterned YIG devices , \nand the stabilization of the magnetic or der via shape anisotropy at low temperature . \n \nWe acknowledge funding support from an LPS/ARO grant (W911NF -14-1-0563), Air Force \nOffice of Scientific Research (AFOSR) Multidisciplinary University Research Initiative (MURI) \ngrant (FA9550 -15-1-0029), Defense Advanced Research Projects Agency (DARPA) 13 \n Mesodynamics Systems (MESO ) program , the National Science Foundation (DMR -1507775), \nand the Packard Foundation. Facilities used were supported by the Yale Institu te for Nanoscience \nand Quantum Engineering (YINQE) , the Yale cleanroom, and the NanoSystems Laboratory \n(NSL) at The Ohio State University . The work at CSU was supported by SHINES, an Energy \nFrontier Research Center funded by the U.S. Department of Energy ( SC0012670) ; the U.S. \nNational Science Foundation (EFMA -1641989) ; the C -SPIN, one of the SRC STARnet Centers \nsponsored by MARCO and DARPA ; and the U. S. Army Research Office (W911NF -14-1-0501 ). \nWe would like to thank Michael Power and Chris topher Tillinghast for the assistance in device \nfabrication . \n \n \n \n \n \n1 S. D. Bader, Rev. Mod. Phys. 78.1 (2006): 1. \n2 A.P. Guimarães, Principles of nanomagnetism . Springer Science & Business Media, (2009). \n3 Y. S. Gui, N. Mecking, and C. -M. Hu, Phys. Rev. Lett. 98, 217603 (2007). \n4 J. Li, J. Shi and S. Tehrani, Appl. Phys. Lett. 79, 3821 (2001). \n5 N. J. Tang, W. Zhong, C. K. Au, Y. Yang, M. Han, K. J. Lin, and Y. W. Du, J. Phys. Chem. C 112, 19316 (2008). \n6 Z. X. Pan, N. Alem, T. Sun, and V. P. Dravid, Nano Lett. 6, 2344 (2006). \n7 Y. Zhang, D. Zhang, Y. Wang, Y. Yin, Z. Huang, C. Luo and Y. Zhai, Chinese Physics B , 22(5), 056801 (2013). \n8 M. Demand, A. Encinas -Oropesa, S. Kenane , U. Ebels, I. Huynen and L. Piraux, J. Magn. Magn. Mater. 249(1), 228 -233 (2002). \n9 N. Zhu, X. Zhang, I. H. Froning, M. E. Flatté, E. Johnston -Halperin, and H. X. Tang, Appl. Phys. Lett. 109, 082402 (2016). \n10 L. Lartigue, C. Wilhelm, J. Servais, C. Factor, A. Dencausse, J. Bacri, N. Luciani, and F. Gazeau, ACS Nano, 2012, 6 (3), pp \n2665 –2678. \n11 C. Chappert, A. Fert and F. N. Van Dau, Nat. Mater. 6, 813 - 823 (2007). \n12 B. D. Terris, and T. Thomson. J. Phys. D : Appl. Phys. 38 (2005) R199 – R222. \n13 B. Behin -Aein, D. Datta, S. Salahuddin and S. Datta, Nat. Nanotechnol. 5, 266 - 270 (2010). \n14 X. Zhang, C. -L. Zou, L. Jiang, and H. X. Tang, Phys. Rev. Lett. 113, 156401 (2014). \n15 X. Zhang, C. -L. Zou, N. Zhu, F. Marquardt, L. Jiang, and H. X. Tang, Nat. Commun. 6, 8914 (2015). \n16 A. V. Chumak, A. A. Serga, and B. Hillebrands, Nat. Commun. 5, 4700 (2014). \n17 X. Zhang, N. Zhu, C. -L. Zou, and H. X. Tang, Phys. Rev. Lett. 117, 123605 (20 16). \n18 J. Wang, A. Geiler, P. Mistry, D. R. Kaeli, V. G. Harris, C. Vittoria, J. Magn. Magn. Mater. 324.6 (2012): 991 -994. \n19 Bijoy K. Kuanr, V. Veerakumar, Ryan Marson, Sanjay R. Mishra, R. E. Camley, and Z. Celinski, Appl. Phys. Lett. 94, \n202505(2009). \n20 F. G. Monzon, D. S. Patterson, and M. L. Roukes, J. Magn. Magn. Mater. 195.1 (1999): 19 -25. \n21 Y. Y. Sun, Y. Y. Song, H. C. Chang, M. Kabatek, M. Jantz, W. Schneider, M. Z. Wu, H. Schultheiss, and A. Hoffmann, Appl. \nPhys. Lett. 101, 152405 (2012). \n22 H. Cha ng, P. Li, W. Zhang, T. Liu, A. Hoffman, L. Deng and M. Wu, IEEE Magn. Lett. 5, pp.1 -4 (2014). \n23 Y. Y. Sun, Y. Y. Song, and M. Z. Wu, Appl. Phys. Lett. 101, 082405 (2012). 14 \n \n24 H. L. Wang, C. H. Du, P. C. Hammel and F. Y. Yang, Phys. Rev. B 89, 134404 (2014). \n25 T. Liu, H. Chang, V. Vlaminck, Y. Sun, M. Kabatek, A. Hoffman, L. Deng and M. Wu, J. Appl. Phys. 115, 17A501 (2014). \n26 R. C. Linares, R. B. McGraw, and J. B. Schroeder, Appl. Phys. Lett. 36, 2884 (1965). \n27 A. V. Chumak, , A. A. Serga, B. Hillebrands, and M. P. Kostylev, Appl. Phys. Lett. 93, 022508 (2008). \n28 Y. Katoh, N. Sugimoto and A. Shibukawa, Jpn. J. Appl. Phys. 31.12R (1992): 3888. \n29 A. M. Szaplonczay and H. H. D. Quon, J. Mater. Sci. 7.11 (1972): 128 0-1284. \n30 V. Lauer , M. Schneider , T. Meyer , T. Brächer, P. Pirro, B. Heinz , F. Heussner , B. Lägel, M. C. Onbasli , C. A. Ross , B. \nHillebrand s, and A . V. Chumak , IEEE Magnetics Letters , vol. 8, no. , pp. 1 -4 (2017 ). \n31 M. B. Jungfleisch, W. Zhang, W. Jiang, H. Chang, J. Sklenar, S. M. Wu, J. E. Pearson, A. Bhattacharya, J. B. Ketterson, M. \nWu, and A. Hoffmann, J. Appl. Phys. 117, 17D128 (2015). \n32 C. Hahn, V. V. Naletov, G. de Loubens, , O. Klein, , O. d'Allivy Kelly, A. Anane, R. Bernard, E. Jacquet, P. Bortolotti, V. Cros, \nJ. L. Prieto, and M. Muñoz, Appl. Phys. Lett. 104, 152410 (2014). \n33 Y. Okamura, M. Ishida, and S. Yamamoto, Appl. Opt. 23, 124 -126 (1984). \n34 M. B. Jungfleisch , W. Zhang, W . Jiang, H . Chang, J . Sklenar, S . M. Wu, J . E. Pearson, A . Bhattacharya, J . B. Ketterson, M. \nWu, and A . Hoffmann , J. Appl. Phys. 117, 17D128 (2015). \n35 J.-H. Park, J. Cho, K. Nishimura , H. Uchida, and M. Inoue, 2004 Jpn. J. Appl. Phys. 43 4777. \n36 H. Zheng, M. Han, L. Zheng, P. Zheng, Q. Wu, L. Deng, and H. Qin, Appl. Phys. Lett. 107, 062401 (2015). \n37 S. Li, W. Zhang, J. Ding, J.E. Pearson, V. Novosad, and A. Hoffman, Nanoscale, 8(1), 388 -394 (2016). \n38 S. Y. Chou, Proc. IEEE 85.4 (1997): 652 -671. \n39 G. Gubbiotti, S. Tacchi, G. Carlotti, P. Vavassori, N. Singh, S. Goolaup, A. O. Adeyeye, A. Stashkevich, and M. Kostylev, \nPhys. Rev. B 72, 224413 (2005). \n40 J. Ding, M. Kostylev, and A. O. Adeyeye, Phys. Rev. B 84, 054425 (2011). \n41 A. O. Adeyeye , and N. Singh. J. Phys. D: Appl. Phys. 41.15 (2008): 153001. \n42 W. Zhang, , D. N. Weiss, and K. M. Krishnan, J of Appl. Phys. 107, 09D724 (2010). \n43 C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky, U. Brückner, and J. Dellith, arXiv preprint arXiv:1608.0804 3 (2016). \n44 R. Skomski and J. M. D. Coey, Permanent Magnetism (Institute of Physics Publishing, Bristol, 1999), p. 187. \n45 M. Sparks, Ferromagnetic -relaxation theory . McGraw -Hill, 1964.). \n46 C. Kittel, Phys. Rev. 73, 155, (1948). \n47 B. Aktas, Thin Solid Films 307 (1997) 250 -259. \n48 X.Zhang, L. Shi, and Y. Zhai, Mod. Phys. Lett. Vol 16, Nos. 1 & 2 (2002) 35 -39. \n49 D. Zhang, J. J. Yue, Z. X. Kou, L. Lin, Y. Zhai, , and H. R. Zhai, AIP Advances 6, 056125 (2016). \n50 D. D. Stancil and A. Pra bhakar, Spin Waves - Theory and Applications (Springer, Boston, MA, 2009). \n51Y. Zhai, J. Shi, X. Y. Zhang, L. Shi, Y. X. Xu, H. B. Huang, Z. H. Lu and H. R. Zhai, J. Phys.: Condens. Matter 14 7865 (2002). \n52 C.Vittoria, Microwave Properties of Magnetic Films , World Scientific, Singapore, 1993. \n53 E. de Biasi, C.A. Ramos and R.D. Zysler, J. Magn. Magn. Mater.278 (2004) 289. \n54 J. A. Osborn, Phys. Rev. 67, 351 (1945). " }, { "title": "1705.02094v1.Thermographic_measurements_of_the_spin_Peltier_effect_in_metal_yttrium_iron_garnet_junction_systems.pdf", "content": "arXiv:1705.02094v1 [cond-mat.mtrl-sci] 5 May 2017Thermographic measurements of the spin Peltier effect in met al/yttrium-iron-garnet\njunction systems\nS. Daimon,1,2,∗K. Uchida,1,3,4,5, †R. Iguchi,1,4T. Hioki,1and E. Saitoh1,2,3,6\n1Institute for Materials Research, Tohoku University, Send ai 980-8577, Japan\n2WPI Advanced Institute for Materials Research, Tohoku Univ ersity, Sendai 980-8577, Japan\n3Center for Spintronics Research Network, Tohoku Universit y, Sendai 980-8577, Japan\n4National Institute for Materials Science, Tsukuba 305-004 7, Japan\n5PRESTO, Japan Science and Technology Agency, Saitama 332-0 012, Japan\n6Advanced Science Research Center, Japan Atomic Energy Agen cy, Tokai 319-1195, Japan\nThe spin Peltier effect (SPE), heat-current generation due t o spin-current injection, in various\nmetal (Pt, W, and Au single layers and Pt/Cu bilayer)/ferrim agnetic insulator (yttrium iron garnet:\nYIG) junction systems has been investigated by means of a loc k-in thermography (LIT) method.\nThe SPE is excited by a spin current across the metal/YIG inte rface, which is generated by ap-\nplying a charge current to the metallic layer via the spin Hal l effect. The LIT method enables the\nthermal imaging of the SPE free from the Joule-heating contr ibution. Importantly, we observed\nspin-current-induced temperature modulation not only in t he Pt/YIG and W/YIG systems but\nalso in the Au/YIG and Pt/Cu/YIG systems, excluding the poss ible contamination by anoma-\nlous Ettingshausen effects due to proximity-induced ferrom agnetism near the metal/YIG interface.\nAs demonstrated in our previous study, the SPE signals are co nfined only in the vicinity of the\nmetal/YIG interface; we buttress this conclusion by reduci ng a spatial blur due to thermal diffusion\nin an infrared emission layer on the sample surface used for t he LIT measurements. We also found\nthat the YIG-thickness dependence of the SPE is similar to th at of the spin Seebeck effect measured\nin the same Pt/YIG sample, implying the reciprocal relation between them.\nPACS numbers: 72.20.Pa, 72.25.-b, 85.75.-d, 85.80.-b\nI. INTRODUCTION\nA spin current, a flow of the spin angular momentum,\nplays a crucial role in spintronics [1–7]. Recently, the\ninteraction between spin and heat currents in paramag-\nnet/ferromagnetjunction systemshasattractedmuchat-\ntention [8–10]. One important example is the spin See-\nbeckeffect (SSE) [11–44], whichreferstothe spin-current\ngeneration as a result of a heat current. When a temper-\nature gradientis applied to the junction, the heat current\ninduces nonequilibrium dynamics of magnetic moments\nin the ferromagnet, which injects a spin current into the\nattached paramagnet [45–55]. The spin current is then\nconverted into a charge current by the spin-orbit inter-\naction (SOI) and detected as an electric voltage [56–58].\nSince the SSE appears even in ferromagnetic insulators\n[13], itenablesinsulator-basedthermoelectricgeneration,\nwhich was impossible when only conventional thermo-\nelectric effects are used. Therefore, the SSE has been\nstudied from the viewpoints of fundamental physics as\nwell as thermoelectric application [9,59].\nThe spin Peltier effect (SPE) [60,61] refers to the heat-\ncurrent generation as a result of a spin current across the\nparamagnet/ferromagnet junction, which is the Onsager\nreciprocal of the SSE. The first observation of the SPE\nwas reported by J. Flipse et al.in 2014 using a junction\ncomprising a paramagnetic metal Pt and a ferrimagnetic\ninsulatoryttriumirongarnetY 3Fe5O12(YIG) [60]. They\nmeasured temperature modulation in linear response to\nthe spin-current injection using micro-fabricatedthermo-\ncouples, and they observed temperature change on thebare YIG surface around the Pt spin injector. Recently,\nwe established another technique for measuring the SPE\nbased on active infrared emission microscopy called lock-\nin thermography(LIT) [61–63]. The LITmethod enables\nimaging of the temperature modulation induced by SPEs\nwith high temperature and spatial resolutions ( <0.1 mK\nand∼6µm, respectively, aroundroom temperature) but\nrequires no micro-fabrication processes, realizing simple\nand versatile investigation of SPEs. Now, we are ready\nto carry out systematic studies on SPEs to clarify their\nnature.\nIn this paper, we report systematic measurements of\nthe SPE using the LIT method using various metal/YIG\njunction systems. This paper is organized as follows. In\nSec. II, we explain sample configurationsand experimen-\ntal setups for the measurements of the SPE using the\nLIT method, followed by the details of the experimental\nprocedures. In Sec. III, we show the experimental re-\nsults and analyses of the SPE in the Pt/YIG, W/YIG,\nPt/Al2O3/YIG, Au/YIG, and Pt/Cu/YIG junction sys-\ntems, which confirm that the LIT method enables visu-\nalization of the temperature modulation induced by spin\ncurrents injected into the YIG layer from the adjacent\nmetal. The Au/YIG and Pt/Cu/YIG samples allow us\ntoremovecontributionsfromconventionalthermoelectric\neffects and to realize pure detection of the SPE. By in-\nvestigating temperature distribution induced by the SPE\nin the Pt/YIG sample with a very thin infrared emission\nlayer, we found that the signal is confined only in the\nvicinity of the Pt/YIG interface within the spatial res-\nolution of the infrared camera ( ∼6µm). In Sec. IV,2\nFIG. 1: (a) Schematic of the PM/FI sample used for the measure ments of the SPE. The sample comprises a U-shaped PM\n(in experiments, Pt, W, or Au single layers or Pt/Cu bilayer) film and an FI (in experiments, YIG). The squares on the PM\ndefine the areas L, C, and R. (b)-(d) Schematic illustrations of the SPE induced by the SHE on the areas L (b), C (c), and\nR (d).Jc,Js,Jq,M, andHdenote the charge current applied to the PM, spin current wit h the spin vector σgenerated by\nthe SHE in the PM, heat current generated by the SPE near the PM /FI interface, magnetization vector with the magnitude\nM, and magnetic field vector with the magnitude H, respectively. When the SHA of the PM and Hare positive, Mandσ\nare antiparallel, perpendicular, and parallel on L, C, and R , respectively. Depending on the relative angle between Mandσ,\nthe nonequilibrium energy transport via the interfacial sp in exchange generates Jq, which results in the temperature gradient\nparallel to Jqas schematically shown in our previous paper [61].\nwe investigate the YIG-thickness dependence of the SPE\nand SSE and discuss the reciprocity between them. The\nSec. V is devoted to a summary of the present study.\nII. EXPERIMENTAL CONFIGURATION AND\nPROCEDURE\nFigure 1(a) shows a schematic illustration of SPEs in\na paramagnetic metal (PM)/ferrimagnetic insulator (FI)\njunction used in the present study. The SPE appears\nas a result of a spin current generated by the spin Hall\neffect (SHE) in the PM [64–69]. When a charge current\nJcis applied to the PM with strong SOI, a spin current\nJswith a spin vector σis generated by the SHE, which\nsatisfies the following relation [37]:\nJs=/planckover2pi1\n2eθSHσ×Jc, (1)\nwheree(<0) andθSHare the electric charge of an elec-\ntron and the spin Hall angle (SHA), respectively, and σ\nis defined as a unit vector. The spin current induces spin\naccumulation with the spin direction of Jc×nnear the\nPM/FI interface, where nis the normal vector of the\nPM/FI interface plane in the + zdirection. The spin ac-\ncumulation transfersspin angularmomentum and energy\nfrom electronsin the PM to magnonsin the FI via the in-\nterfacial spin exchange, i.e., the spin-mixing conductance\n[70]. This process is proportionalto the magnitude ofthe\ninjected spin current and depends on whether σin thePM is parallel or antiparallel to the magnetization Mof\nthe FI, which are the characteristics of the spin transfer\ntorque [70]. The nonequilibrium spin and energy trans-\nport between electron and magnon systems generates a\nheat current Jqacross the PM/FI interface, which satis-\nfies the following symmetry:\nJq∝(σ·M)n∝Jc×M. (2)\nTo demonstrate the symmetry of the SPE in a single\ndevice, we formed a U-shaped PM layer on the FI [Fig.\n1(a)]. In this structure, the relative direction between\nJcandMon the PM/FI interface is different among\nthe areas L, R, and C [Figs. 1(b)-(d)]. Owing to the\nsymmetry of the SHE, σis directed along the - x, -y, and\n+xdirections at the PM/FI interface on L, R, and C,\nrespectively. When the external magnetic field Hwith\nthe magnitude His applied to the + xdirection, σis\nantiparallel, parallel, and perpendicular to Mon L, R,\nand C, respectively. Therefore, the amplitude of the M\nfluctuation is suppressed (enhanced) on L (R), while it\nis not modulated on C, because of the symmetry of the\nspin transfer torque. Thus, Jqis generated along the + z\n(-z) direction on L (R), while no Jqgeneration appears\non C [Eq. (2)].\nIn this study, we measured the SPE in various metal\n(Pt, W, and Au single layers and Pt/Cu bilayer)/YIG\njunction systems, where Pt, W, and Au are typical met-\nals showing strong SOI and SHEs [68]. The thickness of\nthe Pt, W, and Cu layers (Au layer) is 5 nm (10 nm)\nand the width of the U-shaped structure is 0 .2 mm. All3\nFIG. 2: (a) Schematic of the lock-in thermography (LIT) meas urements. (b) LIT conditions for the SPE measurements. When\nwe apply a square-wave charge current with the amplitude Jc, the frequency f, and no DC offset, the SPE-induced temperature\nmodulation ( ∝Jc) oscillates with f, while the Joule-heating-induced temperature modulation (∝J2\nc) is constant in time. By\nextracting the first harmonic response of the thermal images , only the SPE contribution can be detected. (c) LIT conditio ns\nfor the Joule-heating measurements. When we apply a square- wave current with the amplitude ∆ Jc, the frequency f, and the\nfinite DC offset J0\nc, the LIT images are dominated by the temperature modulation induced by the Joule heating because it is\nmuch greater than that of the SPE.\nthe metals were sputtered on a single-crystalline YIG,\nwhich was grown on the whole of a single-crystalline\nGd3Ga5O12(GGG) substrate by a liquid phase epitaxy\nmethod [71]. Before sputtering the metals, the sur-\nface of the YIG was mechanically polished with alu-\nmina slurry with the particle diameter of 0 .05µm. The\nthickness of the YIG is 112 µm except for the YIG-\nthickness-dependent measurements shown in Sec. IV.\nIn the YIG-thickness-dependent measurements, we pre-\npared five YIG/GGG substrates with the YIG thickness\noftYIG= 2.1,5.1,19.6,41.7,109µm and formed a Pt\nfilm with the thicknessof5 nm andthe rectangularshape\non each YIG.\nTo detect the temperature modulation induced by the\nSPE, we employed the LIT method [Fig. 2(a)]. Since\ntypical temperature modulation induced by the SPE is\nin the order of 1 mK, it cannot be measured by the con-\nventional steady-state thermography, of which the de-\ntection limit is >20 mK. In contrast, the LIT provides\nthe higher temperature resolution of <0.1 mK and en-\nables the contact-free measurements of spatial distribu-\ntion of the SPE signals over a large area [61]. The LIT\nmeasurement is performed by the following procedures.\nFirst, a periodic external perturbation, such as a charge\nor spin current, is applied to a sample. At the same\ntime, thermal images are measured at a high frame rate\n(100 Hz for our infrared camera). The thermal images\nareFourier-transformedatthesamefrequencyastheper-\nturbation. Then, the Fourier-transformamplitude Aand\nphaseφimages of the temperature modulation induced\nby the perturbation are obtained. The A(φ) image gives\nthe information about the magnitude of the temperature\nmodulation (the sign of the temperature modulation andthe time delay due to the thermal diffusion). The am-\nplitude and phase are defined in the range of A≥0 and\n0◦≤φ <360◦. Here,φ= 0◦means that the input per-\nturbation and output temperature changeoscillate in the\nsame phase.\nThe SPE measurements using the LIT method is\nschematically shown in Fig. 2(b). We measured the spa-\ntial distribution of infrared radiation emitted from the\nsample surface with applying a square-wave charge cur-\nrent, whose amplitude and frequency are Jcandf, re-\nspectively. Wethenextractedthefirstharmonicresponse\nof the detected signals. Here, we set f= 5 Hz except for\nthe frequency-dependent measurements in Sec. III. Im-\nportantly, the SPE-induced temperature modulation is\nproportional to Jc, while the Joule-heating contribution\nis toJ2\nc. Therefore, the Joule heating generated by the\nsquare-wave current is constant in time as depicted in\nFig. 2(b), which enables us to separate the SPE signals\nfrom the Joule-heating signals by using the LIT method.\nIn contrast, as shown in Fig. 2(c), we can measure the\ntemperature modulation induced by the Joule heating\nby applying a square-wave current with the amplitude of\n∆JcandthefiniteDCoffset J0\ncbecausetheJoule-heating\nsignals appear also in the first harmonic response of the\nthermal images in this condition, when the SPE signals\nare much smaller than the Joule-heating contribution.\nThe temperature of the sample is detected in terms of\nthe emission intensity of the infrared light in the wave-\nlength range of 3 – 5 µm in our measurements. Figure\n3(a)showsaninfraredthermalimageofthePt/YIGsam-\nple used in our experiments at room temperature, which\nwas obtained without using the LIT method. The black-\nand-white contrast in the thermal image comes from the4\nFIG. 3: (a),(b) Steady-state infrared images of the Pt/YIG\nsample without (a) and with (b) the black-inkcoating at ther -\nmal equilibrium. The image in (b) confirms the uniform emis-\nsivity of thesample surface. The LITmeasurements were per-\nformed by using the sample with the black-ink coating except\nfor the experiments in Fig. 7. (c),(d) Lock-in amplitude A(c)\nand phase φ(d) images for the Pt/YIG sample at Jc= 4 mA.\nThe upper and lower panels show the signals at H= +200\nand−200 Oe, respectively. (e) Jcdependence of Aandφon\nthe areas L (yellow circles), R (blue squares), and C (gray\ntriangles) of the Pt/YIG sample at H= +200 Oe. (f) Hde-\npendence of Aandφon L, R, and C of the Pt/YIG sample at\nJc= 4 mA. The M-Hcurve of the YIG is also plotted. The\nerror bars are defined as a standard deviation. The lock-in\nphase does not converge to a specific value when the signal\namplitude is smaller than the sensitivity of the LIT; theref ore,\ntheφdata for C are not shown in (e) and (f).\ndifference in the emissivity between the Pt film and YIG.\nSignificantly, since YIG is transparent in the detectable\nwavelength range of our infrared camera and its infrared\nemissivity is almost zero, infrared emission from bare\nYIG cannot be detected directly (see appendix A) [Note\nthat the black color on the YIG area in Fig. 3(a) is at-tributed to the infrared emission from the sample stage\nbeneath the sample]. In contrast, the Pt film exhibits\nsignificant infrared emissivity ( ∼0.3 in the wavelength\nrange of 3 – 5 µm) owing to the size effect for the electro-\nmagnetic response (see appendix B), while the emissivity\nof metals is very small in general. Therefore, the tem-\nperature change on the Pt film can be detected with the\ninfrared camera, although its quantitative estimation is\ndifficultbecausetheemissivityisstilllessthanthatofthe\nblack body (= 1). To overcome the low and non-uniform\nemissivity distribution of the sample, the sample surface\nwas coated with insulating black ink, of which the emis-\nsivity is >0.95 (except for the results in Fig. 7). The\nblack ink mainly consists of SiZrO 4, Cr2O3, and iron-\noxide based inorganic pigments, which is commercially\navailable from Japan Sensor Corporation. The thickness\nof the black ink is 20 – 30 µm in our experiments and the\ninfrared light transmittance of the black ink layer is al-\nmost zero. Figure 3(b) shows an infrared thermal image\nof the Pt/YIG sample with the black-ink coating, which\nconfirms high and uniform emissivity of the sample sur-\nface.\nIn the LIT experiments, the infrared intensity Ide-\ntected by the infrared camera needs to be converted into\ntemperature Tinformation. This conversion is done by\nmeasuring Tdependence of I. Since the LIT extracts\nthermal images oscillating with the same frequency as a\nperiodic external perturbation applied to the sample, the\nI-to-Tconversion in the LIT is determined by the dif-\nferential relation ∆ T1f(r) = dT/dI|T(r)∆I1f(r), where\n∆T1f(r) and ∆I1f(r) denote the lock-in responses of the\ntemperature and infrared radiation intensity at the po-\nsitionr, respectively. In this study, we employed the\nfollowing five-step calibration method: (1) Measure the\nTdependence of Iin the steady-state condition by us-\ning the infrared camera with changing the Tvalue of the\nsample, (2) Calculate the d T/dIfunction from the ob-\ntainedI-Trelation for each pixel, (3) Perform the LIT\nmeasurements; measure the first harmonic response of\ntheIimages, i.e., ∆ I1fimages, with applying a square-\nwave charge current to the sample, (4) Determine Tval-\nues during the LIT measurements for each pixel by using\ntheI-Trelation and steady-state Iimages measured in\nparallel with the ∆ I1fimages, and (5) Convert the ∆ I1f\nimages into ∆ T1fimages by applying the d T/dI|Tvalue,\nobtained from the steps (2) and (4), to each pixel. This\ncalibration method is valid only when the infrared emis-\nsivity of the sample surface is high ( ∼1), where infrared\nlight transmitted through and reflected from the sample\nis negligibly small.\nIII. RESULTS AND DISCUSSION\nA. Thermal imaging of the SPE\nFirst, we show data of current-induced temperature\nmodulation in the Pt/YIG structure. The upper panels5\nFIG. 4: (a)-(c) Aandφimages for the Pt/YIG (a), W/YIG (b), and Pt/Al 2O3/YIG (c) samples at Jc= 2 mA and H= +200\nOe. (d)Jcdependence of Aandφon L of the Pt/YIG (yellow circles), W/YIG (blue circles), an d Pt/Al 2O3/YIG (gray circles)\nsamples at H= +200 Oe.\nin Figs. 3(c) and (d) show the LIT amplitude Aand\nphaseφimages at Jc= 4 mA and H= +200 Oe ( H||+x\ndirection), respectively. The clear temperature modula-\ntion was observed on the areas L and R, but not on C,\nwhich is consistent with the aforementioned symmetry of\nthe SHE. We found that φ= 0◦(φ= 180◦) on L (R),\nshowing that the input charge current and output tem-\nperature modulation oscillate with the same (opposite)\nphase on L (R) in the Pt/YIG sample. Since the heat-\nconduction condition is the same between L and R, the\nφshift of 180◦between L and R is irrelevant to the time\ndelaycaused by the thermal diffusion, indicating that the\nsign of the temperature modulation on the Pt/YIG sur-\nface is reversed by reversing the Jcdirection. Figure 3(e)\nshows the Jcdependence of AandφatH= +200 Oe.\nTheAvalues are proportional to Jcand theφvalues re-\nmain unchanged with respect to Jc. This result confirms\nthat the observed temperature modulation on L and R\nof the Pt/YIG sample appears in linear response to the\ncharge current in the Pt layer.\nWe also measured the Hdependence of the tempera-\nture modulation induced by the charge current by using\nthe same Pt/YIG sample. The sign of the temperature\nmodulation, φ, is reversed by reversing the Hdirection\n[see Fig. 3(d)], indicating that the signal is affected by\ntheMdirection of YIG. As shown in Fig. 3(f), the tem-\nperature modulation is an odd function with respect to\nHandis saturatedwhen themagnetizationofYIG issat-\nurated (|H|>50 Oe); this is the characteristic feature of\nthe SPE [see Eq. (2)]. The observed clear sign reversal\nalsoshowsthat the contributionfrom the H-independent\neffects, such as the conventional Peltier effect at the elec-\ntric contacts, is negligibly small. When |H|<50 Oe, theAvalue rapidly decreases to zero as |H|approaches to\nzero. This is because the magnitude of Mdecreases to\nzero as shown in Fig. 3(f).\nTo verify the origin of the current-induced temper-\nature modulation, we performed some control experi-\nments. Figure 4(b) shows the Aandφimages in the\nW/YIG sample at Jc= 4 mA and H= +200 Oe, where\ntheσdirection of the spin current flowing across the\nW/YIG interface is opposite to that of the Pt/YIG in-\nterface since the sign of the SHA of W and Pt is oppo-\nsite. On L and R of the W/YIG sample, clear temper-\nature modulation proportional to Jcwas observed [see\nFigs. 4(b) and (d)]. Importantly, the sign of the tem-\nperature modulation in the W/YIG sample was oppo-\nsite to that of the Pt/YIG sample [compare Figs. 4(a)\nand (b)]. This sign change is consistent with the sign of\nthe SHA, showing that the signal comes from the spin\ncurrent generated by the SHE in the PM. We also mea-\nsured the current-induced temperature modulation in a\nPt/Al2O3/YIG junction system, where the 1-nm-thick\nAl2O3was grown on the YIG by an atomic layer de-\nposition method before forming the Pt layer. Since the\nspin current generated by the SHE in the Pt layer can-\nnot flow into the YIG layer through the Al 2O3layer [73],\nthe current-induced temperature modulation should dis-\nappear in this structure. In fact, no signal appears in the\nPt/Al2O3/YIG sample [Figs. 4(c) and (d)], confirming\nthat the signal originates from the injection of the spin\ncurrent across the PM/YIG interfaces.6\nFIG. 5: (a) Aandφimages for the Au/YIG sample at Jc= 32 mA and H= +200 Oe. (b) Jcdependence of Aandφon L\n(yellow circles) and R (blue squares) of the Au/YIG sample at H= +200 Oe. (c) Aandφimages for the Pt/Cu/YIG sample\natJc= 24 mA and H= +200 Oe. (d) Jcdependence of Aandφon L and R of the Pt/Cu/YIG sample at H= +200 Oe.\nB. Separation of the SPE from the anomalous\nEttingshausen effect\nThe above experiments clearly show that the Pt/YIG\nandW/YIGsamplesexhibit thecurrent-inducedtemper-\nature modulation with the same symmetry as the SPE.\nHowever,tocompleteexclusiveestablishmentoftheSPE,\nwe need to separate the SPE from conventional other\nthermoelectric effects. Although the contribution from\nthe conventional Peltier effect is negligibly small as men-\ntioned before, we have to check the contribution from\nthe Ettingshausen effects in the metal layer, of which\nsymmetry is similar to the SPE [9]. The normal (anoma-\nlous) Ettingshausen effect generates a heat current in the\ndirection of the cross product of the applied charge cur-\nrentandexternalmagneticfield(spontaneousmagnetiza-\ntion), where the output temperature modulation is pro-\nportional to the magnitude of the magnetic field (magne-\ntization). Here, we found that the normal Ettingshausen\neffect in Pt is negligibly small because Ais saturated in\nthe range of |H|>50 Oe in the Pt/YIG sample [see\nFig. 3(f)]. The anomalous Ettingshausen effect (AEE)\nin ferromagnetic materials does not exist in our sample,\nsince YIG is a very good electrical insulator. In contrast,\nin the Pt/YIG system, ferromagnetism may be induced\nin the Pt layer due to a static magnetic proximity effect\nin the vicinity of the Pt/YIG interface [74], since Pt is\nnear the Stoner ferromagnetic instability [75,76]. If the\nstatic ferromagnetism in Pt appears and induces tem-\nperature modulation by the AEE, it may contaminate\nthe SPE signals. The contribution from the magnetic\nproximity effect in the Pt/YIG junction was shown to be\nnegligibly small in the SSE experiments [30,34], where\nthe possible thermopower due to the proximity-inducedanomalous Nernst effect (ANE) is three orders of magni-\ntude smaller than that due to the SSE. When we assume\nthe reciprocal relations in the charge-spin-heat conver-\nsion phenomena, the temperature modulation due to the\nproximity-induced AEE is expected to be much smaller\nthan that due to the SPE in the Pt/YIG sample.\nTo observe the SPE free from the proximity-induced\nAEE, we performed the LIT measurements using a\nAu/YIG (Pt/Cu/YIG) junction system, where the Pt\nlayer is replaced with a Au film (a Cu film is inserted\nbetween Pt and YIG) to avoid the magnetic proximity\neffect. Since Au and Cu are typical metals far from the\nStoner instability, the Au/YIG and Pt/Cu/YIG samples\nallowustodemonstratethatthecurrent-inducedtemper-\nature modulation is irrelevant to the magnetic proximity\neffect. In the Pt/Cu/YIG sample, while the Cu layer\nhave little ability to generate the spin current due to the\nsmall SOI, the spin current generated in the Pt layer\npasses through the Cu layer and reaches the Cu/YIG\ninterface owing to the large spin diffusion length of Cu\n[69].\nFigure 5(a) shows the Aandφimages in the Au/YIG\nsample at Jc= 32 mA and H= +200 Oe. The\ncurrent-induced temperature modulation appears also in\nthe Au/YIG sample on L and R, of which the Jcand\nHdependences are consistent with those in the Pt/YIG\nsample. The sign of the temperature modulation in the\nAu/YIG sample is the same as that in the Pt/YIG sam-\nple, consistent with the sign of the SHA of Au [68,77].\nWe observed similar signals also in the Pt/Cu/YIG sam-\nple as shown in Figs. 5(c) and (d). These results clearly\nshow that the observed temperature-modulation signals\nin the Au/YIG and Pt/Cu/YIG samples are due purely\nto the SPE induced by the SHE because ofthe absence of7\nthe proximity-induced AEE at the Au/YIG and Cu/YIG\ninterfaces [75,76].\nIn Table I, we compare the magnitude of the SPE in\nthe Pt/YIG,W/YIG, Au/YIG, and Pt/Cu/YIGsamples\nin terms of the amplitude Aper unit current density jc\non the PM/YIG interface, where the sign of the temper-\nature modulation on L in the Pt/YIG sample is defined\nas positive. The magnitude of the SPE in the Pt/YIG\nand W/YIG samples is much greater than that in the\nAu/YIG (Pt/Cu/YIG) sample, since the SHA of Pt and\nW is much larger than that of Au [68] (the charge-curret\nshunting effect and spin diffusion in the Pt/Cu bilayer\nreduce the spin accumulation at the Cu/YIG interface\n[78]). The sign of the temperature modulation in all the\nPM/YIG samples is consistent with the sign of the SHA\nof the PM [68].\nSample A/jc(Km2/A) sign on L\nPt/YIG 4 .7×10−13positive\nW/YIG 6 .2×10−13negative\nAu/YIG 5 ×10−15positive\nPt/Cu/YIG 5 ×10−15positive\nTABLE I: Amplitude of the SPE signal Aper unit current\ndensityjcand sign of the temperature modulation on L for\nthe Pt/YIG, W/YIG, Au/YIG, and Pt/Cu/YIG samples.\nC. Spatial distribution of temperature modulation\ninduced by the SPE and Joule heating\nNow we focus on the temperature distribution induced\nby the SPE. As already demonstrated in Figs. 3, 4, and\n5, the SPE signals appear near the PM/YIG interfaces\natf= 5 Hz. However, the LIT images do not reflect the\nsteady-state temperature distribution if the oscillation\nperiod of the input signal is shorter than the thermal-\nization time scale of the sample. Therefore, to investi-\ngate the temperature distribution induced by the SPE,\nwe have to measure the fdependence of the LIT ther-\nmal images; the images at lower fvalues arecloser to the\ntemperature distribution in the steady-state condition.\nFirst, to provide typical fdependence of temperature\ndistribution, wemeasuredthe Jouleheatinggeneratedby\nthe charge current in the Pt layer of the Pt/YIG sample\nby using the measurement condition shown in Fig. 2(c).\nAs shown in the Aandφimages in Fig. 6(a), where\n∆Jc= 0.4 mA and J0\nc= 4.0 mA, the Joule heating in-\ncreases the temperature of the Pt layer irrespective to\ntheJcdirection and the magnitude of the temperature\nmodulation gradually decreases with the distance from\nthe Pt layer due to the thermal diffusion. We found that\nthe temperature profile on the sample surface strongly\ndepends on the fvalue [see Fig. 6(b)]. With decreasing\nf, the magnitude of the temperature modulation due to\nthe Joule heating increases and the temperature distri-\nbution is broadened in the lateral directions by thermaldiffusion; this fdependence of the LIT images is the typ-\nical behavior of the temperature change generated from\na heating or cooling source.\nThefdependence of the SPE-induced temperature\nprofile is in sharp contrast to that of the Joule heating.\nSurprisingly, the temperature modulation profile due to\nthe SPE was found to be independent of the fvalues as\nshown in Fig. 6(d). These results indicate that the tem-\nperature modulation induced by the SPE immediately\nreaches the steady state and that the temperature mod-\nulation is confined near the Pt/YIG interface even in the\nsteady-state condition. This behavior is quite different\nfrom the thermal diffusion expected from conventional\nheat sources.\nThe above experiments show that the SPE signal in\nthe Pt/YIG sample is confined near the Pt/YIG inter-\nface in the steady-state condition. However, the temper-\nature distribution still contains the thermal diffusion in\nthe black-ink infrared emission layer on the sample sur-\nface, of which the thickness is 20 – 30 µm. The black\nink layer prevents us to observe a bare temperature pro-\nfile generated by the SPE since the thermal diffusion in\nthe black ink blurs the temperature profile and the spa-\ntial resolution is reduced to the values comparable to\nthe black-ink thickness. To further buttress our conclu-\nsion that the SPE signal is confined only in the vicinity\nof the PM/YIG interface, we measured the spatial dis-\ntribution of the temperature modulation with reducing\nthe spatial blur due to the thermal diffusion in the thick\nblack ink. This is realized by replacing the black ink\nwith a much thinner emission layer; notable is that a\n5-nm-thick Pt film has the finite emissivity of ∼0.3 in\nthe detectable wavelength range (3 – 5 µm) of our LIT\nsystem (see Appendix B), which enables the detection of\nbare temperature distribution without the spatial blur\nin the infrared emission layer. While it is difficult to\nestimate the actual temperature using such an infrared\nemission layer with low emissivity, the spatial distribu-\ntion of the temperature is measurable and meaningful as\nlong as the sample has the uniform emissivity. To do\nthis, we measured the SPE-induced temperature profile\nusing a Pt/Al 2O3/Pt/YIG sample, where the top Pt film\nacts as an infrared emission layer and the Al 2O3layer on\nthe Pt/YIG structure is an insulating layer for separat-\ning two Pt layers [see Fig. 7(a)]. We found that the tem-\nperature distribution induced by the Joule heating in the\nPt/Al2O3/Pt/YIG sample is similar to that in the black-\nink/Pt/YIG sample [see Fig. 7(c)], confirming that the\ntop Pt film acts as the infrared emission layer. Using\nthe Pt/Al 2O3/Pt/YIG sample, we measured the tem-\nperature distribution induced by the SPE. Figure 7(b)\nshows that the SPE-induced temperature modulation is\nconfined near the Pt/YIG interface within the range of\nthe spatial resolution of several µm.\nThe anomalous temperature distribution induced by\nthe SPE can be explained by assuming the presence of a\ndipolar heat source, a pair of positive and negative heat-\nsource components with no net heat amount, near the8\nFIG. 6: (a) fdependence of Aandφimages for the Pt/YIG sample in the Joule-heating condition atJ0\nc= 4 mA and ∆ Jc= 0.4\nmA. (b) One-dimensional Aandφprofiles along the xdirection across L and R of the Pt/YIG sample in the Joule-hea ting\ncondition. (c) fdependence of Aandφimages for the Pt/YIG sample in the SPE condition at Jc= 4 mA and H= +200 Oe.\n(d) One-dimensional Aandφprofiles along the xdirection across L and R of the Pt/YIG sample in the SPE condit ion. The\nφprofiles are noisy because the φvalue dose not converge to a specific value when the Avalue is smaller than the sensitivity\nof the LIT.\nPM/YIG interface, as demonstrated by our numerical\ncalculations shown in Ref. [61]. The above experiments\nimply that the size of the dipolar heat source is less than\nthe spatial resolution of our infrared camera ( ∼6µm).\nHowever, the size of the dipolar heat source, the length\nscale of the SPE, remains undetermined, which may be\nobtained by detailed and systematic thickness dependent\nmeasurements of the SPE.The LIT method revealsthe SPE-induced temperature\nmodulation, allowing us to estimate the actual magni-\ntude of the SPE signals. Since the temperature modu-\nlation is confined near the PM/YIG interface, the SPE\nsignals should be estimated on the PM/YIG interface.\nWe found that the magnitude of the SPE signals in our\nPt/YIG sample, shown in Table I, is 57 times greater\nthan that reported by Flipse et al.[60]. The underesti-9\nFIG. 7: (a) Schematic of the cross section of the Pt/Al 2O3/Pt/YIG sample used in the measurements without the black-i nk\ncoating. We fabricated the Pt/Al 2O3/Pt/YIG structure by the following procedures. First, a Pt w ire with a thickness of 5 nm\nand a width of 200 µm was sputtered on the YIG surface. Next, the 10 nm-thick Al 2O3was grown on the sample by the atomic\nlayer deposition method. Then, the Pt pad with a thickness of 5 nm was sputtered on the whole surface of the sample. The\ntop Pt layer acts as an infrared-emission layer because of a fi nite emissivity (see Appendix B for more details). The Al 2O3is a\nseparation layer for insulating the two Pt layers. (b) Aimage and one-dimensional Aprofile for the Pt/Al 2O3/Pt/YIG sample\nin the SPE condition at Jc= 3.0 mA and H= +200 Oe. (c) Aimage and one-dimensional Aprofile for the Pt/Al 2O3/Pt/YIG\nsample in the Joule-heating condition at J0\nc= 3.0 mA and ∆ Jc= 8µA.\nmate in Ref. [60] may be attributed to the fact that the\ntemperature modulation on the bare YIG surface near\nthe Pt/YIG interface was detected using thermocouples\nin the previous experiments.\nIV. COMPARISON OF THICKNESS\nDEPENDENCE BETWEEN SPE AND SSE\nTo discuss physics of spin-heat current conversion phe-\nnomena, it is beneficial to investigate the reciprocity be-\ntween the SPE and SSE. Although we have established\nthe versatile technique for measuring the SPE based on\nthe LIT method, the rigorous verification of the reci-\nprocity between the two effects is still difficult because it\nrequires accurate information about spin transport prop-\nerties and temperature distribution across the PM/YIG\ninterface. Nevertheless, the relative comparison of the\nYIG thickness tYIGdependence between the SPE and\nSSE is meaningful. To do this, we measured the SPE\nand SSE in the Pt/YIG samples with various values of\ntYIGwithout changing thermal conditions around the\nsamples. The samples with different YIG thickness were\ncoated with the black ink for the SPE measurements. To\nmeasure the SSE in the same condition, we adopted the\nlaser heating method [see Fig. 8(d)] [19,29], which en-\nables the SSE measurements without attaching a heater\non the sample surface.\nIn Fig. 8(b), we show the tYIGdependence of the A\nimages and A-Jcrelation obtained from the SPE mea-\nsurements in the Pt/YIG samples. All the samples with\ndifferent tYIGexhibit clear SPE signals. As shown in\nFig. 8(c), the temperature modulation due to the SPE\nincreases gradually with increasing tYIGand it is satu-rated when tYIG>10µm.\nThe upper panels of Fig. 8(e) show the Hdependence\nofthevoltage VbetweentheendsofthePtlayerobtained\nfrom the SSE measurements in the Pt/YIG samples for\nvarious values of tYIGat the laser power Pof 100 mW.\nWe successfully observed clear voltage signals of which\nthe sign is reversed in response to the magnetization re-\nversal of YIG. We confirmed that the VSSE{=[V(+100\nOe)-V(−100 Oe)]/2 }values are proportional to Pin all\nthe Pt/YIG samples as plotted in the lowerpanels in Fig.\n8(e), which is the characteristic of the SSE. The tYIGde-\npendence of VSSEatP= 100 mW is summarized in Fig.\n8(f). The SSE signal also increases gradually with in-\ncreasing tYIGand it is saturated when tYIG>10µm, a\nbehavior similar to that of the SPE.\nTo quantitatively compare the tYIGdependence of the\nSPE and SSE signals, we analyzed the above results in\nterms of the magnon diffusion length lm. As reported\nin the previous studies on the SSE, magnon propagation\nin the FI plays a crucial role in the SSE [55]. The tYIG\ndependence of VSSEcan be fitted with the following phe-\nnomenological equation:\nVSSE∝cosh(tYIG/lm)−1\nsinh(tYIG/lm), (3)\nwherelmis the adjustable parameter. The solid line in\nFig. 8(f) shows the fitting result; the obtained lmvalue\nis 1.2µm, consistent with the results in Refs. [38,42].\nWe apply the same fitting analysis to the SPE signal as\nplotted in Fig. 8(c). The fitted value of lmfor the SPE\nis 1.3µm, which is comparable to that of the SSE. The\nsimilarity in the YIG-thickness dependence of the SPE\nand SSE suggests that both the effects are governed by10\nFIG. 8: (a) Schematic of the Pt/YIG sample for measuring the Y IG-thickness tYIGdependence of the SPE. (b) Aimages at\nJc= 10 mA and H= +200 Oe (upper figures) and Jcdependence of AatH= +200 Oe (lower figures) for the Pt/YIG samples\nwithtYIG= 2.1,5.1,19.6,41.7,and 109 µm. (c)tYIGdependence of AatJc= 10 mA and H= +200 Oe for the Pt/YIG\nsamples. (d) A schematic of the Pt/YIG sample for measuring t hetYIGdependence of the SSE. The SSE was measured by\nthe laser heating method, where a laser with the wavelength o f 670 nm is applied to the top of the sample uniformly. The\nblack-ink layer used as the infrared emission layer in the SP E measurements acts also as an absorption layer for the laser light\nin the SSE measurements, resulting in the generation of a hea t current across the Pt/YIG interface. VandPdenote the\nvoltage induced by the laser heating and the laser power, res pectively. (e) Hdependence of VatP= 100 mW (upper figures)\nandPdependence of the SSE voltage (lower figures) for the Pt/YIG s amples. We define the SSE voltage as VSSE=[V(+100\nOe)-V(−100 Oe)] /2. (f)tYIGdependence of VSSEatP= 100 mW in the Pt/YIG samples. Solid lines in (c) and (f) show t he\nfitting results obtained from Eq. (3).\nthe same length scale, implying the reciprocity between\nthem [60]. However, we note again that it is difficult to\nquantitatively discuss the reciprocity between the SSE\nand SPE because of the difference in the temperature\nprofile in the real experimental setup. The temperature\ngradient in the SSE is applied to the entire sample, while\nthe temperature modulation in the SPE is confined near\nthe Pt/YIG interface. The difference in the temperature\nprofile between the SPE and SSE makes it difficult to\ndiscuss the length scale of spin currents. To investigate\nthe reciprocal relation in more detail, the accurate infor-\nmation about the size of the heat sources in the SPE and\nthe magnon-spectral non-uniform nature of the SPE and\nSSE [38] are necessary.\nV. SUMMARY\nIn this paper, we measured the spin Peltier ef-\nfect (SPE), the temperature modulation due to spin-\ncurrent injection, in the Pt/Y 3Fe5O12(YIG), W/YIG,\nPt/Al2O3/YIG, Au/YIG, and Pt/Cu/YIG samples by\nmeans of the lock-in thermography (LIT) technique.\nThe LIT method enables the thermal imaging of the\nSPE free from the Joule-heating contribution. The\ncurrent-induced temperature modulation in the Pt/YIGand W/YIG samples satisfies the symmetry of the SPE\ndriven by the spin Hall effect. We observed spin-current-\ninducedtemperaturemodulationalsointheAu/YIGand\nPt/Cu/YIG systems, confirming that the signals appear\neven in the absence of the anomalous Ettingshausen ef-\nfect due to proximity-induced ferromagnetism near the\nPM/YIG interface. We also measured the spatial dis-\ntribution of the temperature modulation induced by the\nSPE and Joule heating in the Pt/YIG sample. It was\nfound that the SPE-induced temperature modulation is\nconfined near the Pt/YIG interface even when we reduce\nthe spatial blur due to the thermal diffusion in an in-\nfrared emission layer on the sample surface, while the\nJoule-heating-induced temperature modulation is broad-\nened from the heat source. Finally, we discussed the\nreciprocity between the SPE and the spin Seebeck ef-\nfect (SSE) by comparing the YIG-thickness dependence\nof these phenomena. We found that the YIG-thickness\ndependence of the SPE is similar to that of the SSE mea-\nsured in the same Pt/YIG samples, implying that both\nthe effects are governed by the same length scale. We\nanticipate that the systematic SPE data reported here\nwill be useful for clarifying the mechanism of the SPE\nand for developing theories of the spin-heat conversion\nphenomena.11\nACKNOWLEDGMENTS\nThe authors thank J. Shiomi, A. Miura, T. Oyake,\nM. Matsuo, Y. Ohnuma, T. Kikkawa, D. Hirobe, T.\nTaniguchi, B. J. van Wees, G. E. W. Bauer, and S.\nMaekawa for valuable discussions. This work was sup-\nported by PRESTO “Phase Interfaces for Highly Effi-\ncient Energy Utilization” (JPMJPR12C1) and ERATO\n“Spin Quantum Rectification Project” (JPMJER1402)\nfrom JST, Japan, Grant-in-Aid for Scientific Research\n(A) (JP15H02012) and Grant-in-Aid for Scientific Re-\nsearch on Innovative Area “Nano Spin Conversion Sci-\nence” (JP26103005)from JSPS KAKENHI, Japan, NEC\nCorporation, the Noguchi Institute, and E-IMR, Tohoku\nUniversity. S.D. is supportedbyJSPSthrougha research\nfellowshipforyoungscientists(JP16J02422). T.H.issup-\nported by GP-Spin at Tohoku University.\nAPPENDIX A: MEASUREMENTS OF\nEMISSIVITY OF YIG\nEmissivity is important in infrared emission spec-\ntroscopy. In emission spectroscopy measurements, we\nmeasure intensity of light emitted from materials. When\nthe material is a black body, which ideally absorbs all\nincident light, the emission intensity obeys Planck’s law\n[79]. However, the intensity ofthe light emitted from real\nmaterials is lower than that expected form Planck’s law.\nThe ratio of the emission intensity between the material\nand black body is called emissivity. In the thermal equi-\nlibrium, the emissivity is equal to the absorptivity, which\nis known as Kirchhoff’s law [79,80]:\nǫ=1\n2/summationdisplay\nγ=TE,TM/parenleftbig\n1−|Rγ|2−|Tγ|2/parenrightbig\n,(4)\nwhereRγandTγare the reflection and transmission co-\nefficients, respectively. TE and TM denote transverse\nelectric and magnetic waves, respectively. Kirchhoff’s\nlaw is a natural consequence of the energy conservation\nlaw. When the incident light interacts with elementally\nexcitations (e.g., phonons, electrons, etc.) in the ma-\nterial, a part of the light is absorbed by the material.\nThe absorbed light is then emitted from the material in\na relaxation process of the elementally excitations. In\nthe thermal equilibrium, the energy of the absorbed and\nemitted light must be the same; this is Kirchhoff’s law.\nTherefore, the emissivity is evaluated by measuring the\nabsorptivity.\nTo estimate the emissivity of YIG, we carried out\nFourier transform infrared spectroscopy (FTIR) mea-\nsurements. Here, we measured the wavelength λdepen-\ndence of the infrared light transmittance and reflectance\nof a 106- µm-thick YIG slab without a substrate. The\nYIG slab was illuminated with the infrared light at nor-\nmalincidence, where λwassweptfrom2to18 µm. Then,\nthe emissivityofthe YIGslabwasestimatedbyusingEq.\nFIG. 9: Wavelength λdependence of the directional emissiv-\nityǫdof the YIG. To estimate ǫdfrom Kirchhoff’s low [Eq.\n(4)], we measured the reflection Rand transmission Tcoef-\nficients of the YIG with the thickness of 106 µm by Fourier\ntransform infrared spectroscopy. The orange area shows de-\ntectable wavelength range of our infrared camera.\n(4). Note that the emissivity obtained here is a direc-\ntional emissivity ǫdfor the direction normal to the YIG\nsurface, while the emissivity depends on the direction of\nwave vectors in general.\nFigure 9 shows the λdependence of ǫdfor the YIG.\nImportantly, ǫdof YIG is almost zero when λ <5µm.\nThis is because there is no interaction between YIG and\nlightinthis wavelengthrange. Sincethe detectablewave-\nlength range of the infrared light in our thermography\nsystem is 3 – 5 µm, one cannot measure the temperature\nof the bare YIG surface. To measure the temperature\nof YIG, an infrared emission layer, such as the black-ink\nlayer, has to be formed on the YIG surface. In contrast,\nin the range of λ >5µm, YIG shows non-zero emissivity\nbecause of an interaction between phonons in YIG and\nthe light. When an infrared detector covers this wave-\nlength range (e.g., a microbolometer infrared detector),\nthe infrared emission from the YIG itself is detectable\neven in the absence of the emission layer.\nAPPENDIX B: NUMERICAL CALCULATION OF\nEMISSIVITY OF Pt\nTo understand the infrared emission from thin Pt films\nused for the experiments shown in Fig. 7, we calculate\nthe emissivity of Pt by taking into account the size effect\n[80]. Emission of light from a thin film is characterized\nby ahemisphericalemissivity ǫh[80], which is an emissiv-\nity for the perpendicular-to-plane component of emitted\nlight. The emitted light is detected as an energy flow\npropagating perpendicular to the sample surface in ex-\nperiments. Since the energy flow depends on a wave vec-\ntork, thekdependence of emissivity needs to be taken12\nFIG. 10: Wavelength λdependenceof thehemispherical emis-\nsivityǫhof the Pt films with the thickness of 5, 10, and 20\nnm and Pt slab. The inset shows a model used for calculat-\ningǫh.k,kz, andkρdenote the wave vector of the emitted\nlight, projected vector of the wave vector onto the zaxis, and\nprojected vector of the wave vector parallel to the surface o f\nthe Pt, respectively.\ninto consideration. Here, ǫhis defined as follows [80–82]:\nǫh=1\nk2\n0/integraldisplayk0\n0kρdkρ/summationdisplay\nγ=TE,TM/parenleftbig\n1−|Rγ|2−|Tγ|2/parenrightbig\n,(5)\nwherek0andkρare the magnitude of the wave vector\nof the light and projection component of the wave vectoronto the surface of the Pt. When there is no kdepen-\ndence of RandT, the hemispherical emissivity is identi-\ncal to the directional emissivity defined in Eq. (4).\nTo evaluate ǫhof Pt films, we performed numerical\ncalculations. The right hand side of Eq. (5) was calcu-\nlated by using the kdependence of RandTobtained\nfrom dielectric constants of the Pt films with the size ef-\nfect, i.e., the multiple reflection and interference of elec-\ntromagnetic waves at the top and bottom planes of the\nfilms [83]. The calculations were performed for the Pt\nfilms with various thicknesses of 5, 10, and 20 nm. For\ncomparison, we also calculated ǫhfor a Pt slab without\nthe size effect.\nIn Fig. 10, we show the λdependence of ǫhof the Pt\nfilms with different thicknesses and the Pt slab. In the\ncase of the Pt slab, most of the incident light is reflected\nat the surface, resulting in small absorption and emission\nof the light. In contrast, We found that the thinner Pt\nfilm shows the higher ǫhdue to the size effect. This be-\nhavior indicates that the thinner Pt film has larger light\nabsorption. When λ <5µm,ǫhincreases with decreas-\ningλ. This behavior comes from an interband transition\nin the electronic structure of Pt [83]; the resonant inter-\naction between electrons in Pt and the light provides the\nlarge absorption and emission. Therefore, thin Pt films\ncan be used as infrared emission layers as demonstrated\nin Fig. 7.\n∗Electronic address: sdaimon@imr.tohoku.ac.jp\n†Electronic address: UCHIDA.Kenichi@nims.go.jp\n1S. A. Wolf, D. D. Awschalom, R. A. Buhrman, J. M.\nDaughton, S. von Molnar, M. L. Roukes, A. Y. Chtchelka-\nnova, and D. M. Treger, Science 294, 1488 (2001).\n2I.ˇZuti´ c, J. Fabian, and S. D. Sarma, Rev. Mod. Phys. 76,\n323 (2004).\n3S. Maekawa, ed., Concepts in Spin Electronics (Oxford\nUniversity Press, Oxford, 2006).\n4A. Brataas, A. D. Kent, and H. Ohno, Nat. Mater. 11, 372\n(2012).\n5T. Jungwirth, J. Wunderlich, and K. Olejn´ ık, Nat. Mater.\n11, 382 (2012).\n6S. Maekawa, E. Saitoh, S. O. Valenzuela, and T. Kimura,\neds.,Spin Current (Oxford University Press, Oxford,\n2012).\n7S. Maekawa, H. Adachi, K. Uchida, J. Ieda, and E. Saitoh,\nJ. Phys. Soc. Jpn. 82, 102002 (2013).\n8G. E.W.Bauer, E. Saitoh, andB. J.vanWees, Nat.Mater.\n11, 391 (2012).\n9S. R. Boona, R. C. Myers, and J. P. Heremans, Energy\nEnviron. Sci. 7, 885 (2014).\n10J. P. Heremans and S. R. Boona, Physics 7, 71 (2014).\n11K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature 455, 778\n(2008).\n12K. Uchida, T. Ota, K. Harii, K. Ando, H. Nakayama, andE. Saitoh, J. Appl. Phys. 107, 09A951 (2010).\n13K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J.\nIeda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai, G. E.\nW. Bauer, S. Maekawa, and E. Saitoh, Nat. Mater. 9, 894\n(2010).\n14C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, J. P.\nHeremans, and R. C. Myers, Nat. Mater. 9, 898 (2010).\n15K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa,\nand E. Saitoh, Appl. Phys. Lett. 97, 172505 (2010).\n16C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, R. C.\nMyers, and J. P. Heremans, Phys. Rev. Lett. 106, 186601\n(2011).\n17S. Bosu, Y. Sakuraba, K. Uchida, K. Saito, T. Ota, E.\nSaitoh, and K. Takanashi, Phys. Rev. B 83, 224401 (2011).\n18K. Uchida, H. Adachi, T. An, T. Ota, M. Toda, B. Hille-\nbrands, S. Maekawa, and E. Saitoh, Nat. Mater. 10, 737\n(2011).\n19M. Weiler, M. Althammer, F. D. Czeschka, H. Huebl, M.\nS. Wagner, M. Opel, I. M. Imort, G. Reiss, A. Thomas, R.\nGross, and S. T. B. Goennenwein, Phys. Rev. Lett. 108,\n106602 (2012).\n20K. Uchida, T. Ota, H. Adachi, J. Xiao, T. Nonaka, Y.\nKajiwara, G. E. W. Bauer, S. Maekawa, and E. Saitoh, J.\nAppl. Phys. 111, 103903 (2012).\n21C. M. Jaworski, R. C. Myers, E. Johnston-Halperin, and\nJ. P. Heremans, Nature 487, 210 (2012).\n22D. Qu, S. Y. Huang, J. Hu, R. Wu, and C. L. Chien, Phys.13\nRev. Lett. 110, 067206 (2013).\n23T. Kikkawa, K. Uchida, Y. Shiomi, Z. Qiu, D. Hou, D.\nTian, H. Nakayama, X.-F. Jin, and E. Saitoh, Phys. Rev.\nLett.110, 067207 (2013).\n24D. Meier, T. Kuschel, L. Shen, A. Gupta, T. Kikkawa, K.\nUchida, E. Saitoh, J.-M. Schmalhorst, and G. Reiss, Phys.\nRev. B87, 054421 (2013).\n25R. Ramos , T. Kikkawa, K. Uchida, H. Adachi, I. Lucas,\nM. H. Aguirre, P. Algarabel, L. Morell´ on, S. Maekawa, E.\nSaitoh, and M. R. Ibarra, Appl. Phys. Lett. 102, 072413\n(2013).\n26K. Uchida, T. Nonaka, T. Kikkawa, Y. Kajiwara, and E.\nSaitoh, Phys. Rev. B 87, 104412 (2013).\n27B. F. Miao, S. Y. Huang, D. Qu, and C. L. Chien, Phys.\nRev. Lett. 111, 066602 (2013).\n28M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. D.\nKarenowska, G. A. Melkov, andB. Hillebrands, Phys. Rev.\nLett.111, 107204 (2013).\n29M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein, Phys. Rev. B\n88, 094410 (2013).\n30T. Kikkawa, K. Uchida, S. Daimon, Y. Shiomi, H. Adachi,\nZ. Qiu, D. Hou, X.-F. Jin, S. Maekawa, and E. Saitoh,\nPhys. Rev. B 88, 214403 (2013).\n31M. Schreier, N. Roschewsky, E. Dobler, S. Meyer, H.\nHuebl, R. Gross, and S. T. B. Goennenwein, Appl. Phys.\nLett.103, 242404 (2013).\n32N. Roschewsky, M. Schreier, A. Kamra, F. Schade, K.\nGanzhorn, S. Meyer, H. Huebl, S. Gepr¨ ags, R. Gross,\nand S. T. B. Goennenwein, Appl. Phys. Lett. 104, 202410\n(2014).\n33M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. Kirihara, P.\nPirro, T. Langner, M. B. Jungfleisch, A. V. Chumak, E.\nTh. Papaioannou, and B. Hillebrands, Phys. Rev. B 89,\n224414 (2014).\n34K. Uchida, M. Ishida, T. Kikkawa, A. Kirihara, T. Mu-\nrakami, and E. Saitoh, J. Phys.: Condens. Matter 26,\n343202 (2014).\n35A. Aqeel, I. J. Vera-Marun, B. J. van Wees, and T. T. M.\nPalstra, J. Appl. Phys. 116, 153705 (2014).\n36K. Uchida, T. Kikkawa, A. Miura, J. Shiomi, and E.\nSaitoh, Phys. Rev. X 4, 041023 (2014).\n37M. Schreier, G. E. W. Bauer, V. I. Vasyuchka, J. Flipse, K.\nUchida, J. Lotze, V. Lauer, A. V. Chumak, A. A. Serga,\nS. Daimon, T. Kikkawa, E. Saitoh, B. J. van Wees, B.\nHillebrands, R. Gross, and S. T. B. Goennenwein, J. Phys.\nD: Appl. Phys. 48, 025001 (2015).\n38T. Kikkawa, K. Uchida, S. Daimon, Z. Qiu, Y. Shiomi, and\nE. Saitoh, Phys. Rev. B 92, 064413 (2015).\n39H. Jin, S. R. Boona, Z. Yang, R. C. Myers, and J. P.\nHeremans, Phys. Rev. B 92, 054436 (2015).\n40U. Ritzmann, D. Hinzke, A. Kehlberger, E.-J. Guo, M.\nKl¨ aui, and U. Nowak, Phys. Rev. B 92, 174411 (2015).\n41T. Kikkawa, K. Uchida, S. Daimon, and E. Saitoh, J. Phys.\nSoc. Jpn. 85, 065003 (2016).\n42E.-J. Guo, J. Cramer, A. Kehlberger, C. A. Ferguson, D.\nA. MacLaren, G. Jakob, and M. Kl¨ aui, Phys. Rev. X 6,\n031012 (2016).\n43K. Uchida, H. Adachi, T. Kikkawa, A. Kirihara, M. Ishida,\nS. Yorozu, S. Maekawa, and E. Saitoh, Proc. IEEE 104,\n1946 (2016); 104, 1499 (2016).\n44T. Kikkawa, K. Shen, B. Flebus, R. A. Duine, K. Uchida,\nZ. Qiu, G. E. W. Bauer, and E. Saitoh, Phys. Rev. Lett.\n117, 207203 (2016).45J. Xiao, G. E. W. Bauer, K. Uchida, E. Saitoh, and S.\nMaekawa, Phys. Rev. B 81, 214418 (2010).\n46H. Adachi, K. Uchida, E. Saitoh, J. Ohe, S. Takahashi,\nand S. Maekawa, Appl. Phys. Lett. 97, 252506 (2010).\n47H. Adachi, J. Ohe, S. Takahashi, and S. Maekawa, Phys.\nRev. B83, 094410 (2011).\n48J. Ohe, H. Adachi, S. Takahashi, and S. Maekawa, Phys.\nRev. B83, 115118 (2011).\n49Steven S.-L. Zhang and S. Zhang, Phys. Rev. B 86, 214424\n(2012).\n50Y. Ohnuma, H. Adachi, E. Saitoh, and S. Maekawa, Phys.\nRev. B87, 014423 (2013).\n51H. Adachi, K. Uchida, E. Saitoh, and S. Maekawa, Rep.\nProg. Phys. 76, 036501 (2013).\n52K. S. Tikhonov, J. Sinova, and A. M. Finkel’stein, Nat.\nCommun. 4, 1945 (2013).\n53H. Adachi and S. Maekawa, J. Korean Phys. Soc. 62, 1753\n(2013).\n54S. Hoffman, K. Sato, and Y. Tserkovnyak, Phys. Rev. B\n88, 064408 (2013).\n55S. M. Rezende, R. L. Rodr´ ıguez-Su´ arez, R. O. Cunha, A.\nR. Rodrigues, F. L. A. Machado, G. A. Fonseca Guerra, J.\nC. Lopez Ortiz, and A. Azevedo, Phys. Rev. B 89, 014416\n(2014).\n56A. Azevedo, L. H. Vilela Leao, R. L. Rodr´ ıguez-Su´ arez, A.\nB. Oliveira, and S. M. Rezende, J. Appl. Phys. 97, 10C715\n(2005).\n57E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl.\nPhys. Lett. 88, 182509 (2006).\n58T. Kimura, Y. Otani, T. Sato, S. Takahashi, and S.\nMaekawa, Phys. Rev. Lett. 98, 156601 (2007).\n59A. Kirihara, K. Uchida, Y. Kajiwara, M. Ishida, Y. Naka-\nmura, T. Manako, E. Saitoh, and S. Yorozu, Nat. Mater.\n11, 686 (2012).\n60J. Flipse, F. K. Dejene, D. Wagenaar, G. E. W. Bauer,\nJ. B. Youssef, and B. J. van Wees, Phys. Rev. Lett. 113,\n027601 (2014).\n61S. Daimon, R. Iguchi, T. Hioki, E. Saitoh, and K. Uchida,\nNat. Commun. 7, 13754 (2016).\n62O. Breitenstein, W. Warta, and M. Langenkamp, Lock-in\nThermography: Basics and Use for Evaluating Electronic\nDevices and Materials (SpringerScience&Business Media,\n2010).\n63O. Wid, J. Bauer, A. M¨ uller, O. Breitenstein, S. S. P.\nParkin, and G. Schmidt, Sci. Rep. 6, 28233 (2016).\n64J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999).\n65Y. K. Kato, R. C. Myers, A. C. Gossard, and D. D.\nAwschalom, Science 306, 1910 (2004).\n66J. Wunderlich, B. Kaestner, J. Sinova, and T. Jungwirth,\nPhys. Rev. Lett. 94, 047204 (2005).\n67S.O.ValenzuelaandM.Tinkham, Nature 442, 176(2006).\n68A. Hoffmann, IEEE Trans. Magn. 49, 5172 (2013).\n69J. Sinova, S. O. Valenzuela, J. Wunderlich, C. H. Back,\nand T. Jungwirth, Rev. Mod. Phys. 87, 1213 (2015).\n70Y. Tserkovnyak, A. Brataas, G. E. W. Bauer, and B. I.\nHalperin, Rev. Mod. Phys. 77, 1375 (2005).\n71Z. Qiu, K. Ando, K. Uchida, Y. Kajiwara, R. Takahashi,\nH. Nakayama, T. An, Y. Fujikawa, and E. Saitoh, Appl.\nPhys. Lett. 103, 092404 (2013).\n72C.-F. Pai, L. Liu, Y. Li, H. W. Tseng, D. C. Ralph, and\nR. A. Buhrman, Appl. Phys. Lett. 101, 122404 (2012).\n73W. Lin, K. Chen, S. Zhang, and C. L. Chien, Phys. Rev.\nLett.116, 186601 (2016).\n74S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang, J.14\nWu, T. Y. Chen, J. Q. Xiao, and C. L. Chien, Phys. Rev.\nLett.109, 107204 (2012).\n75H. Ibach and H. Luth, Solid-state physics: an introduction\nto principles of materials science (Springer, Berlin, 2009).\n76D. A. Papaconstantopoulos, Handbook of the Band Struc-\nture of Elemental Solids (Plenum Press, New York, 1986).\n77G. Y. Guo, J. Appl. Phys. 105, 07C701 (2009).\n78R. Iguchi, K. Sato, K. Uchida, and E. Saitoh, Jpn. J. Appl.\nPhys.56, 040306 (2017).\n79M. F. Modest, Radiative Heat Transfer. 2nd ed. (AcademicPress, San Diego, 2003).\n80S. Edalatpour and M. Francoeur, J. Quant. Spectrosc. Ra-\ndiat. Transfer 118, 75 (2013).\n81M. Francoeur and M. P. Manguc, J. Quant. Spectrosc. Ra-\ndiat. Transfer 109, 280 (2008).\n82M. Francoeur, M. P. Manguc, and R. Vaillon, J. Phys. D:\nAppl. Phys. 43, 075501 (2010).\n83A. D. Raki´ c, A. B. Djuriˇ si´ c, J. M. Elazar, and M. L. Ma-\njewski, Appl. Opt. 37, 5271 (1998)." }, { "title": "2308.14327v1.Spin_wave_mode_conversion_in_an_in_plane_magnetized_microscale_T_shaped_YIG_magnonic_splitter.pdf", "content": "Spin wave mode conversion in an in -plane magnetized \nmicroscale T-shaped YIG magnonic splitter \n \nTakuya Taniguch i1,2, Jan Sahliger2, and Christian H. Back2 \n1Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, Sendai 980 -\n8577 , Japan \n2Fakult ät für Physik, Technische Universit ät München, Garching 85748 , Germany \n \n[Abstract] \nAs one of the fundamental magnonic devices, a magnonic splitter device has been proposed and \nspin wave propagation in this device has been studied numerically and experimentally. In the present \nwork, we fabricated a T -shaped magnonic splitter with 6 m-wide three arms using a 100 nm-thick \nyttrium iron garnet film and, using time -resolved magneto -optic Kerr microscopy, observed that spin \nwave s split into both , the vertical and the horizontal direction at the junction . Analyzing the results, we \nfound that spin wave modes are converted into another during the splitting process and the splitting \nefficiency is dominantly dependent on the 1st order of incoming spin waves . \n[Main article] \nMagnetic moments form spin waves (SWs) as fundamental collective excitations in a \nmagnetically ordered system . When SWs propagat e in a magnetic media, they convey spin information \nin space, which can be exploited in so-called magnonic devices [1-3]. Since the propagat ion is not \naccompanied by Joule loss es, magnonic devices have been drawing attention as potential low-energy -\nconsuming data-processing device s. The proposed magnonic devices are generally based on the wave \nproperties of SWs [4-9], which potentially enable multi -valued computation leading to high -density \nintegrated circuits in contrast to CMOS -based devices. To design SW -based circuits, it is necessary to \nunderstand how magnonic splitter devices work. As one of the simple st magnonic splitter structure s, \nSW propagation in T -shaped devices has been investigated and it was experimentally studied how SWs \npropagat ing through one branch of a T-structure are converted to different SW mode s propagating in \nthe two other branches [10-12]. However, a lthough these works showed indeed that SWs can be split \nusing T -shaped devices, the details of the SW conversion and of the transmission process es in T-shaped \nmagnonic splitter remain concealed . To further reveal the propert ies of T-shaped magnonic splitter s, we \npreviously investigated SW conversion processes using micromag netic simulation s and found that even \nhigher order SW modes can be selectively excited in properly design ed structure s [13]. In the present \nwork, we experimentally study the SW co nversion processes and discuss their depend encies on the \napplied magnetic field. To experimentally investigate SW propagation, we designed a T -shaped spin wave splitter \nutilizing photolithography (Fig.1 (a)). The T -shaped device has 6 m-wide and 100 m-long three arms \nand it was fab ricated by Ar ion etching a 100 nm thick yttrium iron garnet ( YIG) film grown on \ngadolinium gallium garnet (GGG) by liquid phase epitaxy. In figure 1(c ) and ( d), we display the profile \nof the effective field and of the demagnetization factor’s ratio NX / NZ near the junction calculated using \nMumax3 [1 4, 15]. Additionally, a 5 m wide microstrip consi sting of Cr (5 nm)/Au (200 nm) wa s \nattached to the device utilizing photolithography and e-beam evaporation. In a static external magnetic \nfield applied along the y -direction, SWs were excited by the Oersted field generated by an rf electric \ncurrent (2.4 GHz or 4.8 GHz) flowing through the microstrip, and SW propagation was observed by \ntime-resolved polar magneto -optic Kerr effect microscopy (TR -MOKE) [16]. Since the wavevector of \nthe propagating SWs and the direction of the static field are orthogonal to each other and both are aligned \nin the plane, th e excited SWs are Damon -Eshbach SWs (DESWs) [17]. We present the typical results \nobtained at the condition of 2.4 GHz and 34.6 mT in Fig 2(a) and (b) and at the condition of 4.8 GHz \nand 106 mT in Fig. 2(c) and ( d). Figure 2 display s the reflection of the laser and Kerr signal s \ncorresponding to the topography of the device and the z-component of the dynamic magnetization ( 𝑚𝑧), \nrespectively . One can see SWs propagat ing through the left arm (𝑥≲−7 μm) and at the junction \n(−7 μm≲𝑥≲7 μm) they are partially converted to SWs propagating along the y -direction in the \ntransverse arm (6 μm≲𝑦). Furthermore, the incident DESWs are partially transmitted to SWs \npropagating along the x -direction in the right arm (7 μm≲𝑥). We would like to note that the SWs \npropagating in the transverse arm have magneto -static backward volume SW (MSBVW) character and \nthe SWs propagating in the right arm have DESW geometry. Similar to our simulation results [ 13], we \nexperimentally observed the excitation of multi -modes of MSBVWs. Moreover , in addition to the \nMSBVWs, mult i-modes of transmitted DESWs were also observed even though we did not observe \nmode conversion from incident DESWs to transmitted DESWs in our previous numerical work. \nFor characterizing the observed SW s’ properties, we extract the Kerr signal from each arm \nindependently and fit the SW signals . Due to confinement , propagating SWs have energetically distinct \neigenmodes depending on the standing wave s formed along the width and thickness direction [1 0-13, \n18, 19 ]. Since our film is thin, we fit the results using the equation below , which takes the standing \nwaves form ed only along the width direction into account : \n𝑚𝑧(𝑝𝑎𝑟𝑚,𝑞𝑎𝑟𝑚)=∑𝐴𝑛,𝑞=0𝑎𝑟𝑚sin(𝑛𝜋\n𝑤eff𝑎𝑟𝑚∙𝑝𝑎𝑟𝑚)sin(𝑘𝑛,𝑞𝑎𝑟𝑚𝑞𝑎𝑟𝑚+𝜙)\n𝑛exp(−𝑞𝑎𝑟𝑚\nΛ𝑛𝑎𝑟𝑚)+𝐶𝑜𝑛𝑠𝑡..(1) \nHere, 𝑝𝑎𝑟𝑚 and 𝑞𝑎𝑟𝑚 are the relative coordinates in each arm: 𝑝𝑎𝑟𝑚 is along the width direction and \n𝑞𝑎𝑟𝑚 is along the longitudinal direction of each arm. Integer n is the mode number defined by the \nnumber of antinode s of the standing waves, 𝐴𝑛,𝑞=0𝑎𝑟𝑚 is the SW amplitude at 𝑞𝑎𝑟𝑚=0, 𝑤eff𝑎𝑟𝑚 is the \neffective width of each arm considering the pinning condition of the standing waves at the edges [20, \n21], 𝑘𝑛,𝑞𝑎𝑟𝑚 is the wavenumber of the propagating SWs, 𝜙 is the SW’s phase at 𝑞𝑎𝑟𝑚=0 and at the time when the stroboscopic TR -MOKE image s were taken, and Λ𝑛𝑎𝑟𝑚 is the attenuation length of the SWs. \nNote that arm (=left, right, transverse) of each parameter indicates the parameter obtained from the SWs \npropagating in the corresponding arm of the device. In addition, the equation is completed by a constant \noffset in order to consider optical artifacts . As shown in Figure 2 , the results are well fitted by taking \ninto account SWs up to the 3rd order . \nTo verify the fitting results, we varied the static external magnetic field and repeated the fitting \nprocedure to obtain the SW dispersion relation. Figure 3 displays the SW wavelength as a function of \nthe effective magnetic field : 𝜆DESW and 𝜆MSBVW are the wavelengths of DESWs and MSBVWs, \nrespectively . Note that the effective magnetic field is extracted from micromagnetic simulation s [14]. \nThe experimental r esults are supplemented by the dispersion relation obtained theoretically [22,23]: \n𝑓=𝛾\n2𝜋√[𝜇0𝐻eff+𝜇0𝑀𝑠(1−𝑝+𝑙ex2𝑘2)][𝜇0𝐻eff+𝜇0𝑀s(𝑝𝑘𝑥2\n𝑘2+𝑙ex2𝑘2)], (2) \nwhere f is the SW excitation frequency, 𝛾=28 GHz/T is the gyromagnetic ratio, 𝜇0 is the permeability \nof vacuum, Heff is the static effective magnetic field, 𝑀s is the saturation magnetization, 𝑝=1−\n(1−𝑒−𝑘𝑡)/𝑘𝑡, 𝑡 is the thickness of the magnetic film, 𝑘2=𝑘𝑥2+𝑘𝑦2, and 𝑙ex is the exchange length \n[24]. Note that 𝑘𝑛,𝑞𝑎𝑟𝑚=𝑘𝑥 (𝑘𝑦)=2𝜋/𝜆DESW (2𝜋/𝜆MSBVW) and 𝑛𝜋/𝑤eff𝑎𝑟𝑚=𝑘𝑦 (𝑘𝑥) for \npropagating DESWs (MSBVWs). The experimental results satisfactorily agree with the theory, w hich \nindicates not only that the obtained fitting results are valid but also that the excited DESWs and \nMSBVWs are conventional SWs propagating in a magnetic micro -stub. \nNext, to discuss the functionality of the T -shaped magnon splitter, we firstly estimate the \namplitude of each SW propagating in each arm would be at the center of the T -junction ( 𝑞=𝑞c⇔\n(𝑥,𝑦)=(0,0), see figure 2 ) using the following equation: \n𝐴𝑛,center𝑎𝑟𝑚=𝐴𝑛,𝑞=0𝑎𝑟𝑚exp(−𝑞c\nΛ𝑛𝑎𝑟𝑚). (3) \nSubsequently, since the SW excitation efficiency using a microstripe depends on the wavelength of the \nSWs [ 25], we calculate the normalized amplitude using the 1st order of the incoming SW mode , which \nis the dominant SW mode excited at the antenna : 𝑎𝑛𝑎𝑟𝑚=𝐴𝑛,𝑐𝑒𝑛𝑡𝑒𝑟𝑎𝑟𝑚/𝐴𝑛=1,center𝑙𝑒𝑓𝑡. Figure 4 (a)-(d) show \n𝑎𝑛𝑎𝑟𝑚 as a function of the external magnetic field and one can find that 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 and 𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 depend \non the magnetic field. This behavior of 𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 can be explained by concerning the magnon gap and \nthe mode selectivity of the SW conversion process in a T -shaped device [13]. According to the \nmicromagnetic simulation study, when the wavelength of the incident DESW, 𝜆DESW , matches t o \n2𝑤eff𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒/𝑛transverse , MSBVWs having the mode number, 𝑛transverse , start being excited. To \nevaluate the field dependen ce of 𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒, we display 𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 as a function of 2𝑤eff𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒/\n𝜆DESW in Fig. 4(e), (f). For both conditions, f = 2.4 GHz and 4.8 GHz, 𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 increases when 2𝑤eff𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒/𝜆DESW gets closer to 𝑛transverse : e.g., 𝑎𝑛=1𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 and 𝑎𝑛=2𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 overcome 1 at \n2𝑤eff𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒/𝜆DESW=0.45 and 0.81 for f = 2.4 GHz, and at 0.80 and 1.49 for f = 4.8 GHz , \nrespectively . We must address that 𝑎𝑛=2𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 is still lower than 𝑎𝑛=1𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 even when 2𝑤eff𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒/\n𝜆DESW is close to 2 for f = 4.8 GHz. Such behavior was also observed in the previous numerical study \nby increas ing the width of the transverse arm [13]. Since the incoming SWs diffract at the junct ion and \nthe diffracted plane wave contains the 1st order of MSBVW, 𝑎𝑛=1𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 can be high when the \ndiffraction at the junction is not negligible . Moreover, w e would like to note that 𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 can exceed \n1 due to the ellipticity of the magnetization precession [16]. Since the external magnetic field is aligned \nalong the y -direction, the dynamic magnetization projected onto the zx -plane shows an elliptical \ntrajectory , which produces a dynamic demagnetization field i n the zx -plane. As seen in figure 1(c), the \nratio of the local demagnetization factors in zx -plane NX/N in the transverse arm is almost 3 times larger \nthan in the horizontal arm. It indicates that even if only the half amount of magnons is converted from \nDESWs to MSBVWs, MSBVWs have larger 𝑚𝑧 than DESWs . Since the MOKE signal in the present \nstudy is sensitive to the z -component of the dynamic magnetization, 𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 can exceed 100% . \nWe subsequently discuss the field dependen ce of 𝑎𝑛𝑟𝑖𝑔ℎ𝑡 (Fig. 4(c), (d)). While 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 varies in \na non -negligible range (0.2 – 0.8), 𝑎𝑛=2,3𝑟𝑖𝑔ℎ𝑡 stays at an almost constant level: 𝑎𝑛=2𝑟𝑖𝑔ℎ𝑡~0.35 and 𝑎𝑛=3𝑟𝑖𝑔ℎ𝑡~0.2 \nat 2.4 GHz and 𝑎𝑛=2𝑟𝑖𝑔ℎ𝑡~0.2 at 4.8 GHz . It indicat es that the T -junction converts the propagating mode \nof DESWs from n = 1 to the higher order mode at almost any measured condition . The higher -order \nexcitation can be understood by considering the spatial distribution of the SW amplitude at the junction \n[26,27]. The spatial distribution of the SW amplitude may be due to (i) anisotropic SW scattering and \n(ii) anisotropic SW attenuation : (i) At the junction, the effective magnetic field locally varies due to the \nshape anisotropy (Fig. 1(b)) . Since the junction has an asymmetric shape, the variation of the effective \nfield leads to anisotropic scattering of the SWs, which results in the asymmetric profile of the DESW \namplitude. (ii) When the incide nt DESWs arrive at the junction area, DESWs a re converted to MSBVWs \nwhich attenuate along the y -direction. The attenuation of MSBVWs also induces a y-dependent DESW \namplitude . As a consequence, the 2nd and 3rd order DESWs are excited after the DESWs pass through \nthe junction. The mode conversion during the transmission process was not observed in the reported \nnumerical work [ 13] possibly because (i) the scattering source, i.e. the variation of the effective fie ld, \nwas relatively large compared to the junction area so that an asymmetric SW amplitude was not \ngenerated and/or (ii) the attenuation length of transversally propagating SWs was much longer than the \nwidth of the horizontal branches so that the SW amplitu de did not sufficiently vary along the width \ndirection. \nWe finally attempt to have a deeper insight into the mode dependence on the conversion process \nand the transmission process . As discussed above, the anisotropic distribution of the SW amplitude at \nthe junction does not efficiently generate the 1st order of DESWs . Hence, 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 reflects the amplitude of the 1st order of the incoming DESWs and the loss due to the scattering and the generation of MSBVWs . \nSince 𝑎𝑛=2,3𝑟𝑖𝑔ℎ𝑡 do not vary over the error range and 𝑎𝑛=2,3𝑙𝑒𝑓𝑡 appear only at some conditions , the magnetic \nfield dependence of 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 basically reflects the generation of MSBVWs and the forward/backward \nscattering between the 1st order of the in -coming and out -coming DESWs. Comparing 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 and \n𝑎𝑛=1𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 in the same magnetic field range (32.3 – 37.7 mT at 2.4 GHz and 105.6 – 108.5 mT at 4.8 \nGHz ), we find that 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 tends to increase and ∑𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒 tends to decrease with the external \nmagnetic field. The inverse field dependence indicates that the SW conversion from DESWs to \nMSBVWs dominantly originates from the 1st order of the DESWs . Note that the field dependent 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡is \nnot simply opposite to the field dependent ∑𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒. The possible mechanism behind this is as \nfollows . Incoming DESWs are scattered at the T-junction due to the local effective field variation. \nReferring to the study of SW scatt ering [ 28], the ratio of the amplitude of the backscattered SWs to the \none of the forward scattered SWs depends on the magnetic field. It indicates that 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 contains the \nmagnetic field dependent scattering because 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 is estimated using 𝐴𝑛=1,center𝑙𝑒𝑓𝑡 that is the result \nobtained after the scattering event . Thus , 𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 contains two functions of the magnetic field , \ncorresponding to the scattering and the conversion , and it results in the incomplete oppos ite behavior of \n𝑎𝑛=1𝑟𝑖𝑔ℎ𝑡 and ∑𝑎𝑛𝑡𝑟𝑎𝑛𝑠𝑣𝑒𝑟𝑠𝑒. \nIn conclusion, we fabricated a T -shaped magnonic splitter from a YIG thin film and observed \nSW propagation in the device utilizing TR -MOKE. DESWs were excited by an rf -current at an antenna \nand DES Ws were converted to MSBVWs and transmitted to other DESWs at the T -junction . Estimating \nthe conversion efficiency, the mode selectivity of MSBVWs is experimentally observed as numerically \ninvestigated. Moreover, we revealed that the MSBVWs are excited dominantly by the 1st order of \nDESWs. Additionally, it is found that the mode conversion occurs also during the transmission process. \nThe mode conversion during the transmission is understood by the spatial distribution of SW s at the T -\njunction caused by anisotropic SW scat tering and attenuation. This result i mplie s that , if one wants to \nconvey the original spin information through a T-shaped magnonic splitter, the splitter must be designed \nsmall enough in order to avoid anisotropic scattering event s causing SW mode conversion during the \ntransmission process . On the other hand, magnonic splitter s are suggested to be designed on large scale s \nif the conver sion of the transported spin information is of interest . \n[Acknowledgement] \nWe ackn owledge financial support by JSPS Overseas research fellowships. \n \n[References] \n1) A. Khitun and K. L. Wang, J. Appl. Phys. 110, 034306 (2011). 2) S. Khasanvis , M. Rahman, S. N. Rajapandian, and C. A. Moritz, NANOARCH, Jul. 2014 , 171 \n(2014). \n3) A.V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands, Nat. Phys. 11, 453 (2015). \n4) T. Schneider, A. A. Serga, B. Leven, and B. Hillebrands, Appl. Phys. Lett. 92, 0225 05 (2008). \n5) K.-S. Lee and S. -K. Kim, J. Appl. Phys. 104, 053909 (2008). \n6) X. Xing, Y. Yu, S. Li, and X. Huang, Sci. Rep. 3, 2958 (2013). \n7) N.Sato, K. Sekiguchi, and Y. Nozaki, Appl. Phys. Express 6, 063001 (2013). \n8) K. Vogt, F. Y. Fradin, J. E. Pearson, T. Sebast ian, S. D. Bader, B. Hillebrands, and A. Hoffmann, \nNat. Comm. 5, 3727 (2014). \n9) Q. Wang, P. Pirro, R. Verba, A. Slavin, B. Hillebrands, and A. V. Chumak, Sci. Adv. 4, \ne1701517 (2018). \n10) T. Brächer, P. Pirro, J. Westermann, T. Sebastian, B. Lägel, B. Van de Wi ele, A. Vansteenkiste, \nand B. Hillebrands, Appl. Phys. Lett. 102, 132411 (2013). \n11) A. V. Sadovnikov, C. S. Davies, S. V. Grishin, V. V. Kruglyak, D. V. Romanenko, Y. P. \nSharaevskii, and S. A. Nikitov, Appl. Phys. Lett. 106, 192406 (2015). \n12) A. A. Martyshkin, E . N. Begin, S. E. Sheshukova, and A. V. Sadovnikov, IEEE Transactions \non Magnetics 58, 1 (2022). \n13) T. Taniguchi and C. H. Back, Appl. Phys. Lett. 120, 032402 (2022). \n14) We utilized Mumax3 [15] for calculating the effective magnetic field in each branch. For the \nmicromagnetic simulation, we set a cell size as 25 nm×25 nm×50 nm and designed a T -shaped \nstructure to have 25 m-long arms and a 100 nm -thick film. Assuming YIG, the material \nparameters are set: the saturation magnetization is 1.305×105 A/m, the stiffness constant is \n4.15×10-12 J/m, and the damping constant is 1x10-4. By applying an external magnetic field \nalong the y -direction, we calculated an effective magnetic field at each arm and obtained that \nthe effective field at the center of the horizontal branch is 1.3 mT smaller than the external \nmagnetic field. Moreover, applying the external magnetic field, we obtained the ratio of the \ndemagnetization factor along the x-direction ( Nx) to that along the z -direction ( Nz) increases \nmore than 3 times compared to the ratio, Nx/Nz, in the horizontal branches. 15) A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen, F. G. Sanchez, and B. V. Waeyenberge, \nAIP Adv. 4, 107133 (2014) . \n16) J. Stigloher, M. Decker, H. S. Körner, K. Tanabe, T. Moriyama, T. Taniguchi, H. Hata, M. \nMadami, G. Gubbiotti, K. Kobayashi, T. Ono, and C. H. Back, Phys. Rev. Lett. 117, 037204 \n(2016). \n17) J. R. Eshbach and R. W. Damon, Phys. Rev. 118, 1208 (1960). \n18) J. Jorzi ck, S. O. Demokritov, B. Hillebrands, M. Bailleul, C. Fermon, K. Y. Guslienko, A. N. \nSlavin, D. V. Berkov, and N. L. Gorn, Phys. Rev. Lett. 88, 047204 (2002). \n19) H. G. Bauer, J. -Y. Chauleau, G. Woltersdorf, and C. H. Back, Appl. Phys. Lett. 104, 102404 \n(2014) . \n20) K. Y. Guslienko, S. O. Demokritov, B. Hillebrands, and A. N. Slavin, Phys. Rev. B 66, 132402 \n(2002). \n21) Q. Wang, B. Heinz, R. Verba, M. Kewenig, P. Pirro, M. Schneider, T. Meyer, B. Lägel, C. Dubs, \nT. Brächer, and A. V. Chumak, Phys. Rev. Lett. 122, 247202 (2019). \n22) B. A. Kalinikos and A. N. Slavin, J. Phys. C 19, 7013 (1986). \n23) Z. Zhang, M. Vogel, J. Holanda, J. Ding, M. B. Jungfleisch, Y. Li, J. Pearson, R. Divan, W. \nZhang, A. Hoffmann, Y. Nie, and V. Novosad, Phys. Rev. B 100, 014429 (2019). \n24) G. S. Abo, Y.-K. Hong, J. Park, J. Lee, W. Lee, and B. -C. Choi, IEEE Trans. Magn. 49, 4937 \n(2013). \n25) V. Vlaminck and M. Bailleul, Phys. Rev. B 81, 014425 (2010). \n26) P. Clausen, K. Vogt, H. Schultheiss, S. Schäfer, B. Obry, G. Wolf, P. Pirro, B. Leven, and B. \nHillebrands , Appl. Phys. Lett. 99, 162505 (2011). \n27) N. Kuznetsov, H. Qin, Luk Lukáš Flajšman, and an Sebastiaan van Dijken, J. Appl. Phys. 132, \n193904 (2022). \n28) R. Gieniusz, H. Ulrichs, V. D. Bessonov, U. Guzowska, A. I. Stognii, and A. Maziewski, \nAppl. Phys. Lett. 102, 102409 (2013). \n \n \n \n [Figures] \n \nFig.1 (a) Schematics of the experimental setup with a n optical microscope image . The area surrounded \nby the broken lines indicates the magnonic splitter made of YIG. An rf current is applied through the \ngold microstrip (yellow area) using a signal generator (S.G.) . (b) Internal effective magnetic field and \n(c) the demagnetization factor ratio NX / NZ obtained by micromagnetic simulation [16]. The applied \nexternal magnetic field is (b) 34 mT and (c) 70 mT and t he dark red regime indicates the space outside \nthe device. \nS. G.Hext\nx(m)y(m)\n0 100 -1000100\nz(a)\n(b)\n(c)\n0Heff(mT)\nNX /NZ \nFig. 2 Topography obtained by reflection (Topo.) and MOKE signals (Kerr) as well as fitting results \n(Fit.) from the experiment using SW the excitation condition (f, 0Hext) = (2.4 GHz, 34.6 mT) for (a) \nand (b) and ( 4.8 GHz , 106 m T) for (c) and (d) . We display each contour plot taken (a, c) from the \nhorizontal branches and ( b, d) from the transverse branch. The corresponding relative coordinate, parm, \nqarm (arm: the left, right, or transverse arm used for the fitting ), and qc for each coordinate are also \ndescribed. The dark red regime is the area, which we did not use for the analysis. Note that the contrast \nof each panel is set so that the waveforms are clearly visible. . \n \nFig.3 Dispersion relation obtained from the experiment s and calculated from theory. (a,b) 2.4 GHz and \n(c,d) 4.8 GHz are used as the excitation frequencies for (a,c) DESWs and (b,d) MSBVWs . [L] and [R] \nin the legends indicate the results obtained from th e left side and the right side of the horizontal branches , \nrespectively . \n(a) (b)\n-30 -20 -10 0 10 20 30-303\n \n-303\n \n-303\n \nTopo.\nKerr\nFit.\nx(m)y(m)qrightqc 0\n0 qleftpleft/right\n5550\n \nMin.Max.qtransverse0ptransverse\ny(m)x(m)\n0 10 20 3030-3\n \n30-3\n \n30-3\n \nFit.Topo.\nKerrqc\n30-3\n \n0 10 20 3030-3\n \n30-3\n \nFit.Topo.\nKerrx(m)\ny(m)\n-30 -20 -10 0 10 20 30-303\n \n-303\n \n-303\n x(m)y(m)\nFit.Topo.\nKerr\n(c) (d)\n103 104 105 106 107 108 1090510152025 n=1 (Exp. [L])\n n=2 (Exp. [L])\n n=1 (Exp. [R])\n n=2 (Exp. [R])\n n=1 (Th.)\n n=2 (Th.)lDESW (m)\n0Heff (mT)(c) (d)\n103 104 105 106 107 108 109020406080100\n n=1 (Exp.)\n n=2 (Exp.)\n n=3 (Exp.)\n n=1 (Th.)\n n=2 (Th.)\n n=3 (Th.)lMSBVW (m)\n0Heff (mT)(b)\n28 30 32 34 36 38051015\n n=1 (Exp.)\n n=2 (Exp.)\n n=3 (Exp.)\n n=1 (Th.)\n n=2 (Th.)\n n=3 (Th.)lMSBVW (m)\n0Heff (mT) (a)\n28 30 32 34 36 38020 n=1 (Exp. [L])\n n=2 (Exp. [L])\n n=3 (Exp. [L])\n n=1 (Exp. [R])\n n=2 (Exp. [R])\n n=3 (Exp. [R])\n n=1 (Th.)\n n=2 (Th.)\n n=3 (Th.)lDESW (m)\n0Heff (mT) Fig.4 (a,b) 𝑎𝑛transverse and ∑𝑎𝑛transverse and (c,d) 𝑎𝑛left/right as a function of the applied magnetic field. \n(e,f) 𝑎𝑛transverse as a function of 2𝑤efftransverse/𝜆DESW . The SW excitation frequencies were (a,c,e) 2.4 \nGHz and (b,d,f) 4.8 GHz. \n105 106 107 108 1090.00.20.40.60.8 aleft\n2\n aright\n1\n aright\n2aleft/right\nn\n0Hext (mT)\n105 106 107 108 1090123 atransverse\nn=1\n atransverse\nn=2\n atransverse\nn=3atransverse\nn\n0Hext (mT)02468\n Satransverse\nnTotalA\n28 30 32 34 36 380123 atransverse\nn=1\n atransverse\nn=2\n atransverse\nn=3atransverse\nn\n0Hext (mT)0246\n Satransverse\nnTotal_conv\n0.0 0.5 1.0 1.5 2.00123 atransverse\nn=1\n atransverse\nn=2\n atransverse\nn=3atransverse\nn\n2wtranseverse\neff /lDESW\n0.0 0.5 1.0 1.5 2.00123 atransverse\nn=1\n atransverse\nn=2\n atransverse\nn=3atransverse\nn\n2wtranseverse\neff /lDESW\n𝑎𝑛transverse\n28 30 32 34 36 380.00.20.40.60.8 aleft\nn=2\n aleft\nn=3\n aright\nn=1\n aright\nn=2\n aright\nn=3aleft/right\nn\n0Hext (mT)(c) (d)(b) (a)\n(f) (e)𝑎𝑛transverse\n𝑎𝑛=1transverse\n𝑎𝑛=2transverse\n𝑎𝑛=3transverse\n 𝑎𝑛transverse 𝑎𝑛transverse\n𝑎𝑛=1transverse\n𝑎𝑛=2transverse\n𝑎𝑛=3transverse\n 𝑎𝑛transverse 𝑎𝑛transverse𝑎𝑛transverse𝑎𝑛transverse\n𝑎𝑛=1transverse\n𝑎𝑛=2transverse\n𝑎𝑛=3transverse\n𝑎𝑛=1transverse\n𝑎𝑛=2transverse\n𝑎𝑛=3transverse𝑎𝑛left/right\n𝑎𝑛=2left\n𝑎𝑛=1right\n𝑎𝑛=2right𝑎𝑛left/right\n𝑎𝑛=2left\n𝑎𝑛=3left\n𝑎𝑛=1right\n𝑎𝑛=2right\n𝑎𝑛=3right" }, { "title": "2403.03006v1.Generation_of_gigahertz_frequency_surface_acoustic_waves_in_YIG_ZnO_heterostructures.pdf", "content": "Generation of gigahertz frequency surface acoustic waves in YIG/ZnO\nheterostructures\nFinlay Ryburn,1,∗Kevin K¨ unstle,2,†Yangzhan Zhang,1Yannik Kunz,2Timmy\nReimann,3Morris Lindner,3Carsten Dubs,3John F. Gregg,1and Mathias Weiler2\n1Clarendon Laboratory, Department of Physics, University of Oxford,\nParks Road, Oxford, OX1 3PU, United Kingdom\n2Fachbereich Physik and Landesforschungszentrum OPTIMAS,\nRheinland-Pf¨ alzische Technische Universit¨ at Kaiserslautern-Landau, 67663 Kaiserslautern, Germany\n3INNOVENT e.V. Technologieentwicklung, 07745 Jena, Germany\n(Dated: March 6, 2024)\nWe study surface acoustic waves (SAWs) in yttrium iron garnet (YIG)/zinc oxide (ZnO) het-\nerostructures, comparing the results of a computationally lightweight analytical model with time-\nresolved micro-focused Brillouin light scattering ( µ-BLS) data. Interdigital transducers (IDTs),\nwith operational frequencies in the gigahertz regime, were fabricated on 50 and 100 nm thin films\nof YIG prior to sputter deposition of 830 nm and 890 nm films of piezoelectric ZnO. We find good\nagreement between our analytical model and µ-BLS data of the IDT frequency response and SAW\ngroup velocity, with clear differentiation between the Rayleigh and Sezawa-like modes. This work\npaves the way for the study of SAW-spin wave (SW) interactions in low SW damping YIG, with\nthe possibility of a method for future energy-efficient SW excitation.\nI. INTRODUCTION\nSurface acoustic waves (SAWs) have become ubiqui-\ntous in modern life, indeed most of us carry SAW devices\nevery day in the form of mobile telephone filters [1, 2],\nowing to their relatively short wavelengths at gigahertz\nfrequencies compared to their electromagnetic counter-\nparts. Other applications include sensors [3, 4], oscilla-\ntors [5, 6], and microfluidic actuators [7, 8]; however, of\nlate, there has been increasing research on the coupling\nbetween SAWs and spin waves (SWs) in thin magnetic\nfilms [9–21]. This has led to the observation of several\nintriguing phenomena, such as non-reciprocal SW genera-\ntion/ SAW absorption as a result of a mismatched helicity\nbetween the SAW-induced magnetoacoustic driving fields\nand the fixed precession of the magnetisation [9, 12, 14],\nwith possible application as acoustic isolators or circula-\ntors [22–25]. Furthermore, there is the prospect of utilis-\ning SAWs for the energy-efficient excitation of SWs, due\nto the absence of Joule heating compared to conventional\nmicrowave antenna or spin pumping via the spin-hall ef-\nfect, with application in magnonic computing [26–28].\nHowever, these SAW-SW studies have suffered from high\nSW damping in the magnetic materials of interest. For\nexample, CoFeB has propagation lengths typically on the\norder of micrometres [29, 30].\nIn this work, piezoelectric zinc oxide (ZnO) was de-\nposited by radio frequency (RF) magnetron sputtering on\nthin films of yttrium iron garnet (YIG), which exhibits\nSW propagation lengths of up to millimetres [31, 32].\nZinc oxide (ZnO) is a well-established piezoelectric ma-\nterial, capable of generating high-frequency SAWs on ac-\n∗finlay.ryburn@physics.ox.ac.uk\n†kuenstle@rptu.decount of its relatively high acoustic wave velocity and\nelectromechanical coupling coefficient [33–37]. Although\nYIG/ZnO heterostructures have been realised in the past\n[38, 39], the interdigital transducers (IDTs) fabricated\nwere not capable of generating SAWs in the gigahertz\nregime, which is required for the coupling of surface\nacoustic waves and spin waves. Before sputter deposi-\ntion, we use electron-beam lithography to pattern IDTs\non the YIG with a periodicity of 2.8 µm and a corre-\nsponding fundamental frequency of 1.1 GHz. The IDTs\ncan also be operated at higher harmonics of the funda-\nmental frequency, where the first accessible harmonic fre-\nquency for our IDT design is 2.9 GHz. This is noteworthy,\nas with the harmonic IDT excitation we can reach suffi-\nciently high frequencies to enable the direct study of the\nSAW-SW interaction in YIG in the future.\nIn this paper, we report on the SAW properties in\nthese YIG/ZnO heterostructures. We measure the fre-\nquency response of the IDTs and the group velocities of\nthe launched SAWs, using time-resolved micro-focused\nBrillouin light scattering ( µ-BLS), to characterise these\ndevices. Furthermore, we compare these results to a com-\nputationally lightweight analytical model that calculates\nthe SAW dispersion relation in the heterostructures, tak-\ning the entire stack sequence substrate/YIG/ZnO into\naccount. We find that this model is in good agreement\nwith our experimental results.\nII. THEORY\nTo begin, we present the analytical model used to de-\ntermine the SAW dispersion relation in a thin-film struc-\nture consisting of n-layers. The methodology follows that\nlaid out by Farnell and Adler [40]; however, we consider\nit valuable to present it here in some detail to aid inarXiv:2403.03006v1 [cond-mat.mes-hall] 5 Mar 2024ii\nthe comparison between the model and our experimental\nresults. We consider the model a useful tool to obtain\nfairly accurate analytical results in just a few minutes,\nwithout the need to resort to computationally intensive\nfinite-element modeling. The relevant geometry of the\nthin-film layered structures can be seen in Fig. 1, where\nwe show a YIG/ZnO two-layer thin film structure on a\nGadolinium Gallium Garnet (GGG) substrate. x3is the\ndirection normal to the surface, with the interface be-\ntween the first layer and the substrate at x3= 0, and\na total YIG/ZnO film layer thickness given by x3=h.\nThe GGG substrate is assumed to extend to −∞in the\nx3direction. The waves propagate in the x1direction.\nFIG. 1. Schematic of the geometry of the thin film struc-\ntures used in the analytical model. Thin layers of YIG and\nZnO on a GGG substrate are shown. The direction of wave\npropagation and the coordinate system are indicated by k,\nx1,x2,x3. A Rayleigh wave is shown propagating in the\nx1direction, where the red arrows indicate the characteristic\nelliptical motion of the lattice in the x1,x3plane.\nFor a piezoelectric material, such as ZnO, the equations\nof motion are given by\nρ∂2uj\n∂t2=cijkl∂2uk\n∂xi∂xl+ekij∂2ϕ\n∂xi∂xk\neikl∂2uk\n∂xi∂xl=ϵik∂2ϕ\n∂xi∂xk,(1)\nwhere ujare the mechanical displacements, ϕthe electric\npotential, ρthe density, and cijkl,ekijandϵikthe elastic,\npiezoelectric, and permittivity tensors respectively.\nAs we are looking for SAWs, we propose the ansatz\nuj=ajeikbx 3eik(x1−vt)\nϕ=a4eikbx 3eik(x1−vt),(2)\nwhere we have a wave of amplitude ajpropagating in\nthex1direction with wavenumber kand phase veloc-\nityv. The exponentially decaying component in the x3\ndirection, with complex coefficient b, gives the SAW char-\nacteristic. Substituting this ansatz into the equations of\nmotion yields the Christoffel equation\n\nΓ11−ρv2Γ12 Γ13 Γ14\nΓ12 Γ22−ρv2Γ23 Γ24\nΓ13 Γ23 Γ33−ρv2Γ34\nΓ14 Γ24 Γ34 Γ44\n\na1\na2\na3\na4\n\n= 0.(3)Γijare given by quadratic equations in bwith compo-\nnents of the elastic, piezoelectric, and permittivity ten-\nsors as the coefficients.\nFor a nontrivial solution, the determinant of the matrix\nin eq. (3) must be zero; therefore, for each value of v,\nthere is an eighth-order polynomial in bto solve. The\namplitude coefficients, aj, can then be found by solving\neq. (3) for each solution of b; giving a solution that is a\nsuperposition of partial waves\nuj=/summationdisplay\nmCma(m)\njeikb(m)x3eik(x1−vt)\nϕ=/summationdisplay\nmCma(m)\n4eikb(m)x3eik(x1−vt),(4)\nrather than the monochromatic wave ansatz in eq. (2).\nAs we are considering thin layers, where the wave-\nlengths are comparable to the thicknesses, all the solu-\ntions of b(m)are taken, such that the index mruns from\n1 to 8. However, in the substrate, only the b(m)in the\nlower half of the complex plane are considered, as the\nwave must vanish as x3→ −∞ , hence the index mruns\nfrom 1 to 4. Therefore, in total, the index mruns from\n1 to 8×(number of layers)+4.\nThe solutions found thus far only give the relations\nbetween b(m),a(m)\ni, and v. As we are interested in the\ndispersion relations, we must additionally consider the\nboundary conditions. To do so, we use the linearised\ncoupled strain-charge equations\nTij=cijklSkl−ekijEk\nDi=eiklSkl+ϵikEk,(5)\nwhere Tijis the stress tensor, Sklthe strain tensor, Ek\nthe electric field, and Dkthe electric displacement with\nSkl=1\n2/parenleftbigg∂uk\n∂xl+∂ul\n∂xk/parenrightbigg\nandEk=−∂ϕ\n∂xk. (6)\nAt each layer interface, uj,ϕ,D3,T23,T13, and T33are\ncontinuous, giving 8 boundary condition equations. At\nthe free surface, there is no restriction on the mechanical\ndisplacements, while the same three stress components\nare zero, and D3is again continuous; giving four bound-\nary condition equations. Therefore, in total, there are\n8×(number of layers)+4 boundary condition equations.\nSubstituting eq. (4) into the boundary condition equa-\ntions, gives linear equations in the relative amplitudes\nCm, and hence can be written in the form of a matrix\nequation\nBCpmCm= 0, (7)\nwhere BCpmis the boundary condition matrix and the\nindex pruns over the boundary condition equations. As\nbefore, for nontrivial solutions, the determinant of BCpm\nmust be zero. Solving this equation gives the phase ve-\nlocity of the structures in terms of the wavenumber, from\nwhich the dispersion relation and group velocity can be\neasily determined.iii\nIn addition, the electromechanical coupling coeffi-\ncients, given by [41]\nK2= 2/parenleftbigg\n1−vmetalised\nvfree/parenrightbigg\n, (8)\ncan be calculated. Where vfreeis the phase velocity pre-\nviously calculated, and vmetalised is the phase velocity\ncalculated with an infinitesimally thin perfect conduc-\ntor layer at the position of the IDT. This metallic film\nmodifies the boundary conditions such that the electric\npotential, ϕ, at the conductor is zero. If the film is on the\nfree surface, the size of the boundary condition matrix is\nunchanged; whereas, in the case of an interlayer IDT, an\nadditional 8 equations must be added. K2gives an esti-\nmate of the conversion efficiency between electrical and\nacoustic energy.\nFinally, by solving eq. (7), the relative amplitudes Cm\ncan be calculated. With all the coefficients calculated,\neq. (4) can be solved to find the normalised mechanical\ndisplacements as a function of depth, and the strains cal-\nculated using eq. (6).\nIII. SAMPLE STRUCTURING\nBefore examining the results of the analytical model,\nwe first discuss the sample preparation and structuring.\nA schematic of the sample structure and a microscope\nimage of one of the IDTs can be seen in Fig. 2. Experi-\nmental results from two samples are presented here and\nthe thicknesses of the layers and IDTs can be found in\nTab. I.\nTABLE I. The thicknesses of the material layers and IDTs of\nthe two samples.\nSample GGG YIGIDTZnOTi Au\nSample 1 0.5mm 103nm 10nm 80nm 890nm\nSample 2 0.5mm 55nm 10nm 80nm 830nm\nThe YIG thin films were grown by liquid phase epitaxy\non a (111) oriented GGG substrate [42]. The 103 nm film\nwas purchased commercially from the company Matesy\nGmbH in Jena, Germany, and the 55 nm film was grown\nat INNOVENT e.V., Germany. To reach sufficiently high\nfrequencies to enable the future study of SAW-SW cou-\npling, electron beam lithography was used to pattern\nIDTs with 6 and 20-fingers with finger widths and sepa-\nrations of 700 nm ( λ= 2.8 µm), and an aperture size of\n50µm. The titanium-gold stack was deposited by elec-\ntron beam evaporation, followed by a lift-off process to\nleave behind the IDT. The ZnO was RF magnetron sput-\ntered over the entire sample, with the piezoelectric c-axis\nof the wurtzite crystal structure pointing out-of-plane.\nFinally, the contact pads of the IDTs were etched free of\nthe insulating ZnO using hydrochloric acid. A more de-\nFIG. 2. Top: schematic of the µ-BLS experiment show-\ning an interdigital transducer (IDT) excited by a microwave\nsource, the induced surface acoustic waves are indicated, as\nis the laser spot used to measure the phonons. Bottom left:\nschematic of the sample structure showing the layers and the\nembedded IDTs. Bottom right: microscope image of one of\nthe samples, a gold IDT structure can be seen as the lighter\ncolour with the IDT fingers highlighted by the inset.\ntailed description of this process, including x-ray diffrac-\ntion (XRD) characterisation, can be found in the Sup-\nplemental Material [43].\nIV. EXPERIMENTAL METHODS\nTo excite SAWs, the IDT is contacted using a mi-\ncrowave probe with a ground-signal-ground footprint\nand pitch of 200 µm, connected to a microwave source\nand an amplifier. The IDT is directly excited and the\nSAW intensity is measured using µ-BLS [44–46], with\na 532 nm wavelength laser, to determine the IDT’s fre-\nquency response. A schematic of this set-up can be seen\nin Fig. 2. Note, a half-wave plate is used to suppress\npossible magnon-induced signals by their polarization-\ndependence [21].\nIn addition to standard µ-BLS measurements, we also\ntake time-resolved data to find the phonon group veloc-\nities. A schematic of the time-resolved µ-BLS setup is\nshown in Fig. 3. A pulse generator is used to trigger a\nµ-BLS measurement window, during this window a mi-\ncrowave switch is opened for approximately 600 ns, al-\nlowing a microwave pulse of fixed frequency to excite the\nIDT.iv\nFIG. 3. Schematic of the time-resolved micro-focused Bril-\nlouin light scattering (BLS) spectroscopy setup. A pulse gen-\nerator is used to trigger the start and end of a BLS mea-\nsurement window during which a SAW pulse, well defined\nin time, is also triggered. This pulse is realised using a mi-\ncrowave source and a fast microwave switch. Figure adapted\nwith permission from [47].\nV. ANALYTICAL RESULTS\nConsidering the above sample structures, we calculated\nthe dispersion relations following the methodology laid\nout in Section II. This requires a large number of material\nparameters, as discussed below, and there are no free\nparameters in the calculation, as such, an exact solution\nis numerically converged upon. Practically, we propose\nan initial guess for kat a fixed v, and if a solution for\nkis converged upon, continue to make small steps in v\nover a predefined range finding k(v).\nThe resulting dispersion relation for Sample 1 can be\nseen in Fig. 4a. Solving the wave equation leads to mul-\ntiple solutions, which can be grouped into two classes\ndepending on the type of particle displacement induced.\nThe first are ‘Rayleigh modes’, which result in an ellip-\ntical particle motion in the x1,x3plane for the case\nof isotropic materials [40, 48], as shown schematically\nin Fig. 1 by the red arrows. We note that due to the\nanisotropic layered structures, there is additional parti-\ncle motion as discussed in the Supplemental Material [43],\nhence we use the term ‘Rayleigh-like’. The zeroth-order\nmode, shown by the dark blue line in Fig. 4a, always ex-\nists in the structure; however, the higher-order ‘Sezawa’\nmodes are only introduced when the shear wave speed\nin the layer exceeds that of the substrate [49]. The\nfirst-order Sezawa-like mode is shown by the red line in\nFig. 4a.\nThe second class of solutions are ‘Love modes’, which\nhave particle motion perpendicular to the direction of\npropagation for isotropic materials [50]. In the case of\nour layered structures, we find the expected excitation\nfrequencies of the Love-like modes to be similar to thoseof the Rayleigh-like modes, thus prohibiting their dif-\nferentiation with BLS frequency response data due to\nthe finite linewidths. However, the difference between\nthe Rayleigh and Love-like modes is significantly more\npronounced when considering the group velocity curves.\nWe did not find the calculated group velocity curves for\nthe Love-like modes to fit well with the experimental\ndata, and therefore, chose to ignore this class of solu-\ntions. This is presumably owing to the small electrome-\nchanical coupling coefficients calculated for these modes,\ntypically two orders of magnitude smaller than those of\nthe Rayleigh-like modes, another reason we chose to ig-\nnore these solutions.\nIt is important to note a few caveats relating to our\nmethodology: firstly, the IDTs, in particular their finite\nthickness, are neglected in the simple analytical model;\nsecondly, as mentioned, literature values for the density\nand elastic, piezoelectric, and permittivity tensors are\nused for the ZnO [41], YIG [51, 52], and GGG [53, 54]\nas listed in the Supplemental Material [43], rather than\nmeasured values for our films. As this results in a total\nof 23 material parameters used in the model, generally\nmeasured in bulk samples, we do not attempt to estimate\nan error in the analytical calculation.\nThirdly, based on our experience of growing ZnO films\nsputtered under near identical conditions, we expect\nthere to be an approximately 200 nm ‘dead layer’ of ZnO\nwhere the c-axis is not aligned [55]. This layer occurs as\nthe ZnO does not begin growth with an aligned c-axis,\ninstead growing with a random orientation that begins\nto self-texture, preferentially forming out-of-plane c-axis\naligned columnar grains. To account for this, we intro-\nduce an additional 200 nm layer between the YIG and the\nc-axis aligned ZnO, which we treat as polycrystalline ZnO\nwith randomly oriented c-axes - calculating the material\nconstants accordingly [56]. Physically, we expect a grad-\nual increase in c-axis alignment from the initial complete\nrandomisation; however, we consider the simple model\nto be adequate as a first-order approximation given the\nobserved change in the dispersion relation is minor. The\nonly sizeable effect is a reduction in the electromechanical\ncoupling coefficients by one to two orders of magnitude,\ndepending on frequency. This is expected, given the elec-\ntrical excitation from the embedded IDTs is concentrated\naround this ‘dead layer’ where there is no macroscopic\nalignment of electric dipoles. Indeed, improving c-axis\nalignment and reducing the ‘dead layer’ thickness is the\nkey to improved excitation efficiency and an area of ac-\ntive research. We consider these three caveats to be the\nmost likely source of any discrepancies between analyti-\ncal and experimental results; although, as will be shown,\nthese are relatively small.\nFurther discussion of the model of the ‘dead layer’,\nthe rotation of crystallographic axes including the ZnO\npiezoelectric c-axis, and calculations of the coupling co-\nefficients, and normalised mechanical displacements and\nstrains may be found in the Supplemental Material [43].v\n(a)\n0 2 4 6 8 10 12\nk (rad/ m)\n0123456f (GHz)\nRayleigh\nSezawa\nRayleigh = 2.8 m\nRayleigh = 2.8/3 m\nSezawa = 2.8/3 m\nSezawa = 2.8/5 m\n (b)\n103104105\nIntegrated BLS intensity (arb. units)\n0123456f (GHz)Frequency response\nRayleigh f( = 2.8 m)\nRayleigh f( = 2.8/3 m)\nSezawa f( = 2.8/3 m)\nSezawa f( = 2.8/5 m)\nFIG. 4. (a) The calculated dispersion relation for the Rayleigh-like mode, dark blue line, and the first Sezawa-like mode,\nred line, for Sample 1. The four points indicate the expected excitation frequencies of the fixed λ= 2.8 µm transducers.\n(b) Experimental data, in dark grey, showing the frequency response of the 6-finger interdigital transducer on Sample 1, as\nmeasured by micro-focused Brillouin light scattering. The coloured horizontal lines indicate the resonant frequencies at which\ntheλ= 2.8 µm transducer is expected to excite surface acoustic waves as calculated by the analytical model.\nVI. EXPERIMENTAL RESULTS\nFirst, we measured the frequency response of the 6-\nfinger IDT on Sample 1 and compared this to the ex-\npected excitation frequencies from the analytical calcu-\nlation. This comparison is shown in Fig. 4b. To maximise\nthe signal, the laser spot was positioned centrally relative\nto the IDT aperture and approximately 1 µm along the\nSAW propagation path. We expect the highest intensity\npeak to correspond to the fundamental frequency which\nis determined by the periodicity/ wavelength of the IDT\n(2.8µm) according to f=v/λ. Moreover, we should see\nhigher order modes corresponding to λ/pwhere pis an\nodd integer - a net electric field between IDT fingers is\nrequired to excite a SAW. We see the fundamental fre-\nquency occurs at approximately 1.1 GHz. The coloured\nhorizontal lines indicate the calculated excitation fre-\nquencies of the IDT and show good agreement with the\npeaks in the experimental data.\nSecond, we used time-resolved measurements to cal-\nculate the phonon group velocities at fixed microwave\nfrequencies. An example of the resultant BLS intensity\nas a function of time can be seen in Fig. 5a. We see\ntop-hat-like intensity profiles, where high intensities cor-\nrespond to the presence of a SAW at the laser spot posi-\ntion. The laser spot is initially positioned centrally next\nto the IDT and then moved away from the IDT along\nthe SAW propagation direction in equal-sized steps. At\neach step, a BLS measurement is taken corresponding to\nthe different coloured lines from purple to red in Fig. 5a.\nThis data is then fitted using a least squares regressionwith the sigmoidal Boltzmann function to smoothly ap-\nproximate the Heaviside step function\nI=C+A−C\n1 +e−t−t0\nB, (9)\nwhere Iis the BLS intensity, tis the time, and A,B,\nC, and t0are constants. An example fit is shown by the\nblack dotted curve fitting the red BLS data.\nFrom these fits we extract the constant t0, the position\nof the falling edge of the SAW pulse, with an associated\nfitting error. Combining this with the known laser spot\nposition, we can plot the SAW propagation distance from\nthe IDT as a function of t0, Fig. 5b. Alongside the fit-\nting error in t0, we take into account experimental errors\nof 2 ns for time-based measurements and 1 µm for the\nmicroscope position stabilisation. A straight line is fit-\nted to the data, using orthogonal distance regression, to\ndetermine the group velocity at a fixed frequency.\nThe results of this fitting process can be seen for Sam-\nple 1 in Fig. 5c and Sample 2 in Fig. 5d. We show the\nexperimentally determined group velocities with their as-\nsociated errors in light blue and green as a function of the\nSAW excitation frequency. For Sample 1, the group ve-\nlocities were measured for two IDT structures, one with\n6-fingers, light blue, and the other with 20-fingers, green.\nThese group velocities were determined from multipoint\nlinescans, that is to say, the laser spot was scanned over\nmultiple points in space, as in Fig. 5a. For Sample 2,\nall measurements were made on the same 6-finger IDT.\nIn light blue, we again have group velocities determined\nfrom multipoint linescans. In green, however, the groupvi\n(a)\n200 400 600 800 1000 1200\nTime (ns)101102103Integrated BLS intensity (arb. units)\n2.95GHzExample fit (b)\n825 850 875 900 925 950 975 1000 1025\nTime (ns)0100200300400500Distance ( m)\n2.95 GHz\nvg = 2.524±0.006 kms1\nFit\n(c)\n0 1 2 3 4 5 6 7 8\nf (GHz)2.22.42.62.83.03.23.4Group velocity (km/s)Sample 1 Rayleigh\nSezawa\nMultipoint linescans\nfor 6-finger IDT\nMultipoint linescans\nfor 20-finger IDT\n (d)\n0 1 2 3 4 5 6 7 8\nf (GHz)2.22.42.62.83.03.23.4Group velocity (km/s)Sample 2 Rayleigh\nSezawa\nMultipoint linescans\nfor 6-finger IDT\nT wo-point linescans\nfor 6-finger IDT\nFIG. 5. (a) Experimental data showing measured BLS intensity as a function of time. The different colours show BLS data\ntaken at different laser spot positions, purple corresponds to the position closest to the IDT and the colour progression through\nto red goes with increasing SAW propagation distance. The black dotted line shows a Boltzmann function fitted to the red data\ntaken furthest from the IDT. (b) The time at the centre of the falling edge of the SAW pulse, extracted by fitting the data in\nFig. 5a, as a function of the distance of the laser spot from the IDT. The dotted black line was fitted to find the group velocity.\nAll the data for (a) and (b) was taken at a fixed microwave excitation frequency of 2.95 GHz on Sample 1. The calculated\nRayleigh and Sezawa-like mode group velocities, as a function of frequency, are shown by the dark blue and red lines in (c)\nand (d). (c) Experimental group velocity data for Sample 1, the light blue points show data for a 6-finger IDT and the green\npoints for a 20-finger IDT. (d) Experimental group velocity data for a 6-finger IDT on Sample 2. In blue, multipoint linescans,\nwhere the laser spot is scanned over multiple points in space, are shown. In green, two-point linescans, where the laser spot\nmeasures at only two points in space, are shown.\nvelocities were calculated from two-point linescans, where\nthe laser spot was positioned at only two points in space,\none next to the IDT and the other at the maximum dis-\ntance measured from the IDT of 500 µm. There is good\nagreement between the two-point and multipoint mea-\nsurements showing the accuracy of the technique.\nFigs 5c and 5d also show the analytically calculated\ngroup velocities of the Rayleigh-like mode in dark blueand the Sezawa-like mode in red for Sample 1 (Fig 5c)\nand Sample 2 (Fig 5d). Given the caveats discussed in\nSection V, the agreement between the analytical model\nand the experimental data is excellent, with the largest\ndiscrepancies, in general, occurring for the points with\nthe largest measurement errors. These large errors occur\ndue to the low excitation efficiency of the IDT at these\nfrequencies, meaning the top-hat-like intensity profilesvii\nbecome more noisy. In particular, the narrower band-\nwidth 20-finger IDT tends to show larger errors when off-\nresonance, as expected. In general, the data fits the cur-\nvature well and we can differentiate between the Rayleigh\nand Sezawa-like modes. These results show we can mea-\nsure the non-linearity in the phonon dispersion relation\nfor these complex layered structures to a high accuracy\nusing time-resolved µ-BLS. Furthermore, we demonstrate\ngood agreement with the analytical model, thus verify-\ning the model is sufficient within the assumptions made\nto interpret our experimental data. Additionally, the ex-\nperimental results agree with the model for two different\nsamples and three different IDT structures with different\nZnO and YIG thicknesses.\nFinally, from the amplitudes of the top-hat-like func-\ntions found from the Boltzmann fits, we can estimate the\nphonon decay length by fitting the equation\nA(x1) =A0e−x1\nΛ, (10)\nwhere A0is the initial amplitude and Λ the decay length.\nDue to the aforementioned reduction in experimental\ndata quality when off-resonance, we only calculate this\ndecay length for values near the first accessible harmonic\nfrequency of the IDT. Taking a weighted average, we find\na decay length of 127 ±31µm for the 6-finger IDT on\nSample 1, consistent with the value of 124 ±65µm for\nthe 6-finger IDT on Sample 2. We believe the relatively\nlarge errors in these values result from variations in the\nreflectivity of the surface of the samples, which could re-\nsult from defects in the film or surface particles. We note\nthat etching away the ZnO along the propagation path\nafter the excitation region may increase the decay length,\ngiven the ‘dead layer’ is not crystallographically ordered,\nand therefore, will have increased scattering.VII. CONCLUSION AND OUTLOOK\nIn conclusion, we have demonstrated a method to gen-\nerate gigahertz frequency SAWs in YIG thin films by\nfirst patterning IDTs on the surface of the YIG, and\nthen sputter depositing thin films of piezoelectric ZnO.\nWe measured the IDT frequency responses and SAW\ngroup velocities of these structures experimentally using\ntime-resolved µ-BLS. Using these experimental results,\nwe show good agreement with an analytical model that\ncalculates the dispersion relation of the SAWs in these\nthin film heterostructures. We are able to differentiate\nbetween Rayleigh and Sezawa-like modes.\nWe hope this work lays the foundations for the study of\nthe SAW-SW interaction in YIG, with the possibility of\nobserving non-reciprocal SW generation, and therefore,\nprobing device ideas such as acoustic isolators or circu-\nlators [9, 12, 14]. With efficient SAW excitation, there\nis additionally the prospect of studying strong magnon-\nphonon coupling [57] and non-linear SAW-SW interac-\ntion phenomena [58, 59]. Furthermore, the successful\ndeposition of piezoelectric ZnO, despite the large lattice\nmismatch with YIG [60, 61], suggests it may be possi-\nble to similarly excite SAWs in other lattice mismatched\nthin film materials. This demonstrates the versatility of\nusing ZnO as a piezoelectric and possibly opens the door\nto studying the magnetoacoustic interaction in additional\nmagnetic media.\nACKNOWLEDGMENTS\nThis work was supported by the European Research\nCouncil (ERC) under the European Union’s Horizon Eu-\nrope research and innovation programme (Consolidator\nGrant “MAWiCS”, Grant Agreement No. 101044526).\nThe work of F. Ryburn was supported by a UK En-\ngineering and Physical Sciences Research Council (EP-\nSRC) Industrial Cooperative Award in Science & Tech-\nnology. The work of M. Linder was supported by the\nGerman Bundesministerium f¨ ur Wirtschaft und Energie\n262 (BMWi) under Grant No. 49MF180119.\n[1] C. Campbell, Surface Acoustic Wave Devices for Mobile\nand Wireless Communications, Four-Volume Set (Aca-\ndemic press, 1998).\n[2] R. Ruby, IEEE Microwave Magazine 16, 46 (2015).\n[3] T. M. Gronewold, Analytica Chimica Acta 603, 119\n(2007).\n[4] D. Mandal and S. Banerjee, Sensors 22(2022),\n10.3390/s22030820.\n[5] H. Wohltjen, Sensors and Actuators 5, 307 (1984).\n[6] T. Parker and G. Montress, IEEE Transactions on Ul-\ntrasonics, Ferroelectrics, and Frequency Control 35, 342\n(1988).\n[7] X. Ding, P. Li, S.-C. S. Lin, Z. S. Stratton, N. Nama,F. Guo, D. Slotcavage, X. Mao, J. Shi, F. Costanzo, et al. ,\nLab on a Chip 13, 3626 (2013).\n[8] G. Destgeer and H. J. Sung, Lab on a Chip 15, 2722\n(2015).\n[9] R. Sasaki, Y. Nii, Y. Iguchi, and Y. Onose, Phys. Rev.\nB95, 020407(R) (2017).\n[10] S. Tateno and Y. Nozaki, Phys. Rev. Appl. 13, 034074\n(2020).\n[11] A. Hern´ andez-M´ ınguez, F. Maci` a, J. M. Hern` andez,\nJ. Herfort, and P. V. Santos, Phys. Rev. Appl. 13, 044018\n(2020).\n[12] M. Xu, K. Yamamoto, J. Puebla, K. Baumgaertl,\nB. Rana, K. Miura, H. Takahashi, D. Grundler,viii\nS. Maekawa, and Y. Otani, Science Advances 6,\neabb1724 (2020).\n[13] M. K¨ uß, M. Heigl, L. Flacke, A. H¨ orner, M. Weiler,\nM. Albrecht, and A. Wixforth, Phys. Rev. Lett. 125,\n217203 (2020).\n[14] M. K¨ uß, M. Heigl, L. Flacke, A. Hefele, A. H¨ orner,\nM. Weiler, M. Albrecht, and A. Wixforth, Phys. Rev.\nAppl. 15, 034046 (2021).\n[15] M. K¨ uß, M. Heigl, L. Flacke, A. H¨ orner, M. Weiler,\nA. Wixforth, and M. Albrecht, Phys. Rev. Appl. 15,\n034060 (2021).\n[16] Y. Li, C. Zhao, W. Zhang, A. Hoffmann, and\nV. Novosad, APL Materials 9, 060902 (2021).\n[17] M. Geilen, A. Nicoloiu, D. Narducci, M. Mohseni,\nM. Bechberger, M. Ender, F. Ciubotaru, B. Hillebrands,\nA. M¨ uller, C. Adelmann, and P. Pirro, Applied Physics\nLetters 120, 242404 (2022).\n[18] M. K¨ uß, M. Hassan, Y. Kunz, A. H¨ orner, M. Weiler, and\nM. Albrecht, Phys. Rev. B 107, 024424 (2023).\n[19] M. K¨ uß, M. Hassan, Y. Kunz, A. H¨ orner, M. Weiler, and\nM. Albrecht, Phys. Rev. B 107, 214412 (2023).\n[20] M. Huang, W. Hu, H. Zhang, and F. Bai, Journal of\nApplied Physics 133, 223902 (2023).\n[21] Y. Kunz, M. K¨ uß, M. Schneider, M. Geilen,\nP. Pirro, M. Albrecht, and M. Weiler, arXiv preprint\narXiv:2311.16688 (2023), arXiv:2311.16688.\n[22] P. J. Shah, D. A. Bas, I. Lisenkov, A. Matyushov, N. X.\nSun, and M. R. Page, Science Advances 6, eabc5648\n(2020).\n[23] R. Verba, E. N. Bankowski, T. J. Meitzler, V. Tiberke-\nvich, and A. Slavin, Advanced Electronic Materials 7,\n2100263 (2021).\n[24] C. Rasmussen, L. Quan, and A. Al` u, Journal of Applied\nPhysics 129, 210903 (2021).\n[25] M. K¨ uß, M. Albrecht, and M. Weiler, Frontiers in\nPhysics 10(2022), 10.3389/fphy.2022.981257.\n[26] X. Li, D. Labanowski, S. Salahuddin, and C. S. Lynch,\nJournal of Applied Physics 122, 043904 (2017).\n[27] A. Mahmoud, F. Ciubotaru, F. Vanderveken, A. V. Chu-\nmak, S. Hamdioui, C. Adelmann, and S. Cotofana, Jour-\nnal of Applied Physics 128, 161101 (2020).\n[28] A. V. Chumak, P. Kabos, M. Wu, C. Abert, C. Adel-\nmann, A. Adeyeye, J. ˚Akerman, F. G. Aliev, A. Anane,\nA. Awad, et al. , IEEE Transactions on Magnetics 58, 1\n(2022).\n[29] B. Rana, Y. Fukuma, K. Miura, H. Takahashi, and\nY. Otani, Applied Physics Letters 111, 052404 (2017).\n[30] A. I. Nikitchenko and N. A. Pertsev, Phys. Rev. B 104,\n134422 (2021).\n[31] H. Qin, S. J. H¨ am¨ al¨ ainen, K. Arjas, J. Witteveen, and\nS. van Dijken, Phys. Rev. B 98, 224422 (2018).\n[32] S. Maendl, I. Stasinopoulos, and D. Grundler, Applied\nPhysics Letters 111, 012403 (2017).\n[33] J. Koike, K. S. K. Shimoe, and H. I. H. Ieki, Japanese\nJournal of Applied Physics 32, 2337 (1993).\n[34] L. Le Brizoual, F. Sarry, O. Elmazria, P. Alnot, S. Bal-\nlandras, and T. Pastureaud, IEEE Transactions on Ul-\ntrasonics, Ferroelectrics, and Frequency Control 55, 442\n(2008).\n[35] Q. J. Wang, C. Pfl¨ ugl, W. F. Andress, D. Ham, F. Ca-\npasso, and M. Yamanishi, Journal of Vacuum Science\n& Technology B: Microelectronics and Nanometer Struc-\ntures Processing, Measurement, and Phenomena 26,\n1848 (2008).[36] S. Fu, W. Wang, L. Qian, Q. Li, Z. Lu, J. Shen, C. Song,\nF. Zeng, and F. Pan, IEEE Electron Device Letters 40,\n103 (2019).\n[37] R. Su, S. Fu, J. Shen, Z. Chen, Z. Lu, M. Yang, R. Wang,\nF. Zeng, W. Wang, C. Song, et al. , ACS Applied Mate-\nrials & Interfaces 12, 42378 (2020).\n[38] S. Hanna and G. Murphy, IEEE Transactions on Mag-\nnetics 24, 2814 (1988).\n[39] R. Kryshtal and A. Medved, Journal of Physics D: Ap-\nplied Physics 50, 495004 (2017).\n[40] G. FARNELL and E. ADLER (Academic Press, 1972)\npp. 35–127.\n[41] G. Zhang, Bulk and Surface Acoustic Waves (CRC Press,\n2022).\n[42] C. Dubs, O. Surzhenko, R. Thomas, J. Osten, T. Schnei-\nder, K. Lenz, J. Grenzer, R. H¨ ubner, and E. Wendler,\nPhys. Rev. Mater. 4, 024416 (2020).\n[43] See Supplemental Material for information about sam-\nple preparation; material parameters used in calcula-\ntions; calculations of the electromechanical coupling co-\nefficients, displacements, and strains; rotation of crys-\ntallographic axes including the piezoelectric ZnO c-axis;\nand the modelling of the ‘dead layer’, which includes\nRefs. [13, 40, 41, 51–56, 62–69].\n[44] O. B¨ uttner, M. Bauer, S. O. Demokritov, B. Hille-\nbrands, Y. S. Kivshar, V. Grimalsky, Y. Rapoport, M. P.\nKostylev, B. A. Kalinikos, and A. N. Slavin, Journal of\nApplied Physics 87, 5088 (2000).\n[45] V. E. Demidov, S. O. Demokritov, B. Hillebrands,\nM. Laufenberg, and P. P. Freitas, Applied Physics Let-\nters85, 2866 (2004).\n[46] T. Sebastian, K. Schultheiss, B. Obry, B. Hillebrands,\nand H. Schultheiss, Frontiers in Physics 3(2015).\n[47] B. M. Heinz, Nano-scaled yttrium iron garnet conduits for\nmagnonic networks , Ph.D. thesis, Rheinland-Pf¨ alzische\nTechnische Universit¨ at Kaiserslautern-Landau (2021).\n[48] L. Rayleigh, Proceedings of the London mathematical\nSociety 1, 4 (1885).\n[49] K. Sezawa, Bull. Earthq. Res. Inst. Tokyo 3, 1 (1927).\n[50] A. E. H. Love, Some Problems of Geodynamics: Being\nan Essay to which the Adams Prize in the University\nof Cambridge was Adjudged in 1911 (University Press,\n1911).\n[51] A. E. Clark and R. E. Strakna, Journal of Applied\nPhysics 32, 1172 (2004).\n[52] I. H. Hasan, M. N. Hamidon, I. Ismail, R. Osman, and\nA. Ismail, in 2017 IEEE Regional Symposium on Micro\nand Nanoelectronics (RSM) (2017) pp. 131–134.\n[53] L. J. Graham and R. Chang, Journal of Applied Physics\n41, 2247 (2003).\n[54] D. A. Connelly, H. R. O. Aquino, M. Robbins, G. H.\nBernstein, A. Orlov, W. Porod, and J. Chisum, IEEE\nMagnetics Letters 12, 1 (2021).\n[55] T. Fung, Phonon magnonics , Ph.D. thesis, University of\nOxford (2015).\n[56] J. Den Toonder, J. Van Dommelen, and F. Baaijens,\nModelling and Simulation in Materials Science and En-\ngineering 7, 909 (1999).\n[57] Y. Hwang, J. Puebla, K. Kondou, C. Gonzalez-\nBallestero, H. Isshiki, C. S. Munoz, L. Liao,\nF. Chen, W. Luo, S. Maekawa, et al. , arXiv preprint\narXiv:2309.12690 (2023), arXiv:2309.12690.\n[58] M. Geilen, R. Verba, A. Nicoloiu, D. Narducci,\nA. Dinescu, M. Ender, M. Mohseni, F. Ciubotaru,ix\nM. Weiler, A. M¨ uller, B. Hillebrands, C. Adelmann,\nand P. Pirro, “Parametric excitation and instabilities of\nspin waves driven by surface acoustic waves,” (2022),\narXiv:2201.04033.\n[59] P. J. Shah, D. A. Bas, A. Hamadeh, M. Wolf, A. Fran-\nson, M. Newburger, P. Pirro, M. Weiler, and M. R.\nPage, “Symmetry and nonlinearity of spin wave reso-\nnance excited by focused surface acoustic waves,” (2023),\narXiv:2305.06259.\n[60] S. C. Abrahams and J. L. Bernstein, Acta Crystallo-\ngraphica Section B 25, 1233 (1969).\n[61] G. Gurjar, V. Sharma, S. Patnaik, and B. K. Kuanr,\nAIP Conference Proceedings 2115 , 030323 (2019).\n[62] E. Bachari, G. Baud, S. Ben Amor, and M. Jacquet,\nThin Solid Films 348, 165 (1999).\n[63] S.-S. Lin and J.-L. Huang, Surface and Coatings Tech-\nnology 185, 222 (2004).[64] B. M. Howe, S. Emori, H.-M. Jeon, T. M. Oxholm, J. G.\nJones, K. Mahalingam, Y. Zhuang, N. X. Sun, and G. J.\nBrown, IEEE Magnetics Letters 6, 1 (2015).\n[65] N. Foster, G. Coquin, G. Rozgonyi, and F. Vannatta,\nIEEE Transactions on Sonics and Ultrasonics 15, 28\n(1968).\n[66] T. Yanagitani, N. Morisato, S. Takayanagi, M. Mat-\nsukawa, and Y. Watanabe, IEEE Transactions on Ultra-\nsonics, Ferroelectrics and Frequency Control 58(2011).\n[67] V. Laude and S. Ballandras, Journal of Applied Physics\n94, 1235 (2003).\n[68] R. O’Rorke, A. Winkler, D. Collins, and Y. Ai, RSC\nadvances 10, 11582 (2020).\n[69] V. Maupin, in Advances in Wave Propagation in Het-\nerogenous Earth , Advances in Geophysics, Vol. 48, edited\nby R.-S. Wu, V. Maupin, and R. Dmowska (Elsevier,\n2007) pp. 127–155.SUPPLEMENTAL MATERIAL\nGeneration of gigahertz frequency surface acoustic waves in\nYIG/ZnO heterostructures\nFinlay Ryburn,1,∗Kevin K¨ unstle,2,†Yangzhan Zhang,1Yannik Kunz,2Timmy\nReimann,3Morris Lindner,3Carsten Dubs,3John F. Gregg,1and Mathias Weiler2\n1Clarendon Laboratory, Department of Physics, University of Oxford,\nParks Road, Oxford, OX1 3PU, United Kingdom\n2Fachbereich Physik and Landesforschungszentrum OPTIMAS,\nRheinland-Pf¨ alzische Technische Universit¨ at\nKaiserslautern-Landau, 67663 Kaiserslautern, Germany\n3INNOVENT e.V. Technologieentwicklung, 07745 Jena, Germany\n(Dated: March 6, 2024)\n∗finlay.ryburn@physics.ox.ac.uk\n†kuenstle@rptu.de\niarXiv:2403.03006v1 [cond-mat.mes-hall] 5 Mar 2024[S.1] SAMPLE PREPARATION\nTo investigate the surface acoustic wave (SAW) properties in the YIG/ZnO heterostruc-\ntures, we fabricated interdigital transducers (IDTs) via electron beam lithography (EBL)\non a YIG/GGG bilayer, which were subsequently covered with a piezoelectric ZnO film.\nChemical etching was employed to remove the ZnO from the contact pads of the IDTs. This\nsection provides an in-depth description of the fabrication process.\nThe 103nm and 55 nm thick YIG thin films employed in this study are grown in the\n(111) direction on 500 µm GGG substrates via liquid phase epitaxy. Prior to the structuring\nprocess, the chips were cleaned with acetone and isopropanol in an ultrasonic bath at 35 kHz.\nSubsequently, a double resist layer consisting of PMMA 600K 4% and PMMA 950K 2% was\nspin-coated onto the YIG. The different resists result in an undercut after the electron beam\nlithography, needed for a successful lift-off process. An additional layer of Espacer300Z is\napplied to the PMMA surface in order to prevent surface charge-up effects. To achieve\nSAW-wavelengths on the µm scale, a RAITH VOYAGER EBL system was used to fabricate\nthe IDT fingers, which have a width and spacing of 700 nm. Following resist development,\nsmall PMMA residues that might remain in the mask grooves are removed via plasma ashing.\nSubsequently, the sample was covered with a 10 nm titanium, 80 nm gold stack using electron\nbeam evaporation. After the electron beam evaporation of the two metals, the resist lift-off\ntakes place and only the metal in the mask grooves, that is in contact with YIG, remains\non the sample surface.\nThe ensuing structures are then covered with a piezoelectric zinc oxide film which was\ngrown on the whole chip by radio frequency magnetron sputtering. The base pressure\nin the sputtering chamber was 10−5mbar, and the sputtering gas pressure approximately\n4×10−3mbar. To achieve the right stoichiometry, a sputtering gas mixture of argon and\noxygen with a 2:1 ratio was used. The sample was first pre-sputtered at 30 W for 5 minutes,\nbefore sputtering was performed at power levels of 140 W and 100 W, resulting in ZnO films\nwith thicknesses of 890 ±25 nm for Sample 1 and 830 ±25 nm for Sample 2, as measured\nusing a profilometer. The relatively large errors in the thickness result from a combination\nof surface defects and non-uniformity in the thickness due to the sputtering process, a\nsingle 90 mm diameter ZnO target was used; to increase the accuracy we averaged over 5\nmeasurements close to the excited IDTs. The insulating ZnO now also covers the contacting\nii10 20 30 40 50 60 70 80 90\n2 (°)\n100101102103104105Intensity (counts)ZnO\n(002)GGG\n(444)\nZnO\n(004)Fig. S1. Sample characterisation, 2 θ/θx-ray diffraction data for Sample 1 [1–3].\npads of the IDT structures and needs to be removed to allow direct electrical contact. This is\naccomplished by creating an etch mask consisting of AZ5214E photoresist using a Microtech\nLW405D LaserWriter. Following resist development, the sample was immersed in a 32% HCl\nsolution removing the ZnO from the contact pads. XRD 2 θ/θcharacterization of the samples\nwas later carried out with a Rigaku SMARTLab diffractometer, the results for Sample 1 are\nshown in Fig. S1, to verify the crystalline orientation. The peak at approximately 34◦\nindicates the successful deposition of ZnO with an out-of-plane c-axis [1, 2].\n[S.2] MATERIAL PARAMETERS\nThe material properties used in the analytical calculation are given in Tab. S1.\n[S.3] COUPLING COEFFICIENTS, DISPLACEMENTS, AND STRAINS\nThe calculated electromechanical coupling coefficients for Sample 1 can be seen in Fig. S2.\nAs discussed in Section IV of the main text, the Love-like modes have coupling coefficients\none to two orders of magnitude lower than those of the Rayleigh-like modes, hence our\ndecision to neglect this class of solutions.\nThe normalised displacements, Fig. S3, and normalised strains at the surface, Tab. S2,\nfor Sample 1 are calculated at fixed frequencies. We see that the displacements decay\nexponentially and that the dominant strain contributions are Sxx,Szz, and Sxz, with the\niiiTABLE S1. The material properties for the different layers used in the analytical calculations.\nDensity is given in kgm−3, the elastic constants in 109Nm−2, the piezoelectric constants in Cm−2,\nand the permittivities in 10−11Fm−1. The relevant references are ZnO [4], YIG [5, 6], and GGG [7,\n8].\nMaterial Class ρ c 11 c12 c13 c33c44ex5ez1ez3ϵxxϵzz\nZnO 6mm 5700 209 121.1 105.1 210.9 42.5 -0.48 -0.57 1.32 8.55 10.2\nYIG m ¯3m 5170 269 107.7 107.7 269 76.4 0 0 0 4.3 4.3\nGGG m ¯3m 7094 285.7 114.9 114.9 285.7 90.2 0 0 0 12.1 12.1\n‘Dead layer’ N/A 5700 204.7 112.3 113.3 205.6 45.4 0 0 0 9.2 9.0\n0 1 2 3 4 5 6 7 8\nf (GHz)1012\n1010\n108\n106\n104\n102\n100Electromechanical coupling coefficient (%)Rayleigh mode\nFirst Sezawa mode\nFirst Love mode\nSecond Love mode\nFig. S2. The electromechanical coupling coefficients of the four lowest order modes calculated for\nSample 1 as a function of frequency.\nusual phase differences, as expected for typical Rayleigh mode solutions [9, 10]. We note\nthat due to the anisotropic layered materials, the particle motion is not purely restricted to\nthex1,x3plane as in the isotropic case [9]; there are also small displacements in the x2\ndirection.\n[S.4] ROTATING CRYSTALLOGRAPHIC AXES\nWhen considering the ‘dead layer’, and indeed the orientation of any of the crystal layers,\nit is necessary to rotate the crystallographic axes. Practically, this involves the rotation\nof the permittivity, piezoelectric, and elastic tensors used in the model. We consider an\niv1.00\n 0.75\n 0.50\n 0.25\n 0.00 0.25 0.50 0.75 1.00\nNormalised displacement400\n200\n0200400600800Depth (nm)(ux)\n(ux)\n(uy)\n(uy)\n(uz)\n(uz)\nFig. S3. The real and imaginary components of the normalised displacements as a function of\ndepth in Sample 1 for the Rayleigh-like mode at 2.9 GHz. The two horizontal black lines indicate\nthe position of the YIG layer, with depth zero at the GGG/YIG interface.\nTABLE S2. The normalised real, imaginary, and total magnitude of the strain components for the\nRayleigh-like mode at 2.9 GHz and the first Sezawa-like mode at 5.65 GHz calculated at the surface\nfor Sample 1.\nRayleigh 2.9 GHz Sezawa 5.65 GHz\nSijR(Sij)I(Sij)|Sij|R(Sij)I(Sij)|Sij|\nSxx0.65 -1.00 1.00 1.00 -0.50 1.00\nSyy0.00 0.00 0.00 0.00 0.00 0.00\nSzz-0.81 -0.52 0.73 -0.81 0.38 0.80\nSxy-0.02 0.01 0.02 -0.07 0.00 0.06\nSxz-0.81 -0.52 0.81 -0.43 -0.88 0.88\nSyz0.02 0.03 0.03 0.01 0.07 0.06\narbitrary rotation αabout x1, followed by βabout x2, and finally γabout x3. This gives\nthe 3x3 rotation matrix\na=\ncosγ−sinγ0\nsinγcosγ0\n0 0 1\n\ncosβ0 sin β\n0 1 0\n−sinβ0 cos β\n\n1 0 0\n0 cos α−sinα\n0 sin αcosα\n=\na11a12a13\na21a22a23\na31a32a33\n.(1)\nvThe corresponding 6x6 rotation matrix is given by [4]\nM=\na2\n11 a2\n12 a2\n13 2a12a13 2a13a11 2a11a12\na2\n21 a2\n22 a2\n23 2a22a23 2a23a21 2a21a22\na2\n31 a2\n32 a2\n33 2a32a33 2a33a31 2a31a32\na21a31a22a32a23a33a22a33+a23a32a21a33+a23a31a22a31+a21a32\na31a11a32a12a33a13a12a33+a13a32a13a31+a11a33a11a32+a12a31\na11a21a12a22a13a23a12a23+a13a22a13a21+a11a23a11a22+a12a21\n(2)\nand the tensors are transformed according to\nϵ′=aϵaT, e′=aeMT, c′=McMT. (3)\n[S.5] THE ‘DEAD LAYER’\nAs discussed, we model the ‘dead layer’, where XRD data indicates there is no alignment\nof the c-axis [11], by considering a randomly oriented c-axis. To calculate the material prop-\nerties of an arbitrarily aligned c-axis crystallite in this layer, we apply the transformations\nto the permittivity and elastic tensors given in Eq.(3) for arbitrary angles and then find the\naverage properties by integrating over all possible angles [12]\nϵ′\ndead=2π/integraldisplay\n02π/integraldisplay\n02π/integraldisplay\n0ϵ′(α, β, γ )dα dβ dγ, c′\ndead=2π/integraldisplay\n02π/integraldisplay\n02π/integraldisplay\n0c′(α, β, γ )dα dβ dγ. (4)\nThese transformations lead to relatively small changes in the material properties and tend\nto symmetrise the matrices as expected, see Tab. S1. Finally, we assume any action of the\nelectric dipoles to cancel globally and thus set the piezoelectric tensor to zero, and assume\nthe density of the ZnO remains unchanged.\nThe effect on the group velocity for Samples 1 & 2 on the addition of the ‘dead layer’ can\nbe seen in Fig. S4a. We see a fairly minimal change with a deepening of the dip, which seems\nto slightly improve the fit to the experimental data. Given the nature of the model used, it\nis likely that the real group velocity curve lies somewhere between these curves as the model\ntakes the worst-case scenario where the ‘dead layer’ has no coherence in the alignment of\nthe electric dipoles. This is also likely true of the coupling coefficients, Fig. S4c, where we\nvisee a drop in the expected coupling by one to two orders of magnitude. However, given the\nresults of the detailed study in [11] we consider it more accurate to include this approximate\nmodel rather than to neglect the ‘dead layer’ entirely.\n(a)\n0 1 2 3 4 5 6 7 8\nf (GHz)2.22.42.62.83.03.23.4Group velocity (km/s)Sample 1 Rayleigh dead layer\nRayleigh no dead layer\nSezawa dead layer\nSezawa no dead layer\nMultipoint linescans\nfor 6-finger IDT\nMultipoint linescans\nfor 20-finger IDT\n (b)\n0 1 2 3 4 5 6 7 8\nf (GHz)2.22.42.62.83.03.23.4Group velocity (km/s)Sample 2 Rayleigh dead layer\nRayleigh no dead layer\nSezawa dead layer\nSezawa no dead layer\nMultipoint linescans\nfor 6-finger IDT\nT wo-point linescans\nfor 6-finger IDT\n (c)\n0 1 2 3 4 5 6 7 8\nf (GHz)108\n106\n104\n102\n100Electromechanical coupling coefficient (%)Rayleigh dead layer\nRayleigh no dead layer\nSezawa dead layer\nSezawa no dead layer\nFig. S4. The experimental group velocity data in light blue and green for Sample 1 (a) and Sample\n2 (b) plotted against the analytical solutions with (dark blue and red) and without (lilac and\nyellow) the ‘dead layer’ included in the calculation. (c) The electromechanical coupling constants\ncalculated with (dark blue and red) and without (lilac and yellow) the ‘dead layer’.\n[S.6] DEPENDENCE ON C-AXIS ANGLE AND YIG ORIENTATION\nWe also consider whether the in-plane orientation of the GGG/YIG crystallographic axes\naffects the SAW properties. To do this, we rotate the GGG and YIG tensors about the\nout-of-plane x3axis and evaluate the dispersion relation at each angle. The results of this\ncalculation at a fixed frequency of 2.9 GHz can be seen in Fig. S5a. We plot the slowness\ncurves, where slowness is defined as the inverse of the velocity (we consider both group and\nphase velocities). It can be seen the phase and group velocities of the SAW are isotropic\nwith the rotation of this axis.\nThe perhaps more interesting property to vary is the ZnO piezoelectric c-axis orientation.\nThis is commonly studied in the case of bulk acoustic wave transducers and has a significant\neffect on the electromechanical coupling coefficient. In the case of an out-of-plane c-axis\nthe waves are purely longitudinal, whereas, with the c-axis aligned at ∼40◦to the normal\nof the plane, the waves are purely shear [4, 13, 14]. Here we consider rotation about the\nx2axis where 0◦corresponds to an out-of-plane oriented c-axis. The slowness curves can\nvii(a)\n0°45°90°\n135°\n180°\n225°\n270°315°0.4\nSlowness \n (skm1)\n2.9 GHz\n1\nvp\n1\nvg (b)\n0°45°90°\n135°\n180°\n225°\n270°315°0.4\nSlowness \n (skm1)\n2.7 GHz\n1\nvp\n1\nvg (c)\n0°45°90°\n135°\n180°\n225°\n270°315°0.4\nSlowness \n (skm1)\n5.65 GHz\n1\nvp\n1\nvg\nFig. S5. Slowness curves for the rotation of different crystallographic axes at fixed frequencies. (a)\nSlowness as a function of the in-plane GGG/YIG crystallographic axis orientation angle at a fixed\nfrequency of 2.9 GHz for the Rayleigh-like mode. Rotation is about the x3axis, and 0◦corresponds\nto the (1,1,-2) direction along x1. (b) Slowness as a function of the ZnO c-axis orientation angle\nat a fixed frequency of 2.7 GHz for the Rayleigh-like mode. (c) Slowness as a function of the ZnO\nc-axis orientation angle at a fixed frequency of 5.65 GHz for the Sezawa-like mode. For (b) and (c)\nrotation is about the x2axis, and 0◦corresponds to an out-of-plane oriented c-axis.\nbe seen in Fig. S5b for the Rayleigh-like mode at 2.7 GHz, and Fig. S5c for the Sezawa-\nlike mode at 5.65 GHz. Here we no longer see isotropic behaviour and have the waves\ntravelling at maximum velocity when the c-axis is oriented at 48◦. This suggests changing\nthe orientation angle of the c-axis to approximately 48◦may be sensible to avoid beam\nsteering effects [15, 16]. Note, we consider 2.7 GHz rather than 2.9 GHz as the Rayleigh-like\nsolutions at some intermediate angles do not exist for frequencies as high as 2.9 GHz.\nFinally, we evaluate the electromechanical coupling coefficients as a function of the ZnO\nc-axis orientation over a range of frequencies. The resulting coupling coefficients for the\nRayleigh-like mode can be seen in Fig. S6a and for the Sezawa-like mode in Fig. S6b. For\nboth the Rayleigh and Sezawa-like modes, we observe maxima in the calculated coupling\ncoefficients with a c-axis angle of approximately 50◦. This suggests ∼50◦is the optimum\nc-axis angle for maximum velocity and SAW excitation efficiency. In the case of the Rayleigh-\nlike mode, we observe an interesting minima in the intensity at around 50◦and 1.3 GHz, this\noccurs due to mode mixing [9, 17] between the Rayleigh-like mode and the first Love-like\nmode as their phase velocities intersect. This demonstrates the importance of carrying out\nviiithese calculations before choosing the IDT wavelength, as one could inadvertently design an\nIDT to work at such a minimum.\n(a)\n1.00 1.25 1.50 1.75 2.00 2.25 2.50\nFrequency (GHz)0102030405060708090ZnO c-axis angle (°)\n0.00.10.20.30.40.50.6\nElectromechanical coupling coefficient (%) (b)\n4.0 4.5 5.0 5.5 6.0 6.5\nFrequency (GHz)0102030405060708090ZnO c-axis angle (°)\n0.0000.0050.0100.0150.0200.025\nElectromechanical coupling coefficient (%)\nFig. S6. Electromechanical coupling constant as a function of ZnO c-axis angle and frequency\nfor the Rayleigh-like mode (a) and Sezawa-like mode (b). Rotation is about the x2axis, and 0◦\ncorresponds to an out-of-plane oriented c-axis.\nUsing these results, the ZnO c-axis angle and thickness can be tuned to optimise a certain\nmode at a given frequency to maximise the electromechanical coupling, thus producing the\nstrongest SAW intensity for a given IDT wavelength. Alongside the potentially increased\nefficiency and reduced input power, this is useful if attempting to enhance a spin wave signal\nif studying magnetoelastic coupling.\nWe note that for the data in Fig. S6a, a sharp discontinuity occurred where the calculation\nconverged between the Rayleigh-like and Love-like solutions at different positions for the free\nand metallic phase velocities, before consistently converging on the Rayleigh-like solution\nas the phase velocities of the two modes stop mixing. This occurred over a small range,\napproximately 0.05 GHz centred on ∼1.4 GHz between 37◦and 59◦. We eliminated this by\ndeleting the points over the discontinuity and interpolating between this range. An example\nof this interpolation can be seen in Fig. S7, it can be seen that the characteristic shape of\nthe coupling coefficient does not change, and hence we consider this a valid methodology.\nix1.00 1.25 1.50 1.75 2.00 2.25 2.50\nFrequency (GHz)0.00.10.20.30.40.50.6Electromechanical coupling coefficient (%)Before interpolation\nAfter interpolationFig. S7. The electromechanical coupling coefficient as a function of frequency with the ZnO c-axis\nangled at 38◦to the surface normal. The raw results of the calculation are shown in dark blue and\nthe results after interpolation are shown in dashed red.\nx[1] E. Bachari, G. Baud, S. Ben Amor, and M. Jacquet, Thin Solid Films 348, 165 (1999).\n[2] S.-S. Lin and J.-L. Huang, Surface and Coatings Technology 185, 222 (2004).\n[3] B. M. Howe, S. Emori, H.-M. Jeon, T. M. Oxholm, J. G. Jones, K. Mahalingam, Y. Zhuang,\nN. X. Sun, and G. J. Brown, IEEE Magnetics Letters 6, 1 (2015).\n[4] G. Zhang, Bulk and Surface Acoustic Waves (CRC Press, 2022).\n[5] A. E. Clark and R. E. Strakna, Journal of Applied Physics 32, 1172 (2004).\n[6] I. H. Hasan, M. N. Hamidon, I. Ismail, R. Osman, and A. Ismail, in 2017 IEEE Regional\nSymposium on Micro and Nanoelectronics (RSM) (2017) pp. 131–134.\n[7] L. J. Graham and R. Chang, Journal of Applied Physics 41, 2247 (2003).\n[8] D. A. Connelly, H. R. O. Aquino, M. Robbins, G. H. Bernstein, A. Orlov, W. Porod, and\nJ. Chisum, IEEE Magnetics Letters 12, 1 (2021).\n[9] G. FARNELL and E. ADLER (Academic Press, 1972) pp. 35–127.\n[10] M. K¨ uß, M. Heigl, L. Flacke, A. H¨ orner, M. Weiler, M. Albrecht, and A. Wixforth, Phys.\nRev. Lett. 125, 217203 (2020).\n[11] T. Fung, Phonon magnonics , Ph.D. thesis, University of Oxford (2015).\n[12] J. Den Toonder, J. Van Dommelen, and F. Baaijens, Modelling and Simulation in Materials\nScience and Engineering 7, 909 (1999).\n[13] N. Foster, G. Coquin, G. Rozgonyi, and F. Vannatta, IEEE Transactions on Sonics and\nUltrasonics 15, 28 (1968).\n[14] T. Yanagitani, N. Morisato, S. Takayanagi, M. Matsukawa, and Y. Watanabe, IEEE Trans-\nactions on Ultrasonics, Ferroelectrics and Frequency Control 58(2011).\n[15] V. Laude and S. Ballandras, Journal of Applied Physics 94, 1235 (2003).\n[16] R. O’Rorke, A. Winkler, D. Collins, and Y. Ai, RSC advances 10, 11582 (2020).\n[17] V. Maupin, in Advances in Wave Propagation in Heterogenous Earth , Advances in Geophysics,\nVol. 48, edited by R.-S. Wu, V. Maupin, and R. Dmowska (Elsevier, 2007) pp. 127–155.\nxi" }, { "title": "1309.2164v1.Sub_microsecond_fast_temporal_evolution_of_the_spin_Seebeck_effect.pdf", "content": "arXiv:1309.2164v1 [cond-mat.mtrl-sci] 9 Sep 2013Sub-microsecond fast temporal evolution of the spin Seebec k effect\nM. Agrawal,1,2,∗V. I. Vasyuchka,1A. A. Serga,1A. Kirihara,1,3P. Pirro,1T. Langner,1\nM. B. Jungfleisch,1A. V. Chumak,1E. Th. Papaioannou,1and B. Hillebrands1\n1Fachbereich Physik and Landesforschungszentrum OPTIMAS,\nTechnische Universit¨ at Kaiserslautern, 67663 Kaisersla utern, Germany\n2Graduate School Materials Science in Mainz, Gottlieb-Daim er-Strasse 47, 67663 Kaiserslautern, Germany\n3Smart Energy Research Laboratories, NEC Corporation, Tsuk uba 305-8501, Japan\n(Dated: June 27, 2018)\nWe present temporal evolution of the spin Seebeck effect in a Y IG|Pt bilayer system. Our findings\nreveal that this effect is a sub-microseconds fast phenomeno n governed by the temperature gradient\nand the thermal magnons diffusion in the magnetic materials. A comparison of experimental results\nwith the thermal-driven magnon-diffusion model shows that t he temporal behavior of this effect\ndependson the time developmentof the temperature gradient in the vicinity of the YIG |Pt interface.\nThe effective thermal-magnon diffusion length for YIG |Pt systems is estimated to be around 700nm.\nThe spin Seebeck effect (SSE) [2–9] is one of the most\nfascinating phenomena in the contemporary era of spin-\ncaloritronics[10]. Analogous to the classical Seebeck ef-\nfect, the SSE is a phenomenon where a spin current\nis generated in spin-polarized materials like metals [2],\nsemiconductors[4, 5], andinsulators[6–9] onthe applica-\ntion of a thermal gradient. Generally, the generated spin\ncurrentismeasuredbythe inversespin Halleffect (ISHE)\n[11] in a normal metal like Pt, placed in contact with the\nspin-polarized material. Currently, this phenomenon has\nattracted much attention due to its potential applica-\ntions, for example, recent progresses show that based on\nthis effect thin-film structures can be fabricated to gen-\nerate electricity from waste-heat sources [12]. Further\nadvancements in industrial applications like temperature\nsensors, temperature gradient sensors, and thermal spin-\ncurrent generators require an in-depth understanding of\nthis effect.\nAlthough there have been numerous experimental and\ntheoretical studies about this effect, the underlying\nphysics is yet not well understood. The most accepted\ntheory predicts that the SSE is driven by the difference\nin the local temperatures of magnon-, phonon-, and elec-\ntron baths [13, 14] of the system. However, no clear evi-\ndences of such differences have been observed experimen-\ntally [15]. So, the origin of this effect is still under discus-\nsion. Some experimental studies show that the interface\nproximity effect in the YIG |Pt system could exhibit sim-\nilar behavior as observed for the SSE [16]. However, very\nrecentmeasurementsclaim nosuchproximityeffects [17].\nMoreover, the question whether the SSE is an interface\nor bulk effect, is still open [18, 19].\nTo shed light on this controversial physics, we devel-\noped an entirely new experimental approach where we\nstudied the temporal evolution of the SSE in YIG |Pt bi-\nlayer structures. The observations were realized in the\nlongitudinal configuration of the SSE [7, 8]. In the lon-\ngitudinal spin Seebeck effect (LSSE), a thermal gradient\nis created perpendicular to the film plane, and the spin\ncurrent generated by thermal excitations of magnetiza-FIG. 1. (Color online) Sketch of the experimental setup. A\ncontinuous laser beam (wavelength 655nm), modulated by\nan acousto-optical modulator (AOM), was focused down on a\n10nm thick Pt strip, deposited on a 6.7 µm thick YIG film, by\na microscope objective (MO). The laser intensity profile was\nmonitored by an ultrafast photo-diode. An in-plane magneti c\nfieldB= 20mTwas applied to the YIG film. The heated Pt\nstrip created a thermal gradient perpendicular to the YIG |Pt\ninterface (see the inset). The generated voltage across the Pt\nstrip due to ISHE was amplified and measured by an oscillo-\nscope.\ntion (thermal magnons) is measured along the thermal\ngradient. From our measurements, we find that the SSE\nsignal evolves at sub-microsecond time-scales, and a cer-\ntain thickness of the YIG film effectively contributes to\nthe SSE.\nThe LSSE measurements were performed on a bilayer\nof magnetic insulator, Yttrium Iron Garnet (YIG), and\nnormal metal, Pt. A 6.7 µm thick YIG sample of dimen-\nsions 14mm ×3mm was grown by liquid phase epitaxy\non a 500 µm thick Gallium Gadolinium Garnet (GGG)\nsubstrate. To achieve a good YIG |Pt interface quality,\na detailed cleaning process as discussed in Ref. [20] was\nfollowed before the deposition of Pt. A strip (3mm ×100\nµm) of 10nm thick Pt was deposited on the cleaned YIG\nsurface by MBE at a pressure of 5 ×10−11mbar with\na growth rate of 0.05nm/s. In Fig. 1, a schematic dia-\ngram of the experimental setup is shown. A laser heating2\nFIG. 2. (Color online) (a) The time profiles of the laser inten -\nsity (solid line), and the SSE voltage ( VSSE) at various laser\npowers of 75mW (open circle), 105mW (open square), and\n131mW (open triangle). (b) Measured Vmax\nSSE, with linear fit-\nting, and (c) the rise times t1andt2as a function of laser\npower. The rise times are practically unchanged with laser\npower.\ntechnique [21, 22] was implemented to heat the Pt strip\nfrom the top surface to create a vertical thermal gradi-\nent along the ydirection perpendicular to the bilayer\ninterface (See Fig.1). For this purpose, a continuous\nlaser beam (wavelength 655nm) was modulated by an\nacousto-optical modulator (AOM), and focused down at\nthe middle of the Pt strip using a microscopic objective\n(Leitz PL 16x/0.30). To study the temporal profile of\nthe laser beam in parallel, the transmitted laser beam\nthrough the YIG sample was monitored by an ultrafast\nphoto-diode. A (10%-90%) rise time of 200ns was ob-\nserved for the laser pulses (solid line in Fig.2(a)). The\nsample structure was mounted on a copper block to pro-\nvide a thermal heat sink.\nThe time-resolved measurements of LSSE were carried\nout using a 10 µs long laser pulse with a repetition rate\nof 10kHz. An in-plane magnetic field B= 20mT was\napplied to saturate the YIG film magnetization along\nthexdirection. As a result of the LSSE, a spin cur-\nrent flowed along the ydirection. By the inverse spin\nHall effect, this spin current converts into an electricfield along the zdirection in Pt. The electric field was\ndetected as a potential difference VSSEbetween the two\nshort edges of the Pt strip (shown in Fig.1). The SSE\nvoltageVSSEwas amplified by a high input impedance\npreamplifier and monitored on an oscilloscope.The mea-\nsurements were performed for both ±xdirections of the\nmagnetic field. The SSE voltage changes its polarity by\nreversing the direction of magnetic field [7]; an absolute\naveragevalueof VSSEwasevaluatedtoeliminatethether-\nmal emf offset.\nIn Fig. 2(a), the temporal profile of the laser light in-\ntensity and VSSEfor different laser heating powers are\nplotted. TheSSE signalrisessharplyforthe first1 µsand\nthen gradually attains a saturation level Vmax\nSSE. With in-\ncreasing laser power, Vmax\nSSEincreases linearly (Fig. 2(b)).\nThis linear behavior indicates that the laser heating is in\nthe linear regime, and no nonlinear phenomena are in-\nvolved in this process. A comparison of the rising edges\nof the laser intensity and the SSE signal provides a clear\nsignature that the SSE has no direct correlation with the\nlaser intensity profile.\nTo understand the ongoing mechanism, we first ana-\nlyzed the rise times of VSSEfor different laserpowers. We\nfittedVSSEwith a saturating double exponential func-\ntion (1−Aexp(−t/t1)−Bexp(−t/t2)) which essentially\ncorrelates with the heat dynamics of a system owing\nto heat losses. In Fig. 2(c), the rise times, t1andt2,\nshow that, within the limits of experimental error, they\nare independent of the laser power. Average values of\nt1= 343ns and t2= 5.2µs were obtained by using data\nshown in Fig. 2(c). These rise times are much different\nfrom the rise time of the laser intensity (10%-90% rise\ntime≈200ns).\nOur first hunch to interpret these rise times was to\nstudy the temperature evolution in the YIG |Pt system.\nFortunately, the Pt strip grown over the YIG film can\nbe utilized as a perfect resistance-temperature-detector\nto measure the temperature at the surface of the YIG\n70 mW\n95 mW\n115 mW\n0 2 4 6 8020406080100/c68T(mK)\nTime (ms)\nFIG. 3. (Color online) The time profile of the variation of\ntemperature in Pt on heating with a 4ms long laser pulse\nwith various powers.3\nfilm. We performed the resistance measurements of the\nPt strip to calculate the variation of the temperature in\nthe YIG |Pt system by the laser heating. To do so, a\nconstant current Ic= 0.5mA was passed through the Pt\nstrip (room temperature resistance RPt≈508Ω), and\nthe potential drop (∆ VPt= ∆RPtIc) due to the heating\nof Pt was measured with the same experiment setup used\nfor theVSSEmeasurements. A much longer laser pulse of\n4ms was used to heat the Pt strip. Note that the ther-\nmalemf (few microvolts)hasnegligibleinfluence onthese\nmeasurements as the potential drop (∆ VPt) is very large\n(≈0.25V). With the help of auxiliary measurements\nof static resistance versus temperature performed on the\nsame Pt strip, ∆ VPtcan be expressed in terms of tem-\nperature ( T). In Fig. 3, the variation in the temperature\nof the Pt strip (∆ T) is plotted for different laser powers.\nA rise time of 2ms, obtained by fitting the data with a\nsingle saturating exponential function, is three orders of\nmagnitude longer than the rise time of the SSE signal.\nThe saturating exponential behavior of the temperature\nillustrates that the heat losses in the system dominantly\ncontrol the heat dynamics of Pt. Further, measurements\nevident that, likewise the SSE signal, the rise time of the\ntemperature is also independent of the laser power.\nClearly, from the measurement of the Pt resistance,\nit can be concluded that the temperature of the sys-\ntem has no direct correlation with the fast time-scale\nof the SSE. To dig out the cause attributing to the fast\nrising of the SSE, we propose a model where we con-\nsider the thermally-induced motion of magnons in a sys-\ntem of normal metal |magnetic material (e.g., Pt |YIG)\nsubject to a thermal gradient. In such a system, the\nspin current flowing in/out of the normal metal depends\nupon the temperature difference of the magnon- and the\nphonon baths at the interface [13, 14] and the magnon\naccumulation close to the interface in the magnetic ma-\nterial [18]. On the application of a temperature gradi-\nent, thermal magnonshaving higher population at hotter\nregions—in equilibrium their population is proportional\nto the phonon temperature—propagate towards colder\nregions with less magnon population. The propagation\nof magnons creates a magnon density gradient in the sys-\ntem along with the phonon thermal gradient. This im-\nplies that the spatial distribution of the magnon density\ndepends on the magnon population (phonon tempera-\nture) and their propagation lengths. Therefore, the spin\nSeebeck voltage can be considered as a combination of an\ninterface effect and a bulk contribution from the magnon\nmotions and, eventually, can be expressed as\nVSSE∝α(TN−TM)+β/integraldisplay\ny∇Tyexp(−y/L)dy,(1)\nwhereTNisthe phonontemperature (=electrontemper-\nature) in the normal metal, TMthe magnon temperature\nat the interface, ∇Tythe phonon thermal gradient per-TABLE I. Material parameters used for the numerical solu-\ntion of the phonon heat transport equations in the YIG |Pt\nsystem.\nmaterial density thermal conductivity heat capacity\n(kg/m3) (W/m K) (J/kg K)\nPt 21450a20b130a\nYIG 5170d6.0c570e\nGGG 7080c7.94c405c\naRef. [24]\nbRef. [25]\ncRef. [26]\ndRef. [27]\neRef. [28]\npendicular to the interface, and Lthe effective magnon\ndiffusion length. The parameter αdefines the coupling\nbetween the electron bath in the normal metal and the\nmagnon bath in the magnetic material. The coupling pa-\nrameterβspecifies the magnon-magnon coupling within\nthe magnetic material. The second term of Eq.(1) is an\nintegration along the phonon thermal gradient over the\nthickness of the magnetic material.\nIn order to determine the phonon thermal gradient\n∇Ty, we numerically solved the 2D phonon heat con-\nduction equation for the YIG |Pt bilayer using the COM-\nSOL Multiphysics simulation package [23]. In the simu-\nlation model, a 10nm thick and 10 µm wide Pt rectangu-\nlar block was placed on a 6.7 µm thick and 300 µm wide\nYIG film. The entire structure was mounted on a GGG\nsubstrate (50 µm×300µm). The simulation parameters\nare indicated in Table I. The YIG |Pt interfacial thermal\nresistance[19] wasimplemented in the simulations. How-\never, this consideration made no remarkable difference in\nthe outcome of the simulations. As boundary conditions,\nthe temperatures along the short edges of the YIG and\nGGG layers (see the inset in Fig. 4(b)) were kept fixed\nto 293.15K. These boundaries resembled the heat sink in\nthe experimental set-up. A 2 µm wide area at the middle\nof the Pt block was considered as a heat source which\nreplicated the laser heating in the experimental set-up.\nIn Fig. 4(a), the simulated temporal evolution of the\naverage temperature in Pt is shown. The temperature\ndynamics in Pt ( ≈3ms) was obtained as slow as it was\nobserved in the Pt-resistance-measurement experiment.\nFrom simulations, we find that a gradual increase in the\naverage temperature is due to the large- heat capacity\nand volume of the system. On the other hand, the ther-\nmal gradient close to interface shows fast dynamics. We\nevaluated the averagethermal gradient ∇Tavgalong lines\nparallel to interface for various distances dfrom the in-\nterface in the YIG film (see the inset in Fig. 4(b)). These\nparallel lines essentially represent the parallel planes ( xz)\nin the experimental geometry. In Fig 4(b), the average\nthermal gradient for different distances dfrom the in-4\nFIG. 4. (Color online) Numerically calculated time profile o f\n(a) the temperature in Pt and (b) thermal gradients, ∇Tavg,\nin YIG at d=0nm (interface), 50nm, 200nm, 500nm, 1 µm,\nand 2µm distances away form the YIG |Pt interface.The in-\nset shows the model geometry of the COMSOL simulations.\nThe thick vertical lines represent the constant temperatur e\nboundaries (293.15K).\nterface are shown. Contrary to the temperature in Pt,\nthe average thermal gradient rises very rapidly and sat-\nurates within microseconds. As d, i.e., the depth of the\nreference line (a plane in 3D model) from the interface,\nincreases, the rise time ofthe temperature gradientraises\ndue to the slow-down of the heat flow caused by a finite\nthermalconductivityandtheincreasingthermalcapacity\n(∝volume). Note that even after the first 10 µs, the heat\nwas not fully distributed up to the ends of the Pt block,\ntherefore the dimensions of the model were not affecting\nthe temporal profile of thermal gradients. Furthermore,\nthe simulations show that the lateral heat flow in the\nYIG film and the heat transport within the Pt strip have\nminor influences on the average thermal gradients.\nThe fast rise of the thermal gradient ( ≈50ns) at the\ninterface of the YIG |Pt bilayer ( d=0nm), shown in\nFig. 4(b), leads to a conclusion that the time-scale of\nthe SSE cannot be explained by examining only the time\nevolution of the thermal gradient at the interface. The\ntime scale of the SSE must be influenced by a rather\nslower process. On the basis of this argument, the first\nterm ofEq.(1), which is proportionalto the phonon ther-\nmal gradient at the interface [13, 19], can be considered\nstatic over the time-scale of our interest ( >50ns). Us-\ning the phonon thermal gradient data, obtained from the\nCOMSOL simulations, we calculated the integral term\nof Eq.1 for different magnon propagation lengths. TheFIG. 5. (Color online) Comparison of normalized spin See-\nbeck voltage VSSEmeasured experimentally with the numeri-\ncal calculations for differenteffectivemagnondiffusion len gths\nL=500nm, 1 µm, 2µm. The inset shows the switching time\n(≈0.25µm) of the laser intensity.\nintegral wascomputed from the interface up to the thick-\nness of the YIG film. In Fig. 5, the normalized value of\nthe experimentally and numerically calculated VSSEfor\nL=500nm, 700nm, and 1000nm are plotted as a func-\ntion of time. Clearly, our model replicates the experi-\nmentally observed time scales of the SSE. On comparing\nthe calculated VSSEwith the experimental results, we es-\ntimate the effective magnon diffusion length to be equal\nto≈700nm. Note that the very first slow increase in\nthe normalized VSSE(for time ≤0µs) is originated from\nthe switching time of the laser ( ≈0.25µm), shown in\nthe inset to Fig 5. The effective magnon diffusion length\nexhibits the depth inside YIG over which the thermal\ngradient is crucial for the SSE.\nOurmodel indicates that the temporal evolution ofthe\nSSE depends on the thermal gradient in the YIG. It is\nimportant to notice that the magnon diffusion times are\nneglected in our model because their group velocities are\nmuch higher than the group velocities of phonons. Fur-\nther, thermalmagnonsup toa depth ofafew hundredsof\nnanometerinYIGareeffectivelycontributingtotheSSE.\nThe typical effective magnon diffusion length of 700nm\nagrees with the recent theoretical calculations [29, 30].\nThese findings rule out the possibilities of the parasitic\ninterface effects involved in the SSE.\nIn conclusion, we have presented time-resolved mea-\nsurements of the spin Seebeck effect in YIG |Pt bilayers\nperformedbythelaserheatingexperiments. Ourfindings\nreveal that the rise time of the SSE is sub-microsecond\nfast, and the SSE signal attains its maximum within a\nfew microseconds though the temperature in the system\nestablISHEs in milliseconds. The time scale of the SSE is\nindependent of the strength of the heating source. From5\nour model of the magnon diffusion in thermal gradients,\nwe find that the SSE is governed by the diffusion of the\nthermal magnons from the interface toward the bulk.\nMoreover, the establishment of the thermal gradient in\nthe YIG film close to the interface determines the time-\nscalesofthe SSE. Ourmodel estimates atypical diffusion\nlength for thermal magnons to be around 700nm in the\nYIG|Pt system. Our results provide a very important\npiece of information about the time scales of the spin\nSeebeck effect that shed light on the underlying physics\nwhich might contribute to the development of future ap-\nplications of spin-caloritronics.\nThe authors thank F. Heussner for the computational\nsupport, V. Lauer for sample-fabrication support, and T.\nBr¨ acher, T. Meyer, and G. A. Melkov for valuable dis-\ncussions. We acknowledge financial support by Deutsche\nForschungsgemeinschaft (SE 1771/4-1) within Priority\nProgram 1538 “Spin Caloric Transport”, and the tech-\nnical support from the Nano Structuring Center, TU\nKaiserslautern.\n∗magrawal@physik.uni-kl.de\n[1]Spin Caloritronics , edited by G. E. W. Bauer, A. H.\nMacDonald, and S. Maekawa, special issue of Solid State\nCommun. 150, 459 (2010).\n[2] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature (London)\n455, 778 (2008).\n[3] K. Uchida, H. Adachi, T. An, T. Ota, M. Toda, B. Hille-\nbrands, S. Maekawa, and E. Saitoh, Nat. Mater. 10, 737\n(2011).\n[4] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom,\nJ. P. Heremans, and R. C. Myers, Nat. Mater. 9, 898\n(2010).\n[5] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom,\nR. C. Myers, and J. P. Heremans, Phys. Rev. Lett. 106,\n186601(2011).\n[6] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J.\nIeda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai, G.\nE. W. Bauer, S. Maekawa, and E. Saitoh, Nat. Mater. 9,\n894 (2010).\n[7] K. Uchida, H. Adachi, T. Ota, H. Nakayama, S.\nMaekawa, and E. Saitoh, Appl. Phys. Lett. 97,\n172505(2010).[8] K. Uchida, T. Nonaka, T. Ota, and E. Saitoh, Appl.\nPhys. Lett. 97, 262504 (2010).\n[9] H. Adachi, K. Uchida, E. Saitoh, J. Ohe, S. Takahashi,\nand S. Maekawa, Appl. Phys. Lett. 97, 252506 (2010).\n[10] G. E. W. Bauer, E. Saitoh, and B. J. van Wees, Nat.\nMater.11, 391 (2012).\n[11] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl.\nPhys. Lett. 88, 182509 (2006).\n[12] A. Kirihara, K.Uchida, Y. Kajiwara, M. Ishida, Y.Naka-\nmura, T. Manako, E. Saitoh, and S. Yorozu, Nat. Mater.\n11, 686 (2012).\n[13] J. Xiao, G. E. W. Bauer, K. C. Uchida, E. Saitoh, and\nS. Maekawa, Phys. Rev. B 81, 214418 (2010).\n[14] J. I. Ohe, H. Adachi, S. Takahashi, and S. Maekawa,\nPhys. Rev. B 83, 115118 (2011).\n[15] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. D.\nKarenowska, G. A. Melkov, and B. Hillebrands, Phys.\nRev. Lett accepted.\n[16] S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang,\nJ. Wu, T. Y. Chen, J. Q. Xiao, and C. L. Chien, Phys.\nRev. Lett. 109, 107204 (2012).\n[17] S.Geprags, S.Meyer, S.Altmannshofer, M.Opel, F.Wil-\nhelm, A. Rogalev, R. Gross, and S. T. B. Goennenwein,\nAppl. Phy. Lett. 101, 262407 (2012).\n[18] A. Kehlberger, R. R¨ oser, G. Jakob, and M. Kl¨ aui,\narxiv.org/abs/1306.0784 .\n[19] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein, Phys. Rev.\nBaccepted.\n[20] M. B. Jungfleisch, V. Lauer, R. Neb, A. V. Chumak, and\nB. Hillebrands, Appl. Phys. Lett. 103, 022411 (2013).\n[21] M.Walter et al., Nature Mater. 10, 742 (2011).\n[22] A. Boehnke, M. Walter, N. Roschewsky, T. Eggebrecht,\nV. Drewello, K. Rott, M. M¨ unzenberg, A. Thomas, and\nG. Reiss, Rev. Sci. Instrum. 84, 063905 (2013).\n[23] COMSOL Multiphysics with Heat Transfer Module.\n[24] D. Lide. CRC Handbook of Chemistry and Physics, 89th\nEdition(Taylor & Francis, 2008).\n[25] Q. G. Zhang, B. Y. Cao, X. Zhang, M. Fujii, and K.\nTakahashi, J. Phys.: Condens. Matter 187937 (2006).\n[26] A. M. Hofmeister Phys. Chem. Miner. 3345 (2006).\n[27] A. E. Clark and R. E. Stranka, J. Appl. Phys. 321172\n(1961).\n[28] M. Guillot, F. Tch´ eou, A. Marchand, P. Feldmann, and\nR. Lagnier, Z. Phys. B 4453 (1981).\n[29] A. A. Kovalev and Y. Tserkovnyak, EPL 9767002\n(2012).\n[30] S. Hoffman, K. Sato, and Y. Tserkovnyak, Phys. Rev. B\n88, 064408 (2013)" }, { "title": "1811.12511v1.High_Saturation_Magnetization__Low_Coercivity_and_Fine_YIG_Nanoparticles_Prepared_by_Modifying_Co_Precipitation_Method.pdf", "content": "1 \n High Saturation Magnetization, Low Coercivity and Fine YIG Nanoparticles Prepared by \nModif ying Co-Precipitation Method \nS. Hosseinzadeha, M. Behboudnia *,a, L. Jamilpanahb, M.H. Sheikhic, E. Mohajeranid, \nK. Tiane, A. Tiwarie, P. Elahif, S. M . Mohseni *,b \naDepartment of Physics, Urmia University of Technology, Urmia, Iran \nbFaculty of Physics, Shahid Beheshti University, Evin, Tehran, 19839, Iran \ncDepartment of Communications and Electronics, School of Electrical and Computer \nEngineering, Shiraz, Iran \ndLaser an d Plasma Research Institute, Shahid Beheshti University, Evin, Tehran, 19839, Iran \neDepartment of Materials Science and Engineering, University of Utah, Salt Lake City , UT \n84112, USA \nfDepartment of Mechanical Engineering, University of Utah, Salt Lake City , UT, 84112, USA \n \n \n \n \n \n \n \n \n \n 2 \n *Corresponding author. E -mail Address: m-mohseni@sbu.ac.ir , majidmohseni@gmail.com (Seyed Majid Mohseni) . \nmbehboudnia@gmail.com (Mahdi Behboudnia) \nAbstract \nNanoparticles with their specific properties newly have drawn a great deal of attention of \nresearchers [1-3]Yttrium iron Garnet magnetic nanoparticles (YIG-NPs) are promising materials \nwith novel applications in microwave, spintronics, magnonics, and magneto -optic al devices . \nHowever, achieving stable and remarkable magnetic YIG-NPs has been remaining as a great \nchallenge. In this paper, synthesized YIG-NPs by modifying co -precipitation (MCP) method is \nreported. Structur al and magnetic properties of final products are compared to those of the \nmaterials prepared by citrate -nitrate (CN) method . Smaller crystals and particle size have been \nfound by MCP method co mparing to that of synthesized by C N method. Using a relatively low \nannealing temperature s for both sets of samples (~700 °C) , the final YIG samples prepared by \nMCP method show more structural purity than those made by CN method . Higher saturation \nmagnetiz ation (Ms) and lowe r coercivity (Hc) are observed in MCP YIG sample (23.23 emu/g \nand 30.1 Oe) than the CN prepared YIG sample ( 16.43 emu/g and 44.95 Oe) . The Curie \ntemperature is measured to be 569 °C for the MCP YIG sample determined from set of Ms \nmeasur ement at different temperatures ranging from 80 -600 K. These findings lead to significant \nimprov ement in quality of synthesized ( synthe tic methods ) of YIG -NPs. \nKeywords: YIG; Co-precipitation ; Citrate -nitrate \n \n \n \n \n \n 3 \n \n \n1. Introduction \nNanoparticles with the ir specific properties newly have drawn great deal of attention of \nresearchers Yittrium iron Garnet (YIG) with chemical composition Y3Fe5O12 has been \nabundantly applied in magneto -optical and microwave devices, such as optical insulator, \ncirculators, oscil lators and phase shifter s [4-6] owing to its narrow linewidth in magnetic \nresonance, high electrical resistivity, controllable saturation magnetization and large magneto -\noptical F araday rotation [7, 8] . Physical response s of YIG specimen are strongly dependent on \ntheir microstructur e. Moreover, thanks to rapid development in nanotechnology, YIG magnetic \nnanoparticles ( YIG-NPs) have also been investigated variously based on their nano structure \nproperties [9-13]. Generally , YIG particles can be synthesized using various methods such as co-\nprecipitation, solid -state procedure, auto -combustion and sol –gel method s [14-18]. These \nmethods require high calcination temperature, which decrease s monodispersity and also \nincrease s particle size. Moreover, t he requirement of high heat treatments to prevent reaching \nany intermediate phases is the distinc t disadvantage of these methods. Among above mentioned \nmethods, sol-gel and co -precipitation methods , under some controlled synthesis conditions, have \nsuccessfully been used for synthesis of nanoparticles. In each method, different parameters like \npH, reac tion time and temperature and concentration of the materials and solution play a \nsignificant role to achieve intended nanoparticles with desired size, shape , and structure [19]. \nSynthesis of YIG powder via citrate -nitrate (CN) technique , categorized in sol -gel methods, has \ndrawn attention s due to significant advantages such as good mixing texture of precursors , \nexcellent chemical homogeneity of the final products and lower synthesi s temperatures \ncompared to other methods [20, 21] . As a comprehensive study , Nguyet et al. [22] synthesized 4 \n YIG magnetic NP s by CN at pH=10 followed by annealing at 800 ° C for 2h and reported \nmagnetic field and temperature dependenc ies of magnetization of YIG powder with particle sizes \nranging from 45 -450 nm. \nHowever, the most prevalent method for synthesizing magnetic NPs is the chemical co -\nprecipitation technique [23-25]. There are several reports on synthesis of YIG magnetic NP s \nusing chemical co -precipitation technique due t o its main characteristic features such as \nsimpl icity and controllab ility of the process , low cost , high turnout [26, 27] . It appear s likely that \nthe synthesis of fine YIG-NPs at low processing temperature s still has plenty of room to del ve in . \nGenerally, NPs of relatively narrow size distributions can be synthesized by co -precipitation \ntechnique provided that a short nucleation takes place and be followed by a slower subsequent \nphase growth. The type of introduced salts, ratio of ions, te mperature of the solution , pH, stirring \nspeed and solvents type are the main parameters that must be precisely tuned to yield NPs of \ndesired size with relatively narrow distribution . The purpose of gaining low particle size with \nhigh Ms and narrow size dis tribution has been the topic of many efforts done by authors. \nRajendran et al. [28] reported YIG particles with average sizes of 9, 14, 25 nm which were \nsynthesized by co -precipitation followed by modi fying the product by chemical treatment. The \nsample with average particle size of 25 nm show ed saturation magnetization (Ms) of 20.6 emu/ g \nand no room temperature magnetic moment was reported for the particles having sizes of 9 and \n14 nm. Godoi et al. [29, 30] carried out the synthesis of Y IG powder by co -precipitation . He and \nhis colleague obtained single phase YIG after annealing the precipitat ions at 1100 °C and the \npowder particles were of an average size of about 500 nm. Ristic et al. [31] obtained mainly YIG \nphase but with a small amount of YFeO 3 after annealing at 1200 °C and an ammonia solution in \nto the aqueous solution of Y- and Fe - nitrates up to pH~10.4. In a study by Rashad et al. [32], the 5 \n single phase YIG powder was obtained only after annealing the precipitations at 1200 °C via a \nNaOH solution route. Therefore, getting high saturation magnetization with small size parti cles \nand also phase purity of YIG at low temperature synthesis are the broken down purpose s for \nresearchers. \nIn present paper, we report on a low -temperature (700 °C) synthesis of small YIG magnetic NP s \nvia a modifying co-precipitation (MCP) method using D MF as a primary solvent before and \nduring precipitation . We then dissolv ed precipitation in the solution of citric acid which after \nwashing the precipitates, act as fuel during annealing process. These two modifying approaches \nlead to formation of s mall si ngle phase YIG magnetic NP s. We obtained small NPs (~17 nm) \nwith narrow er size distribution , high er saturation magnetization (23.23 emu/g ) and low er \ncoercivity (30.1 Oe) in comparison with others works . We have also synthesized YIG -NPs by the \nCN method in pH=1 at the same temperature used for our MCP method and comparing these two \nmethod s for final microstructure, size and magnetic properties . Our finding s open pathways \ntowards fine production of YIG magnetic NP s with controlled characteristics for distinct ive \napplications. \n2. Experimental \n2.1 Materials \nFerric nitrate (Fe(NO 3)3·9H 2O), yttrium nitrate (Y(NO 3)3·6H 2O), citric acid, ethylene glycol, \ndimethylformamide (DMF) with 9.98% purity were purchased from Merck . \n2.2 Preparation of the Samples \nTwo sets of YIG-NPs were prepared by CN and MCP methods . In CN synthesis, the solution \nwas prepared by dissolving the Y and Fe nitrates in a stoichiometric ratio of Y: Fe = 3:5 in a de -6 \n ionized water and a solution of citric acid was added to pH=1. The solution was hea ted at 80 °C \nand a gel was obtain ed after 2 hrs . This gel was dried at 110 °C and then heat treated for 36 h in \nambient air at the temperature of 700 °C with a heating rate of 10 °C/min. The color of \nsynthesized powder was brownish -green before heat treatm ent and turns chartreuse (green -\nyellow) after heat treatment . For synthesis of YIG-NPs by MCP , yttrium nitrate Y(NO 3)3· 6H 2O \nand iron nitrate Fe(NO 3)3· 9H 2O in 3:5 mol ar ratios were dissolved in DMF to form metal -\norganic solution. 4 -5 drop s of ethylene gly col were added as a complexing agent or as a \npolymerization agent [33, 34] . The solution was continuously stirred using a magnetic stirring \nbar and stirring speed of 4000 rpm . The mixed hydroxide 3Y(OH) 3 + 5Fe(OH) 3 was co -\nprecipitated from aqueous solutions up to pH ~ 10.5 by ammonium hydroxide and 25%-\nammoni a aqueous solution was used as precipitant. The precipitate was stirred for 30 min, \ncentrifuged and then wash ed with deionized water ethanol. The precipitate was mixed with 2.5 g \ncitric acid and 5.5 mL DI water to reach the pH=2 and continuously stirred at 60 °C to obtain \nsolid precursor and finally heated to 700 °C for 2 h rs. The color of synthesized powder was \nolive. \n2.3 Characterization of the s amples \nThermal behavior of YIG precursors was determined by thermogravimetric analysis (TG) (model \nmettle Toled o C1600 analyzer ) from ambient temperature to 850 °C in an air atmosphere with a \nheating rate of 10 °C/min. The crystalline structure of samples was characterized using X -ray \ndiffractometer (STOE -STADI) with Cu Kα (λ = 0.154 nm) radiation . For transmission electron \nmicroscopy (TEM) using (LEO 906, Zeiss, 100KV, Germany) and a high-resolution JEOL \n2800S/TEM system was used for performing transmission electron microscopy ( HR-TEM) . The \nsample was prepared as follows: a few prepared YIG -NPs were dispersed in absolute ethanol 7 \n ultra-sonication for 10 min and was dropped over carbon -coated copper grid and dried at room \ntemperature . Room temperature magnetization measurement were done with vibrating sample \nmagnetometer (VSM, Meghnatis Daghigh Kavir Co.) and t empera ture dependent magnetization \nmeasurements were done using a Microsense FCM -10 vibrating sample magnetometer (VSM) , \nThe volume -average diameter and size distribution of YIG magnetic NPs was measured by DLS \n(Shimadzu UV -1800 spectrophotometer). For DLS measu rement, the YIG -NPs dispersed in \nabsolute ethanol as a suitable solvent with appropriate dispersing agents and sonicate it for 10 \nmin. \n3. Results and discussion \n3.1. X-Ray Diffraction (XRD) Study \nFigure 1 shows XRD pattern of YIG for CN and MCP samples annealed at 700 ° C at the room \ntemperature. Prepared samples from CN contain YIG phase , along with peaks that can be \nattributed to maghemite ( ɣ-Fe2O3), hematite (α -Fe2O3) and orthoferrite (YFeO 3). These residual \nphases may be formed due to insufficient sintering time or temperature . The proposed \ncrystallization process can be described by following reactions [35, 36] : \nY2O3+Fe2O3 2YFeO 3 lower temperature \n3YFeO 3+Fe 2O3 Y3Fe5O12 higher temperature \nPrepared s ample by MCP method (annealed at 00 C) completely contain s YIG phase with very \nnegligible contribution of intermediate phases. Therefore, crystallization occur s at lower \ntemperature which can be happened because of using DMF as the solvent instead of water of \nprecur sors before precipitation process take s place . DMF as a polar solvent he lps the diffusion \nand increase s effective contact of the reactant molecules compared with water, which could well 8 \n disperse the ions and surround each ion during the precipitation proce ss [37]. DMF as an organic \nsolvent and citric acid as a complexing agent, help s to bring the yttrium and iron cations closer to \neach other. If the cations are close enough to each other, crystallization of oxide phase requires a \nmuch lower amount of energy in the system which will assist the formation of crystalline \nstructure in lower temperature . Using Miller indices, the unit cell parameter was determined to \nbe a 0= 12.404 Å which is larger than the bulk value of a 0 = 12.3774 Å (JCPDS 33 -693). \nSimilarly, an increase in a 0 is observed for, a 0= 12.416 Å. Crystal size was calculated by fitting \nof all the peaks and taking average of all the sizes obtained by Scherrer’s equation. The average \ncrystal size obtai ned from CN and MCP methods are 23 nm and 17 nm, respectively. \n \n20 30 40 50 60 70 80* *\n@700 C\n*Intensity (a.u. )\n2 (degree) MCP method\n CN method\n400\n420\n422\n521\n611\n444\n640\n642\n800\n840\n842\n664\n* 700 C YFeO3\n-Fe2O3\n@ -Fe2O3\n#\n \n \n Figure 1: XRD pattern of (a) CN YIG-NPs and (b) MCP YIG-NPs at room temperature 9 \n 3.2. Thermo gravimetric Analysis (TGA) \nAs observed in XRD data, the sample prepared by MCP method is more crystalline and \nsimultaneously has a lower crystal dimension s in comparison with the one prepared by CN \nmethod. In order to inve stigate the decomposition performance under air atmosphere , TGA \ntechnique is carried out for MCP prepared YIG sample . Figure 2 shows TGA curve of this \nsample and the steps of decomposition process in MCP method are shown . The TG A curve \nshow ed an overall we ight loss of 5 8.6%. The weight loss of <10 % in the temperature range of \n100-190 °C is due to evaporation of residual water molecules from the gels. The second weight \nloss which is about 63% of the overall weight loss occurs in the temperature range of 190 -500 °C \nand can be considered as decomposition of remaining organics or oxidation of residual carbons . \nThe weight loss in the temperature range of 500 -690 °C is associated with crystallization of \nYFeO 3 and the last weigh loss occurs at the temperature range of 690-760 °C which correspond s \nto formation and crystallization of YIG. It is granted that the starting temperature of \ndecomposition is 190 °C and YIG formation complete s between 690 to 760 °C. We noticed that \nthe crystallization temperature is consider ably decreased when organic acids are used. These \nmolecules contain carbon which can act as fuel in the annealing process [16]. This means that \nhigher local temperature assists the c rystallization of compound. We use d minimum amount of \ncitric acid at 700 °C in MCP method to get smallest particle size . On the other hand, because of \nlow annealing temperature we chose pH of 1 for the prepar ed sample by CN method among pH \nof 1, 2 and 3 re ported in literatures in order to obtain complete phases of YIG since it is reported \nthat by reducing pH, annealing temperature will decrease as well . The details of CN method and \nrelated TG curves can be found in referenced literature [14, 38] . 10 \n \n0 100 200 300 400 500 600 700 80041.4%55.2%69.0%82.8%96.6%Mass% \nTemperature (C)\n Mass changes:-67% -1%\n-10%\n-13% \nFigure 2: TGA curve of YIG particles prepared by MCP method . \n3.3. Morphology and size of particles \nFigure 3 shows TEM images of YIG-NPs prepared by CN and MCP methods . High reso lution \nTEM (HRTEM ) and SAED pattern for MCP YIG sample is presented in the figure 3a \ncorresponds to YIG-NPs synthesized by CN method. It can be seen that particles are aggregated \nand exhibit irregular shapes without shaped borders . Figure 3b c onsequently determine s that the \nsize and line shape distribution of the particles. Because of the observed aggregation in TEM \nimages of this sample the DLS results show a much larger size for it . Agglomeration of NPs in \nthe absence of surfactants is common [39]. When we disperse magnetic nanoparticles in solution \n(ethanol) for DLS measurement, the magnetic inte raction among the NPs larger than 20 nm in \ndiameter is large enough to dominate the Brownian force among them while the smaller NPs \nremain stable in the solvent [1, 40] . Thus, considering the tendency of agglomeration of YIG NPs \nprepared by CN (their size are bigger than 20nm) in spherical aggregates the size was obtained \nby DLS is di fferent by the size obtained by TEM and XRD. Figure 3c illustrates TEM 11 \n photograph of YIG-NPs synthesized by MCP method showing moderate clustering of particles \nand we can observe some small aggregat ions, which are composed of primary particles with the \nsize distribution of 10-20 nm, matches the result of DLS measurement . Figure 3d describes in \naccordance with the calculated value s for crystal size by the S cherrer’s equation , the mean \ndiameter of YIG -NPs prepared by MCP is 17 nm, which agrees with the values calculated from \nXRD . The YIG magnetic NPs prepared by MCP are nearly single crystal and the TEM analysis \nis quite consistent with the size distribution analys es mentioned above . The inset of Figure 3d \nshows the SAED pattern of YIG magnetic NPs synthesize d by MCP , and annealed at 700 °C \nwhich contains single crystals. The bright spots are indexes to the (642), ( 422), (444), (644), \n(420), and (842) of the YIG -NPs. Figure 3e shows the lattice fringe image. The fringe spacing is \nmeasured to be 0.31 nm, 0.22 nm and 0.25 nm which correspond to the (400), (521) and (422) \ncrystallographic plane of YIG and we show only t he 0.22 nm space in the figure . \n(a)\n(c)\n (642)\n(422)\n(444)\n(644)(420)\n(842)\n5 nm(e)\n50 nm50 nm\n 12 \n Figure 3: a) TEM image , b) size distribution from DLS of YIG -NPs synthesized by CN , c) TEM \nimage , d) size distribution from DLS of YIG -NPs synthesized by MCP method , e) HRTEM of \nYIG-NPs synthesized by MCP method . \n3.4. Magnetic Hysteresis Loops \nIn order to see the effect of synthesis method on the magnetic properties of YIG magnetic NPs, \nthe magnetic response of the samples in the magnetic field was analyzed and evaluated by VSM \nat different temperat ures. Figure 4 shows the hysteresis loops recorded at room temperature for \nboth samples. The Ms of CN and MCP prepared samples are 16.43 and 23.23 emu/g, \nrespectively . It is shown that YIG particles prepared by CN method have a lower value of Ms \nthan those prepared by MCP method , which is more than the Ms reported in literature s [26, 28] . \nThe lower measured value of magnetic saturation in CN method originated from existence of \nintermediate phases such as α/ ɣ-Fe2O3 which is a weak ferromagnetic compound and Y FeO 3 \nwhich is antiferromagnetic compound [41, 42] . The presence of mentioned intermediate phases \nis owing to the insufficient annealing temperature which ex acerbate s YIG transition in CN \nmethod. Moreover , magnetic NPs synthesized by CN method have larger H c and Mr than MCP \nprepared NPs (inset of Figure 4) . We attribute this phenomenon to the existence of secondary \nphase s and incre ment of magnetically disorder ed in structures [22, 43] . The data of H c, Ms and \nMr for both sets of samples can be seen in Table 1. 13 \n \n-10 -8 -6 -4 -2 0 2 4 6 810-200 -100 0100 200-10-50510\n Magnetization (emu/g)\nH (kOe) MCP method\n CN method\n-30-20-100102030\n Magnetization (emu/g)\nApplied Field (Oe) \nFigure 4: Magnetic hysteresis loops of YIG particles prepared by CN and MCP method s. \n \nTable 1 : Particle sizes obtained from XRD, TEM and DLS and M s, Hc and M r observed from \nVSM measurements. \n \nTo further study the magnetic properties of the YIG-NPs prepared via MCP method we \nmeasured temperature dependen t Ms. The magnetization loops M (H) in the temperature s ranging \nfrom 80 to 600 K were measured using VSM. The loops at 80, 100, 150, 200, 250 and 300 K are \npresented in Figure 5 a and those ranging from 350 to 600K are presented in Figure 5b. A Sample Crystal size \nfrom XRD \n(nm) Particle size \nfrom TEM \n(nm) Particle \nsize from \nDLS (nm) Ms(emu/g) Hc (Oe) Mr (emu/g) \nCN 23 > 20 nm 100-389 16.43 44.95 5.49 \nMCP 17 ~20 6-26 23.23 30.1 4.52 14 \n common feature of th e loops at different temperatures is the magnetization approaches to \nsaturation above 2000 Oe. At 80 the Ms is 4.3 μB/f.u., being 86% of theoretical value of YIG \nbulk which is 5 μB/f.u [44]. Based on the relation \n3 exp]2//)2/[( Dt D M Mbulk\nserimental\ns for \ncore-shell morphology where D is the particle diameter and t the surface layer thickness, a value \nof t= 0.4 nm is obtained according to mean particle size of 17 nm. At the inset of Figure 5 a, it \ncan be seen that the coercivity decreas es as the temperature decreases. Also in the inset of the \nFigure 6 there is a phase change at temperatures higher than 500 K. At higher temperatures the \ncoercivity vanishes which indicates a super paramagnetic behavior of the sample. \n-20 -15 -10 -5 0 510 15 20-40-30-20-10010203040\n0.0 magnetization (emu/g)\nH (kOe) 80 K\n 100 K\n 150 K\n 200 K\n 250K\n 300 K\n \n magnetization (emu/g) H (kOe)(a) (b)\n-20 -15 -10 -5 0 5 10 15 20-20-1001020\n0\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n\n 555 K\n 560 K\n 600 KMagnetization (emu/g)\nH (kOe) 300 K\n 350 K\n 400 K\n 450 K\n 500 K\n 550 K\n \nMagnetization (emu/g)\nH (Oe)\n \nFigure 5: a) Hysteresis loops measured at 80, 100, 1 50, 200, 250 and 300 K for the MCP YIG-\nNPs. The inset shows the mag nified region around the origin and b) Magnetization loops \nmeasured at temperatures between 350 and 560 K for the MCP YIG-NPs. The inset shows the \nmagnified region around the origin. \nThe temperature dependence of the saturation magnetization for MCP YIG sample is exhibited \nin Figure 6. The experimental data was fitted using Bloch’s equatio n: 15 \n \n])(1)[0(\n0b\nTTTM M \nWhere , M 0 is the saturation magnetization at 0 K, T 0 is the temperature at which the Ms reduces \nto zero (Curie temperature, T C) and t he exponent b is the known Bloch’s exponent . The fitted \nmagnetic parameters are shown in the Figure 6. From this fit the Curie temperature of MCP \nYIG-NPs is found to be 569 K, not far fro m previous achievements (560 K) [1,2] . The Bloch \nexponent obtained to be 2.45 from the fit ting process . In our samples, it is observed from the \nfitting parameters that the modified exponent ( b) is larger than the exponent for bulk materials \n(3/2) . For NPs, it is expected that as the s ize of the particle increases, b approach es to that of the \nbulk materials. The deviation from the original Bloch’s law could be attributed to the surface \neffects and increment of the disordered states [6, 7] . In the case of our sample the particle size is \nsmall (17 nm) and this effect is expected to occur. \n100 200 300 400 500 600051015202530\nT(K)Ms at 5000 G (emu/g)M(0)= 26.26 (emu/gr)\nb= 2.45 Experimental data\n Bloch's Law Fit \nCurie Temperature= 569 C\n \nFigure 6: Saturation magnetization, Ms versus tempe rature, T for M CP sample. Solid line shows \nfitting with Bloch’s equation. 16 \n Coercivity of the MCP sample at different temperatures is plotted in Figure 8. At approximately \n033 K sample losses its coercivity. It is known from theoretical model that, for a given particle \nsize, Hc decreases with increasing temperature following the Kneller’s formula [22, 45] . In \nKneller’s formula Hc decrease s by increasing temperature proportional to \nT while it can be \nseen in Figure 8 that the reduction of H c is nearly linear with T. Different particle sizes and \ndifferent anisotropy alignments of particles can cause deviations from Kneller’s formula but yet \nwe see the procedure of reductive beh avior in the figure. \n100 200 300 400 500 600020406080Hc (Oe)\nT (K)\n \nFigure 7: Coercivity, H c versus temperature, T for MCP sample. Red line is a guide to eye and \ndots are experimental data \nConclusion \nMCP method yielded to finer YIG -NPs with higher s aturation magnetization and lower \ncoercivity . Minimum amount of citric acid acts as a complexing agent and also as fuel in 17 \n annealing process in MCP method . Furthermore, citric acid take s a prominent role in decreasing \ncrystallization temperature beside usi ng DMF as polar solvent which both in their turns result in \nfiner particles with relatively high saturation magnetization compared with the chemical CN \nmethod. YIG MCP particles show saturation magnetization of 23.23 emu/gr and the Curie \ntemperature of 569 °C. The variation of saturation magnetization with temperature follows a T2.4 \npower -law which is attributed to the small size of prepared YIG-NPs. Our findings can be used \nin synthesizing and achieving desired size and characteristics of YIG magnetic NPs. \n \nAcknowledgments \nS.M. Mohseni acknowledges support from Iran Scie nce Elites Federation (ISEF), Iran \nNanotechnology Initiative Council (INIC) and Iran’s National Elites Foundation (INEF) . A.T. \nwants to thanks US NSF for support through grant No. 1407650 . \n \nReferences \n1. Khezri, S.H., A. Yazdani, and R. Khordad, Pure iron nanoparticles prepared by electric arc \ndischarge method in ethylene glycol. The European Physical Journal -Applied Physics, 2012. 59(3). \n2. Khezri, S.H., et al., Prepar ation of Pure Cobalt Nanoparticles by Electric Arc Discharge Method in \nEthylene Glycol. Modern Physics Letters B, 2013. 27(09): p. 1350057. \n3. Lu, A.H., E.e.L. Salabas, and F. Schüth, Magnetic nanoparticles: synthesis, protection, \nfunctionalization, and ap plication. Angewandte Chemie International Edition, 2007. 46(8): p. \n1222 -1244. \n4. Kajiwara, Y., et al., Transmission of electrical signals by spin -wave interconversion in a magnetic \ninsulator. Nature, 2010. 464(7286): p. 262. \n5. Uchida, K., et al., Spin Se ebeck insulator. Nat Mater, 2010. 9(11): p. 894 -897. \n6. Uchida, K. -i., et al., Observation of longitudinal spin -Seebeck effect in magnetic insulators. \nApplied Physics Letters, 2010. 97(17): p. 172505. \n7. Adam, J.D., et al., Ferrite devices and materials. IEEE Transactions on Microwave Theory and \nTechniques, 2002. 50(3): p. 721 -737. \n8. Stancil, D. and A. Prabhakar, Spin Waves: Theory and Applications, 2009 . Springer, New York. \n9. Giri, J., et al., Investigation on Tc tuned nano particles of magnetic oxides f or hyperthermia \napplications. Bio-medical materials and engineering, 2003. 13(4): p. 387 -399. 18 \n 10. Grasset, F., et al., Synthesis, magnetic properties, surface modification and cytotoxicity \nevaluation of Y 3 Fe 5− x Al x O 12 (0 ⩽ x⩽ 2) garnet submicron particles for biomedical \napplications. Journal of magnetism and magnetic materials, 2001. 234(3): p. 409 -418. \n11. Serga, A. , A. Chumak, and B. Hillebrands, YIG magnonics. Journal of Physics D: Applied Physics, \n2010. 43(26): p. 264002. \n12. Bourhill, J., et al., Ultrahigh cooperativity interactions between magnons and resonant photons \nin a YIG sphere. Physical Review B, 2016. 93(14): p. 144420. \n13. Aldosary, M., et al., Platinum/yttrium iron garnet inverted structures for spin current transport. \nApplied Physics Letters, 2016. 108(24): p. 242401. \n14. Vaqueiro, P., M. Crosnier -Lopez, and M. Lopez -Quintela, Synthesis and characteriz ation of \nyttrium iron garnet nanoparticles. Journal of solid state chemistry, 1996. 126(2): p. 161 -168. \n15. Vaqueiro, P., M.A. López -Quintela, and J. Rivas, Synthesis of yttrium iron garnet nanoparticlesvia \ncoprecipitation in microemulsion. Journal of Mate rials Chemistry, 1997. 7(3): p. 501 -504. \n16. Vajargah, S.H., H.M. Hosseini, and Z. Nemati, Preparation and characterization of yttrium iron \ngarnet (YIG) nanocrystalline powders by auto -combustion of nitrate -citrate gel. Journal of Alloys \nand Compounds, 2007. 430(1): p. 339 -343. \n17. Vajargah, S.H., H.M. Hosseini, and Z. Nemati, Synthesis of nanocrystalline yttrium iron garnets by \nsol–gel combustion process: The influence of pH of precursor solution. Materials Science and \nEngineering: B, 2006. 129(1): p. 211 -215. \n18. Akhtar, M.N., et al., Impacts of Gd –Ce on the structural, morphological and magnetic properties \nof garnet nanocrystalline ferrites synthesized via sol –gel route. Journal of Alloys and \nCompounds, 2016. 660: p. 486 -495. \n19. Rajaeiyan, A. and M. Bagheri -Mohagheghi, Comparison of sol -gel and co -precipitation methods \non the structural properties and phase transformation of γ and α -Al2O3 nanoparticles. Advances \nin Manufacturing, 2013. 1(2): p. 176 -182. \n20. Vaqueiro, P. and M. Lop ez-Quintela, Influence of complexing agents and pH on yttrium -iron \ngarnet synthesized by the sol -gel method. Chemistry of Materials, 1997. 9(12): p. 2836 -2841. \n21. Niyaifar, M., et al., Effect of indium addition on the structure and magnetic properties of YIG. \nJournal of Magnetism and Magnetic Materials, 2010. 322(7): p. 777 -779. \n22. Nguyet, D.T.T., et al., Temperature -dependent magnetic properties of yttrium iron garnet \nnanoparticles prepared by citrate sol –gel. Journal of Alloys and Compounds, 2012. 541: p. 18 -22. \n23. Martínez -Mera, I., et al., Synthesis of magnetite (Fe 3 O 4) nanoparticles without surfactants at \nroom temperature. Materials Letters, 2007. 61(23): p. 4447 -4451. \n24. Morrison, S.A., et al., Atomic engineering of mixed ferrite and core –shell nanoparticles. Journal \nof nanoscience and nanotechnology, 2005. 5(9): p. 1323 -1344. \n25. van den Hoop, M. and J. Benegas, Colloids and surfaces a: physicochemical and engineering \naspects. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 200 0. 170(2-3): p. \n151-160. \n26. Kim, T. and M. Shima, Reduced magnetization in magnetic oxide nanoparticles. Journal of \napplied physics, 2007. 101(9): p. 09M516. \n27. Zhang, W., et al., Low-temperature synthesis and microstructure -property study of single -phas e \nyttrium iron garnet (YIG) nanocrystals via a rapid chemical coprecipitation. Materials Chemistry \nand Physics, 2011. 125(3): p. 646 -651. \n28. Rajendran, M., et al., Size-dependent magnetic properties of nanocrystalline yttrium iron garnet \npowders. Journal of Magnetism and Magnetic Materials, 2006. 301(1): p. 212 -219. \n29. Godoi, R.H., et al., Nanometric particles of yttrium ferrite. Química Nova, 1999. 22(6): p. 783 -\n786. 19 \n 30. Jafelicci, M. and R. Godoi, Preparation and characterization of spherical yttrium ir on garnet via \ncoprecipitation. Journal of magnetism and magnetic materials, 2001. 226: p. 1421 -1423. \n31. Ristić, M., et al., Influence of synthesis procedure on the YIG formation. Materials letters, 2003. \n57(16): p. 2584 -2590. \n32. Rashad, M., et al., Effect of synthesis conditions on the preparation of YIG powders via co -\nprecipitation method. Journal of M agnetism and Magnetic Materials, 2009. 321(22): p. 3752 -\n3757. \n33. Leite, E., A. Maciel, and I. Weber, PNL Filho, E. Longo, COP Santos, AVC Andrae, CA Pakoscimas, \nY. Manietle, WH Schreiner. Adv. Mater, 2002. 14: p. 5. \n34. Matsumoto, K., et al., Preparation of bismuth‐substituted yttrium iron garnet powders by the \ncitrate gel process. Journal of Applied Physics, 1991. 69(8): p. 5918 -5920. \n35. Lee, H., et al., Magnetic and FTIR studies of Bi x Y 3− x Fe 5 O 12 (x= 0, 1, 2) powders prepared by \nthe metal organic decomposition method. Journal of Alloys and Compounds, 2011. 509(39): p. \n9434 -9440. \n36. Lee, H., et al., Preparation of bismuth substituted yttrium iron garnet powder and thin film by the \nmetal -organic decomposition method. Journal of Crystal Growth, 2011 . 329(1): p. 27 -32. \n37. Derang Cao, H.L., et al., High saturation magnetization of γ -Fe2O3 nano -particles by a facile one -\nstep synthesis approach. Scientific reports, 2016. 6. \n38. Galstyan, O., et al., Magneto -optical visualization by Bi: YIG thin films prepared at low \ntemperatures. Journal of Applied Phy sics, 2015. 117(16): p. 163914. \n39. Khezri, S.H., A. Yazdani, and R. Khordad, Effect of characteristics of media on cobalt and iron \nnanoparticles prepared by arc discharge method. Journal of Industrial and Engineering \nChemistry, 2014. 20(2): p. 521 -527. \n40. Burke, N.A., H.D. Stöver, and F.P. Dawson, Magnetic nanocomposites: preparation and \ncharacterization of polymer -coated iron nanoparticles. Chemistry of materials, 2002. 14(11): p. \n4752 -4761. \n41. Mathur, S., et al., Molecule Derived Synthesis of Nanocryst alline YFeO3 and Investigations on Its \nWeak Ferromagnetic Behavior. Chemistry of Materials, 2004. 16(10): p. 1906 -1913. \n42. Marusak, L.A., R. Messier, and W.B. White, Optical absorption spectrum of hematite, αFe2O3 \nnear IR to UV. Journal of Physics and Che mistry of Solids, 1980. 41(9): p. 981 -984. \n43. Sanchez, R., et al., Particle size effects on magnetic properties of yttrium iron garnets prepared \nby a sol –gel method. Journal of magnetism and magnetic materials, 2002. 247(1): p. 92 -98. \n44. Gilleo, M., Superexchange interaction energy for Fe 3+ -O 2− -Fe 3+ linkages. Physical Review, \n1958. 109(3): p. 777. \n45. Najmoddin, N., et al., Effect of nanoconfinement on the formation, structural transition and \nmagnetic behavior of mesoporous copper ferrite. Journal of Alloys and Compounds, 2014. 598: \np. 191 -197. \n \n \n \n 20 \n " }, { "title": "1911.00211v1.Role_of_spin_mixing_conductance_in_determining_thermal_spin_pumping_near_the_ferromagnetic_phase_transition_in_EuO__1_x__and_La2NiMnO6.pdf", "content": "Role of spin mixing conductance in determining thermal\nspin pumping near the ferromagnetic phase transition in\nEuO 1\u0000xand La 2NiMnO 6\nKingshuk Mallick,1Aditya Wagh,1Adrian Ionescu,2Crispin H.W. Barnes,2and\nP.S.Anil Kumar1,a)\n1)Physics Department, Indian Institute of Science, Bangalore - 560012,\nIndia\n2)Cavendish Laboratory, Physics Department, University of Cambridge,\nCambridge CB3 0HE, United Kingdom\n(Dated: 4 November 2019)\nWe present a comprehensive study of the temperature ( T) dependence of the longi-\ntudinal spin Seebeck e\u000bect (LSSE) in Pt/EuO 1\u0000xand Pt/La 2NiMnO 6(LNMO)\nhybrid structures across their Curie temperatures ( Tc). Both systems host\nferro magnetic interaction below Tc, hence present optimal conditions for testing\nmagnon spin current based theories against ferrimagnetic YIG. Notably, we ob-\nserve an anomalous Nernst e\u000bect (ANE) generated voltage in bare EuO 1\u0000x, how-\never, we \fnd LSSE predominates the thermal signals in the bilayers with Pt. The\nT-dependence of the LSSE in small T-range near Tccould be \ftted to a power\nlaw of the form ( Tc\u0000T)P. The derived critical exponent, P, was veri\fed for dif-\nferent methods of LSSE representation and sample crystallinity. The results are\nexplained based on the magnon-driven thermal spin pumping mechanism that re-\nlate the T-dependence of LSSE to the spin mixing conductance ( Gmix) at the\nheavy metal/ferromagnet (HM/FM) interface, which in turn is known to vary in\naccordance with the square of the spontaneous magnetization ( Ms). Additionally,\ntheT-dependence of the real part of Gmixderived from spin Hall magnetoresis-\ntance measurements at di\u000berent temperatures for the Pt/LNMO structure, further\nestablish the interdependence.\nPACS numbers: Valid PACS appear here\nKeywords: Suggested keywords\nI. INTRODUCTION\nThe discovery of spin Seebeck e\u000bect (SSE) in 2008 by Uchida et al.1opened up the mul-\ntidisciplinary \feld of spin caloritronics, combining thermoelectricity and spintronics2,3. In\nthe longitudinal SSE (LSSE) con\fguration an out-of-plane temperature gradient generates\na spin current in a magnetic material which can be detected via the inverse spin Hall ef-\nfect (ISHE) in an adjoining heavy metal (HM) layer, like Pt and W4,5. Another related\nphenomena observed in ferromagnetic insulator(FI)/HM bilayer is the change in HM resis-\ntance depending on the magnetization orientation of the FI layer. A charge current passing\nthrough the HM layer can generate a spin current via the spin Hall e\u000bect which gets absorbed\nor re\rected from the FI layer depending on the magnetization direction. This modi\fes the\nresistance in the HM layer and this phenomena is commonly referred to as the spin Hall\nmagnetoresistance (SMR)6,7. SMR has proven to be a successful approach to quantify the\nspin mixing interfacial conductance ( Gmix) of FI/NM bilayers8{11, an important parameter\na\u000becting both SMR and LSSE12{14.\nTemperature variation of LSSE signal has been carried out for investigating various ther-\nmospin properties such as phonon-mediated e\u000bects15{19, correlation between LSSE and\na)Electronic mail: anil@iisc.ac.inarXiv:1911.00211v1 [cond-mat.mes-hall] 1 Nov 20192\nmagnon excitation20{23, e\u000bects of metal-insulator transition24,25and recently, antiferro-\nmagnetic phase transitions26{33. The low temperature evolution of LSSE in the proto-\ntypical YIG/Pt bilayer far from Tccan be understood based on the magnon spin current\ntheory20. However, when it comes to the temperature dependence near Tc, theoretical\npredictions and experimental evidences have failed to come to a consensus. Uchida et\nal34observed a rapid decrease of LSSE signal ( VLSSE ) with an increase in temperature in\nYIG/Pt, i.e. VLSSE/(Tc\u0000T)3. Measurements on thick \flms of YIG/Pt by Wang et\nal.14obtainedVLSSE/(Tc\u0000T)1:5. Other than YIG, LSSE( T) for two other manganites\nnamely, La 0:7Sr0:3MnO 335and La 0:7Ca0:3MnO 325could be described by, ( Tc\u0000T)1:9. and\n(Tc\u0000T)0:7respectivelty. On the theoretical side, according to the magnon-driven thermal\nspin pumping mechanism, the LSSE voltage is predominantly determined by Gmix12,20,36\nwhich Ohnuma et al.37predicted to follow : Gmix/(4\u0019Ms)2nearTc. This implies the\nchange inGmixis closely associated with the T-dependent magnetic ordering in the sample.\nCombining these arguments it is expected that LSSE/M2\ns, whereMsis the saturation\nmagnetization. Consequently, if Pand\fare the critical exponents of LSSE and Msrespec-\ntively, then, P= 2\f. However, some authors have also presented a di\u000berent perspective\nbased on numerical and analytical investigations38,39which suggest that the LSSE should\nvary in accordance with the magnetization. Therefore, both should share the same critical\nexponents. To address these discrepancies from an experimental standpoint, we investigate\nT-evolution of LSSE in EuO 1\u0000xand La 2NiMnO 6across their ferromagnet to paramagnet\ntransition temperatures ( Tc).\nEuO has a rocksalt structure (a = 0.5144 nm)40, whose large ferromagnetic response,\nbelow its curie temperature of 69K, is due to the half-\flled 4 fEu2+orbital41{43. Oxygen\nde\fcient EuO, i.e., EuO 1\u0000xis intrinsically electron doped which undergoes simultaneous\nferromagnetic and insulating-conducting phase transition across which the resistivity can\ndrop by 13 orders of magnitude44,45and the conduction electrons become nearly 100% spin\npolarized46,47. Electron doping can also enhance the Tcabove 140K48. These properties\nand the close lattice matching with Si makes EuO 1\u0000xan excellent candidate for spintronic\napplications47,49. EuO has also been predicted to be the ideal candidate to test theories on\nspin transport across FM/HM bilayers38.\nLa2NiMnO 6(LNMO) is a double perovskite ferromagnetic insulator which has a Curie\ntemperature close to room temperature ( Tc= 280K)50. Its ferromagnetism arises from 180o\nNi2+\u0000O\u0000Mn4+superexchange bonding between an empty Mn4+egorbital and a half-\n\flled d-orbital of the neighboring Ni2+site51,52. It is considered to be a promising candidate\nfor spintronics53{55. Recently, a spin pumping study from LNMO into Pt by Shiomi and\nSaitoh54demonstrated spin transport not only in the ferromagnetic state of LNMO but also\nin a wide temperature range above Tc. This was attributed to short range ferromagnetic\ncorrelations that exist in LNMO above Tc56,57. They also present LSSE results in a small\ntemperature range near Tcwhich is shown to vary in accordance with the magnetization,\nM(T). In this report, we undertake exhaustive T-dependent LSSE measurements on both\nepitaxial and polcrystalline LNMO \flms, wherein, we focus on the power law decay of the\nLSSE signal near Tc. Good control of interface quality and optimized measurement condi-\ntions ensure a higher signal to noise ratio down to the smallest signal close to Tc, thereby\nallowing direct correlation with Gmixobtained from SMR measurements on polycrystalline\n\flms. To establish the generality of the observed power law behavior, T-dependent LSSE\nwas measured for a polycrystalline Pt/EuO 1\u0000xstructure as well. Interestingly, we observe\nan anomalous Nernst e\u000bect (ANE) signal in EuO 1\u0000xwithout the top Pt. After separating\nthe ANE voltages from the total signal we \fnd that LSSE dominates the electrical signals\nin Pt/EuO 1\u0000x. We discuss our results based on the magnon-driven thermal spin pumping\nmechanism that the relate T-evolution of LSSE to Gmix.3\n21 23 25\n(deg)\n(deg)intensity (a.u.) intensity (a.u.)\n2020\n404030 50\n60(a) (b)\n(c) (d)\nt = 97 nmt = 28 nm\nFIG. 1. (a) and (c) HR-XRD of the epitaxial LNMO/STO and polycrystalline Pt/EuO/Pt/Si\nsample respectively. Inset of (a) shows the presence of clear Laue oscillations on either side of the\nsubstrate peak. (b) and (d) represent the \fnal device con\fguration for LSSE measurements\nII. EXPERIMENT\nEpitaxial and polycrystalline LNMO \flms having thicknesses of 57 nm and 28 nm, were\ngrown at 800oC and 0.6 mbar O 2pressure by pulse laser deposition(PLD) on SrTiO 3(001)\nand Au bu\u000bered GGG(111) substrates respectively. Polycrystalline targets were ablated\nusing a KrF laser source with \u0015= 248 nm at a repetition rate of 5 Hz. Post deposition, the\n\flms were annealed in-situ in 500 mbar O 2pressure at 600oC for 1 hour and subsequently\ncooled down to room temperature at 5oC/min. For LSSE and SMR measurements, Pt was\ndeposited on top of LNMO using a standard e-beam evaporation technique. The surface\nwas cleaned with in-situ annealing and Argon plasma before Pt deposition. The nominal\nsample structure was STO(001)/LNMO(57 nm)/Pt(4.5 nm) (henceforth sample A) and\nGGG(111)/Au(5 nm)/LNMO(57 nm)/Pt(5 nm) (henceforth sample B).\nThe polycrystalline EuO 1\u0000xsample was deposited at room temperature using a CEVP\nRF/DC magnetron sputtering system with a base pressure of 5 \u000210\u00009Torr. Co-deposition\nwas performed using two targets: a 99.99% pure Eu 2O3and a 99.99% pure Eu target. The\nEuO 1\u0000x\flm was co-deposited while maintaining the RF power constant at 50 W for the\nEu2O3target and the DC deposition current for the Eu target at 0.15 A. The growth was\nperformed in an Ar+plasma at a pressure of 2 mTorr with a \row rate of 14 sccm. The\nsubstrates used were one inch Si (001) with a native oxide layer. One Pt layer was deposited\nbetween the substrate and the EuO 1\u0000x\flm and another one on the top at 2 mTorr, with\n0.1 A DC current and at 14 sccm Ar \row. The nominal sample structure, Si(001)/SiO 2(1.4\nnm)/Pt(5 nm)/EuO 1\u0000x(97 nm)/Pt(5 nm), is shown in Fig. 1(d). The top Pt layer serves as\nthe ISHE detection layer and also protects the EuO 1\u0000xfrom atmospheric degradation. The\nPt seed layer was necessary in order to avoid intermixing at the Si/SiO 2/EuO 1\u0000xinterface,\nwhich otherwise has resulted in poor EuO 1\u0000x\flms with large roughness.\nThe crystal structure of the \flms were evaluated by high resolution X-Ray di\u000braction\n(HRXRD) using Cu K \u000bradiation. Sample magnetic moment was recorded as a function\nof \feld and temperature using SQUID magnetometry. Fig. 1(a) is the HR-XRD scan on a\nLNMO(28 nm)/STO sample around the (001) STOre\rections. The pseudocubic pervoskite\nbulk lattice parameter of LNMO is 3.879 \u0017A58which is very close to that of STO (= 3.905 \u0017A),\nhence the LNMO peak appears as humps on the STO peaks. This indicates LNMO \flms\nwere grown epitaxially which was con\frmed from clear Laue fringes around the (002) re\rec-\ntion indicating high crystallinity, \rat surface and homogeneity of the grown \flm (see inset\nof Fig. 1(a)). In contrast, the EuO 1\u0000xon Si (001) has a preferred (001) orientation, as seen4\nH (kOe) H (kOe)200 1004.6\n2.3\n0.0\ndM/dT (a.u.)dM/dT (a.u.)\n0.1 kOe(a) (b)\n(c) (d)2.5 kOeLNMO/STO\nT (K) T (K)T (K) T (K)\n400 300 150120906030 180\n-4 -2 0 4 212\nFIG. 2. (a) and (b) Field cooled M - T curves of the LNMO/STO and Pt/EuO/Pt/Si sample\nrespectively. Applied in-plane magnetic \felds strengths are also denoted. Inset of both \fgures\nshow presence of minima in the dM=dT curves, depicting the position of Tc. (c) and (d) isothermal\nM\u0000Hhysteresis curves with \feld applied in-plane for LNMO/STO and Pt/EuO/Pt/Si sample\nrespectively. Inset of (c) shows in detail the low \feld region\nin Fig. 1(c) and is polycrystalline con\frmed from the large FWHM of the (002) peak and\nits omega scan (not shown).\nThe magnetic properties of LNMO thin \flms including its Tc(ferromagnetic to paramag-\nnetic transition) and saturation magnetization ( Ms) has been found to vary from its bulk\n(Tbulk\nc= 270K, Mbulk\nsat 0K = 5\u0016B=f:u: ) in\ruenced by the growth conditions, \flm thick-\nness and stoichiometery58{60. The \feld cooled magnetization ( M) vsTmeasured at 100 Oe\nand its derivative is shown in Fig. 2(a) and its inset. From the minima in the derivative,\nwe estimate the Tc= 241K.M\u0000Hloops atT= 10K (Fig. 2(c)) exhibit expected hys-\nteretic behavior with a coercive \feld and Msof about 300 Oe and 3.5 \u0016B=f:u: respectively.\nFrom \feld cooled M\u0000Tof LNMO/Au/GGG (see appendix) the Tcwas found identical to\nLNMO/STO, 241K.\nEuO is regarded as a model Heisenberg ferromagnet with Msat 0K = 7\u0016B=f:u: andTc\n= 69K41{43. The increase in Tcof EuO 1\u0000xdepends on the extent of electron doping due to\nO2vacancies48,61. The presence of these defects create spin polarized states near the Fermi\nenergy thus modifying the density of states and supplying electrons to the conduction band.\nTheTccan be enhanced due to conduction-electron-mediated Ruderman-Kittel-Kasuya-\nYoshida (RKKY) coupling between the Eu 4 fspins62. Hence a \feld cooled M\u0000Tfor\nthe EuO 1\u0000xresembles a system having two domes, as observed for our EuO 1\u0000x\flms (Fig.\n2(b))48,63. The obtained low temperature feature at 65K ( TEuO) is close to the bulk value\nof 69K and another minima at 144K ( TP) of thedM=dT curve shown in the inset, is the\nextendedTcdue to RKKY interaction. M\u0000Hhysteresis loops of the EuO 1\u0000x(Fig. 2(d)) is\ncharacteristic of a soft ferromagnetic \flm having Ms= 4.6\u0016B=Eu and coercivity less than\n80 Oe at 10K. The deviation of Msfrom the expected value for a stoichiometric EuO, can\nbe due to the extent of doping, presence of defects or formation of traces of Eu 2O3upon\nair exposure.\nThe \fnal sample stack and con\fguration for LSSE experiments is shown in Fig. 1(b) and\n(d). Wire bonding contact was given on the longer edge of the sample to measure the ISHE\nvoltages using a Keithley 2182A nanovoltmeter4. LSSE measurements were conducted\nat di\u000berent temperature in a modi\fed closed cycle cryostat. To establish a temperature\ngradient a Cr/Au heater patterned on a sapphire substrate was placed on top of the sample5\nwith GE-varnish and a constant small power was applied. This resulted in a perpendicular-\nto-plane temperature gradient which induced thermal spin currents in the ferromagnetic\nlayer. A constant magnetic \feld of magnitude 2.5 kOe was rotated in-plane and the change\nin the generated ISHE voltage was recorded as a function of in-plane angle, \u000b,\nVISHE =\u001aN\u0012SHEJs\u0002\u001b (1)\nwhere\u0012SHE is the spin Hall angle and \u001aNis the electrical resistivity of NM layer. The\napplied \feld was greater than the anisotropies hence V ISHE has a sinusoidal variation as\ndepicted in Fig. 3(a) and Fig. 5(a) for 50K and 25K respectively. Similar loops were\nrecorded at di\u000berent temperature and \ftted with a sine function to extract the amplitude\n(marked with double sided arrow in Fig. 3(a)). Field-sweep measurements at \u000b= 90 have\nalso been carried out at some temperatures which show a hysteretic variation of V ISHE (Fig.\n3(b) and Fig. 5(c)), resembling M\u0000Htraces. To analyze the temperature dependence\nof the generated signal it is important to scale the amplitude either with the temperature\nV\nISHE \n(nV)\n(c)((\nT (K) T (K)(d)(e)\n05101520253035\n30 60 90 120 150 180 210 240 2700.00.20.40.60.81.01.21.41.6SSR (nV m/W ) SSR\n P=0.78±0.05SSC (μV/m K )\n T (K) SSC\n P=0.69±0.05\n(deg) (kOe)\n300 100 200 300 0 180 240\nFIG. 3. (a) Variation of VISHE with in-plane angle \u000bat 50K and subsequent \ft to a sine function\nto determine the amplitude, denoted by an arrow. (b) Hysteretic switching of VISHE as function\nof in-plane \feld applied along \u000b= 0 at 175K. (c) and (d) resistivity as a function of temperature\nfor Pt and LNMO layer respectively, (e) LSSE amplitudes represented as SSC (open triangles)\nandSSR (open circles) at di\u000berent temperature and power law \ftting near Tc. Insets show linear\nrelation between generated voltage, temperature gradient and applied power.\ngradient, \u0001 T(in units of K), or with the heat \rux, jQ(in units of W=m2).jQcan be\ncalculated knowing the applied power and the dimension of the top heater. To acquire \u0001 T,\nstandard Pt thermometery was followed, wherein the Pt resistance is initially calibrated as a\nfunction of base temperature which is later utilized to estimate the increase in temperature\nat the sample surface upon applying a heat \rux. Initial studies reported the signal as\nthe spin Seebeck coe\u000ecient, SSC , whereSSC =VISHE=(\u0001T\u0002L) (in units of V=Km ), L\nbeing the distance between the contacts. However, recently it has become more common\nto report LSSE as the spin Seebeck resistivity, SSR , whereSSR =VISHE=(jQ\u0002L) (in\nunits ofVm=W ), highlighting the associated errors in the accurate determination of the\ntemperature gradients64,65. We report our \fndings as both SSC andSSR to test the e\u000bect\nof scaling in LSSE analysis. The base temperature was taken from the cryostat's diode\nsensor reading kept next to the sample.\nIII. LSSE RESULTS ON LNMO\nFirst, the two probe resistivity of the LNMO/STO was measured, which was found to be\nfour orders of magnitude larger than that of Pt near Tc(Fig. 3(c) and (d)) and demonstrated\ninsulating behavior with temperature. Hence, ANE contributions could be neglected24. The6\n05101520\n50 100 150 200 2500123 P=0.63±0.05SSR (nV m/W)\nT (K) SSRP=0.78±0.04\nSine fit\n0 100 200 300-601080VISHE (nV)\nα(deg)170K SSCSSC (μV/m K)\nFIG. 4. T-dependence of LSSE as SSR andSSC representaions in Pt/LNMO/Au/GGG and \ft\nto a power law. Inset shows a typical angular dependence at 170K and corresponding \ft to a sine\nfunction to extract the amplitude\nheating power was chosen such that it maintains linearity of the V ISHE signal as a function\nof \u0001 Tand power (see inset of Fig. 3(e) for 50K data). For a heater of dimension 5\nmm\u00023.2 mm just covering the sample and a distance of 3.7 mm between voltage probes\n(see Fig. 1(b)), we show the T-evolution of both SSC andSSR for Sample A in Fig.\n3(e). The signal appears only below Tc(=241K) and then keeps increasing with decrease in\ntemperature till 180K. The SSR at 200K is 1 :3\u000210\u00008in Vm/W which is comparable to the\nvalue of 2\u000210\u00008Vm/W at 200K for Pt(6 nm)/YIG(40 nm) reported by Prakash et al65.\nIn the only other report of LSSE for Pt/LNMO, Shiomi and Saitoh54show LSSE variation\nin a small temperature window between 200K and 300K. Although the \u0001 Tis mentioned as\n10K, the distance between the contacts is not speci\fed. Still, if we assume the the length of\nthe sample (4 mm) as the probing distance, then the SSC at 200K can be approximated as\n15 nV/(4 mm\u000210K) = 0.375 \u0016V/Km compared to 0.7 \u0016V/-m obtained in this study. With\nfurther decrease in temperature below 180K, the signal initially decreases and then goes\nthrough a local maximum around 120K. Below 100K there is again a gradual increase with\ndecrease in T. BothSSC andSSR follow the same trend in the entire temperature window\nexcept at 30K at which the SSC is seen to drop in contrast to SSR . This non-monotonic\nT-dependence can result from a change in thermal magnon parameters like population,\nconductivity and lifetime20,65or even e\u000bect of interface66and anisotropy67. However, in\nthis study, we focus only on the monotonic decrease above 175K that extends up to Tc. In\nanalogy to previous reports, this region could be \ftted to a ( Tc-T)Ppower law14,25,34,35.\nThe derived exponents are PSSR= 0:78\u00060:05 andPSSC= 0:69\u00060:05.\nWe perform a similar T-dependence of LSSE in Sample B to investigate the e\u000bect of crys-\ntallinity in determining the critical exponent. As expected, the V ISHE displays a sinusoidal\nvariation with in-plane \feld rotation (see Fig. 4 inset) in the entire T-range, from which the\namplitudes were extracted. We present the T-dependence in Fig. 4 which manifest a similar\ntrend and magnitude as Sample A except at low T. Interestingly, we extract similar critical\nexponents, PSSR= 0:78\u00060:04 andPSSC= 0:63\u00060:05 by \ftting the monotonic decrease\ninSSR above 170K up to Tc(= 241K). This suggests that the same physical mechanism\ndetermines spin transport near Tcfor both samples irrespective of crystalline order.\nIV. LSSE RESULTS ON EuO 1\u0000x\nIn principle, the transverse thermal voltage could originate from pure magnon spin\ncurrents via LSSE as we observed in LNMO or from spin polarized charge currents via\nANE24,25,68. In the case of electron doped EuO 1\u0000x, there are available defect states in\nthe band gap which allows electron conduction when the majority states of the spin-split\nconduction band shift downward to overlap with the defect levels. Hence, generation of a7\ntransverse ANE voltage cannot be avoided when a vertical temperature gradient is applied.\nAccordingly, the ANE voltage is given by:\nVANE =\u0012ANES^m\u0002\u0001T (2)\nwhere VANE is the voltage produced by the ANE, \u0012ANE is the anomalous Nernst angle, S\nis the Seebeck coe\u000ecient, ^mis the unit vector along magnetization and \u0001 Tis a vector along\nthe temperature gradient. Resistivity determination in bare EuO 1\u0000xis tedious owing to the\ndi\u000eculty in getting proper ohmic contacts69. However, the conducting nature of our EuO 1\u0000x\n\flms is evident from the T-dependence of Pt/EuO 1\u0000x/Pt trilayer resistance (see Fig. 5(b))\nwhich shows a de\fnite drop at the predicted metal-insulator transition temperature of\nEuO 1\u0000x, corresponding to the Tcof bulk EuO (see inset of Fig. 5(b))44,45. Hence, a proper\nanalyses of LSSE requires an estimation of the ANE from bare EuO 1\u0000x. Accordingly, after\nwe measure the T-dependence of the LSSE + ANE, i.e.the total thermal signal ( VTH) in\nthe Pt capped sample, we etch away the Pt, followed immediately by a protective coating\nof GE-varnish. Then we study ANE in the same longitudinal con\fguration as shown in\nthe schematic of Fig. 5(a). The angular variation of ANE with an in-plane applied \feld\ndisplays a similar sinusoidal variation as expected from Equation (2), whose magnitude\nincreases linearly with the applied heater power up to nearly 4mW, as shown in Fig. 5(d)\nand inset. In the same \fgure, the total thermal signal from a Pt capped EuO 1\u0000xis also\ndepicted. It is important to note that the ANE contribution in the total signal would be\nreduced due to the shunting of currents in the Pt layers, which we represent as ANE red.\nAn estimate of the reduction due to the top Pt layer can be done based on the approach by\nP. Bougiatioti et al68, who argued that in a NM/FM bilayer, ANE is reduced by a factor\nr=(1 +r), whereris the ratio of electrical conductance, G, of FM and NM. Consequently,\nr=GEuO 1\u0000x\nGPt=\u001aPt\n\u001aEuO 1\u0000xtEuO 1\u0000x\ntPt(3)\nwith\u001ais the resistivity and tthe thickness of the corresponding layer. The resistivity\nof the EuO 1\u0000xcan be estimated to a fair degree from the measured trilayer resistance, by\nassuming a parallel connection of three resistances, corresponding to the two Pt layers and\nthe EuO 1\u0000xlayer (see Fig.5(e)). Comparing to other reports on EuO 1\u0000x70, we \fnd that\nthis approach captures the main features of the T-dependent resistivity, particularly the\nMIT atTEuO, reasonably well.\nSubstituting these values into Equation (3) along with the measured thicknesses of\nEuO 1\u0000x(97 nm) and Pt (5 nm) we get an estimated 99% reduction in ANE. Consequently,\nthe thermal signal from the Pt capped sample is predominantly LSSE signal. In addition,\nthe ferromagnetic origin of the thermal signals could be con\frmed from the \feld sweep\nresults, as depicted in 5(e), where H is along \u000b= 0. Another parasitic voltage that is often\nassociated with Pt, is due to the induced ferromagnetism in Pt, in proximity to a FM.\nWe rule out any signi\fcant contribution of this magnetic proximity e\u000bect (MPE) in our\ntotal thermal signal, based on the results of P. Bougiatioti et al68, who did not \fnd any\nMPE in Pt when their FM resistivity was in the same order of magnitude as our EuO 1\u0000x.\nTherefore, the pure LSSE signal can be extracted by simply subtracting the reduced ANE\n(ANEred) from the total thermal signal generated from a Pt capped sample. Note that,\nany LSSE contribution arising at the interface of EuO 1\u0000xand bottom Pt layer will be of\nopposite sign (as the T-gradient is reversed) and very negligible, due to the presence of\nthick insulating EuO 1\u0000xin between.\nThe T-dependence of ANEredandLSSE +ANEredis shown in 5(f) and (g) for both\nmethods of scaling. An overall decrease in the signal is observed with an increase in T,\nwhich eventually reduces below the detection limit of our setup ( \u001810nV) above T EuO of\n65K. We extend the same analysis as in LNMO to the pure LSSE signal shown in Fig. 5(h),\nby \ftting the decay in LSSE signal to a power law of the form ( Tc\u0000T)P. The derived\nexponents are PSSR= 1:24\u00060:02 and PSSC= 1:06\u00060:06. It is important to note that8\nWith top Pt:No top Pt:\nH (kOe)\n(deg)T (K)T (K)\nT (K)(nV m/W)norm\n(nV m/W)norm\nTH\n0 90 180 270 36050 100 150dR/dT (a.u.)with top\nPtsweep\n200\n200\n100\nFIG. 5. (a) Schematic illustration of the device geometry used for measuring ANE. (b) Variation\nof stack resistance with temperature for conducting EuO 1\u0000xand insulating LNMO. Inset shows\nthe peak in dR=dT for the conducting EuO 1\u0000xatTcof bulk EuO. (c) Calculated T-dependence\nof resistivity of EuO 1\u0000xconsidering a trilayer resistance model. (d) Measured ANE, ANE redand\n(ANE red+ LSSE) voltage in EuO 1\u0000xand Pt(5nm)/EuO 1\u0000xat 25K as a function of in-plane\n\feld angle for a constant power of 2 mW. The values are scaled as (V TH\u0002heater area)/L. (e)\nField dependence of the thermal voltage in Pt/EuO 1\u0000xfor di\u000berent applied power con\frming the\nferromagnetic origin of the signal. (f) and (g) T-dependence of the reduced ANE voltage for\nEuO 1\u0000x(red triangles) and reduced ANE + LSSE for Pt/EuO 1\u0000x(blue circles) in SSC andSSR\nunits respectively. (h) LSSE voltages as SSC andSSR after separation of reduced ANE voltage\nfrom the total thermal voltage. Corresponding \fts to power law and value of critical exponents are\nalso indicated.\neven though PSSCis less than PSSR, resembling the trend in LNMO, the values themselves\nare higher, arguably closer to one.\nNow we qualitatively discuss the observed power law dependence in LNMO and EuO 1\u0000x\nin accordance with their M\u0000Tcurve. The magnetization curve of LNMO was analyzed in\nthe critical region by Lou et al.71using the Kouvel-Fisher method that yielded the critical\nexponent,P= 0:408\u00060:011. This value was in between those predicted by mean-\feld model\n(= 0:5) and the 3D Heisenberg model (= 0 :365)72. A simple power law \ftting of our M(T)\ndata on LNMO/STO also return a similar value of critical exponent, P M(T)LNMO = 0:39\n(see appendix). Taking the exponent as 0.408, we can now interpret our results based on\nthe magnon-driven thermal spin pumping mechanism. Accordingly, the LSSE(T) should\nbe proportional to (( Tc-T))0:408)2\u0018(Tc-T)0:82.This is in close agreement with our derived\nexponents for PLNMO\nSSR = 0:78 in both epitaxial and polycrystalline \flms and slightly higher\nthanPLNMO\nSSC .\nStoichiometric EuO is considered an ideal example of a 3D Heisenberg ferromagnet.\nHowever, oxygen vacancies in EuO is known to exert a strong in\ruence on its magnetic\ninteractions, thereby increasing the critical exponent to 0.4873. Such an increment has\nalso been observed for doped EuS74. The di\u000berent interactions present in EuO 1\u0000xmakes\nthe determination of critical exponents non-trivial and hence we adopt the reported value9\nof 0.48 for comparison with our LSSE data. Conforming with our previous arguments,\nLSSE( T) should be proportional to (( Tc-T))0:48)2=(Tc\u0000T)0:96which match quite closely\nwith PEuO 1\u0000x\nSSC = 1:0, albeit slightly less than PEuO 1\u0000x\nSSR .\nNow, the above agreement between the critical exponents of M(T) and LSSE assumes\nthat the dominant T-dependent parameter in determining LSSE near Tc, isGmix. In a\nsimpli\fed picture, one can associate T-dependence of Gmixsolely to its real part, Re[ Gmix],\nwhich can be approximated from the T-dependence of SMR. Hence, in the next section, we\ninvestigate SMR for the polycrystalline LNMO sample.\nV. SPIN HALL MAGNETORESISTANCE RESULTS\nFor SMR measurements we pattern the top Pt layer in Sample B into a Hall bar of\ndimensions illustrated in 6(a). A small AC current \u0014100\u0016A is applied at 333 Hz frequency\nand the generated transverse voltage ( Vtrans) in Pt is measured using a lock-in ampli\fer\nSR830 as a function of in-plane \feld angle. Here, we utilitize transverse resistivity \u001axyto\ncharacterize the SMR due to its low background signal and hence improved signal-to-noise\nratio. jandtdenote parallel and transverse to the current direction, whereas nis the\nout-of-plane direction. \u001axyvaries as a function of the magnetization orientation of LNMO,\nm, as7:\n\u001axy=\u001a1mn+\u001a2mjmt (4)\n\u001axy=\u001a2sin(\u000b) cos(\u000b);formn= 0: (5)\nwheremj;mtandmnare projections of monto the coordinate system, \u000bis the orientation of\napplied \feld with respect to the transverse direction and \u001a2denote magnitude of reisitivity\nchange due to SMR. Accordingly, Fig. 6(b) depicts a sin(2 \u000b) variation of Rxyand its\n\ft. Parasitic anisotropic magnetoresistance (AMR) contribution arising from conduction\nin LNMO or magnetic proximity e\u000bect (MPE) induced ferromagnetism in Pt is known to\nsatisfy similar symmetry rules as SMR75. However, the high resistivity of LNMO compared\nto Pt prevents any signi\fcant current shunting and also avoids MPE induced e\u000bects68.\nFollowing the theoretical SMR model described by Chen et al7, the T-dependence of SMR\ncan be depicted using the following ratio,\n\u0001\u001axy\n\u001axy=\u00122\nsh\u0015Pt(T)\ntPt2\u0015Pt(T)Grtanh2tPt\n2\u0015Pt(T)\n\u001bPt(T) + 2\u0015Pt(T)GrcothtPt\n\u0015Pt(T): (6)\nwhere\u0012sh,tPt,\u0015Pt(T) and\u001bPt(T) are the spin Hall angle, thickness, spin di\u000busion\nlength and conductivity of Pt, respectively and Gris real part of G mix. We illustrate the\nT-dependence of SMR ratio in Fig. 6(c). Interestingly, the signal exhibits a peak around\n50K and vanishes above T c= 241K. This suggests that just like LSSE, SMR is also\nregulated by the long range ferromagnetic correlations in the sample and is not a\u000bected by\nthe short range interactions that is known to exist in LNMO even above Tc76.\nThe T-dependent parameters in the above equation have been extensively investigated\nby di\u000berent groups. For instance Marmion et al.77ascribed the T-dependence of SMR to\nvariation in \u0015Pt(T), determined by the Elliot-Yafet mechanism for spin relaxation. \u0012sh\non the other hand is reported to change very weakly above 100K hence is often taken as\nconstant78. We try \ftting our SMR data based on Equation (6), assuming a T-independent\nGrand a\u0012sh=0.08, only varying \u0015Pt(T) according to the Elliot-Yafet mechanism ( \u0015Pt(T) =\nC=T in unit of nm). However, as discussed by Wang et al.14for Pt/YIG, it fails to reproduce\nthe high- Tdata (see \ft in Fig. 6(c)). Hence, we consider a T-dependent Gr(T) which can\nbe quanti\fed by rearranging Equation (6) as follows14:10\n(b)\n(c) (d)90 180 270 36011.82011.82211.824 RXY (ohm)\nα(deg)140KLNMO\n0 100 200 3000.01.02.03.0ΔρXY/ρXY (x10-4)\n80 160 24005Gr (x 1012)\nT (K)P=0.85±0.15\nT (K)\nFIG. 6. (a) Schematic illustration of the device con\fguration used for measuring SMR. t, j and\nn denote the coordinate axes, along, transverse and perpendicular to the current direction, I q\nrespectively. (b) In-plane angular variation of transverse resistance at 140 and 0.25T \feld. Solid\nline is \ft to sin(2\u000b) to determine SMR amplitude. (c) T-dependence of normalized SMR and \ft to\nequation (6) (solid line). (d) Calculated real part of spin mixing conductance at di\u000berent- T. Solid\nline depicts \ft to a power law. Extracted critical exponent is also indicated.\nGr(T) =\u001bPt(T)\n2\u0015Pt(T)\"\n\u00122\nsh\u0015Pt(T)\ntPttanh2tPt\n2\u0015Pt(T)\n\u0001\u001axy\n\u001axy\u0000cothtPt\n\u0015Pt(T)# (7)\nWe consider Gr(T) to be a function of Tand back-calculate its values from our exper-\nimentally measured SMR results using Equation 7, adopting \u0012sh= 0.08 and \u0015Pt(T) =\n(2:6\u000210\u00007)=Tin unit of nm14,77,78(re\fned from previous \ft). We plot the calculated\nGr(T) and the power law \ft in Fig. 6(d). The important trait we observe is that, the\ncritical exponents conform very nearly to that of LSSE and also with the spin pumping\nmechanism outlined by Ohnuma et al.37(see Table I) . It was speculated that previous in-\nvestigations of critical exponents on YIG failed to come to a consensus with the theoretical\npredictions because of the ferrimagnetic nature of YIG, or other considerations like the\nmagnetic surface anisotropy38. In this report, both samples exhibit ferro magnetic interac-\ntions which allow direct comparison with the magnon-driven spin current model12. We also\nacknowledge that our choice of FM allows analysis adopting this simple picture, wherein we\nonly consider T-dependence of interfacial spin conductance and disregard other parameters\nsuch as the bulk spin conductance79and magnon chemical potential80, which can also a\u000bect\nLSSE. Additionally, we could verify the interdependence of Gr(T) and LSSE(T) without\nincluding the e\u000bective spin conductance, Gs81, in Equation (6) suggesting negligible con-\ntribution from this term for LNMO. Incorporating these e\u000bects might better reproduce the\nbehavior near Tcfor other systems.\nIn LSSE, the signals are generated by magnon spin currents at the bulk of the material\nwhich travel to the interface and get pumped into the Pt layer. Hence both bulk and\ninterface magnetization can a\u000bect the generated signals. In our experiments we observed\nthat the power law exponents of the SSE are related to the power law exponent of the\nvolume magnetization recorded using a standard SQUID magnetometer. Nature of Mvs\nTand this correlation suggests that the interface magnetization contribution if present is\nidentical to the bulk. Lastly, we can comment on the impact of scaling, namely SSR orSSC ,\non the derived exponents. We found a better correspondence between PSSRand PM2\nsfor\nLNMO and in contrast PSSCconformed better with PM2\nsfor EuO 1\u0000x. This might suggest11\nPSSRPSSCPSMRTc(K) P M\u0000T\nSample A 0.78 \u00060.05 0.69 \u00060.05 - 241 0.41\nSample B 0.78 \u00060.04 0.63 \u00060.05 0.85 \u00060.15 241 0.41\nEuO 1\u0000x1.24\u00060.02 1.06 \u00060.06 - 65 0.48\nTABLE I. List of samples and corresponding re\fned and adopted parameters.\nthat at low T, when heat transport properties such as thermal conductivity and speci\fc\nheat undergo large changes, SSC would be a better representation to incorporate those\nchanges. However, near room temperature, SSR representation overcomes uncertainties\ndue to parasitic temperature drops across various interfaces, hence might serve as a better\nchoice. Alternately, one can also argue that, Pt spin conversion parameters, especially\n\u0015Pt(T), which is known to increase appreciably only below 100K, also a\u000bects the LSSE\nsignal and hence needs to be accounted for in the analysis. Simultaneous measurements of\nallT-dependent parameters at low- Tcould be helpful in resolving this question.\nVI. CONCLUSION\nTheT-dependence of LSSE has been studied for three di\u000berent Pt/FM hybrid structures\nacross its ferromagnet to paramagnet transition temperature, namely, Pt/LNMO/STO,\nPt/LNMO/Au/GGG and Pt/EuO 1\u0000x/Pt/Si. Pure LSSE signal was obtained from the\nhighly resistive LNMO whereas the LSSE had to be disentangled from the ANE signal\ngenerated in conducting EuO 1\u0000x. A power law behavior could describe the decay in LSSE\napproaching Tcfor both LNMO and EuO 1\u0000x, but the derived critical exponents were found\nto be characteristic of the material. We could interpret this power law behavior based on\nthe magnon-driven thermal spin pumping mechanism which suggest G mixis the dominating\nparameter a\u000becting LSSE and which in turn is proportional to M2\ns. Additionally, we show\nthis evaluation remains invariant despite varying the crystalline order in LNMO, but the\nmethod used for scaling LSSE becomes important, especially at low- T. Finally, we con\frm\nthe correlation between magnetization and Gmixfrom SMR measurements on Pt/LNMO\nat di\u000berent temperatures. Our work establishes the importance of Gmixin determining\nLSSE across ferromagnetic phase transition and also highlights the correlation between\ncritical exponents of magnetic order parameter and thermal spin transport across NM/FM\ninterfaces. Further systematic studies on di\u000berent samples having di\u000berent thicknesses and\ninterface conditions are necessary to con\frm whether the exponent is material speci\fc or\nnot. However, this correlation strongly suggests that for materials like LNMO and EuO 1\u0000x,\nhaving simple magnetic structures, Gmixis the dominant parameter a\u000becting LSSE. This\nserves as an important benchmark for future investigations.\nVII. ACKNOWLEDGEMENT\nWe would like to thank Dr. Timo Kuschel for fruitful discussions on anomalous Nernst\ncontributions, Sukanya Pal for crystallographic structure analysis of LNMO, Suresh Pittala\nfor providing the PLD ablation target and Manasa for SQUID measurements. K.M. would\nlike to thank MHRD for \fnancial support. P.S. Anil Kumar would like to thank DST Nano\nMission for \fnancial support.12\nVIII. APPENDIX\nIn Fig. 7(a) we depict a simpli\fed approach towards estimating the critical exponent of\nmagnetization by \ftting the M-T of epitaxial LNMO near Tc. It would have been ideal to\ncompare the value of P obtained from MvsTandSSR ,SSC vsTin the same temperature\nrange very close to Tc, but the nature of the spin Seebeck experiments prevent this direct\ncomparison. Ensuring that we operate in the linear region (as shown in Fig. 3(e)) and\ngenerate a minimum measurable signal of tens of nV (limited by the experimental setup),\nwe are required to maintain a \u0001 Tbetween 4K - 6K near Tc. In addition, since the change\nin signal with temperature is not large, a minimum step size of 5K was chosen to properly\nresolve the signals. These limitations meant that in the same temperature range as Mvs\nT, we had only two spin Seebeck data points. Therefore, to incorporate more data points\na larger range was taken. In Fig. 7(b), we highlight the position of the Curie temperature\nfor the polycrystalline LNMO sample from the derivative of its M-T curve.\ndM/dT (a.u.)\nFIG. 7. (a) A double logarithmic plot of Tc\u0000Tdependence of the magnetization for epitaxial\nLNMO. (b) MvsTat 100 Oe and its derivative for polycrystalline LNMO sample depicting the\nposition of Tc. The GGG substrate contributes to the large paramagnetic background.\n1K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa, and E. Saitoh, \\Obser-\nvation of the spin seebeck e\u000bect,\" Nature 455, 778 (2008).\n2G. E. Bauer, E. Saitoh, and B. J. Van Wees, \\Spin caloritronics,\" Nature materials 11, 391 (2012).\n3H. Yu, S. D. Brechet, and J.-P. Ansermet, \\Spin caloritronics, origin and outlook,\" Physics Letters A\n381, 825{837 (2017).\n4K.-i. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and E. Saitoh, \\Observation of longitudinal\nspin-seebeck e\u000bect in magnetic insulators,\" Applied Physics Letters 97, 172505 (2010).\n5K. Uchida, M. Ishida, T. Kikkawa, A. Kirihara, T. Murakami, and E. Saitoh, \\Longitudinal spin seebeck\ne\u000bect: from fundamentals to applications,\" Journal of Physics: Condensed Matter 26, 343202 (2014).\n6M. Althammer, S. Meyer, H. Nakayama, M. Schreier, S. Altmannshofer, M. Weiler, H. Huebl, S. Gepr ags,\nM. Opel, R. Gross, et al. , \\Quantitative study of the spin hall magnetoresistance in ferromagnetic insu-\nlator/normal metal hybrids,\" Physical Review B 87, 224401 (2013).\n7Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T. Goennenwein, E. Saitoh, and G. E. Bauer,\n\\Theory of spin Hall magnetoresistance,\" Physical Review B 87, 144411 (2013).\n8C. Hahn, G. De Loubens, O. Klein, M. Viret, V. V. Naletov, and J. B. Youssef, \\Comparative measure-\nments of inverse spin Hall e\u000bects and magnetoresistance in YIG/Pt and YIG/Ta,\" Physical Review B\n87, 174417 (2013).\n9N. Vlietstra, J. Shan, V. Castel, J. Ben Youssef, G. Bauer, and B. Van Wees, \\Exchange magnetic \feld\ntorques in YIG/Pt bilayers observed by the spin-Hall magnetoresistance,\" Applied Physics Letters 103,\n032401 (2013).\n10S. V\u0013 elez, A. Bedoya-Pinto, W. Yan, L. E. Hueso, and F. Casanova, \\Competing e\u000bects at Pt/YIG\ninterfaces: Spin Hall magnetoresistance, magnon excitations, and magnetic frustration,\" Physical Review\nB94, 174405 (2016).\n11M. Weiler, M. Althammer, M. Schreier, J. Lotze, M. Pernpeintner, S. Meyer, H. Huebl, R. Gross,\nA. Kamra, J. Xiao, et al. , \\Experimental test of the spin mixing interface conductivity concept,\" Physical\nreview letters 111, 176601 (2013).\n12J. Xiao, G. E. Bauer, K.-c. Uchida, E. Saitoh, S. Maekawa, et al. , \\Theory of magnon-driven spin seebeck\ne\u000bect,\" Physical Review B 81, 214418 (2010).\n13N. Vlietstra, J. Shan, B. Van Wees, M. Isasa, F. Casanova, and J. B. Youssef, \\Simultaneous detection\nof the spin-hall magnetoresistance and the spin-seebeck e\u000bect in platinum and tantalum on yttrium iron\ngarnet,\" Physical Review B 90, 174436 (2014).\n14S. Wang, L. Zou, X. Zhang, J. Cai, S. Wang, B. Shen, and J. Sun, \\Spin Seebeck e\u000bect and spin Hall\nmagnetoresistance at high temperatures for a Pt/yttrium iron garnet hybrid structure,\" Nanoscale 7,\n17812{17819 (2015).13\n15C. Jaworski, J. Yang, S. Mack, D. Awschalom, J. Heremans, and R. Myers, \\Observation of the spin-\nseebeck e\u000bect in a ferromagnetic semiconductor,\" Nature materials 9, 898 (2010).\n16C. Jaworski, J. Yang, S. Mack, D. Awschalom, R. Myers, and J. Heremans, \\Spin-seebeck e\u000bect: A\nphonon driven spin distribution,\" Physical review letters 106, 186601 (2011).\n17H. Adachi, K.-i. Uchida, E. Saitoh, J.-i. Ohe, S. Takahashi, and S. Maekawa, \\Gigantic enhancement of\nspin seebeck e\u000bect by phonon drag,\" Applied Physics Letters 97, 252506 (2010).\n18T. Kikkawa, K. Shen, B. Flebus, R. A. Duine, K.-i. Uchida, Z. Qiu, G. E. Bauer, and E. Saitoh, \\Magnon\npolarons in the spin seebeck e\u000bect,\" Physical review letters 117, 207203 (2016).\n19R. Iguchi, K.-i. Uchida, S. Daimon, and E. Saitoh, \\Concomitant enhancement of the longitudinal spin\nseebeck e\u000bect and the thermal conductivity in a Pt/YIG/Pt system at low temperatures,\" Physical Review\nB95, 174401 (2017).\n20S. Rezende, R. Rodr\u0013 \u0010guez-Su\u0013 arez, R. Cunha, A. Rodrigues, F. Machado, G. F. Guerra, J. L. Ortiz, and\nA. Azevedo, \\Magnon spin-current theory for the longitudinal spin-seebeck e\u000bect,\" Physical Review B\n89, 014416 (2014).\n21S. R. Boona and J. P. Heremans, \\Magnon thermal mean free path in yttrium iron garnet,\" Physical\nReview B 90, 064421 (2014).\n22S. Gepr ags, A. Kehlberger, F. Della Coletta, Z. Qiu, E.-J. Guo, T. Schulz, C. Mix, S. Meyer, A. Kamra,\nM. Althammer, et al. , \\Origin of the spin seebeck e\u000bect in compensated ferrimagnets,\" Nature commu-\nnications 7, 10452 (2016).\n23H. Jin, S. R. Boona, Z. Yang, R. C. Myers, and J. P. Heremans, \\E\u000bect of the magnon dispersion on the\nlongitudinal spin seebeck e\u000bect in yttrium iron garnets,\" Physical Review B 92, 054436 (2015).\n24R. Ramos, T. Kikkawa, K. Uchida, H. Adachi, I. Lucas, M. Aguirre, P. Algarabel, L. Morell\u0013 on,\nS. Maekawa, E. Saitoh, et al. , \\Observation of the spin seebeck e\u000bect in epitaxial Fe 3O4thin \flms,\"\nApplied Physics Letters 102, 072413 (2013).\n25A. De, A. Ghosh, R. Mandal, S. Ogale, and S. Nair, \\Temperature dependence of the spin seebeck e\u000bect\nin a mixed valent manganite,\" arXiv preprint arXiv:1905.02527 (2019).\n26W. Lin, K. Chen, S. Zhang, and C. Chien, \\Enhancement of thermally injected spin current through an\nantiferromagnetic insulator,\" Physical review letters 116, 186601 (2016).\n27K. Mallick, A. A. Wagh, and P. A. Kumar, \\Enhanced spin transport in a ferrite having distributed\nenergy barriers for exchange bias,\" Journal of Magnetism and Magnetic Materials 492, 165644 (2019).\n28A. Prakash, J. Brangham, F. Yang, and J. P. Heremans, \\Spin seebeck e\u000bect through antiferromagnetic\nNiO,\" Physical Review B 94, 014427 (2016).\n29Z. Qiu, D. Hou, J. Barker, K. Yamamoto, O. Gomonay, and E. Saitoh, \\Spin colossal magnetoresistance\nin an antiferromagnetic insulator,\" Nature materials , 1 (2018).\n30J. Cramer, U. Ritzmann, B.-W. Dong, S. Jaiswal, Z. Qiu, E. Saitoh, U. Nowak, and M. Kl aui, \\Spin\ntransport across antiferromagnets induced by the spin seebeck e\u000bect,\" Journal of Physics D: Applied\nPhysics 51, 144004 (2018).\n31L. Baldrati, C. Schneider, T. Niizeki, R. Ramos, J. Cramer, A. Ross, E. Saitoh, and M. Kl aui, \\Spin\ntransport in multilayer systems with fully epitaxial NiO thin \flms,\" Physical Review B 98, 014409 (2018).\n32R. Khymyn, I. Lisenkov, V. S. Tiberkevich, A. N. Slavin, and B. A. Ivanov, \\Transformation of spin\ncurrent by antiferromagnetic insulators,\" Physical Review B 93, 224421 (2016).\n33S. Rezende, R. Rodr\u0013 \u0010guez-Su\u0013 arez, and A. Azevedo, \\Di\u000busive magnonic spin transport in antiferromag-\nnetic insulators,\" Physical Review B 93, 054412 (2016).\n34K.-i. Uchida, T. Kikkawa, A. Miura, J. Shiomi, and E. Saitoh, \\Quantitative temperature dependence\nof longitudinal spin seebeck e\u000bect at high temperatures,\" Physical Review X 4, 041023 (2014).\n35B. Wu, G. Luo, J. Lin, and S. Huang, \\Longitudinal spin seebeck e\u000bect in a half-metallic La 0:7Sr0:3MnO 3\n\flm,\" Physical Review B 96, 060402 (2017).\n36H. Adachi, K.-i. Uchida, E. Saitoh, and S. Maekawa, \\Theory of the spin seebeck e\u000bect,\" Reports on\nProgress in Physics 76, 036501 (2013).\n37Y. Ohnuma, H. Adachi, E. Saitoh, and S. Maekawa, \\Enhanced dc spin pumping into a \ructuating\nferromagnet near T C,\" Physical Review B 89, 174417 (2014).\n38H. Adachi, Y. Yamamoto, and M. Ichioka, \\Spin seebeck e\u000bect in a simple ferromagnet near T C: a\nginzburg{landau approach,\" Journal of Physics D: Applied Physics 51, 144001 (2018).\n39J. Barker and G. E. Bauer, \\Thermal spin dynamics of yttrium iron garnet,\" Physical review letters 117,\n217201 (2016).\n40F. Levy, \\Spontaneous magnetoelastic e\u000bects in some rare earth compounds. i. europium-chalcogenides,\"\nPHYSIK DER KONDENSITERTEN MATERIE 10, 71{+ (1969).\n41O. Dietrich, A. Henderson Jr, and H. Meyer, \\Spin-wave analysis of speci\fc heat and magnetization in\nEuO and EuS,\" Physical Review B 12, 2844 (1975).\n42T. Kasuya, \\Exchange mechanisms in europium chalcogenides,\" IBM Journal of Research and Develop-\nment 14, 214{223 (1970).\n43L. Passell, O. Dietrich, and J. Als-Nielsen, \\Neutron scattering from the heisenberg ferromagnets EuO\nand EuS. i. the exchange interactions,\" Physical Review B 14, 4897 (1976).\n44M. R. Oliver, J. Dimmock, A. McWhorter, and T. Reed, \\Conductivity studies in europium oxide,\"\nPhysical Review B 5, 1078 (1972).\n45T. Penney, M. Shafer, and J. Torrance, \\Insulator-metal transition and long-range magnetic order in\nEuO,\" Physical Review B 5, 3669 (1972).14\n46P. Steeneken, L. Tjeng, I. El\fmov, G. Sawatzky, G. Ghiringhelli, N. Brookes, and D.-J. Huang, \\Exchange\nsplitting and charge carrier spin polarization in EuO,\" Physical review letters 88, 047201 (2002).\n47A. Schmehl, V. Vaithyanathan, A. Herrnberger, S. Thiel, C. Richter, M. Liberati, T. Heeg, M. R ockerath,\nL. F. Kourkoutis, S. M uhlbauer, et al. , \\Epitaxial integration of the highly spin-polarized ferromagnetic\nsemiconductor EuO with silicon and GaN,\" Nature materials 6, 882 (2007).\n48M. Barbagallo, N. Hine, J. Cooper, N.-J. Steinke, A. Ionescu, C. Barnes, C. Kinane, R. Dalgliesh, T. Charl-\nton, and S. Langridge, \\Experimental and theoretical analysis of magnetic moment enhancement in\noxygen-de\fcient EuO,\" Physical Review B 81, 235216 (2010).\n49R. Jansen, \\Silicon spintronics,\" Nature materials 11, 400 (2012).\n50R. Dass, J.-Q. Yan, and J. Goodenough, \\Oxygen stoichiometry, ferromagnetism, and transport proper-\nties of La 2\u0000xNiMnO6+ \u000e,\" Physical Review B 68, 064415 (2003).\n51H. Das, U. V. Waghmare, T. Saha-Dasgupta, and D. Sarma, \\Electronic structure, phonons, and dielectric\nanomaly in ferromagnetic insulating double pervoskite La 2NiMno 6,\" Physical review letters 100, 186402\n(2008).\n52P. Kumar, S. Ghara, B. Rajeswaran, D. Muthu, A. Sundaresan, and A. Sood, \\Temperature dependent\nmagnetic, dielectric and raman studies of partially disordered La 2NiMno 6,\" Solid State Communications\n184, 47{51 (2014).\n53H. Guo, J. Burgess, S. Street, A. Gupta, T. Calvarese, and M. Subramanian, \\Growth of epitaxial thin\n\flms of the ordered double perovskite La 2NiMno 6on di\u000berent substrates,\" Applied physics letters 89,\n022509 (2006).\n54Y. Shiomi and E. Saitoh, \\Paramagnetic spin pumping,\" Physical review letters 113, 266602 (2014).\n55M. Hashisaka, D. Kan, A. Masuno, T. Terashima, M. Takano, and K. Mibu, \\Spin-\fltering e\u000bect of\nferromagnetic semiconductor La 2NiMno 6,\" Journal of Magnetism and Magnetic Materials 310, 1975{\n1977 (2007).\n56S. Zhou, L. Shi, H. Yang, and J. Zhao, \\Evidence of short-range magnetic ordering above tc in the double\nperovskite La 2NiMno 6,\" Applied Physics Letters 91, 172505 (2007).\n57H. Guo, A. Gupta, M. Varela, S. Pennycook, and J. Zhang, \\Local valence and magnetic characteristics\nof La 2NiMno 6,\" Physical Review B 79, 172402 (2009).\n58C. Bull, D. Gleeson, and K. Knight, \\Determination of b-site ordering and structural transformations\nin the mixed transition metal perovskites La 2CoMno 6and La 2NiMno 6,\" Journal of Physics: Condensed\nMatter 15, 4927 (2003).\n59Y. Sakurai, I. Ohkubo, Y. Matsumoto, H. Koinuma, and M. Oshima, \\In\ruence of substrates on epitaxial\ngrowth of b-site-ordered perovskite La 2NiMno 6thin \flms,\" Journal of Applied Physics 110, 063913\n(2011).\n60M. Bernal-Salamanca, Z. Konstantinovi\u0013 c, L. Balcells, E. Pannunzio-Miner, F. Sandiumenge, L. L\u0013 opez-Mir,\nB. Bozzo, J. Herrero-Mart\u0013 \u0010n, A. Pomar, C. Frontera, et al. , \\Non-stoichiometry driven ferromagnetism\nin double perovskite La 2Ni1\u0000xMn1+xo6insulating thin \flms,\" Crystal Growth & Design (2019).\n61A. Samokhvalov, A. Gunichev, B. Gizhevskii, N. Loshkareva, N. Chebotaev, and N. Viglin, \\Nonstoi-\nchiometric EuO \flms with an elevated curie temperature,\" Sov. Phys.-Solid State (Engl. Transl.);(United\nStates) 20(1978).\n62A. Mauger and C. Godart, \\The magnetic, optical, and transport properties of representatives of a class\nof magnetic semiconductors: The europium chalcogenides,\" Physics Reports 141, 51{176 (1986).\n63M. Arnold and J. Kroha, \\Simultaneous ferromagnetic metal-semiconductor transition in electron-doped\nEuO,\" Physical review letters 100, 046404 (2008).\n64A. Sola, P. Bougiatioti, M. Kuepferling, D. Meier, G. Reiss, M. Pasquale, T. Kuschel, and V. Basso,\n\\Longitudinal spin seebeck coe\u000ecient: heat \rux vs. temperature di\u000berence method,\" Scienti\fc reports 7,\n46752 (2017).\n65A. Prakash, B. Flebus, J. Brangham, F. Yang, Y. Tserkovnyak, and J. P. Heremans, \\Evidence for the\nrole of the magnon energy relaxation length in the spin seebeck e\u000bect,\" Physical Review B 97, 020408\n(2018).\n66E.-J. Guo, J. Cramer, A. Kehlberger, C. A. Ferguson, D. A. MacLaren, G. Jakob, and M. Kl aui, \\In\ruence\nof thickness and interface on the low-temperature enhancement of the spin seebeck e\u000bect in yig \flms,\"\nPhysical Review X 6, 031012 (2016).\n67V. Kalappattil, R. Das, M.-H. Phan, and H. Srikanth, \\Roles of bulk and surface magnetic anisotropy\non the longitudinal spin seebeck e\u000bect of Pt/YIG,\" Scienti\fc reports 7, 13316 (2017).\n68P. Bougiatioti, C. Klewe, D. Meier, O. Manos, O. Kuschel, J. Wollschl ager, L. Bouchenoire, S. D. Brown,\nJ.-M. Schmalhorst, G. Reiss, et al. , \\Quantitative disentanglement of the spin seebeck, proximity-induced,\nand ferromagnetic-induced anomalous nernst e\u000bect in normal-metal{ferromagnet bilayers,\" Physical re-\nview letters 119, 227205 (2017).\n69S. Altendorf, A. E\fmenko, V. Oliana, H. Kierspel, A. Rata, and L. Tjeng, \\Oxygen o\u000b-stoichiometry\nand phase separation in EuO thin \flms,\" Physical Review B 84, 155442 (2011).\n70P. G. Steeneken, \\New light on EuO thin \flms: Preparation, transport, magnetism and spectroscopy of\na ferromagnetic semiconductor,\" arXiv preprint arXiv:1203.6771 (2012).\n71X. Luo, B. Wang, Y. Sun, X. Zhu, and W. Song, \\Critical behavior of double perovskite La 2NiMno 6,\"\nJournal of Physics: Condensed Matter 20, 465211 (2008).\n72H. E. Stanley, Phase transitions and critical phenomena (Clarendon Press, Oxford, 1971).\n73P. Gel'd, \\Critical phenomena in EuO,\" Zh. Eksp. Teor. Fiz 69, 565{571 (1975).15\n74H. Idzuchi, Y. Fukuma, H. S. Park, T. Matsuda, T. Tanigaki, S. Aizawa, M. Shirai, D. Shindo, and\nY. Otani, \\Critical exponents and domain structures of magnetic semiconductor EuS and gd-doped EuS\n\flms near curie temperature,\" Applied Physics Express 7, 113002 (2014).\n75W. Limmer, M. Glunk, J. Daeubler, T. Hummel, W. Schoch, R. Sauer, C. Bihler, H. Huebl, M. Brandt,\nand S. Goennenwein, \\Angle-dependent magnetotransport in cubic and tetragonal ferromagnets: Appli-\ncation to (001)-and (113) a-oriented (ga, mn) as,\" Physical Review B 74, 205205 (2006).\n76K.-i. Uchida, Z. Qiu, T. Kikkawa, R. Iguchi, and E. Saitoh, \\Spin hall magnetoresistance at high tem-\nperatures,\" Applied Physics Letters 106, 052405 (2015).\n77S. Marmion, M. Ali, M. McLaren, D. Williams, and B. Hickey, \\Temperature dependence of spin Hall\nmagnetoresistance in thin YIG/Pt \flms,\" Physical Review B 89, 220404 (2014).\n78M. Isasa, E. Villamor, L. E. Hueso, M. Gradhand, and F. Casanova, \\Temperature dependence of spin\ndi\u000busion length and spin Hall angle in Au and Pt,\" Physical Review B 91, 024402 (2015).\n79S. Okamoto, \\Spin injection and spin transport in paramagnetic insulators,\" Physical Review B 93,\n064421 (2016).\n80L. J. Cornelissen, K. J. Peters, G. E. Bauer, R. Duine, and B. J. van Wees, \\Magnon spin transport\ndriven by the magnon chemical potential in a magnetic insulator,\" Physical Review B 94, 014412 (2016).\n81S. V\u0013 elez, V. N. Golovach, J. M. Gomez-Perez, C. T. Bui, F. Rivadulla, L. E. Hueso, F. S. Bergeret,\nand F. Casanova, \\Spin-hall magnetoresistance in a low-dimensional magnetic insulator,\" arXiv preprint\narXiv:1805.11225 (2018)." }, { "title": "1506.05290v1.Magnetic_field_control_of_the_spin_Seebeck_effect.pdf", "content": "arXiv:1506.05290v1 [cond-mat.mtrl-sci] 17 Jun 2015Magnetic field control of the spin Seebeck effect\nUlrike Ritzmann, Denise Hinzke, Andreas Kehlberger, Er-Jia Guo, Ma thias Kl¨ aui, and Ulrich Nowak\nDepartment of Physics, University of Konstanz, D-78457 Kon stanz, Germany and\nInstitute of Physics, Johannes-Gutenberg University Main z, 55099 Mainz, Germany\n(Dated: August 29, 2018)\nThe origin of the suppression of the longitudinal spin Seebe ck effect by applied magnetic fields\nis studied. We perform numerical simulations of the stochas tic Landau-Lifshitz-Gilbert equation of\nmotion for an atomistic spin model and calculate the magnon a ccumulation in linear temperature\ngradients for different strengths of applied magnetic fields and different length scales of the temper-\nature gradient. We observe a decrease of the magnon accumula tion with increasing magnetic field\nand we reveal that the origin of this effect is a field dependent change of the frequency distribution\nof the propagating magnons. With increasing field the magnon ic spin currents are reduced due to a\nsuppression of parts of the frequency spectrum. By comparis on with measurements of the magnetic\nfield dependent longitudinal spin Seebeck effect in YIG thin fi lms with various thicknesses, we find\nthat our model describes the experimental data very well, de monstrating the importance of this\neffect for experimental systems.\nPACS numbers: 75.30.Ds, 75.40.Mg, 75.76.+j\nSpin caloritronics is an emerging research field promis-\ning spintronic devices with new functionalites, which rest\non the combined transport of heat and spin [1, 2]. A key\ntool that stimulated this field is the inverse spin Hall ef-\nfect [3, 4], which allows one to detect pure spin currents\ndue to a transformation into measurable charge currents\nvia spin orbit coupling. Using this indirect measurement\ntechnique Uchida et al. measured the so-called spin See-\nbeck effect (SSE) [5]. The measurements showed that in\nthe ferromagnetic insulator YIG a pure spin current is\ncreated due to an applied temperature gradient.\nThese findings triggered a variety of further studies in\ndifferent groups [6–15] to investigate the origin of the de-\ntected spin currents. Interface effects due to a proximity\neffect which creates a magnetization in the normal metal\nwere discussed [16], but various groups showed that the\neffect in the YIG/Pt system cannot be responsible for\nthe measured signals [7, 9, 10, 14]. A first theoretical\ndescription of the magnonic spin Seebeck effect was de-\nveloped by Xiao et al. [17]. With a two temperature\nmodel including the local magnon and phonon temper-\natures the measured spin Seebeck voltage was shown to\noriginate from the local difference between magnon and\nphonon temperature at the Pt interface caused by ther-\nmal spin pumping. Later it was shown by spin model\nsimulations that such a temperature difference can be\ncaused by magnons travelingfrom the hotter towardsthe\ncolder part of the sample [18]. By studying the thickness\ndependence of the SSE for thin YIG films, Kehlberger et\nal. [7] found an increasing spin Seebeck signal saturat-\ning above a critical length scale. This length scale can be\nreferredtoasthemeanmagnonpropagationlength. Sim-\nilarly Agrawal et al. measured the time evolution of the\nSSE in the sub-microsecond regime and explained their\ntime dependent increase of the SSE by a dependence on\nthe characteristic length scale of magnon propagation of\na few hundred nm [12].Further theoretical studies focused on the magnonic\norigin of the SSE [19, 20] also predicting thickness de-\npendent effects. Hoffman et al. [19] studied the SSE an-\nalyticallywithin the frameworkofthe stochasticLandau-\nLifshitz-Gilbert equation. They assume that mainly\nmagnons with ¯ hω≈kBTcontribute to the effect, but\nBoona et al. proposed that also sub-thermal magnons\nwith lower energy can contribute or even dominate [21].\nTo reveal, which magnons contribute to the effect, field\n- dependent measurements that tailor the magnon spec-\ntrum are a key. First such measurements were made\navailable in a recent publication by Kikkawa et al. [9]\nwho studied the reduction of the SSE in the regime of\nhigh magnetic fields and report a suppression of the mea-\nsured SSE signal. However no theoretical explanation\nwas given that reproduces the results completely and al-\nlows for a direct comparison of experiment and theory.\nIn this paper, we study the influence of external mag-\nnetic fields on the SSE. Applying external fields ma-\nnipulates the frequency distribution of the propagating\nmagnons by increasing the frequency gap ωminof the\nfrequency spectrum. Therefore, the number of propa-\ngating magnons can be reduced by increasing the exter-\nnal magnetic field. We present numerical simulations of\nthe magnon accumulation in linear temperature gradi-\nents and its dependence on external magnetic fields. For\nthisstudyanatomisticspinmodelisused,whichprovides\nrealistic spin wave spectra beyond parabolic approxima-\ntion and without any artificial cut-off due to discretiza-\ntion effects. To understand the underlying physics, an\nanalytical model is derived that explains the effect of the\nmagnetic field within the framework of linear spin wave\ntheory. Finally, our theoretical work is directly com-\npared with field dependent SSE measurements of YIG\nthin films with different thicknesses, which cover a large\nrange across the critical length scale showing good agree-\nment.2\nFor the numerical simulations we use an atomistic spin\nsystemconsistingoflocalizedspinswithnormalizedmag-\nnetic moment Si=µi/µson a cubic lattice. Our model\nHamiltonian Hincludes Heisenberg exchange interaction\nfor nearest neighbors with exchange constant J, a uni-\naxial anisotropy with an easy-axis in z-direction with\nanisotropy constant dz, and an external field B=Bzez\nparallel to the easy axis,\nH=−J\n2/summationdisplay\nSiSj−dz/summationdisplay\ni/parenleftbig\nSz\ni/parenrightbig2−µsBz/summationdisplay\niSz\ni. (1)\nThe dynamics of each single spin are described by the\nstochastic Landau-Lifshitz-Gilbert (LLG) equation,\n∂Si\n∂t=−γ\nµs(1+α2)Si×(Hi+α(Si×Hi)), (2)\nconsistingofa precessionaroundits effective field Hiand\na phenomenological damping with damping constant α\n[22, 23]. γis the gyromagnetic ratio and the effective\nfieldHiis given by\nHi=−∂H\n∂Si+ζi(t) . (3)\nThe temperature is included as additional noise term\nζi(t) in the effective field Hifulfilling\n/angb∇acketleftζ(t)/angb∇acket∇ight= 0/angbracketleftbig\nζη\ni(0)ζθ\nj(t)/angbracketrightbig\n=2kBTpαµs\nγδijδηθδ(t). (4)\nThe dynamicsofthe systemsarestudied bynumericalin-\ntegration of these equations using the Heun-method [24].\nIn the systems considered, the temperature is spatially\ndependent, including a linear temperature gradient in z-\ndirection with a constant slope over a distance Land\ntwo heat baths at the two ends of the system as shown in\nFIG. 1. The dimension of both heat baths is chosen to be\nlarge enough to minimize finite size effects in the area of\nthe gradient. The giventemperatureprofile describesthe\ntemperature of the phononic heat bath and it is assumed\nthat the phonon temperature remains constant during\nthe simulation.\nWe study the manipulation of the magnon accumula-\ntion by applying external magnetic fields. For this pur-\npose, we simulate a system with 8 ×8×512 spins includ-\ning an easy-axis parallel to z-direction with dz= 10−3,\na damping constant of α= 0.01 and apply an exter-\nnal field in the z-direction. Linear temperature gradients\nwith variablelengths Lexcite net magnonicspin currents\ndue to a non-equilibrium of the local magnonic density\nof states. In the hotter area more magnons exist than\nin the colder area and therefore more magnons propa-\ngate towards the colder region than the other way round,\nleading to a net magnon current from hot to cold. Since\nwe aim to describe spin accumulation in samples with\nthin film geometry we will call the spatial extension of\nthe gradient, L, from now on the thickness.L= 50aL= 100aL= 150a\npositionz/a\nphonon temperature kBTp/Jmagnon accumulation ∆m0.150.10.050\n100 50 0 -50 -1000.004\n0.002\n0\n-0.002\n-0.004\nFIG. 1. Local magnon accumulation ∆ mprofile with the\nspace position zin units of the lattice constant afor different\nthicknesses L.\nAfter an initial relaxation the system reaches a quasi\nstatic state where we calculate the local magnetization\nprofilem(z) as an average over time and over the x-y-\nplanes. The magnon accumulation can be defined as the\ndifference of the local magnetization to its equilibrium\nvalue related to the local phonon temperature,\n∆m(z) =m(z)−meq(Tp(z)). (5)\nFIG. 1showsexemplarythe resultingmagnonaccumu-\nlation for an external field Bz= 0.1J/µsand a temper-\nature gradient of ∇zT= 10−3J/(kBa) for various thick-\nnessesL. As shown in similar simulations by Kehlberger\net al. the magnon accumulation has two extrema at the\nends of the temperature gradient and their values in-\ncrease with increasing thickness up to a characteristic\nlength scale above which the magnon accumulation satu-\nrates. This characteristic length scale can be referred to\nas the mean magnon propagation length ξavg[7].\nIn the upper part of FIG. 2, the extreme value of the\nmagnon accumulation at the cold end of the temperature\ngradient is shown versus applied magnetic field Bzfor\ndifferent thicknesses. The magnetic fields used in these\nsimulations corresponds to magnetic fields of the order\nof 80T leading to strong effects. In all cases one can see\nthat the magnon accumulation decreases with increasing\nfield, but the observed suppression of the magnon accu-\nmulation is thickness dependent. For thicker films, larger\nthan the mean magnon propagationlength, the field sup-\npression of the magnon accumulation is stronger than for\nthinner films where the suppression effect shows only a\nweakthicknessdependence. Thiscanbeseeninthe lower\npart of FIG. 2 where the normalized magnon accumula-\ntion ∆m(Bz)/∆m(0) is shown for various thicknesses.\nThe magnon accumulations at both, the hot and\nthe cold end of the temperature gradient, are nearly\nproportional to the temperature difference between the\nmagnonicandphononicsubsystems[18]. Itwasshownby\nXiaoetal. thatthistemperaturedifferencescaleslinearly3\nL= 200aL= 150aL= 100aL= 50aL= 20amagnon accumulation ∆m0.01\n0.008\n0.006\n0.004\n0.002\n0\nL= 200aL= 150aL= 100aL= 50aL= 20a\nmagnetic field µsBz/J∆m(Bz)/∆m(0)\n0.250.2 0.150.1 0.0501\n0.9\n0.8\n0.7\n0.6\n0.5\n0.4\n0.3\nFIG. 2. Upper part: Magnon accumulation at the cold end\nof the temperature gradient versus applied magnetic field Bz\nfor different thicknesses with ∇T= 10−3kBT/(Ja),α= 0.01,\ndz= 10−3J. Lower part: Corresponding normalized magnon\naccumulation ∆ m(B)/∆m(0).\nwith the spin Seebeck voltage, which is measured in ex-\nperiments [17]. Hence, one can expect that the magnonic\ncontribution to the SSE can be suppressed by magnetic\nfields. Interestingly, this behavior shows a thickness de-\npendence with the influence of the field decreasing for\nthinner films, an effect that we will discuss later on.\nFirst, in order to verify our theoretical model, the high\nmagnetic field dependence of the SSE is measured us-\ning Pt/YIG hybrids. The samples used in the present\nstudy are (111)-oriented YIG slabs (5 ×10×1 mm3) and\nYIG thin films grown on Gd 3Ga5O12(GGG) substrate\n(5×10×0.5mm3) by liquid phase epitaxy. The thickness\nof the YIG thin films is varied from 0.3 µm to 50 µm.\n5.5 nm-thick Pt layers are deposited on the YIG surfaces\nby dc-magnetron sputtering and further patterned into\nstrips (length of 4 mm and width of 100 µm) by opti-\ncal lithography and argon ion beam milling. FIG. 3(a)\nshows a schematic diagram of the prepared sample and\nmeasurement setup. We adopt the longitudinal configu-\nration to determine the SSE. The sample is sandwiched\nbetween the resistive heater and thermal sensor, further\nmounted onto a copper heat sink then put into the cryo-\nstat. Each functional layer is structured on a Al 2O3sub-\nstrate, which prevent an electrical short circuit the indi-\nvidual elements another, while ensuring maximal vertical\ntemperature transport. Furthermore a thermal grease is\nused for the mounting of the elements, which ensures\ngood thermal connection at the interfaces. A temper-\nature gradient, ∇T, can be generated by the attached\nresistive heater and be varied by simply changing theheating currents. A spin current is thermally generated\nin the Pt layer along the direction of thermal gradient\n(z-axis), and further converted into an electric field due\nto the inverse spin Hall effect. The SSE can be detected\nelectrically by measuring the voltage drop VSSEat the\ntwo ends of the Pt strip (along x-axis). The benefit\nof our measurement setup is that the Pt strips on the\ntop and bottom surfaces of the samples can be utilized\nas an excellent resistance-temperature detector to deter-\nmine the temperature differences across the hybrids pre-\ncisely. In our room-temperatureexperiments we keep the\ncryostat at a fixed temperature of 300K and a heating\ncurrent in our resistive heater of Iheat= 9mA results\nin the temperature difference ∆ Tof roughly 4 .2±0.2K\nfor our measurements. An external magnetic field His\napplied perpendicular to the Pt strip along y-axis. The\nmaximum magnetic field is up to 9T, which is orders\nof magnitude higher than the coercivity of YIG, ensur-\ning that the magnetization of the YIG slab (or YIG thin\nfilms) is well aligned along the field direction. To exclude\nproximity effects from the Pt/YIG interface as the origin\nof the observed field suppression of the SSE, the magne-\ntoresistance of the Pt layer is monitored, which shows no\nnoticeable change beyond the saturation field of magne-\ntization of the YIG film.\nTheVSSEis recorded as a function of magnetic fields.\nThe SSE signal appears when a magnetic field is applied\nand flips its sign when the field reverses. We find the\nmagnitudeofthe SSEsignalsuppressedbyhighmagnetic\nfields at room temperature. The maximum value of VSSE\nis found at the smallest field interval of 0.2T. The thick-\nness dependent SSE coefficient σSSE=VSSE(0.2T)/∆T\nexhibits a similar trend to the one observed in our pre-\nvious work: the σSSEis enhanced with increasing film\nthickness and saturates above a characteristic length,\ndemonstrating the bulk origin of magnonic spin current\n[7]. FIG.3(b) showsexamplesofthenormalizedspinSee-\nbeck voltages VSSE(H)/VSSE(0.2T) for selected Pt/YIG\nhybrids. Obviously, the suppressioneffect is reduced dra-\nmaticallywith decreasingfilm thickness. The experimen-\ntal results are in agreement with the numerical results\nusing ourproposedtheoreticalmodel showinga field sup-\npression up to 40% for the 1mm thick YIG slab under a\nmagnetic field of 9T, while this value drops to only 0.1%\nfor 0.3µm thick YIG films.\nTo understand this effect at a fundamental level, we\nanalyze it next based on an analytical linear spin wave\ntheory. In particular we determine in this model the ori-\ngin of the field suppression of the magnon accumulation\nas well as the thickness dependence of this effect. The\nmagnonic dispersion relation ofthe simulated system can\nbe calculated by solving the linearized LLG equation,\n¯hωq= 2dz+µsBz+2J/summationdisplay\nθ(1−cos(qθaθ)) , (6)\nwhereθdenotes the spatial coordinates x,y,z. The4\n(a)\n(b)\nbulk50µm22.8µm12.24µm7.78µm3.08µm1.51µm0.87µm0.3µm\nmagnetic field H[T]SSE voltage VSSE(H)/VSSE(0.2T)\n10864201\n0.9\n0.8\n0.7\n0.6\n0.5\n0.4\nFIG. 3. (a) Schematic diagram of sample structure and\nmeasurement setup. (b) Normalized spin Seebeck voltage\nVSSE(H)/VSSE(0.2T) of Pt/YIG structures composed of YIG\nsingle crystal and selected YIG thin films with thickness ran g-\ning from 0.3 to 50 µm.\ndispersion relation consists of a magnon frequency gap\n¯hωmin= (2dz+µsBz) and a second term depending on\nthe wave vector q. The frequency gap increases with\nincreasing magnetic fields and, hence, parts of the spec-\ntrum arefrozenoutforhighermagneticfields. Thiseffect\ncan be seen in Fig. 4. By calculating a Fourier transfor-\nmation of the magnon accumulation ∆ min the time do-\nmain, as used by Ritzmann et al. [18], at the cold end of\nthe temperature gradient, we can calculate the frequency\ndistribution of the magnons from our simulations. The\nshown frequency distributions for temperature gradients\nwith width L= 200afor different magnetic fields shows\na clear shift of the frequency gap and therefore a sup-\npression of parts of the frequency spectra. This effect\ncan explain the suppression of the accumulation due to\napplied magnetic fields.\nThe frequency dependent magnon propagation length\nξ(ω) is also shown in Fig. 4. It can be estimated using\nthe lifetime τ= (αω)−1and the group velocity of the\nmagnons [18] leading to the expression:\nξωq\na=/radicalbigg\nJ2−/parenleftBig\n1\n2(¯hωq−¯hωmin)−J/parenrightBig2\nα¯hωq(7)1200\n800\n400\n0\n0.50.40.30.20.100.01\n0.001\n0.0001µsBz= 0.10JµsBz= 0.05JµsBz= 0.01JµsBz= 0.00J\nfrequency ¯hωq/J\nmagnon propagation length ξω/aamplitude |S+(ωq)|\nFIG. 4. Magnon frequency distribution of propagating\nmagnons at the cold end of the temperature gradient and the\nfrequency dependent magnon propagation length for differen t\nmagnetic fields.\nThe maximum propagation length is given by\nξmax\na=1√\n2α/radicalbigg\nJ\n¯hωmin(8)\nand can be much larger than the mean propagation\nlength of the magnons.\nThe thickness dependence of the field suppression can\nbe explained by the frequency dependent magnon prop-\nagation length. Magnons with large propagation length\nwill always reach the cold end of the temperature gradi-\nent. When the thickness is reduced, the contribution of\nthose magnons is reduced. Since only these magnons are\nsuppressed by the frequency gap, the field suppression is\nalso reduced for low thicknesses. Note, that the equa-\ntions above show that the same suppression effect would\nappear by modifying the anisotropy in the system, since\nthe effect depends not only on the size of the magnetic\nfield, but on the value of the frequency gap.\nFurthermore, not only parts of the spectrum are sup-\npressed, but also the frequency dependent magnon prop-\nagation length ξ(ω) is modified by applying higher mag-\nneticfields. Whenthepropagationlengthofthemagnons\nis reduced, the number of magnons propagating through\nthe system is smaller, leading also to a reduction of the\nmagnon accumulation, showing that multiple effects con-\ntribute to this generic property of thermal magnon prop-\nagation in applied magnetic fields.\nInconclusionwehaveshownnumericalsimulationsand\nexperiments on the magnetic field suppression of the lon-\ngitudinal SSE. Applying large magnetic fields can sup-\npress the excited magnon spin currents by suppressing\nparts of the frequency spectrum. We find qualitative\nagreement between the performed simulation and exper-\niments of the field dependence of the longitudinal SSE in\nYIG at room temperature for various thicknesses. The5\nsuppression is strongly dependent on the thickness of the\nsampleandispracticallyvanishingforthinfilmssincelow\nfrequencymagnonsplayaminorroleinthinfilmsascom-\npared to bulk materials. Furthermore, not only parts of\nthe spectra are suppressed but the magnon propagation\nlength given by the Gilbert damping is modified lead-\ning to a lower propagation length in systems with higher\nmagnetic fields. Our analysis opens a new avenue to de-\ntermining which magnons contribute to the spin current\nas a careful study of the field dependence would allow\nthen to measure the intensities of the involved frequency\nas proposed by Boona et al. [21]. Furthermore, the field\nsuppression open new opportunities for the control of the\nmagnonflowand resultingSSE with externalfields. Dur-\ning the preparation of this manuscript we became aware\nof two related experimental works in arxiv [25, 26] sup-\nporting our findings.\nThe authors would like to thank the Deutsche\nForschungsgemeinschaft for financial support via SPP\n1538 “Spin Caloric Transport” and the SFB 767 “Con-\ntrolled Nanosystem: Interaction and Interfacing to\nthe Macroscale” as well as the EU (IFOX, NMP3-\nLA-2012246102, INSPIN, FP7-ICT-2013-X 612759,\nMASPIC, ERC-2007-StG 208162).\n[1] G. E. W. Bauer, E. Saitoh, and B. J. van Wees,\nNature Mat. 11, 391 (2012).\n[2] S. R. Boona, R. C. Myers, and J. P. Heremans,\nEnergy Environ. Sci. 7, 885 (2014).\n[3] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara,\nAppl. Phy. Lett. 88, 182509 (2006).\n[4] S. O. Valenzuela and M. Tinkham,\nNature442, 176 (2006).\n[5] K. Uchida, H. Adachi, T. Ota,\nH. Nakayama, S. Maekawa, and E. Saitoh,\nAppl. Phy. Lett. 97, 172505 (2010).\n[6] M. Weiler, M. Althammer, F. Czeschka, H. Huebl,\nM. Wagner, M. Opel, I.-M. Imort, G. Reiss,\nA. Thomas, R. Gross, and S. Goennenwein,\nPhys. Rev. Lett. 108, 106602 (2012).\n[7] A. Kehlberger, R. R¨ oser, G. Jakob, U. Ritzmann,\nD. Hinzke, U. Nowak, M. C. Onbasli, D. H. Kim, C. A.Ross, M. B. Jungfleisch, B. Hillebrands, and M. Kl¨ aui,\narXiv (2013).\n[8] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein,\nPhys. Rev. B 88, 094410 (2013).\n[9] T. Kikkawa, K. Uchida, Y. Shiomi, Z. Qiu, D. Hou,\nD. Tian, H. Nakayama, X.-F. Jin, and E. Saitoh,\nPhys. Rev. Lett. 110, 067207 (2013).\n[10] T. Kikkawa, K. Uchida, S. Daimon, Y. Shiomi,\nH. Adachi, Z. Qiu, D. Hou, X.-F. Jin, S. Maekawa, and\nE. Saitoh, Phys. Rev. B 88, 214403 (2013).\n[11] M. Schreier, N. Roschewsky, E. Dobler, S. Meyer,\nH. Huebl, R. Gross, and S. T. B. Goennenwein,\nApplied Physics Letters 103, 242404 (2013).\n[12] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. Kiri-\nhara, P. Pirro, T. Langner, M. B. Jungfleisch, A. V.\nChumak, E. T. Papaioannou, and B. Hillebrands,\nPhys. Rev. B 89, 224414 (2014).\n[13] N. Roschewsky, M. Schreier, A. Kamra,\nF. Schade, K. Ganzhorn, S. Meyer, H. Huebl,\nS. Geprgs, R. Gross, and S. T. B. Goennenwein,\nApplied Physics Letters 104, 202410 (2014).\n[14] N. Vlietstra, J. Shan, B. J. van Wees,\nM. Isasa, F. Casanova, and J. Ben Youssef,\nPhys. Rev. B 90, 174436 (2014).\n[15] K.-i. Uchida, T. Kikkawa, A. Miura, J. Shiomi, and\nE. Saitoh, Phys. Rev. X 4, 041023 (2014).\n[16] S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang,\nJ. Wu, T. Y. Chen, J. Q. Xiao, and C. L. Chien,\nPhys. Rev. Lett. 109, 107204 (2012).\n[17] J. Xiao, G. E. W. Bauer, K. Uchida, E. Saitoh, and\nS. Maekawa, Phys. Rev. B 81, 214418 (2010).\n[18] U. Ritzmann, D. Hinzke, and U. Nowak,\nPhys. Rev. B 89, 024409 (2014).\n[19] S. Hoffman, K. Sato, and Y. Tserkovnyak,\nPhys. Rev. B 88, 064408 (2013).\n[20] S. R. Etesami, L. Chotorlishvili, A. Sukhov, and J. Be-\nrakdar, Phys. Rev. B 90, 014410 (2014).\n[21] S. R. Boona and J. P. Heremans,\nPhys. Rev. B 90, 064421 (2014).\n[22] D. Landau and E. Lifshitz, Physikalische Zeitschrift d er\nSowjetunion 8, 153 (1935).\n[23] T. Gilbert, Physical Review 100, 1243 (1955).\n[24] U. Nowak, “Handbook of magnetism and advanced mag-\nnetic materials,” (John Wiley & Sons, 2007) Chap. Clas-\nsical Spin-Models.\n[25] T. Kikkawa, K.-i. Uchida, S. Daimon, Z. Qiu, Y. Shiomi,\nand E. Saitoh, ArXiv e-prints (2015), arXiv:1503.05764.\n[26] H. Jin, S. R. Boona, Z. Yang, R. C. Myers, and J. P.\nHeremans, ArXiv e-prints (2015), arXiv:1504.00895." }, { "title": "1909.03775v1.Modulation_of_magnon_spin_transport_in_a_magnetic_gate_transistor.pdf", "content": "Modulation of magnon spin transport in a magnetic gate transistor\nK. S. Das,1,\u0003F. Feringa,1M. Middelkamp,1B. J. van Wees,1,yand I. J. Vera-Marun2,z\n1Physics of Nanodevices, Zernike Institute for Advanced Materials,\nUniversity of Groningen, 9747 AG Groningen, The Netherlands\n2School of Physics and Astronomy, University of Manchester, Manchester M13 9PL, United Kingdom\n(Dated: September 10, 2019)\nWe demonstrate a modulation of up to 18% in the magnon spin transport in a magnetic insulator\n(Y3Fe5O12, YIG) using a common ferromagnetic metal (permalloy, Py) as a magnetic control gate.\nA Py electrode, placed between two Pt injector and detector electrodes, acts as a magnetic gate\nin our prototypical magnon transistor device. By manipulating the magnetization direction of Py\nwith respect to that of YIG, the transmission of magnons through the Py jYIG interface can be\ncontrolled, resulting in a modulation of the non-equilibrium magnon density in the YIG channel\nbetween the Pt injector and detector electrodes. This study opens up the possibility of using the\nmagnetic gating e\u000bect for magnon-based spin logic applications.\nMagnon-based spintronic devices are alternatives for\ncharge-based electronics [1{3]. Information, in the form\nof spin waves or magnons, can be transmitted over a long\ndistance in magnetic insulators [4], without the neces-\nsity of accompanying electron transport. Thus, magnon-\nbased devices can be used as a new type of interconnects\nin spintronic circuitry. Additionally, the modulation of\nmagnon spin transport would also enable the use of such\ndevices for logic operations [5]. This has led to a recent\nsurge in experiments exploring the control of magnon\ntransport via magnon-valves [6{8] and in the magnon\ntransistor geometry [9].\nIn order to implement magnonic logic devices, two dis-\ntinctive features are important: control of the magnon\nspin transport and storage of information in a memory\ndevice. The presence of both functionalities in a single\ndevice is still missing in the previous mentioned magnon-\nvalves and magnon transistor. In this work we present\na solution using a novel geometry for a magnon transis-\ntor, making use of a magnetic gating e\u000bect, which also\nhas the potential to implement memory and therefore be\nprogrammable.\nThe ferromagnetic metal permalloy (Py) is used in\na proof-of-concept device geometry for demonstrating\nthe modulation of magnon spin transport in a magnetic\ninsulator (YIG) via the magnetic gating e\u000bect. Ex-\nchange (thermal) magnons are injected using a Pt elec-\ntrode via the spin Hall e\u000bect (SHE), resulting in a non-\nequilibrium magnon accumulation in the YIG \flm [4, 10].\nA second Pt electrode is used to electrically detect the\nnon-equilibrium magnons via the inverse spin Hall ef-\nfect (ISHE). A middle Py strip is placed between the\nPt injector and detector electrodes for manipulating the\nmagnon transport in the YIG channel via the magnetic\ngating e\u000bect, schematically depicted in Fig. 1(a). When\na magnon arrives at the YIG jPy interface, three magnon\nabsorption mechanisms are possible: spin-\rip scattering\nat the interface generating a spin accumulation in the\nPy, spin transfer torque and magnon to magnon trans-\nmission across the YIG jPy interface. The spin transfer\n y\nxzy\nxzFIG. 1. (a)Schematic illustration of the device geometry.\nThe magnon-valve e\u000bect is depicted in the insets, where the\ntransmission of magnons across the Py jYIG interface is de-\npendent on the relative orientation of the Py ( MPy) and the\nYIG (MYIG) magnetizations. (b)An optical image of the\ndevice is shown, along with the electrical connections for the\nnon-local magnon transport experiment. The centre-to-centre\ndistance between the Pt injector and the Pt detector is 2 \u0016m\nfor all the devices.\ntorque is maximum when the Py and the YIG magneti-\nzations,MPyandMYIG, are oriented perpendicular to\neach other. When MPyandMYIGare oriented paral-\nlel to each other, the transmission of magnons from the\nYIG \flm into the Py strip and spin-\rip scattering at the\ninterface is maximized. Considering a shorter magnon\nmean free path in Py as compared to YIG [11, 12], the\ntransmission of magnons into the Py would lead to a\ndecrease in the non-equilibrium magnon density in the\nYIG channel. This will result in the modulation of the\nnon-local magnon spin signal measured by the Pt de-\ntector as a function of the relative orientation between\nMPyandMYIG. Therefore, if spin transfer torque is a\ndominant process, a decrease of the magnon current is ex-\npected when MPyandMYIGare oriented perpendicular.\nWhen spin-\rip scattering and magnon to magnon trans-\nmission are dominant a decrease of the magnon current\nis expected for parallel alignment of MPyandMYIG.\nThe similar geometry as in Ref. 9 has been used, but\nthe modulation mechanism is completely di\u000berent in na-arXiv:1909.03775v1 [cond-mat.mes-hall] 9 Sep 20192\nture. The modulation in Ref. 9 is achieved by creating\na non-equilibrium magnon density in the YIG via the\nelectrically-driven Pt modulator, whereas in this work\nthe magnons in the YIG channel are in equilibrium with\nthe modulator. We demonstrate that a modulation of\nup to 18% can be achieved in our devices, which is more\nthan an order of magnitude higher than that reported in\nRef. 9 for the same YIG \flm thickness (210 nm), using a\nPt modulator.\nThree batches of devices were fabricated using elec-\ntron beam lithography on 210 nm thick YIG (111) \flms,\ngrown by liquid-phase epitaxy on GGG (Gd 3Ga5O12)\nsubstrates. 7 nm thick Pt strips, with widths of 200 nm,\nwere d.c. sputtered on YIG as the injector and detec-\ntor electrodes. The dimensions of the middle Py strip,\nalso fabricated by d.c. sputtering, were varied among the\ndi\u000berent batches of devices. In the \frst two batches we\nvaried the Py width (300, 500, 600 and 900 nm) while\nkeeping a constant thickness of 9 nm, whereas in the\nthird batch we varied the Py thickness (9, 15 and 38 nm)\nwhile keeping a constant width of 900 nm. A Pt-Pt device\nwithout any middle Py strip was fabricated as a reference\ndevice. The centre-to-centre distance between the Pt in-\njector and detector electrodes was kept constant at 2 \u0016m\nfor all the devices. An optical image of a device with a\n900 nm wide middle Py strip is shown in Fig. 1(b), along\nwith the electrical connections. An alternating current\n(I), with an rms amplitude of 400 \u0016A and frequency of\n11 Hz, was sourced through the Pt injector (left). The\n\frst (1f) and the second harmonic (2f) responses of the\nnon-local voltage ( V), correspond to the electrically in-\njected (via the SHE) and the thermally-injected (via the\nspin Seebeck e\u000bect driven by Joule heating at the injec-\ntor) magnons. Both responses were measured simultane-\nously across the Pt detector and the middle Py strip, by\na phase-sensitive lock-in detection technique. The non-\nlocal magnon spin signal is de\fned as R1f\nNL=V1f=Ifor\nthe electrically injected magnons, and R2f\nNL=V2f=I2for\nthe thermally injected magnons. All the measurements\nwere carried out at room temperature.\nAn external magnetic \feld ( B) was swept along the hard\naxis (x-axis) direction of the magnetic gate and the cor-\nresponding R1f\nNLmeasured, as shown in Figs. 2(a-c). A\nmodulation in R1f\nNL, measured by the Pt detector in the\ndevices with a middle Py, was observed [see Fig. 2(a)].\nThe maximum value of R1f\nNLoccurs atB= 0, when MPy\nis oriented along the easy axis of the magnetic gate ( y-\naxis), perpendicular to MYIG. Note that due to a low\ncoercive \feld of our YIG \flm ( <1 mT) [13], MYIGis\nessentially always oriented along the x-axis in our mea-\nsurements. Besides, any possible interfacial exchange in-\nteraction between Py and YIG doesn't play a signi\fcant\nrole [14, 15] and therefore MYIGandMPycan move\nfreely with respect to each other. By changing the mag-\nnitude ofB,R1f\nNLwas modulated, reaching a minimum\nvalue atjBj\u001950 mT, corresponding to the tilting ofMPyalong the in-plane hard axis direction ( x-axis) of\nthe magnetic gate. For jBj\u001550 mT, when MPyand\nMYIGare aligned parallel to each other, R1f\nNLdecreases\nto its minimum value, corresponding to a modulation\n(\u0001R1f) of about 18%. Therefore, the electrically injected\nmagnons from the Pt injector reaching the Pt detector\ndecrease by 18% by reorienting the magnetization direc-\ntion of the Py gate electrode.\nR1f\nNLmeasured across the middle Py strip is shown in\nFig. 2(b). The detection of non-local magnon transport\nat the Py strip occurs via a combination of ISHE and the\ninverse anomalous spin Hall e\u000bect (IASHE), resulting in\na detection e\u000eciency that depends on the orientation of\nMPyand leading to a line shape consistent with previ-\nous reports [14, 15]. A modulation of more than 210% in\ntheR1f\nNLmeasured by the Py detector is observed, which\noccurs due to the detection mechanism being dominated\nonly by ISHE at low Band evolving into being composed\nby both IASHE and ISHE at high B. Note that this mod-\nulation in the magnon detection e\u000eciency, within the Py\nelectrode, is one order of magnitude larger, and of a dif-\nferent nature, than the 18% modulation seen in Fig. 2(a),\nwhich is due to the modulation of magnon current in the\nYIG between the Pt injector and detector.\nThe non-local signal measured in a reference Pt-Pt de-\nvice, without any middle Py strip, is shown in Fig. 2(c).\nR1f\nNLwas found to be constant in this reference device,\nwhich evidences the role of the middle Py strip in the\nmodulation of R1f\nNLin the non-reference devices, as shown\nin Fig. 2(a). Therefore, we can modulate the magnon cur-\nrent reaching the Pt detector using the Py gate, due to\na modulation of the magnon absorption in the Py.\nThe second harmonic response of the non-local magnon\nsignal (R2f\nNL) was also measured by sweeping Balong\nthex-axis, as shown in Figs. 3(a-d). The magnetic gat-\ning e\u000bect of the middle Py strip also led to a modula-\ntion inR2f\nNLmeasured by the Pt detector, as depicted in\nFigs. 3(a) and (c). However, the modulation in R2f\nNLwas\nfound to be \u0001 R2f\u00193:6%, which is 5 times smaller than\nthat ofR1f. Note that the second harmonic response is\nrelated to the non-equilibrium magnons which are gener-\nated via the spin Seebeck e\u000bect (SSE) in YIG [4, 10, 16],\ndriven by the thermal gradient created by the Pt injec-\ntor due to Joule heating. The spacing between injector\nand detector is large and it corresponds to a magnon\naccumulation at the detector, con\frmed by the correct\nsign of the second harmonic response [17]. The trans-\nmission of these thermally generated magnons into the\nmiddle Py strip also depends on the relative orientation\nofMPyandMYIG, resulting in the modulation of R2f\nNL\n[Figs. 3(a) and (c)]. To rationalize the smaller modu-\nlation in the 2f signal, as compared to the 1f signal, we\nhave to look at the magnons generated by SSE. The tem-\nperature gradient extends through the YIG sample and\ntherefore, thermally-generated magnons are not only gen-\nerated close to the injector, but also in the region between3\nFIG. 2. A magnetic \feld ( B) is swept by increasing the \feld (trace, black) and decreasing the \feld (retrace, red) along the\nx-axis and the \frst harmonic response of the non-local magnon spin signal ( R1f\nNL) is measured by (a)Pt as injector and detector\nin a device with a 900 nm wide Py middle strip (Pt-Py-Pt), (b)Pt as injector and the 900 nm wide middle Py strip as detector\n(Pt-Py), and (c)Pt as injector and detector in a reference device without any middle Py strip (Pt-Pt). The arrows in (a)\nindicate the relative orientation of the magnetizations of Py and YIG. Py has a thickness of 9 nm.\nthe injector and detector. Magnons accumulate at the\nbottom of the YIG \flm and then di\u000buse towards the de-\ntector [18]. Therefore, less thermally-generated magnons\ncross the modulator at the interface while di\u000busing to-\nwards the detector, which results in a relatively smaller\nmodulation of the second harmonic signal in comparison\nto the \frst harmonic signal. Note that the total magni-\ntude ofR2f\nNLis reduced compared to the case of having no\nmiddle Py strip in the reference Pt-Pt device, as shown\nin Figs. 3(b) and (d). In this reference device, there is no\nmodulation in R2f\nNLwithB.\nFIG. 3. Second harmonic response of the non-local magnon\nspin signal ( R2f\nNL), measured as a function of B, by(a)the Pt\ndetector in a device with a 900 nm wide Py middle strip, and\n(b)in a reference device without a middle Py strip. Magni\fed\nregions from the graphs in (a)and(b)are shown in (c)and\n(d), respectively, demonstrating the e\u000bect of the middle Py\nstrip onR2f\nNL. The data shown in black and red represent the\ntrace and retrace directions, respectively.Furthermore, we study the dependence of the modu-\nlation of the non-local magnon spin signals on the width\nof the middle Py gate ( wPy). We de\fne a relative mod-\nulation for the \frst (\u0001 R1f) and second harmonic (\u0001 R2f)\nsignals and a total modulation (\u0001 Rtot) of the spin sig-\nnal, as depicted in Fig. 4(a). \u0001 R1f(2f)gives the modu-\nlation only due to the magnetization orientation depen-\ndent magnetic gating e\u000bect, whereas, \u0001 Rtotgives the\ntotal modulation of the spin signal compared to the ref-\nerence device (without any middle Py strip). We \fnd\na linear dependence of \u0001 R1f(2f)onwPyfor both \frst\n(second) 1f (2f) harmonic response of the spin signal, as\nshown in Fig. 4(b). Also, the variation in \u0001 R1f(2f)be-\ntween two di\u000berent batches of devices (depicted as open\nand \flled symbols) is very small, demonstrating the re-\nproducibility of the magnetic gating e\u000bect. In the case\nof the total modulation \u0001 Rtotalthough it exhibits an in-\ncreasing trend with wPy, the results are dominated by a\nbatch-to-batch variability. We attribute this variability\nto the di\u000berence in transparencies at Pt jYIG and PyjYIG\ninterfaces amongst the di\u000berent batches of devices. On\nthe other hand, the relative modulation \u0001 R1f(2f)\flters\nout any geometrical or interfacial variation and exhibits\na clear linear scaling with wPy. Given the long magnon\nrelaxation length in YIG ( \u001910\u0016m) [4], we expect the\ndecay of the magnon chemical potential between the Pt\ninjector and detector electrodes to be slow for a separa-\ntion of 2\u0016m in our devices. Therefore, the linear scaling\nwithwPyfurther supports the magnetization orientation\ndependent magnon absorption into the middle Py gate.\nFinally, we study the dependence of the modulation of\nthe non-local magnon spin signals on the thickness ( tPy)\nof the middle Py strip. \u0001 R1f(2f)and \u0001Rtotas a function\nof Py thickness is shown in Fig. 5(a) and (b). \u0001 R1f(2f)\nand \u0001Rtotshow an increase for increasing Py thickness\nup to 15 nm, after which \u0001 R1f(2f)and \u0001Rtotshow a4\n/\nFIG. 4. (a)R1f\nNL, measured by the Pt detector in the reference device without the middle Py strip [black(trace)-red(retrace)]\nand in the device with a 900 nm wide middle Py strip [green(trace)-orange(retrace)] are plotted together, illustrating the relative\nmodulation (\u0001 R1f(2f)) and the total modulation (\u0001 Rtot) in the non-local magnon spin signal. \u0001 R1f(2f)(b)and (\u0001Rtot)(c)\nare plotted as a function of the middle Py width ( wPy). The black squares and the red circles represent the modulations\nin the \frst and the second harmonic response of the non-local signal, respectively, while the open and the \flled symbols\ncorrespond to devices from two di\u000berent batches. The linear dependence of \u0001 R1f(2f)onwPyis evident from the linear \fts\n(solid lines) to the data in (b). \u0001R1f/2f(%) and \u0001 Rtot(%) are de\fned as \u0001 R1f/2f(%) = \u0001R1f/2f=R1f/2f(jBj\u001550 mT) and\n\u0001Rtot(%) = \u0001Rtot=RPt-Pt.\ndecrease for increasing Py thickness. The devices with\ndi\u000berent Py thicknesses involve at least one additional\nlithography step compared to the \frst two batches of\ndevices. This can signi\fcantly in\ruence the Py jYIG and\nPtjYIG interface, causing the di\u000berence in \u0001 Rtotand\n\u0001R1f(2f)between the \frst two and the third batch of\ndevices. Besides, the Gilbert damping in Py is lower for\nthicker Py \flms [19], meaning a longer magnon relaxation\nlength and therefore the \fnite probability of magnons\ntravelling into the Py and back into the YIG is larger\nfor thicker Py \flms than for thinner Py \flms. This can\nexplain the lower \u0001 R1f(2f)modulation using a thicker Py\ngate.\nIn this study, we have demonstrated e\u000ecient modula-\ntion of non-local magnon spin transport in a magnetic\ninsulator using a magnetic gate in a proof-of-concept\ntransistor device geometry. We achieve a modulation of\n(\n((a) (b) \nFIG. 5. \u0001 R1f(2f)(a)and \u0001Rtot(b)are plotted as a func-\ntion of the thickness of the middle Py strip ( tPy), which have\na width of 900 nm. The black squares and the red circles rep-\nresent the modulations in the \frst and the second harmonic\nresponse of the non-local signal, respectively.up to an order of magnitude larger than a previously\nreported three-terminal magnon transistor [9] with the\nsame YIG thickness, where the spin transport was mod-\nulated by creating a non-equilibrium magnon density in\nthe YIG channel via an electrically-driven Pt gate. In\nthis work we show that the spin transport in the YIG\nchannel is modulated in (or close to) equilibrium at the\nferromagnetic metal jmagnetic insulator interface, where\nthe magnon transmission at the interface is controlled by\nmanipulating the magnetization direction of a magnetic\ngate. A decrease in the magnon current is observed for\nparallel orientation of the magnetizations. Therefore, we\nconclude that spin-\rip scattering and magnon to magnon\ntransmission dominates over spin transfer torque at ferro-\nmagnetic metaljmagnetic insulator interface. The origin\nof the magnetic gating e\u000bect is either an enhancement of\nspin-\rip scattering or magnon to magnon transmission at\nthe PyjYIG interface. We propose that such a magnetic\ngate can be used for future magnon transistor spin logic\napplications and memory applications embedded in the\nferromagnetic gate, which can be used in programmable\nmagnonics devices.\nWe acknowledge the technical support from J. G. Hol-\nstein, H. M. de Roosz, H. Adema T. Schouten and H.\nde Vries. We acknowledge the \fnancial support of the\nZernike Institute for Advanced Materials and the Future\nand Emerging Technologies (FET) programme within the\nSeventh Framework Programme for Research of the Eu-\nropean Commission, under FET-Open Grant No. 618083\n(CNTQC). This project is also \fnanced by the NWO\nSpinoza prize awarded to Prof. B. J. van Wees by the\nNWO.5\n\u0003e-mail: K.S.Das@rug.nl\nye-mail: B.J.van.Wees@rug.nl\nze-mail: ivan.veramarun@manchester.ac.uk\n[1] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and\nB. Hillebrands, Nature Physics 11, 453 (2015).\n[2] T. Jungwirth, X. Marti, P. Wadley, and J. Wunderlich,\nNature Nanotechnology 11, 231 (2016).\n[3] V. Baltz, A. Manchon, M. Tsoi, T. Moriyama, T. Ono,\nand Y. Tserkovnyak, Rev. Mod. Phys. 90, 015005 (2018).\n[4] L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and\nB. J. van Wees, Nature Phys. 11, 1022 (2015).\n[5] A. V. Chumak, A. A. Serga, and B. Hillebrands, Nature\nCommunications 5, 4700 (2014).\n[6] H. Wu, L. Huang, C. Fang, B. Yang, C. Wan, G. Yu,\nJ. Feng, H. Wei, and X. Han, Phys. Rev. Lett. 120,\n097205 (2018).\n[7] C. Y. Guo, C. H. Wan, X. Wang, C. Fang, P. Tang, W. J.\nKong, M. K. Zhao, L. N. Jiang, B. S. Tao, G. Q. Yu, and\nX. F. Han, Phys. Rev. B 98, 134426 (2018).\n[8] J. Cramer, F. Fuhrmann, U. Ritzmann, V. Gall, T. Ni-\nizeki, R. Ramos, Z. Qiu, D. Hou, T. Kikkawa, J. Sinova,\net al. , Nature Communications 9, 1089 (2018).\n[9] L. Cornelissen, J. Liu, B. van Wees, and R. Duine, Phys.Rev. Lett. 120, 097702 (2018).\n[10] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A.\nDuine, and B. J. van Wees, Phys. Rev. B 94, 014412\n(2016).\n[11] S. R. Boona and J. P. Heremans, Phys. Rev. B 90, 064421\n(2014).\n[12] E. Chavez-Angel, R. A. Zarate, S. Fuentes, E. J. Guo,\nM. Kl aui, and G. Jakob, New J. Phys. 19, 013011 (2017).\n[13] N. Vlietstra, J. Shan, V. Castel, B. J. van Wees, and\nJ. Ben Youssef, Phys. Rev. B 87, 184421 (2013).\n[14] K. S. Das, J. Liu, B. J. van Wees, and I. J. Vera-Marun,\nNano Lett. 18, 5633 (2018).\n[15] K. S. Das, W. Y. Schoemaker, B. J. van Wees, and I. J.\nVera-Marun, Phys. Rev. B 96, 220408 (2017).\n[16] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi,\nJ. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai,\nG. E. W. Bauer, S. Maekawa, and E. Saitoh, Nature Ma-\nterials 9, 894 (2010).\n[17] J. Shan, L. J. Cornelissen, N. Vlietstra, J. B. Youssef,\nT. Kuschel, R. A. Duine, and B. J. Van Wees, Physical\nReview B 94, 174437 (2016).\n[18] J. Shan, L. J. Cornelissen, J. Liu, J. B. Youssef, L. Liang,\nand B. J. van Wees, Phys. Rev. B 96, 184427 (2017).\n[19] Y. Zhao, Q. Song, S.-H. Yang, T. Su, W. Yuan, S. S.\nParkin, J. Shi, and W. Han, Scienti\fc reports 6, 22890\n(2016)." }, { "title": "1502.04288v1.Redefinition_of_spin_Hall_magnetoresistance.pdf", "content": "arXiv:1502.04288v1 [cond-mat.mtrl-sci] 15 Feb 2015Y. Q. Zhang et al., Redefinition of spin Hall magnetoresistance\nRedefinition of spin Hall magnetoresistance\nYan-Qing Zhang1, Hua-Rui Fu2, Niu-Yi Sun1, Wen-Ru Che1, Ding\nDing3, Juan Qin3,∗Cai-Yin You2,†Zhen-Gang Zhu4,‡and Rong Shan1\n1Shanghai Key Laboratory of Special Artificial Microstructu re\nMaterials and Technology &School of Physics Science and Engineering,\nTongji University, Shanghai 200092, China.\n2School of Materials Science and Engineering,\nXi’an University of Technology, Xi’an 710048, China\n3School of Materials Science and Engineering,\nShanghai University, Shanghai 200072, China\n4School of Electronic,\nElectrical and Communication Engineering,\nUniversity of Chinese Academy of Sciences,\nBeijing 100049, China.\n(Dated: July 28, 2018)\nUsing a multi-conduction-channel model, we redefined the mi cromechanism of spin Hall magne-\ntoresistance (SMR). Four conduction channels are created b y spin accumulation of nonpolarized\nelectron flow at top, bottom, left and right interfaces of the film sample, which corresponds to dif-\nferent resistance states of polarized electron flow with var ious spin directions relative to the applied\nmagnetic field ( H), and brings about the SMR effect finally. The magnetic insula tor layer, such as\nyttrium iron garnet (YIG), is not a requisite for the observa tion of SMR. Instead, the SMR effect is\nperfectly realized, with an order of magnitude increase, in the sample with a discontinuous layer of\nisolated-Co 2FeAl (0.3 nm) grains covered by 2.5-nm-thick Pt layer on MgO s ubstrate. The model\nintuitively gives the typical relationship of SMR effect, i. e.ρ/bardbl≈ρ⊥> ρT, whereρ⊥,ρ/bardblandρT\nare longitudinal reisitivities with applied magnetic field (H) direction perpendicular to the current\ndirection out of plane (as Z direction), parallel with and pe rpendicular to it in plane (as X and Y\ndirection), respectively. Our research reveals that the sc attering between polarized and nonpolarized\nconduction electrons is the origin of SMR, and the intrinsic SMR is not constant when Hdirection\nrotates in XZ plane, which is distinct from that in the report ed SMR mechanism.\nPACS numbers: 72.25.Mk, 72.25.Ba, 75.47.-m, 75.70.-i\nSince the magnetic transport property of Pt grown on\nyttriumirongarnet(YIG) wasreportedtwoyearsago[1],\nthe drastic controversy on the understanding of its ori-\ngin continues today. On the beginning, the strong ferro-\nmagnetic characteristics in Pt films on YIG are consid-\nered as a consequence of static magnetic proximity effect\n(MPE) [1–4]. Soon, Nakayama et al. excluded the con-\ntribution of static magnetic proximity effect through an\ninserted 6-nm-thick Cu layerbetween Pt and YIG, where\nthe magnetic transport property of YIG/Cu/Pt film per-\nsists although it turns much weak [5]. They pointed out\nthat the magnetic transport property of Pt/YIG is actu-\nallyinducedbyspinHalleffectandmeantimesuggesteda\nnew magnetoresistance (MR) phenomenon, i.e. spin Hall\nmagnetoresistance (SMR). Next, Lu Y. M. et al. insist\non the MPE by a series of experiments on YIG/Pt and\nPt/Permalloy/Pt fims [6]. They found ρ/bardbl≈ρ⊥> ρT,\nwhereρ⊥,ρ/bardblandρTarelongitudinalreisitivitieswithap-\nplied magnetic field ( H) direction perpendicular to the\ncurrent direction out of plane (as Z direction), parallel\nwith and perpendicular to it in plane (as X and Y di-\nrection), respectively. The behavior is distinctly differ-\nent from all other known MR effects including the SMR,\nand termed the new MR as hybrid MR. Immediately,the proposal of hybrid MR caused a more intense argu-\nment [7–13]. To understand the nature of MR in YIG/Pt\nfilms, Lin T. et al. [7] and Miao B. F. et al. [8] sug-\ngested two compromised solutions. The former appraises\nthat the SMR plays a main role at high temperature and\nMPE gradually manifests itself with decreasing temper-\nature. The latter believes that the SMR dominates at\nlow magnetic field, while MPE at high field. In review\nall these arguments, we found all viewpoints, to some de-\ngree, has its reasonable and unreasonable aspects. How-\never,Numquam ponenda est pluralitas sine necessitate ,\nas Occam had said hundreds years ago, there might be\na model to comprehend all experimental results simply.\nUnderthisconsideration,wethereforeredefinedtheSMR\nin this work.\nWhen a nonpolarized electron flow passes through a\nconductor, a transverse pure spin current will be gen-\nerated due to the spin orbit coupling (SOC). The spin\ncurrent density can be read as\nJs=−θSH/planckover2pi1\n2|e|Je×σ, (1)\nwhereθSHis the spin Hall angle, σrepresents the spin, /planckover2pi1\nis the reduced Planck constant, eis the electronic charge,2\nFIG. 1. (Color online) SpinHall effectin anonmagnetic metal\nlayer grown on a discontinuous ferromagnetic layer. Spin ac -\ncumulation occurs at: (a) top and bottom interfaces; (b) lef t\nand right interfaces.\nandJeis the electron flow density [14, 15]. In a cylin-\ndrical wire the spins wind around the surface. For a wire\nwith rectangular cross-section like the commonest thin\nfilm sample, spins are accumulated at opposite interfaces\nwith opposite spin directions, as shown in Fig. 1. On the\ndiscussion about YIG/Pt system up to now, spin accu-\nmulation at top and bottom interfaces, as shown in Fig.\n1(a), is considered as the only reason to trigger the SMR.\nHereinto, the magnetization direction of magnetic insu-\nlator layer (e.g. YIG) parallel with and perpendicular\nto accumulated spins at YIG/Pt interface in XY plane,\nM/bardblσandM⊥σ, aredeemed to be two maximum states\nof spin scattering and spin absorption, respectively. The\nresistance of Pt film can therefore be tuned by Munder\nthe interaction of inverse SHE, causing the SMR effect.\nThe influence of spin accumulation at left and right in-\nterfaces shown in Fig. 1(b) was neglected in the SMR.\nBeing the most important conclusion from this physical\nimage of the SMR, magnetoresistance must remain con-\nstant when Mis rotating in XZ plane, because Mhas no\ntransverse component. On the other hand, the magnetic\ninsulator layer seems to be indispensable for the observa-\ntion of SMR. This is the reason why so many studies on\nthe SMR have chosen YIG, Fe 3O4and CoFe 2O4as the\nbottom layer [16–21].\nIf spin splitting occurs in the Z direction, the spin\naccumulation described in Fig. 1(b) becomes a well-\nknown spin transport phenomenon, anomalous Hall ef-\nfect (AHE). Countless studies of the AHE have already\nproved the significant existence of the spin accumulation\natthe left and rightinterfaces. We hardlybelieve it could\ntotally be missing in the SMR effect and thus we take it\nback in our model. Fig. 2(a) exhibits the coordinate sys-\ntem for the SMR measurement. The yellow arrow ( Je)\nindicates the electron flow direction. The red arrow ( M)\nindicates Hdirection and it is also the direction of satu-\nration magnetic moment if His large enough. The gray\narrow is the Z direction. α,β, andγrepresent rota-\ntion angles of Hin different planes, respectively. When\nan electron flow is passing through a normal metal layer\ndeposited on a magnetic layer, partial conduction elec-\ntrons can be polarized with the spin direction along Mdirection by means of spin transfer torque, spin filtering\nand magnon-spin angle moment transfer etc. [22–25]. In\nthis case Jecould be separated into polarized ( Jp\ne) and\nnonpolarized ( Jnp\ne) parts. Still, spin accumulation will\narise due to Jnp\neas shown in Fig. 2(b). Meanwhile the\npolarized conduction electrons marked as Mdirection at\nthe center of every image in Fig. 2(b), incline to move to\na preferred direction in the light of SHE. If we consider\nthe four spin accumulation interfaces as four preferred\nspin channels, the physical image indicated in Fig. 2(b)\nare then greatly similar to the typical dual-conduction-\nchannel model of current in plane giant magnetoresis-\ntance (CIPGMR) [26]. Here, if the spin direction of an\nelectron is parallel to the spin direction of one channel,\nit is easy for the electron to pass through this channel.\nOn the contrary, antiparallel configuration means strong\nscattering. Perpendicular configuration means that the\nspin has projections in both parallel and antiparallel di-\nrections, which leads to middle-level electron scattering.\nFurthermore, for a film sample, usually its width (w)\nis much larger than its thickness (t), w >>t. Most\nconduction electrons with spin in Z direction can hardly\napproach the left and right channels. Oppositely, those\nconduction electrons with spin in Y direction can easily\naccess the top and bottom channels since the spin diffu-\nsion length is usually larger than t. Therefore, Fig. 2(b)\nintuitively gives the typical relationship ρ/bardbl≈ρ⊥> ρTin\nthe SMR effect. Accordingly, Fig. 2(c) presents equiva-\nlent circuits for the above analysis.\nForMin XY plane, the resistivity tensor can be writ-\nten as (details see Appendix A)\nˆρ=/parenleftbiggρ11ρ12\nρ21ρ22/parenrightbigg\n=/parenleftbiggρ/bardblǫ\nǫ ρT/parenrightbigg\n, (2)\nHereρ11andρ22are longitudinal resistivities, ρ12and\nρ21are transverse resistivities, respectively, when α= 0\nand90◦. We make ρ12=ρ21=ǫbecause they areusually\nminuteness. For arbitrary α, using unitary transforma-\ntion we get ρ′=R−αˆρRα[27], where\nRα=/parenleftbiggcosα−sinα\nsinαcosα/parenrightbigg\n, (3)\nis the rotation matrix. Then the longitudinal resistivity\nin new coordinate system can be simply read as,\nρl(α) =/parenleftbigcosαsinα/parenrightbig/parenleftbigg\nρ/bardblǫ\nǫ ρT/parenrightbigg/parenleftbigg\ncosα\nsinα/parenrightbigg\n=ρ/bardblcos2α+2ǫsinαcosα+ρTsin2α\n≈ρ/bardbl−(ρ/bardbl−ρT)sin2α, (4)\nUsing our model, we get the same expression of the SMR\nonαas that in reference [5, 9, 10]. Changing αtoβand\nγ, we can also obtain\nρl(β)≈ρT−(ρT−ρ⊥)sin2β,\nρl(γ)≈ρ/bardbl−(ρ/bardbl−ρ⊥)sin2γ, (5)3\nFIG. 2. (Color online) Micromechanism of spin Hall magnetor esistance. (a) Coordinate system of the electron flow (yello w\narrow), transverse direction (green arrow) in plane, perpe ndicular direction (gray arrow), and schematic diagram not ations of\nrotation angles of magnetic field in different planes. (b) Fou r spin channels at top, bottom, left and right interfaces, ge nerated\nby spin Hall effect of nonpolarized electron flow. At the cente r of every image, black arrow represents spin direction of po larized\nelectrons along the applied magnetic field. (c) Equivalent c ircuit of spin hall magnetoresistance when α=0◦,β=0◦andγ=90◦,\ni.e.ρ/bardbl,ρTandρ⊥.R⊥,RT↑↑(RP↑↑) andRT↑↓(RP↑↓) stand for resistivity states of polarized electron flow pas sing through\ndifferent channels, respectively.\nThereforeourexpressionssatisfyallrotationangles. This\nis distinctly different from the reported SMR mechanism.\nOnce the physical image of the SMR is clarified, we\nfound that the magnetic insulator layer such as the YIG\nis not a requisite for the observation of SMR. The role\nof magnetic insulator layer is just for spin polarization\nof conduction electrons, and it actually works in a low\nefficiency manner. We therefore employ discontinuous\nmagnetic metal layer to substitute for the magnetic in-\nsulator layer in this research.\nTwo samples, Co 2FeAl (0.3 nm)/Pt (2.5 nm) and\nCo2FeAl (0.6 nm)/Pt (2.5 nm), were prepared with Hall\nbar mask on MgO substrates by DC sputtering at room\ntemperature. The base pressure is 2 ×10−5Pa. The\nthicker sample was annealed at 320◦Cin situ. Mag-\nnetic transport properties of samples were measured by a\nphysical property measurement system (PPMS). Ultra-\nthin Co 2FeAl film cannot grow on MgO substrate uni-\nformly, and thus it is insulating until the thickness is\nover 1.1 nm. Figure 1 shows schematic morphology of\nCo2FeAl/Pt sample, where the isolated Co 2FeAl grains\nare enwrapped by the Pt layer. When conduction elec-\ntrons pass through Co 2FeAl grains, partial electrons will\nbe polarized along Mdirection because of angle moment\nexchanging. After that the polarized electrons move to\nthe preferred channel under the influence of SHE.\nFigure 3 shows classic SMR results of Co 2FeAl (0.3nm)/Pt (2.5 nm) film under 5 T magnetic field at room\ntemperature. ∆ ρ=ρl−ρTforα. ∆ρ=ρl−ρ⊥forβand\nγ. Inthisfigure,thedependencyof γisalmostflat, which\nis thought as the most important evidence for the SMR\nbasedon the theory raisedin Ref. [10]. However, it is still\na function of cos2γas ∆ρ≈(ρ/bardbl−ρ⊥)cos2γaccording\nto our model. It looks flat just because ρ/bardbl≈ρ⊥, which\nis resulting from dimension effect as shown in Fig. 2(b).\nThe weak (cosine)2relationship is observed not only in\nour experiment, but also in Ref. [6–10]. Even in the first\nreport of the SMR, the relationship had appeared in Fig.\n4(e)ifonereadsthedatacarefully[5]. Moreover,sincewe\nuse much more efficient method for spin polarization of\nconduction electrons and the thinner Pt layer, the SMR\neffect is drastically enhanced and it is at least one or-\nder larger than those reported previously. These results\nstrongly support our understanding on the SMR. It is\nmore important that larger effect may find it easier in\npossible applications.\nWhenρ/bardbldeviates from ρ⊥, the cos2γdependence\nwould become more distinct. There are two ways to\nenlarge the difference between ρ/bardblandρ⊥. Firstly, our\nmicromechanism of the SMR is based on the SHE as\nusual. The SOC can be enhanced and the spin diffusion\nlength can be elongated at low temperature, which leads\nto intensive spin accumulation and makes more polarized\nelectronswithspinalongYandZdirectionsaccessingthe4\n/s48 /s57/s48 /s49/s56/s48 /s50/s55/s48 /s51/s54/s48/s45/s53/s48/s53\n/s32/s67/s70/s65/s32/s40/s48/s46/s51/s32/s110/s109/s41\n/s84/s32/s61/s32/s51/s48/s48/s32/s75/s32/s32/s72/s32/s61/s32/s53/s32/s84/s32 /s40/s45/s52\n/s41\n/s65/s110/s103/s108/s101/s32/s40/s111\n/s41\nFIG. 3. (Color online) Field rotation magnetoresistance\ndata with H = 5 T in three orthogonal planes for Co 2FeAl\n(0.3nm)/Pt (2.5 nm) at 300 K. ρ0is the resistivity at zero\nmagnetic field.\nchannels. However, the spin along X direction is always\nperpendicular to channels’ preferred spin directions, the\nrelated electrons are still difficult to enter the channels\nand thus ρ/bardblmay change little. With the same reason,\nincreasing Jp\nemay also reduce ρ⊥, since more electrons\nwith spin alongZ directioncanaccessleft andrightchan-\nnels. On account of these deduction, the experimental\nresults shown in Fig. 4 can be understood. In both\nsubgraphs of Fig. 4, the (cosine)2relationships of γare\nclearly observed. Note that in some group, they consider\n(cosine)2relationships of γstem from anisotropy mag-\nnetoresistance (AMR) [6, 8], because they adopted the\nformer SMR model. We believe there is no AMR con-\ntribution at low temperature. However, with increasing\nthickness of Co 2FeAl layer, AMR will dominate magne-\ntoresistance behavior finally.\nThe SMR effect is a fascinating conception. It is signif-\nicanttostudytherelatedspintransportbehaviorandap-\nplication in spintronics. However, the physical image of\nthe SMR was not clear in previous studies. Here we sug-\ngest a model enlightened by the dual-conduction-channel\nmode of CIPGMR, where the SMR stems from the scat-\ntering between polarized and nonpolarized conduction\nelectronsunderSHE,ratherthan theinteractionbetween\ntheaccumulatedspinsandthemagnetizationofmagnetic\ninsulator layer. According to our model, ρ/bardbl≈ρ⊥> ρT\ncomes from dimension effect. Magnetic insulator layer,\nsuch as YIG, is actually a kind of bad buffer layer for\nthe observation of SMR. More importantly, when Hro-\ntates in XZ plane, the SMR effect still follows (cosine)2\nrelationship. Therefore, some reported results which are\nthought as the AMR are actually the SMR too. This\nresearch may help to build a precise theory on the SMR\neffect.\nWe thank the beamline 08U1 at the Shanghai Syn-0 90 180 270 36002\n0 90 180 270 360-202(a)\n \n(b)CFA (0.3 nm)\nT = 20 K γ\nα\nβ\nCFA (0.6 nm)\nT = 300 K ∆ ρ/ρ0 (10−3)\nAngle (o)γ\nα\nβ\nFIG. 4. (Color online) Field rotation magnetoresistance da ta\nfor (a) Co 2FeAl (0.3nm)/Pt (2.5 nm) at 20 K with H = 5 T\nand (b) Co 2FeAl (0.6nm)/Pt (2.5 nm) at 300 K, where H =1\nT forαand H =2 T for βandγ.ρ0is the resistivity at zero\nmagnetic field.\nchrotron Radiation Facilities (SSRF) for the sample\npreparation and measurement. This work was supported\nby the National Science Foundation of China Grant\nNos. 51331004, 51171148, 11374228, 11205235, the Na-\ntional Basic Research Program of China under grant No.\n2015CB921501 and the Innovation Program of Shanghai\nMunicipal Education Commission No. 14ZZ038. Z. G.\nZhu is supported by Hundred Talents Program of The\nChinese Academy of Sciences.\n∗juanqin@staff.shu.edu.cn\n†caiyinyou@xaut.edu.cn\n‡zgzhu@ucas.ac.cn\n[1] S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang,\nJ. Wu, T. Y. Chen, J. Q. Xiao, and C. L. Chien, Phys.\nRev. Lett. 109,107204 (2012).\n[2] G. Bergmann, Phys. Rev. Lett. 41,264 (1978).\n[3] F. Wilhelm, P. Poulopoulos, G. Ceballos, H. Wende, K.\nBaberschke, P. Srivastava, D. Benea, H. Ebert, M. An-\ngelakeris, N. K. Flevaris, D. Niarchos, A. Rogalev, and5\nN. B. Brookes, Phys. Rev. Lett. 85 ,413 (2000).\n[4] Y. M. Lu, Y. Choi, C. M. Ortega, X. M. Cheng, J. W.\nCai, S. Y. Huang, L. Sun, and C. L. Chien, Phys. Rev.\nLett.110,147207 (2013).\n[5] H.Nakayama, M. Althammer, Y.-T. Chen, K. Uchida, Y.\nKajiwara, D. Kikuchi, T. Ohtani, S. Gepr¨ ags, M. Opel,\nS. Takahashi, R. Gross, G. E. W. Bauer, S. T. B. Goen-\nnenwein, and E. Saitoh, Phys. Rev. Lett. 110,206601\n(2013).\n[6] Y. M. Lu , J. W. Cai, S. Y. Huang, D. Qu, B. F. Miao,\nand C. L. Chien, Phys. Rev. B. 87,220409(R) (2013).\n[7] B. F. Miao, S. Y. Huang, D. Qu, and C. L. Chien, Phys.\nRev. Lett. 112,236601 (2014).\n[8] T. Lin, C. Tang, H. M. Alyahayaei, and J. Shi, Phys.\nRev. Lett. 113,037203 (2014).\n[9] M. Althammer, S. Meyer, H. Nakayama, M. Schreier,\nS. Altmannshofer, M. Weiler, H. Huebl, S. Gepr¨ ags, M.\nOpel, R. Gross, D. Meier, C. Klewe, T. Kuschel, J.-M.\nSchmalhorst, G. Reiss, L. Shen, A. Gupta, Y.-T. Chen,\nG. E. W. Bauer, E. Saitoh, and S. T. B. Goennenwein,\nPhys. Rev. B. 87,224401 (2013).\n[10] Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer,\nS. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer,\nPhys. Rev. B 87,144411 (2013).\n[11] S. Y. Huang, D. Qu, J. W. Cai, B. F. Miao, and C. L.\nChien, Phys. Rev. B 90,016402 (2014).\n[12] J. X. Li, M. W. Jia, Z. Ding, J. H. Liang, Y. M. Luo, and\nY. Z. Wu, Phys. Rev. B 90,214415 (2014).\n[13] A. Kobs, A. Frauen, and H. P. Oepen, preceding paper,\nPhys.Rev. B 90,016401 (2014).\n[14] J. E. Hirsch, Phys. Rev. Lett. 83,1834 (1999).\n[15] S. Takahashi, and S. Maekawa, Sci. Technol. Adv. Mater.\n9,014105 (2008).\n[16] C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Nale-\ntov, and J. Ben Youssef, Phys. Rev. B 87,174417 (2013).\n[17] N. Vlietstra, J. Shan, V. Castel, J. Ben Youssef, G. E.\nW. Bauer, and B. J. van Wees, Appl. Phys. Lett. 103,\n032401 (2013).\n[18] S. R. Marmion, M. Ali, M. McLaren, D. A. Williams,\nand B. J. Hickey, Phys. Rev. B. 89,220404(R) (2014).\n[19] Z. Ding, B. L. Chen, J. H. Liang, J. Zhu, J. X. Li, and\nY. Z. Wu, Phys. Rev. B. 90,134424 (2014).\n[20] N. Vlietstra, J. Shan, V. Castel, B. J. van Wees, and J.\nBen Youssef, Phys. Rev. B 87,184421 (2013).\n[21] M. Isasa, A.B. Pinto, S. V´ elez, F. Golmar, F. S´ anchez, L.\nE. Hueso, J. Fontcuberta, and F. Casanova, Appl. Phys.\nLett.105,142402 (2014).\n[22] D. C. Ralph, and M. D. Stiles, J. Magn. Magn. Mater.\n320,1190 (2008).\n[23] M. G. Chapline, and S. X. Wang, Phys. Rev. B 74,\n014418 (2006).\n[24] P. V. Lukashev, J. D. Burton, A. Smogunov, J. P. Velev,\nand E. Y. Tsymbal, Phys. Rev. B 88,134430 (2013).\n[25] Steven S.-L. Zhang, and S. F. Zhang, Phys. Rev. Lett.\n109,096603 (2012).\n[26] R. E. Camley and J. Barna´ s Phys. Rev. Lett. 63,664\n(1989).\n[27] E. D. Ranieri, A. W. Rushforth, K. V´ yborn´ y, U. Rana,\nE. Ahmad, R. P. Campion, C. T. Foxon, B. L. Gallagher,\nA. C. Irvine, J. Wunderlich, and T. Jungwirth, New J.\nPhys.10,065003 (2008).Appendix A\nIf the direction of the magnetization Mis coincide with\nthe x direction, we have the relation E= ˆρj, i.e.\n/parenleftbigg\nEx\nEy/parenrightbigg\n=/parenleftbigg\nρxx(M/bardblx)ρxy(M/bardblx)\nρyx(M/bardblx)ρyy(M/bardblx)/parenrightbigg/parenleftbigg\njx\njy/parenrightbigg\n,(6)\nwhereEx(y)is the electric field in x(y) direction, ρij(M/bardbl\nx) means the driven current is in the jdirection, and the\nresponse electric field is along idirection. ρyy(M/bardblx)\nindicatesthe longitudinal measurement(both the driving\ncurrent and the response electric field are in ydirection)\nwith a transverse magnetization (in xdirection), which\nis equivalent to ρTas the definition in this work. And\nρxx(M/bardblx) =ρ/bardbl.ρxy(M/bardblx) andρyx(M/bardblx) are the\ntransverse response to the longitudinal driving current,\nwhich are just planar Hall resistivities. We assume that\nthey are small quantities, labeled by ǫ. We thus obtain\nthe resistivity matrix\nˆρ=/parenleftbiggρ/bardblǫ\nǫ ρT/parenrightbigg\n. (7)\nIf the magnetization deviates an angle αin xy plane from\nthexdirection, we can select the direction of the magne-\ntization as the new xdirection, i.e. x′, which means we\nrotate the old coordinate to the new coordinate. With\nthis coordinate transformation, one vector in the new co-\nordinate A′has a relationship with the one in the old\ncoordinate A,\nA′=R−1(α)A=R(−α)A. (8)\nWe therefore have R(α)E′=EandR(α)j′=j. Taking\naccount into these relations, we obtain\nE= ˆρj⇒R(α)E′= ˆρR(α)j′⇒E′=R−1ˆρRj′.(9)\nIn the new coordinate, a driving current in x′direction\nmay cause an electric field in the same direction, leading\nto a longitudinal resistivity from the relation\nE′\nx= (1,0)/parenleftbiggE′\nx\nE′\ny/parenrightbigg\n= (1,0)R−1(α)ˆρR(α)/parenleftbigg1\n0/parenrightbigg\n/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nρlj.(10)\nFrom Eq. (10), we get the longitudinal resistivity\nρl= (cosα,sinα)ˆρ/parenleftbiggcosα\nsinα/parenrightbigg\n. (11)\nThis will lead us the Eq. (4) in the main text. At the\nsame time, we obtain\nE′\ny= (0,1)/parenleftbiggE′\nx\nE′\ny/parenrightbigg\n= (0,1)R−1(α)ˆρR(α)j/parenleftbigg1\n0/parenrightbigg\n= (−sinα,cosα)ˆρ/parenleftbiggcosα\nsinα/parenrightbigg\n/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nρTransj. (12)\nExplicitly, we write the transverse resistivity\nρTrans=ǫcos2α+(ρT−ρ/bardbl)sinαcosα.(13)" }, { "title": "1206.6671v2.Frequency_and_power_dependence_of_spin_current_emission_by_spin_pumping_in_a_thin_film_YIG_Pt_system.pdf", "content": "arXiv:1206.6671v2 [cond-mat.mtrl-sci] 29 Jun 2012Frequency and power dependence of spin-current emission by spin pumping in a thin\nfilm YIG/Pt system\nV. Castel,∗N. Vlietstra, and B. J. van Wees\nUniversity of Groningen, Physics of nanodevices, Zernike In stitute for Advanced Materials,\nNijenborgh 4, 9747 AG Groningen, The Netherlands.\nJ. Ben Youssef\nUniversite de Bretagne Occidentale, Laboratoire de Magnet isme de Bretagne CNRS, 29285 Brest, France.\n(Dated: October 31, 2018)\nThis paper presents the frequency dependence of the spin cur rent emission in a hybrid ferrimag-\nnetic insulator/normal metal system. The system is based on a ferrimagnetic insulating thin film of\nYttrium Iron Garnet (YIG, 200 nm) grown by liquid-phase-epi taxy (LPE) coupled with a normal\nmetal with a strong spin-orbit coupling (Pt, 15 nm). The YIG l ayer presents an isotropic behaviour\nof the magnetization in the plane, a small linewidth, and a ro ughness lower than 0.4 nm. Here\nwe discuss how the voltage signal from the spin current detec tor depends on the frequency [0.6 -\n7 GHz], the microwave power, Pin, [1 - 70 mW], and the in-plane static magnetic field. A strong\nenhancement of the spin current emission is observed at low f requencies, showing the appearance\nof non-linear phenomena.\nPACS numbers: 72.25.Ba, 72.25.Pn, 75.78.-n, 76.50.+g\nI. INTRODUCTION\nThe actuation, detection and control of the magneti-\nzation dynamics and spin currents in hybrid structures\n(magnetic material/normal metal) by using the (inverse)\nspin hall effect (ISHE and SHE), spin transfer torque\n(STT) and spin pumping, has attracted much attention\nin the last few years. The observation of these phenom-\nena in ferromagnetic (FM)/normal metal (NM) systems\nhas been reported by several groups1–4.\nSpin pumping is the generation of spin currents from\nmagnetization precession, which can be excited by mi-\ncrowave radiation (microstrip5, resonant cavity6, wave-\nguide7). In a FM/NM system, this spin current is in-\njected into the NM layer, where it is converted into a\ndc electric voltage using the ISHE. In 2010, Y. Kaji-\nwara et al.6opened new interest in this research field\nby the demonstration of the spin pumping/ISHE and\nSHE/STT processes in a hybrid system using the mag-\nnetic insulating material Yttrium Iron Garnet (YIG, 1.3\nµm), coupled with a thin layer of platinum (Pt, 10 nm).\nIt has been shown experimentally that the combination\nof these materials and the mentioned phenomena can\nbe used to transmit electrical information over several\nmillimeters6,8,9. The insulator/normal metal (YIG/Pt)\nsystem presents an important role for future electronic\ndevices related to non-linear dynamics effects10–14, such\nas active magnetostatic wave delay lines and signal to\nnoise enhancers, and bistable phenomena15.\nIn this paper, spin current emission in a hybrid struc-\nture YIG [200 nm]/Pt [15 nm] as a function of microwave\nfrequency f, microwave power Pinand applied magnetic\nfieldB(in-plane) is presented. The actuation of the spin\ncurrent emission is provided by a non-resonant 50 Ω mi-\ncrostrip reflection line16within a range of fbetween 0.6and 7 GHz. To our best knowledge, in all previous exper-\niments, the thickness of the single-crystal of YIG, grown\nby liquid-phase-epitaxy (LPE), is within a rangeof 1.3 to\n28µm, which is alwayshigher than the exchange correla-\ntion length defined in pure YIG11. In contrast, the thick-\nness of the YIG used for the experiments presented here\nis only 200nm. Experiments with lowerthickness of YIG\nhave been reported17,18, however these layers are grown\nby different methods than LPE. The different growing\nprocesses result in an enhancement of the linewidth and\nthese layers do not reach the high quality as when grown\nby LPE. Besides its thickness, two other points should\nbe made concerning our YIG sample. First the magnetic\nfield (in-plane) dependence of the magnetizationpresents\nisotropic behaviour and second, no stripe domains have\nbeen observed by Magnetic Force Microscopy (MFM).\nII. EXPERIMENTAL DETAILS\nA. Sample description\nSpin pumping experiments in FM/NM systems for dif-\nferent NM materials have been performed in order to\nstudy the magnitude of the dc voltage induced by the\nISHE19. It has been shown that the mechanism for spin-\ncharge conversion is effective in metals with strong spin-\norbit interaction. Therefore, for the experiments pre-\nsented in this paper, Pt is used as normalmetal layer. As\nmagnetic layer, the insulating material Y 3Fe5O12(YIG)\nis used. The sample is based on a layer of single-crystal\nY3Fe5O12(YIG) (111), grown on a (111) Gd 3Ga5O12\n(GGG) single-crystal substrate by liquid-phase-epitaxy\n(LPE). The thickness of the YIG is only 200 nm, which\nis very low compared to other studies6,11,12,20,21. The2\nYIG layer has a roughness of 0.4 nm. X-ray diffraction\nwas used in orderto estimate the quality ofthe thin layer\nof YIG. The spectrum (not shown) shows epitaxial grow-\ning of YIG oriented along the (111) direction with zero\nlattice mismatch.\n800 µm \n1750 \nµm \nGGG [500 µm] (111) Pt [15 nm] \nYIG [200 nm] (111) \nz, hrf y, B b) \nc) \na) \nFIG. 1. a) and b) schematics of the experimental setup for\nspin pumping measurements. The ferromagnetic resonance\nin the YIG is excited by using a microstrip line in reflection\nbetween 0.6 and 7 GHz. The thickness of the YIG and the\nGGG substrate is 200 nm and 500 µm, respectively. Ti/Au\nelectrodes are attached on top of the Pt layer in order to\ndetect the ISHE voltage. The magnetic field Bis applied\nin the plane of the sample along the ydirection and B⊥\nhrf, where hrfis the microwave field. c) magnetic field (in-\nplane) dependence of the magnetization M(normalized by\nMs, the saturation magnetization) of the pure single-crystal\nof YIGperformed byVibratingSample Magnetometer (VSM)\nat room temperature.\nFor the realization of the hybrid structure, two steps\nof lithography have been used. First, to create the Pt\nlayer (15 nm thick), an area of 800 ×1750µm2has been\npatterned on top of a YIG sample (1500 ×3000µm2), by\nelectron beam lithography (EBL). Before deposition of\nthePtlayerbydcsputtering,argonetchinghasbeenused\nto clean the surface. Etching was done during 5 seconds\nat a beam voltage (intensity) of 500 V (14 mA) with an\nacceleration voltage of 200 V. The second lithography\nstep realizes the Ti/Au electrodes of 30 µm width and\n100 nm thick. For both lithography steps, PMMA with a\nthickness of 270 nm has been used as resist. A schematic\nof the final device is shown in Fig.1 b).\nB. Static and dynamic magnetization\ncharacterizations\nBy using specific growing conditions, the anisotropic\ncontributions (growth, and magneto-elastic) in the YIG\nfilm can be optimized in order to keep the magnetization\nin-plane. Fig.1 c) shows the dependence of the longitudi-\nnal component of the magnetization as a function of themagnetic field applied in the plane of the YIG sample,\nas measured by using a Vibrating Sample Magnetometer\n(VSM) at room temperature. The saturation magneti-\nzation is µ0M=0.176 T, corresponding to the value ob-\ntained for YIG in bulk6,11. The low coercive field ( ≃0.06\nmT) and the shape of the hysteresis loop provide an easy\nproofofthe magnetizationbeingin theplane, with avery\nlow dissipation of the energy. VSM measurements along\nthe two crystallographic axis, [1, ¯1,0] and [1,1, ¯2], show\nsimilar responses indicating isotropic behaviour of the\nmagnetization in the film plane. In addition, no stripe\ndomains have been observed by MFM.\nIn order to well characterize the pure single-crystal of\nYIG, before realizing the YIG/Pt structure, broadband\nferromagneticresonance(FMR)measurementshavebeen\nperformed using a highly sensitive wideband resonance\nspectrometer in the perpendicular configuration (the ap-\nplied magnetic field, B, is normal to the film plane). The\nmicrowave excitation is provided with a non-resonant 50\nΩ microstrip reflection line within a range of microwave\nfrequencies between 2 and 25 GHz. The FMR is mea-\nsured via the first derivative of the power absorption\ndP/dHby using a lock-in measurement technique. The\nvalueofthe modulation field (lock-inreference)used dur-\ning the field sweeping is much smaller than the FMR\nlinewidth. The dependence of the frequency resonance,\nωres, as a function of the resonant magnetic field is\nused to determine the gyromagnetic ratio γ=1.80 1011\nradT−1s−1(and the Lande factor, g=2.046). The intrin-\nsic Gilbert damping parameter is extracted from the de-\npendence of the linewidth as a function of the microwave\nfrequency ( α≈2 10−4)22.\nC. Spin pumping measurement\nFor the actuation of the magnetization resonance in\nthe YIG layer, a different FMR setup has been used.\nTo connect the device, the YIG/Pt system is placed as\nshown in Fig.1 a). In this configuration, the microwave\nfieldhrfis perpendicular to the static magnetic field,\nB. To optimize the electric voltage recording, a lock-in\nmeasurement technique was used. The frequency refer-\nence, generated by the lock-in, is send to the network\nanalyser trigger. This command (with a frequency of 17\nHz) controlsthe microwavefield by the networkanalyser.\nThe microwave field is periodically switched on and off\nbetween PHigh\nrfandPLow\nrf, respectively. PLow\nrfis equal to\n0.001 mW and PHigh\nrfcorresponds to the input microwave\npower, so-called in the following, Pin. The dc voltages\ngenerated between the edges of the Pt layer are ampli-\nfied and detected as a difference of V(PHigh\nrf)−V(PLow\nrf).\nUsing this measurement setup, the dependence of the\nelectric voltage signal as a function of the microwave\npower [1-70 mW] and the frequency [0.6-7 GHz] is anal-\nysed, while sweeping the applied static magnetic field, B.\nBis large enough in order to saturate the magnetization\nalong the plane film. All measurements were performed3\nat room temperature.\nIII. RESULTS AND DISCUSSION\nConversion of spin currents into electric voltage via\nthe ISHE is given by the relation6:EISHE∝Js×σ, where\nEISHE, andσare the electric field induced by the ISHE\nand the spin polarization, respectively. In YIG/Pt, the\n/s45/s53/s48 /s45/s50/s53 /s48 /s50/s53 /s53/s48/s45/s48/s46/s55/s53/s45/s48/s46/s53/s48/s45/s48/s46/s50/s53/s48/s46/s48/s48/s48/s46/s50/s53/s48/s46/s53/s48/s48/s46/s55/s53\n/s52/s50 /s52/s52 /s52/s54 /s52/s56 /s53/s48 /s53/s50 /s53/s52/s45/s48/s46/s54/s48/s46/s48/s48/s46/s54\n/s32/s32/s86\n/s73/s83/s72/s69/s32/s91 /s86/s93\n/s66/s32/s91/s109/s84/s93/s82/s101/s115/s111/s110/s97/s110/s116/s32\n/s99/s111/s110/s100/s105/s116/s105/s111/s110/s86/s32/s32/s66/s32/s124 /s124 /s32/s43/s121\n/s32/s66/s32/s124 /s124 /s32/s45/s32/s121/s32\n/s32\n/s32/s32\nFIG. 2. Dependence of the electric voltage signal, VISHE, as a\nfunction of the magnetic field, B, for the YIG [200 nm]/Pt [15\nnm] sample. Bis applied in-plane and the microwave param-\neters are fixed at 3 GHz and 20 mW. The inset shows VISHE\nat resonant condition for the positive and negative configur a-\ntion of the magnetic field (along + yand−y, respectively, see\nFig.1b)).\norigin of the spin current, Js, injected through the Pt\nlayer differs from the conventional spin current in con-\nducting systems like Py/Pt. The spin pumping origi-\nnates from the spin exchange interaction between a lo-\ncalized moment in YIG at the interface and a conduction\nelectron in the Pt layer.\nThe magnetic field dependence of the voltage signal in\nYIG [200 nm]/Pt [15nm] at 3 GHz is shown in Fig. 2.\nThe rf microwave power is fixed at 20 mW. The sign of\nthe electric voltage signal is changed6by reversing the\nmagnetic field along yand no sizeable voltage is mea-\nsured when Bis parallel to z, as expected. The reversing\nof the sign of V(by reversing the magnetic field) shows\nthat the measured signal is not produced by a possible\nthermoelectric effect, induced by the microwave absorp-\ntion. A direct measurement of the electric voltage signal\n(without lock-in amplifier) has been performed in order\nto define the sign of VISHEas a function of the magnetic\nand electric configuration. The voltage detected between\nthe edges of the Pt layer shows resonance-like behaviour,\nwith a maximum value (∆ V) at the resonant condition\nof the system as defined in the inset of Fig.2.In Fig.3 the in-plane magnetic field dependence of the\nelectric voltage signal for a large range of microwave fre-\nquencies between 0.6 and 7 GHz is shown. For each value\nof microwave power ( Pin=1, 10, and 20 mW) and fre-\nquency,f, thevoltagesignal, VISHE=f(Pin,f), atresonant\nconditions has been extracted. To our best knowledge,\nonly two groups6,11have studied the electric voltage sig-\nnalinahybridYIG/Ptsystemasafunctionofmicrowave\nfrequency, but only one11in a large frequency range of\n[2-6.8 GHz]. The difference between our structure and\nRef.11lies in the thickness of the YIG, which is 5.1 µm\nin their case and only 200nm in this work. The thickness\nof the Pt is the same (15 nm). As can be seen from Fig.3,\nthe frequency dependence of ∆ Vpresents a complicated\nevolution, partly resulting from the S11dependence of\nthe microstrip in reflection itself, as a function of fre-\nquency. Nevertheless, note that ∆ Vpresents high values\nat low frequency.\n/s48 /s51/s48 /s54/s48 /s57/s48 /s49/s50/s48 /s49/s53/s48/s48/s46/s48/s48/s46/s51/s48/s46/s54/s48/s46/s57/s49/s46/s50/s49/s46/s53/s49/s46/s56\n/s54/s32/s71/s72/s122/s53/s32/s71/s72/s122/s51/s32/s71/s72/s122\n/s52/s32/s71/s72/s122/s50/s32/s71/s72/s122\n/s32/s49/s32/s109/s87\n/s32/s49/s48/s32/s109/s87\n/s32/s50/s48/s32/s109/s87/s32/s86\n/s73/s83/s72/s69/s32/s91 /s86 /s93\n/s66/s32/s91/s109/s84/s93/s49/s32/s71/s72/s122\nFIG. 3. Dependence of the electric voltage signal, VISHE, for\ntheYIG[200nm]/Pt[15nm]sample asafunctionofthestatic\nmagnetic field (in-plane) within a microwave frequency rang e\nof [0.6-7 GHz] at 20 mW. Symbols correspond to the value of\n∆Vfor different microwave power: 1, 10, and 20 mW.\nFig.4 a), b), and c), present the dependence of the\nelectric voltage VISHEas a function of the magnetic field\nand the microwave power for different frequencies (1, 3,\nand 6 GHz, respectively). Two points should be made\nregarding these graphs. First, one can see in those spec-\ntra multiple resonance signals, which are attributed to\nthe Magnetostatic Surface Spin waves (MSSW, when the\nmagnetic field is lower than the resonant condition) and4\nBackward Volume Magnetostatic Spin Waves (BVMSW,\nwhen the magnetic field is higher than the resonant\ncondition)23,24. Second, the strong non-linear depen-\ndence observed at low frequency is well represented by\nthe resonance magnetic field shift and the asymmetric\ndistortion of the resonance line as observed in Fig.4 a).\nThese observations are correlated with the pioneering\nworks of Suhl25and Weiss26related to non-linear phe-\nnomena occurring at large precession angles. The simple\nexpression27of the magnetization precession cone angle\nat resonance is given by Θ = hrf/∆H, wherehrfand\n∆Hcorrespond to the microwave magnetic field and the\nlinewidth of the absorption line of the uniform mode, re-\nspectively. This expression shows that by decreasing the\nexcitation frequency, an enhancement ofthe cone angle is\ninduced. Therefore, the system becomes more sensitive\nto the rf microwave power, Pin.\nIn addition, the non-linear behaviour measured at 1\nGHz (also at 3 GHz, but less) is well represented by\nFig.4 e). This figure represents evolutions of ∆ Vas a\nfunction of the microwave power, Pin, performed at 1, 3\nand 6 GHz between 1 and 70 mW. Y. Kajiwara et al.6\nhave proposed an equation to represent the dependence\nof the electric voltage signal as a function of B,f,hac\n(microwave magnetic field), and the parameters of the\nbilayer system. They showed that VISHEat resonant con-\nditions depends linearly on the microwave power. This\ndependence is well reproduced only at 6 GHz. Fig.4 d)\nrepresents the ratio of ∆ Vextracted from measurements\nat 1 and 6 GHz, ∆ V1GHz/∆V6GHz, as a function of the\nmicrowave power Pin, to emphasize the non-linearity ob-\nserved at 1 GHz. Note that, for a very low microwave\npower of 1 mW, ∆ Vat 1 GHz is 14 times greater than\n∆Vat 6 GHz, whereas by increasing Pin, this difference\nis drastically reduced11and reached a factor of 5 at 60\nmW.\nTo investigate the frequency dependence of ∆ V, the\nresponse of the microstrip line should be taken into ac-\ncount. Between 30 and 40 mT and between 70 and 100\nmT, the microstrip line induces an artificial increase of\nVISHE, as can be observed in Fig.3. The correction fac-\ntor for this artificial increase is determined by measuring\nthe reflection parameter S11, for the system being out of\nresonance.\nFig.5 a) represents the frequency dependence of\n∆˜V/Pin, where ∆ ˜Vcorresponds to the the dc voltage\ncorrected by the response of the microstrip line itself.\nThisfigurepermitstodefinethefrequencyrangeinwhich\nthis evolution presents non-linear behaviour. Note that\nbetween 3.4 and 7 GHz, values of ∆ ˜V/Pinpresent a slow\ndecrease as a function of the microwave frequency. In\nthis regime, ∆ ˜V/Pinvalues are similar for the different\nrf microwave powers of 1, 10, and 20 mW due to the fact\nthat in this frequency range, the rf power dependence of\n∆Vis linear6,13. The interesting feature of the frequency\ndependence of ∆ ˜V/Pinis observed at frequencies below\n3.4 GHz. At those frequencies, the frequency dependence\ndoes not follow the trend observed at higher frequencies/s52 /s53 /s54 /s55/s48/s49/s50/s51/s52\n/s52/s54 /s52/s56 /s53/s48/s48/s46/s48/s48/s46/s53/s49/s46/s48/s49/s46/s53/s50/s46/s48\n/s49/s51/s50 /s49/s51/s53 /s49/s51/s56 /s49/s52/s49/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56\n/s48 /s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48/s48/s49/s50/s51/s52/s48 /s50/s53 /s53/s48 /s55/s53 /s49/s48/s48/s53/s49/s48/s49/s53\n/s48 /s50 /s52 /s54 /s56 /s49/s48/s48/s46/s48/s48/s48/s46/s50/s53/s48/s46/s53/s48/s32/s49/s48/s32/s109/s87\n/s32/s50/s48\n/s32/s53/s48\n/s32/s56/s48\n/s32/s32/s86\n/s73/s83/s72/s69/s32 /s91 /s86 /s93/s66/s32/s91/s109/s84/s93\n/s86\n/s49/s71/s72/s122/s47 /s86\n/s54/s71/s72/s122/s32/s86\n/s73/s83/s72/s69/s32 /s91 /s86 /s93/s32\n/s66/s32/s91/s109/s84/s93\n/s80\n/s105/s110/s32/s91/s109/s87/s93/s32/s86\n/s73/s83/s72/s69/s32 /s91 /s86 /s93\n/s66/s32/s91/s109/s84/s93/s54/s32/s71/s72/s122/s51/s32/s71/s72/s122 /s49/s32/s71/s72/s122\n/s100/s41\n/s101/s41/s99/s41/s98/s41/s32 /s86/s32 /s91 /s86 /s93/s32/s49/s32/s71/s72/s122\n/s32/s51/s32/s71/s72/s122\n/s32/s54/s32/s71/s72/s122/s32\n/s80\n/s105/s110/s32/s91/s109/s87/s93/s97/s41\n/s32/s32 \n/s32 /s32 /s32 \nFIG. 4. a), b), and c) present the dependence of the electric\nvoltage signal, VISHE, as a function of the static magnetic field,\nB, for different microwave power, Pin, at 1, 3, and 6 GHz,\nrespectively. d) microwave power dependence of the ratio of\nthe values of ∆ Vmeasured at 1 and 6 GHz. e) representation\nof ∆Vas a function of the microwave power between 1 and\n70 mW at 1, 3, and 6 GHz. The inset corresponds to the\ndependence of ∆ Vfor low rf power.\n(>3.4 GHz). In the frequency range [0.6-3.4 GHz], the\npreviously observed non-linear behaviour affects the val-\nues of ∆ ˜V/Pinas a function of the input rf microwave\npower. The enhancement ∆ ˜V/Pinis more efficient at\nlow powers and gradually reduces with increasing the\nmicrowave power. The discrepancy is especially strong\naround the maximum at 1 GHz. H. Kurebayashi et al.11\nobtained 17.1 and 62.8 nV/mm at 2 and 6 GHz, respec-\ntively, whereas in our system, for the same frequencies,\n∆Vreaches 542.85 and 108.6 nV/mm.\nThe question arising now: what is the origin of the\nstrong enhancement of ∆ Vat low frequency. Is it only\ndue to the frequency dependence of the cone angle? The\nassumption of a single magnetization precession angle is\nnot warranted, due to the fact that several spin wave5\nFIG. 5. a) dependence of ∆ ˜V/Pinas a function of the mi-\ncrowave frequency with a microwave power of 1, 10, and 20\nmW. The red dashed line corresponds to the analytical ex-\npression of the frequency dependence of ∆ Vextracted from\nRef.6. b) dependence of the resonant frequency, f, as a func-\ntion of the applied magnetic field. Open circles indicate the\nexperimental data when k⊥B(in-plane magnetic field) and\nthe solid black curve is calculated from the Kittel’s formul a28\ngiven by: f=/radicalbig\nfH(fH+fM). Note that fH=γµ0Hand\nfM=γµ0M. c) dispersion relation of spin waves29: depen-\ndence of the frequency as function of the wavevector, k, when\nk/bardblBfor different thickness of YIG. The magnetic field is\nfixed at 40 mT.\nmodes contribute to the dynamic response of the sys-\ntem. Therefore, assuming that no spin waves are created\nin the YIG, the normalization of ∆ Vby Θ (defined by\nα) andPcannot explain the enhancement of ∆ ˜V/Pin\nat low frequency. Here, Pcorresponds to the correction\nfactor related to the ellipticity trajectory of the magneti-\nzation precession of the uniform mode30due to the mag-\nnetic field configuration (in-plane). The analytical ex-\npression (red dash line in Fig.5a)) extracted from Ref.6,\nin which the spin current at the YIG/Pt interface is de-\nfined by the uniform mode, cannot reproducethe dc volt-\nagebehaviouratlowfrequency. AsreportedpreviouslyinRef.11,12, this behaviour has been attributed to the pres-\nence of non-linear phenomena. H. Kurebayashi et al.11\nhavedemonstratedthe possibilityto controlthe spin cur-\nrent at the YIG/Pt interface by three-magnon splitting.\nThis non-linear phenomenon can be easily actuated for\nvery low rf power31. Kurebayashi et al.11have observed\nthat the threshold power of the splitting in their system\nwas around 18 µW, which is very low with respect to the\nrf power used for FMR and dc voltage measurements.\nFig.5 b) introduces the frequency limit of the three-\nmagnon splitting boundaries calculated for our sample\n(200 nm) and for a thick sample of YIG. The split-\nting induces the creation of two magnons (with short-\nwavelength) from the uniform mode (long-wavelength),\nfollowing the equations: f=f1+f2andk=k1+k2,\nwherefandkare the frequency and wave vector with\nf1=f2=1\n2fandk1=−k223,31. In agreement with\nKurebayashietal.11,astrongenhancementofthedcvolt-\nage at low frequency has been observed, but this depen-\ndencedoes notnecessarilymeanthat three-magnonsplit-\ntingisinvolvedinoursystem. Byfollowingthe schemaof\nthe three-magnon, one can easily see that this phenom-\nena is allowed for a specific frequency range. The upper\nfrequency limit ( fcutoff) for the splitting is defined by the\nminimum of the BVSWM dispersion curve ( fmin) result-\ning from the competition between the dipole interaction\nand the exchange interaction. This minimum depends of\nthe thickness of the YIG sample.\nFor a thick sample of YIG, fmin≈fH, wherefHis the\nLarmor frequency. The FMR frequency cannot be lower\nthanfH32, and thus, the excitation frequency should be\nhigher than 2 fHin order that the process described by\nthe above equation can take place. Consequently, the\nupper frequency limit, fcutoff, for a thick sample system\nof YIG is fcutoff=2\n3fM, where fM=γµ0M. In the\nexperimentofKurebayashiet al.11, they haveused aYIG\nsample with a thickness of 5.1 µm, which is higher than\nthe exchange correlationlength, and therefore fmin≈fH.\nNevertheless, by taking into account a YIG thickness\nof 200 nm, the dependence of fmin(from Ref.29) shows a\nstrong difference with fH(see Fig.5 b)). The model of\nthe three-magnon splitting ( f >2fmin) suggested that in\nour case this process is not allowed. Fig.5 c) represents\nthe spin wave spectrum in YIG when the magnetic field\nis parallel to the wavevector, k, for different thickness\nof YIG29. The calculation has been performed with a\nmagnetic field of 40 mT inducing a microwave frequency\nf=2.66 GHz with γ=1.80 1011rad T−1s−1. A crossing\nof the dispersion curve with the black dotted line ( f/2)\nshows that the splitting is permitted. By reducing the\nthickness, the minimum frequency increases. For thin\nlayers of YIG, the dispersion curve does not cross the\nblackdottedlineanymore,suggestingthatherethethree-\nmagnon splitting is no longer allowed.\nThe role of the three-magnon splitting process for the\nspin pumping is not fully clear and there are many non-\nlinear phenomena which can induce the creation of spin6\nwaves with short-wavelength (multi-magnon processes\nsuch as four-magnon and two-magnon scattering). It\nhas been shown by Jungfleisch et al.14that the two-\nmagnon process (due to the scattering of magnons on\nimpurities and surfaces of the film) contributes to en-\nhance the spin current at the YIG/Pt interface. The\nstrong enhancement of ∆ Vobserved at low frequency\nis due to the fact that the dc voltage induced by spin\npumping at the YIG/Pt interface is insensitive to the\nspin waves wavelength11,14. In other words, ∆ Vis not\nonly defined by the uniform mode but from secondary\nspin wave modes, which present short-wavelength. It is\nnot obvious to identify the contributions of the different\nmulti-magnon processes, involved in our system, to the\nenhancement of the dc voltage at low frequency.\nIV. CONCLUSION\nIn summary, we have shown spin current emission in\na hybrid structure YIG [200 nm]/Pt [15 nm] as a func-\ntion of microwavefrequency f, microwave power Pinand\napplied magnetic field B(in-plane). We have observed a\nstrong enhancement of the voltage signal emission across\na spin current detector of Pt at low frequency. This be-\nhaviour can be understood if we assume that the mea-\nsured signal is not only driven by the FMR mode (which\ncontributestothespin-pumpingattheYIG/Ptinterface)\nbut also from a spectrum of secondary spin-wave modes,\npresenting short wavelengths.In YIG-based electronic devices, the creation of short-\nwavelength spin waves is considered as a parasitic effect.\nHowever, in this case it can be used as a spin current am-\nplifier. Before to integrate this system in a device, many\nquestions related to the contribution for the spin pump-\ning of the spin waves with short-wavelength should be\nsolved. To date, no systematic studies of the spin current\nemission on a YIG/Pt system havebeen done as function\nof the YIG thickness. By choosing a specific thickness\nrange, it should be possible to follow the contribution\nof the three-magnon splitting ( fcutoff) by a combination\nof Brillouin Light Scattering (BLS)11and spin pumping\nmeasurements. More details of other multi-magnon pro-\ncesses should be given by temperature dependence mea-\nsurements. Nevertheless, the enhancement of ∆ V, which\nwe have observed in the frequency range [0.6 - 3.2 GHz],\ncouldbeusedtodownscaleahybridstructureofYIG/Pt.\nThe isotropic behaviour of the in-plane magnetization,\nthe absence of stripe domains, and the high quality thin\nlayerofYIG(200nm)grownbyliquid-phase-epitaxygive\nkeys to success in this way.\nWe would like to acknowledgeN. Vukadinovic for valu-\nable discussions and B. Wolfs, M. de Roosz and J. G.\nHolstein for technical assistance. This work is part of the\nresearchprogram(Magnetic Insulator Spintronics) of the\nFoundation for Fundamental Research on Matter (FOM)\nand supported by NanoNextNL, a micro and nanotech-\nnology consortium of the Government of the Netherlands\nand 130 partners (06A.06), NanoLab NL and the Zernike\nInstitute for Advanced Materials.\n∗v.m.castel@rug.nl\n1A. Azevedo, L. H. Vilela-Le˜ ao, R. L. Rodr´ ıguez-\nSu´ arez, A. B. Oliveira, and S. M. Rezende,\nJournal of Applied Physics 97, 10C715 (2005).\n2E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara,\nApplied Physics Letters 88, 182509 (2006).\n3K. Ando, S. Takahashi, K. Harii, K. Sasage,\nJ. Ieda, S. Maekawa, and E. Saitoh,\nPhys. Rev. Lett. 101, 036601 (2008).\n4A. Azevedo, L. H. Vilela-Le˜ ao, R. L. Rodr´ ıguez-\nSu´ arez, A. F. Lacerda Santos, and S. M. Rezende,\nPhys. Rev. B 83, 144402 (2011).\n5K. Harii, T. An, Y. Kajiwara, K. Ando,\nH. Nakayama, T. Yoshino, and E. Saitoh,\nJournal of Applied Physics 109, 116105 (2011).\n6Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe,\nK. Uchida, M. Mizuguchi, H. Umezawa, H. Kawai,\nK. Ando, K. Takanashi, S. Maekawa, and E. Saitoh,\nNature (London) 464, 262 (2010).\n7L. H. Vilela-Le˜ ao, C. Salvador, A. Azevedo, and S. M.\nRezende, Applied Physics Letters 99, 102505 (2011).\n8T. Schneider, A. A. Serga, B. Leven, B. Hille-\nbrands, R. L. Stamps, and M. P. Kostylev,\nApplied Physics Letters 92, 022505 (2008).\n9A. V. Chumak, A. A. Serga, M. B. Jungfleisch, R. Neb,\nD. A. Bozhko, V. S. Tiberkevich, and B. Hillebrands,Applied Physics Letters 100, 082405 (2012).\n10K. Ando and E. Saitoh, “Bistable Spin Pumping Memory\nEffect,” (2011), arXiv:1112.1596v1.\n11H. Kurebayashi, O. Dzyapko, V. E. Demidov, D. Fang,\nA. J. Ferguson, and S. O. Demokritov, Nat. Mater. 10,\n660 (2011).\n12C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A. Serga,\nV.I.Vasyuchka,M.B. Jungfleisch, E.Saitoh, andB. Hille-\nbrands, Phys. Rev. Lett. 106, 216601 (2011).\n13H. Kurebayashi, O. Dzyapko, V. E. Demidov,\nD. Fang, A. J. Ferguson, and S. O. Demokritov,\nApplied Physics Letters 99, 162502 (2011).\n14M. B. Jungfleisch, A. V. Chumak, V. I. Vasyuchka,\nA. A. Serga, B. Obry, H. Schultheiss, P. A. Beck,\nA. D. Karenowska, E. Saitoh, and B. Hillebrands,\nApplied Physics Letters 99, 182512 (2011).\n15A. Prabhakar and D. D. Stancil,\nJournal of Applied Physics 85, 4859 (1999).\n16The characteristic impedance of the microstrip is designed\nwith respect to the source impedance. By taking into ac-\ncount the geometric dimensions of the line, the electrical\nproperties of the line (Au), and the permittivity of the\nsubstrate (alumina) we have realized a microstrip line with\nan impedance of 50 Ohm. In order to create a maximum\ncurrent through the microstrip and therefore a maximum\ncoupling (in the frequency range that we have used), the7\nend of the transmission line has been shorted.\n17C. Burrowes, B. Heinrich, B. Kardasz, E. A. Mon-\ntoya, E. Girt, Y. Sun, Y.-Y. Song, and M. Wu,\nApplied Physics Letters 100, 092403 (2012).\n18Z. Wang, Y. Sun, Y.-Y. Song, M. Wu,\nH. Schultheiß, J. E. Pearson, and A. Hoffmann,\nApplied Physics Letters 99, 162511 (2011).\n19K. Ando, Y. Kajiwara, K. Sasage, K. Uchida, and\nE. Saitoh, IEEE Transactions on Magnetics 46, 3694\n(2010).\n20E. Padr´ on-Hern´ andez, A. Azevedo, and S. M. Rezende,\nApplied Physics Letters 99, 192511 (2011).\n21E. Padr´ on-Hern´ andez, A. Azevedo, and S. M. Rezende,\nPhys. Rev. Lett. 107, 197203 (2011).\n22J. B. Youssef, V. Castel, N. Vukadinovic, and M. Labrune,\nJournal of Applied Physics 108, 063909 (2010).\n23D. D. Stancil and A. Prabhakar, Spin Waves: Theory and\nApplications (Springer, New York, 2009).\n24C. W. Sandweg, Y. Kajiwara, K. Ando,E. Saitoh, and B. Hillebrands,\nApplied Physics Letters 97, 252504 (2010).\n25H.Suhl,Journal of Physics and Chemistry of Solids 1, 209 (1957).\n26M. T. Weiss, Phys. Rev. Lett. 1, 239 (1958).\n27Y. Guan, W. E. Bailey, E. Vescovo,\nC.-C. Kao, and D. A. Arena,\nJournal of Magnetism and Magnetic Materials 312, 374 (2007).\n28C. Kittel, Phys. Rev. 73, 155 (1948).\n29B. A. Kalinikos, M. P. Kostylev,\nN. V. Kozhus, and A. N. Slavin,\nJournal of Physics: Condensed Matter 2, 9861 (1990).\n30K. Ando, T. Yoshino, and E. Saitoh,\nApplied Physics Letters 94, 152509 (2009).\n31A. D. Boardman and S. A. Nikitov,\nPhys. Rev. B 38, 11444 (1988).\n32S. Jun, S. A. Nikitov, R. Marcelli, and P. D. Gasperis,\nJournal of Applied Physics 81, 1341 (1997)." }, { "title": "1807.08481v1.Bias_dependent_spin_injection_into_graphene_on_YIG_through_bilayer_hBN_tunnel_barriers.pdf", "content": "Bias dependent spin injection into graphene on YIG through bilayer hBN tunnel\nbarriers\nJ.C. Leutenantsmeyer,\u0003T. Liu, M. Gurram, A.A. Kaverzin, and B.J. van Wees\nPhysics of Nanodevices, Zernike Institute for Advanced Materials,\nUniversity of Groningen, 9747 AG Groningen, The Netherlands\n(Dated: March 7, 2022)\nWe study the spin injection e\u000eciency into single and bilayer graphene on the ferrimagnetic insu-\nlator Yttrium-Iron-Garnet (YIG) through an exfoliated tunnel barrier of bilayer hexagonal boron\nnitride (hBN). The contacts of two samples yield a resistance-area product between 5 and 30 k\n \u0016m2.\nDepending on an applied DC bias current, the magnitude of the non-local spin signal can be in-\ncreased or suppressed below the noise level. The spin injection e\u000eciency reaches values from -60%\nto +25%. The results are con\frmed with both spin valve and spin precession measurements. The\nproximity induced exchange \feld is found in sample A to be (85 \u000630) mT and in sample B close to\nthe detection limit. Our results show that the exceptional spin injection properties of bilayer hBN\ntunnel barriers reported by Gurram et al. are not limited to fully encapsulated graphene systems\nbut are also valid in graphene/YIG devices. This further emphasizes the versatility of bilayer hBN\nas an e\u000ecient and reliable tunnel barrier for graphene spintronics.\nI. INTRODUCTION\nThe combination of graphene with other two dimen-\nsional layered materials is an elegant way to create atom-\nically thin devices with adjustable properties [1{3]. The\ncrystalline insulator hexagonal boron nitride is an ap-\npealing material for the \feld of graphene spintronics [4].\nIts atomic \ratness and su\u000eciently strong van der Waals\ninteraction with graphene allows the fabrication of het-\nerostructures of 2D materials with minimized contami-\nnation, implying good spin transport properties. A long\nspin di\u000busion length of 30 \u0016m has been experimentally\nachieved in graphene where a bulk \rake of hBN was\nused as protective layer to avoid contamination during\nthe fabrication process [5]. Therefore, the use of hBN\nas a pinhole free tunnel barrier is straightforward since\nthese fully encapsulated graphene devices suggest mini-\nmized contamination and highly e\u000ecient spin transport.\nSeveral experimental studies have investigated the spin\ninjection through tunnel barriers of exfoliated hBN [6, 7]\nand large scale hBN grown via chemical vapor deposition\n[8{11]. However, the experimentally demonstrated spin\ntransport lengths are still far below the values suggested\nby the low intrinsic spin orbit coupling of graphene [12].\nHaving graphene in proximity to magnetic materials\nis a novel approach to tune the intrinsic properties of\ngraphene. Magnetic graphene is characterized by the\ninduced exchange \feld [13{17]. First principle calcula-\ntions of idealized systems predict an exchange splitting\nof the graphene spin states to exceed several tens of meV\n[18, 19]. However, the experimentally demonstrated ex-\nchange \felds are still several orders of magnitude below\n[13, 14, 20].\nThe realization of graphene devices with a large ex-\nchange \feld requires the tackling of several challenges.\nThe cleanliness of the interface between graphene and\n\u0003E-Mail: j.c.leutenantsmeyer@rug.nlYIG is crucial to obtain a strong exchange e\u000bect as\nindicated by the discrepancy between experimentally\nachieved values and theoretical predictions. Further-\nmore, the interface and tunnel barrier between the\ngraphene \rake and contacts are crucial for the injection\nof a large spin accumulation and the observation of large\nspin signals. In our previous works we employed tunnel\nbarriers of oxidized titanium or aluminum to overcome\nthe conductivity mismatch problem [21, 22]. For these\ntypes of tunnel barrier the magnitude of the spin signal\nis limited by pinholes and resulted in a relatively small\nspin signal of mostly less than 1 \n, which often did not\nexceed the electrical noise of the measured signals in the\nsample. In addition, the contamination arising from the\nPMMA-based fabrication procedure a\u000bects the graphene\ncleanliness negatively. For this study we replace the AlO x\nor TiO xtunnel barrier with a bilayer-hBN (bl-hBN) \rake,\nwhich signi\fcantly improves the sample quality and spin\nsignal. Furthermore, we con\frm the tunable spin injec-\ntion reported by Gurram et al. [23] for the graphene/YIG\nsystem.\nII. SAMPLE PREPARATION AND CONTACT\nCHARACTERIZATION\nThin hBN \rakes are exfoliated from hBN crystals (HQ\nGraphene) onto 90 nm SiO 2wafers. The thickness of\nthe \rakes is estimated through their optical contrast,\nwhich is calibrated by atomic force microscopy. In our\nmicroscope (Zeiss Axio Imager.A2m with an EC Epiplan-\nNeo\ruar 100x/0.9 objective) bl-hBN corresponds to 2.5%\ncontrast in the green channel. Suitable bl-hBN \rakes are\npicked up by using a dry polycarbonate based transfer\nmethod [24] and combined with single- (sample A) or bi-\nlayer graphene (sample B) exfoliated from HOPG crys-\ntals (ZYB grade, HQ Graphene). The stack is placed\non a cleaned 12 \u0016m YIG grown by liquid phase epitaxy\n(LPE) on a 600 \u0016m gadolinium-gallium-garnet substrate\n(Matesy GmbH). Before the transfer, the YIG substratearXiv:1807.08481v1 [cond-mat.mes-hall] 23 Jul 20182\nfor sample A is treated with oxygen plasma to remove\norganic contaminants and annealed in a 500\u000eC furnace\nin an oxygen atmosphere prior to the transfer of the\ngraphene/bl-hBN stack. The substrate of sample B un-\nderwent an additional argon plasma treatment before the\nannealing step.\nThe polycarbonate is dissolved in chloroform and the\nbl-hBN/graphene/YIG stack is cleaned in acetone, iso-\npropanol and sequent annealing for one hour at 350\u000eC\nin an argon-hydrogen atmosphere. Contacts are de\fned\nusing a standard PMMA-based electron beam lithogra-\nphy process. The electrodes are evaporated at pressures\nbelow 10\u00007mbar and consist of 45 nm cobalt and a 5 nm\naluminum capping layer. After the lifto\u000b in warm ace-\ntone, the sample (Figs. 1a and 1b) is loaded into a cryo-\nstat and kept in vacuum during the characterization. All\nmeasurements are carried out at 75 K.\nFIG. 1. a) Optical micrograph of the sample A. The outer\nelectrodes (R) are not covered by bl-hBN and used as refer-\nence electrodes in both local and non-local measurements. b)\nOptical micrograph of sample B. c) Schematic measurement\nof the three-terminal contact resistance. d) All working con-\ntacts have a calculated resistance-area product between 5 and\n30 k\n \u0016m2. The full set of IV characteristics is shown in the\nsupplementary information.\nAfter loading into the cryostat of the measurement\nsetup, the samples are cooled down to liquid nitrogen\ntemperature and the contacts are characterized in a\nthree-terminal geometry (Fig. 1c) using the outermost\ncontacts as reference electrodes. The resistance-area\nproduct is calculated from the current-voltage charac-\nteristics and shown for sample A in Fig. 1d. The con-\ntacts on sample A and B which employ a bl-hBN tunnel\nbarrier yield a typical resistance-area product between 5\nand 30 k\n\u0016m2, a range comparable to the one reported\nin [23]. An hBN covered graphene Hall bar sample fab-\nricated in parallel with sample B for comparison yields\na carrier density of n = 5 \u00021012cm\u00002and a mobility\nof\u0016= 5400 cm2/Vs. We found \u0016= 720 cm2/Vs (es-\ntimated via the Shubnikov-de Haas oscillations) in our\nprevious work [13] and conclude that the protective hBN\nlayer signi\fcantly improves the graphene charge trans-port properties on YIG.\nIII. BIAS-DEPENDENT SPIN INJECTION\nTHROUGH BILAYER HBN TUNNEL BARRIERS\nINTO SINGLE AND BILAYER GRAPHENE ON\nYIG\nWe now discuss the spin transport in graphene on YIG\nwith a bl-hBN tunnel barrier in a non-local geometry\n(Fig. 2a). A current of I AC= 1\u0016A is sourced and mod-\nulated with 3.7 Hz between contacts 2 and R2. The\nferromagnetic electrode injects a spin current into the\ngraphene underneath contact 2. These spins are di\u000busing\nalong the graphene channel and are probed by a lock-in as\na voltage di\u000berence V NLbetween the detector contact 1\nand the reference electrode R1. Using this technique,\nwe can decouple charge and spin transport. The signal\ncan be de\fned as non-local resistance and calculated via\nRNL= V NL=IAC. To characterize the basic spin trans-\nport properties of the samples an in-plane magnetic \feld\nparallel to the electrodes (B app) is applied to switch the\nmagnetization of the injector and detector (Fig. 2a). De-\npending on the relative magnetization alignment of the\ninjector and detector electrodes, the non-local resistance\nchanges between the parallel and the antiparallel resis-\ntance states when the contact magnetization switches.\nThis measurement represents a characteristic spin valve\nbehavior (Figs. 2b and 2c) and gives an estimation of the\nspin relaxation length in the graphene \rake (Fig. 2d).\nFIG. 2. a) Schematic setup for a non-local spin valve mea-\nsurement. b) Non-local spin valve measurements of sample A\n(bl-hBN/graphene). The size of the switch between parallel\nand antiparallel states of contacts 1 and 2 can be tuned with\nthe applied DC bias and is shown for four di\u000berent values.\nc) Sample B (bl-hBN/bl-graphene) shows a comparable de-\npendence on the applied DC bias. Note that the spin signal\nchanges the sign around -92 mV. d) The distance dependent\nspin valve measurements of sample A allow the estimation of\nthe spin relaxation length from the slope of the linear \ft. The\nsame analysis for sample B is discussed in the supplementary\nmaterial.\nTo study the e\u000bect of the bias on the spin injec-3\ntion, we apply a DC current additionally to the AC\ncurrent sourced between injector and reference electrode\n(Fig. 2a). The dielectric strength of hBN is approxi-\nmately 1.2 V/nm [25]. Therefore, we limit the DC bias\ncurrent for sample A to 20 \u0016A, which corresponds to 0.4 {\n0.6 V, depending on the IV characteristics of the injector\ncontact. To compare di\u000berent contacts, we calculate the\nequivalent voltage V hBNacross the hBN tunnel barrier\nfrom the applied DC bias current and discuss all results\nplotted as function of V hBN.\nFigure 2b contains the spin valve measurements of\nsample A for four di\u000berent DC bias currents over dis-\ntance d = 1.6 \u0016m. While no spin signal above noise level\nis visible at -92 mV, a DC bias current of +333 mV re-\nsults in a clear switching between parallel and antiparallel\nstates with a spin signal of approximately 0.4 \n. Beyond\n-92 mV, we \fnd an inverted sign of the non-local resis-\ntance switching and a spin signal of -0.4 \n at -155 mV\nand -0.7 \n at -257 mV.\nFour spin valve measurements of sample B are shown\nin Fig. 2c. where we \fnd compared to sample A a larger\nspin signal of up to -2.5 \n at -356 mV DC bias. The\nchange of the sign of the spin signal occurs in sample B\nalso between -100 mV and 0 mV, a similar range as in\nthe measurements on sample A.\nThe distance dependence of the spin signal is shown\nfor sample A in Fig. 2d, from which we extract the spin\nrelaxation length \u0015\u0018(740\u0006570) nm. In our previous\nwork we found a comparable value of \u0015= (490\u000640) nm\nfor a not hBN protected sample. We conclude that even\nthough the charge transport properties have improved\nsigni\fcantly, the spin transport parameters remain sim-\nilar. The same analysis was applied to sample B, where\nwe found\u0015\u0018(2.3\u00061)\u0016m (supplementary material).\nThe bl-hBN tunnel barriers in Fig. 2d show a less clear\ntrend in the distance dependence, resulting in a larger\nerror in\u0015. We can attribute this to two origins: an\ninhomogeneity of the bl-hBN tunnel barriers and an in-\nhomogeneity in the graphene \rake. Microscopic cracks\nin the hBN tunnel barrier could arise during the fabri-\ncation and could lead a to a di\u000berent spin polarization\nof each contact. This interpretation is also supported by\nthe considerable spread of the resistance-area product of\nbetween 5 to 30 k\n \u0016m2. As a consequence, the values\nfor the spin relaxation length extracted from the distance\ndependent measurements can only be seen as approxima-\ntion. However, the consistency with the spin precession\nmeasurements as discussed in the following sections con-\n\frms the validity of the estimation.\nTo extract the DC bias dependence of the spin in-\njection polarization in the cobalt/bl-hBN/graphene/YIG\nsystem, we align the magnetization of injector and detec-\ntor parallel or antiparallel and sweep the DC bias current.\n\u0001RNL= R NL(P) - R NL(AP) is calculated and yields the\npure spin signal of samples A and B shown in Figs. 3a\nand 3b. For comparison, both curves are plotted as a\nfunction of V hBN. While both positive and negative DC\nbiases lead to an enhanced spin injection, a sign change\nat approximately -80 mV is observed. To extract the bias\nFIG. 3. Non-local spin transport in a) sample A and b) sam-\nple B for di\u000berent DC bias voltages. For comparison the de-\npendence is shown as a function of the bias voltage applied\nacross the hBN barrier. The blue and red curves correspond\nto the con\fguration where detector and biased injector con-\ntacts are swapped. The spin polarization on the right side\nof both panels is extracted from the independently measured\n\u0001RNL.\ndependence of the spin injection polarization, we use the\nunbiased non-local spin signals to calculate the average\nspin polarization (pPIPD) of injector P Iand detector\nPD. This assumption is justi\fed by the similar shape of\nthe non-local resistances in Figs. 3a and 3b, when injec-\ntor and detector contacts are swapped. This suggests a\nsimilar behavior of both contacts. We can extract a spin\npolarization via:\nPI\u0001PD=\u0001RNL\u0001w\nRsq\u0001\u0015e\u0000d=\u0015(1)\nwhere \u0001R NLthe spin signal, w the width of the \rake,\nRsqthe square resistance, \u0015the spin relaxation length\nand d the injector to detector distance measured from the\ncenters. Under the assumption that P I= P Dwe obtain\nan unbiased spin polarization of 14.65% for sample A\nand 10.86% for sample B. Because we apply the DC bias\nonly to the injector contact, the spin polarization of the\ndetector remains constant and can be used to extract the\ndependence of the di\u000berential spin injection polarization\non the DC bias. We note that the feature of sample A\naround zero DC bias seems to be a characteristic feature\nof these particular contacts and does not appear on all\ncontacts on sample A (see supplementary information).\nIV. BIAS DEPENDENT SPIN PRECESSION\nMEASUREMENTS AND ESTIMATION OF THE\nPROXIMITY INDUCED EXCHANGE FIELD IN\nBL-HBN/GRAPHENE/YIG\nTo estimate the strength of the induced exchange \feld,\nwe apply and rotate a small magnetic \feld (B app=\n15 mT) in the sample plane (Fig. 4a). The low in-plane4\ncoercive \feld of the YIG \flms allows us to rotate the\nYIG magnetization and simultaneously the proximity in-\nduced exchange \feld while leaving the magnetization of\nthe cobalt injector and detector remain una\u000bected. The\nresulting modulation of the non-local resistance is a di-\nrect consequence of B app+ B exchand can be only ex-\nplained by the presence of such [13, 14].\nThe analysis of this e\u000bect gives us an estimate for the\nstrength of the exchange \feld and allows us the \ftting of\nthe Hanle curves to extract further spin transport param-\neters. The higher order oscillations that remain in the\nsymmetrized data in Fig. 4b could indicate the presence\nof local stray \felds of the cobalt contacts in\ruencing the\nlocal YIG magnetization or an anisotropy arising from\nthe shape of the YIG substrate which might not be fully\naligned with the applied magnetic \feld of 15 mT. There-\nfore, we apply a smoothing on the data. The resulting\ncurve is shown in red. We estimate the modulation to be\n(11\u00065)% over d = 1.6 \u0016m, which, given the uncertainty\narising from the smoothing process, should be seen as a\nrather rough approximation. Despite the uncertainty of\nthe exact value of the modulation, the angular depen-\ndence indicates the presence of an exchange \feld in the\nsample.\nFIG. 4. Modulation of spin transport with the exchange \feld\nin sample A. a) Schematics of the experiment. B appis ro-\ntating the YIG magnetization and the exchange \feld B exch\nin the sample plane while leaving the electrodes and injected\nspins una\u000bected. b) The angle dependence of the non-local\nresistance is measured at T = 10 K and -20 \u0016A DC bias in\nparallel and antiparallel alignment. The subtracted spin sig-\nnal is symmetrized. As a guide to the eye the smoothed data\nis shown in red, from which we estimate a relative modulation\nof 11%. c) Fitting of the experimental relative modulation of\n11% with our model using \u001csand B exchas \ftting parame-\nters. \u0015= 700 nm and B app= 15 mT are \fxed parameters.\nd) Relative modulation of the spin signal calculated from the\nmodel using best \ft parameters \u001cs= 14 ps and \u0015= 700 nm,\nobtained as shown in Fig. 5. B exchis varied as indicated, and\nBapp= 15 mT.\nUsing the model reported in Leutenantsmeyer et al.\n[13] we can simulate the modulation of a spin currentby exchange \feld induced precession. To estimate the\nmagnitude of the exchange \feld leading to 11% modu-\nlation, we use \u0015= 700 nm (Fig. 2d) and assume \u001csto\nbe between 5 and 30 ps, a common range for our single\nlayer graphene devices on YIG. To match the experimen-\ntal modulation, an exchange \feld between 0 and 250 mT\nis required (Fig. 4c). To determine the exact value of \u001cs,\nwe use the parameter pairs of \u001csand B exchto \ft, as dis-\ncussed later, the spin precession measurements in Fig. 5a.\nBy comparing both, we \fnd that the both measurement\nsets can only be \ft consistently with \u001cs= 14 ps and B exch\n= 85 mT.\nFig. 4d contains the modulation caused by the combi-\nnation of the applied magnetic \feld of 15 mT and di\u000ber-\nent values for the exchange \feld. The expected relative\nmodulation caused by an applied magnetic \feld of 15 mT\nwith\u0015= 700 nm and \u001cs= 14 ps does not exceed 0.5%,\nwhereas the observed modulation is clearly larger. To \ft\nthe experimentally found modulation of 11%, we have to\nassume B exch= 85 mT. This is a strong indication for\nthe presence of an exchange \feld in this device. We can\nconclude that within the uncertainty range of the relative\nmodulation of (11 \u00065)%, the exchange \feld in sample A\nis (85\u000635) mT.\nFIG. 5. Spin precession measurements in sample A: a) The\nHanle spin precession curves from sample A are \ft using our\nexchange model with B exch= 85 mT (solid lines) for di\u000berent\nDC bias currents. Contact 1 is used as injector, contact 2 as\ndetector (Fig. 2a). We extract b) the calculated spin polar-\nization the injector (P I), c) the spin di\u000busion coe\u000ecient D s\nand d) the spin di\u000busion time \u001cs. The DC bias dependence P I\nshows a similar dependence as (red line in panel b, Fig. 3d).\nThe Hanle measurements are carried out in parallel\nand antiparallel alignment of the injector (contact 1)\nand detector (contact 2), see Fig. 2a for the contact\nlabeling. We extract the spin signal by calculating\n[RNL(P)\u0000RNL(AP)]=2, shown in Fig. 5a. From the\nHanle \ft using an exchange \feld of 85 mT, we extract\nthe polarization of the injector P (Fig. 5b), the spin\ndi\u000busion coe\u000ecient D s(Fig. 5c) and the spin di\u000busion\ntime\u001cs(Fig. 5d). While D s= (350\u000665) cm2/s and\n\u001cs= (16\u00065) ps remain approximately constant over5\nthe applied DC bias range we \fnd a dependence of the\ninjector spin polarization that resembles the DC bias de-\npendence of the injector (Fig. 3a), which implies a con-\nsistency in the analysis. Using the spin di\u000busion coef-\n\fcient D sand time\u001csextracted from the Hanle mea-\nsurements, we can calculate the spin relaxation length\n\u0015=pDs\u001cs= (730\u0006230) nm. When compared to the\nestimation from the distance dependent spin valve mea-\nsurements (Fig. 2a) both approaches yield similar values\nwhich indicates again the consistency of the analysis.\nNote that the rather smooth Hanle curves shown in\nFig. 5a could be also \ft with a conventional spin pre-\ncession model that does not include any exchange \feld.\nThese \fttings yield \u001cs\u001825 ps, D s\u0018800 cm2/s and\u0015\n\u00181.4\u0016m. Apart from D sbeing unrealistically large, the\nextracted\u0015is two times larger than the result from the\nindependently measured distance dependent spin valves\n(Fig. 2d) which suggests that the \ft of our results with\nthe conventional model is unreliable. Furthermore, if we\nwant to \ft the modulation in Fig. 4b with \u0015= 1.4\u0016m\nand\u001cs= 25 ps, an exchange \feld of \u001860 mT would be\nrequired to match the data, even though the Hanle \ftting\ndid not include any B exch. In return, the parameter sets\nthat match 11% modulation do not \ft the spin precession\nmeasurements unless the values are close to \u0015= 700 nm,\n\u001cs= 14 ps and B exch= 85 mT. In conclusion, this anal-\nysis underlines the relevance to carry out both, angular\nmodulation of R NLand Hanle precession experiments, to\ncharacterize the exchange \feld strength.\nV. BIAS DEPENDENT SPIN PRECESSION\nMEASUREMENTS IN\nBL-HBN/BL-GRAPHENE/YIG\nIn comparison to sample A, sample B is fabricated with\na bilayer graphene \rake. The extraction of the spin re-\nlaxation length via distance dependent spin valve mea-\nsurements is done in a similar way as for sample A and\nis shown in the supplementary information in Fig. S4.\nWe extract \u0015= (2:3\u00061)\u0016m. The modulation of the\nnon-local resistance by rotating the exchange \feld in the\nsample plane is shown in Fig. 6a. The parallel (red)\nand antiparallel (black) data is measured at 10 K and\n-366 mV DC bias. The solid line is the smoothed data\nand used to estimate the relative modulation of the spin\nsignal after subtraction of the parallel and antiparallel\ndata which results in a modulation of 8%.\nTo estimate the exchange \feld causing this precession,\nwe use\u0015= 2.3\u0016m extracted for sample B from the dis-\ntance dependent measurements and assume \u001cs= 100 ps,\nwhich is later con\frmed by the Hanle spin precession\nmeasurements. In this particular case, the modulation of\nthe applied magnetic \feld of 15 mT (black line, Fig. 6d)\nalready induces a modulation close to the experimentally\nfound one. To match the data, a very small exchange\n\feld of only 4 mT would be required, leading us to the\nconclusion that in this device most likely no exchange\ninteraction is present.\nFIG. 6. a) The non-local resistance can be modulated by 8%\nby rotating an in-plane magnetic \feld of 15 mT. The solid\nlines are smoothed and a guide to the eye. The red line is\nmeasured in parallel alignment, the black line in antiparallel\ncon\fguration. b) Modeling of the 8% modulation with the\nspin transport parameters of \u0015= 2.3 \u0016m and \u001cs= 100 ps.\nThe black curve represents the modulation by the applied\nmagnetic \feld of 15 mT in the absence of an exchange \feld,\nthe red curve adds an exchange \feld of 4 mT. c) The spin\nrelaxation time \u001csextracted from the Hanle data in panel d.\nd) The Hanle spin precession curves of sample B with the\n\ftting curves (lines) for di\u000berent DC bias currents. The spin\nrelaxation length of \u0015= 2.3 \u0016m is used as parameters for the\n\ftting.\nUsing the Hanle spin precession data, we also extract\n\u0015= 2.3\u0016m with a negligible exchange \feld. We \fnd\nconsistently over all biases a spin di\u000busion time of (100\n\u00068) ps and a spin di\u000busion coe\u000ecient of D s=\u00152=\u001cs=\n(530\u000640) cm2/s, which resembles the values used for\nthe modulation \ft and indicates consistency throughout\nour analysis of the spin transport. The possible absence\nof the exchange \feld in sample B stresses the importance\nof the graphene/YIG interface of these devices. This ob-\nservation could be also explained with a di\u000berent prox-\nimity e\u000bect on each of the two bilayer graphene layers.\nNevertheless, sample B shows a similar dependence on\nthe applied DC bias as sample A and shows that the\ntunable spin injection is also present in the bl-hBN/bl-\ngraphene/YIG system.\nVI. CONCLUSION\nWe have studied the spin injection through bl-hBN\ntunnel barriers into single- and bilayer graphene on YIG,\nshowing a more reliable and e\u000ecient spin injection com-\npared to TiO xtunnel barriers. The bl-hBN tunnel\nbarriers yield a resistance-area product between 5 and\n30 k\n\u0016m2and the spin injection polarization is found\nto be tunable through a DC bias current applied to the\ninjector. We observe a sign inversion at approximately\n-80 mV DC bias applied across the bl-hBN \rake. We\nestimate the proximity induced exchange \feld through6\nin-plane and out-of-plane spin precession measurements\nto be around 85 mT in sample A and likely to be absent\nin sample B. The low magnitude of the exchange \feld\ncompared to theoretical predictions emphasizes the im-\nportance of the graphene/YIG interface on the proximity\ninduced exchange \feld and con\frms our previously re-\nported low exchange strength for graphene/YIG devices.\nNevertheless, our results con\frm the unique properties of\nbl-hBN for the reliable spin injection into single and bi-\nlayer graphene on YIG and stress the importance of this\ntype of tunnel barrier for future application in graphenespintronics.\nVII. ACKNOWLEDGEMENTS\nWe acknowledge the fruitful discussions with J. Ingla-\nAyn\u0013 es, and funding from the European Unions Horizon\n2020 research and innovation program under grant agree-\nment No 696656 and 785219 (Graphene Flagship core 1\nand 2), the Marie Curie initial training network Spino-\ngraph (grant agreement No 607904) and the Spinoza\nPrize awarded to B.J. van Wees by the Netherlands Or-\nganization for Scienti\fc Research (NWO).\n[1] A. K. Geim and I. V. Grigorieva, Nature 499, 419 (2013).\n[2] W. Han, R. K. Kawakami, M. Gmitra, and J. Fabian,\nNature Nanotechnology 9, 794 (2014).\n[3] S. Roche, J. \u0017Akerman, B. Beschoten, J.-C. Charlier,\nM. Chshiev, S. Prasad Dash, B. Dlubak, J. Fabian,\nA. Fert, M. Guimar~ aes, F. Guinea, I. Grigorieva,\nC. Sch onenberger, P. Seneor, C. Stampfer, S. O. Valen-\nzuela, X. Waintal, and B. J. van Wees, 2D Materials 2,\n030202 (2015).\n[4] M. Gurram, S. Omar, and B. van Wees, 2D Materials 5,\n032004 (2018).\n[5] M. Dr ogeler, C. Franzen, F. Volmer, T. Pohlmann,\nL. Banszerus, M. Wolter, K. Watanabe, T. Taniguchi,\nC. Stampfer, and B. Beschoten, Nano Letters 16, 3533\n(2016).\n[6] T. Yamaguchi, R. Moriya, Y. Oki, S. Yamada, S. Ma-\nsubuchi, K. Hamada, and T. Machida, Applied Physics\nExpress 9, 063006 (2016).\n[7] M. Gurram, S. Omar, S. Zihlmann, P. Makk,\nC. Sch onenberger, and B. J. van Wees, Physical Review\nB93, 115441 (2016).\n[8] W. Fu, P. Makk, R. Maurand, M. Br auninger, and\nC. Sch onenberger, Journal of Applied Physics 116,\n074306 (2014).\n[9] M. V. Kamalakar, A. Dankert, J. Bergsten, T. Ive, and\nS. P. Dash, Scienti\fc Reports 4, 6146 (2015).\n[10] M. V. Kamalakar, A. Dankert, P. J. Kelly, and S. P.\nDash, Scienti\fc Reports 6, 21168 (2016).\n[11] M. Gurram, S. Omar, S. Zihlmann, P. Makk, Q. C. Li,\nY. F. Zhang, C. Sch onenberger, and B. J. Van Wees,\nPhysical Review B 97, 1 (2018).\n[12] D. Huertas-Hernando, F. Guinea, and A. Brataas, Phys-\nical Review B 74(2006).\n[13] J. C. Leutenantsmeyer, A. A. Kaverzin, M. Wojtaszek,\nand B. J. van Wees, 2D Materials 4, 014001 (2017).[14] S. Singh, J. Katoch, T. Zhu, K.-Y. Meng, T. Liu, J. T.\nBrangham, F. Yang, M. E. Flatt\u0013 e, and R. K. Kawakami,\nPhysical Review Letters 118, 187201 (2017).\n[15] P. Wei, S. Lee, F. Lemaitre, L. Pinel, D. Cutaia, W. Cha,\nF. Katmis, Y. Zhu, D. Heiman, J. Hone, J. S. Moodera,\nand C.-T. Chen, Nature Materials 15, 711 (2016).\n[16] Z. Wang, C. Tang, R. Sachs, Y. Barlas, and J. Shi, Phys.\nRev. Lett. 114, 016603 (2015).\n[17] P. Assho\u000b, J. Sambricio, A. Rooney, S. Slizovskiy,\nA. Mishchenko, A. Rakowski, E. Hill, A. Geim, S. Haigh,\nV. Fal'Ko, I. Vera-Marun, and I. Grigorieva, 2D Mate-\nrials4(2017).\n[18] H. Yang, A. Hallal, D. Terrade, X. Waintal, S. Roche,\nand M. Chshiev, Physical Review Letters 110, 046603\n(2013).\n[19] A. Hallal, F. Ibrahim, H. X. Yang, S. Roche, and\nM. Chshiev, 2D Materials 4, 025074 (2017).\n[20] M. Evelt, H. Ochoa, O. Dzyapko, V. E. Demidov, A. Yur-\ngens, J. Sun, Y. Tserkovnyak, V. Bessonov, A. B. Rinke-\nvich, and S. O. Demokritov, Physical Review B 95,\n024408 (2016).\n[21] G. Schmidt, L. W. Molenkamp, A. T. Filip, and B. J.\nvan Wees, Physical Review B 62, R4790 (2000).\n[22] E. I. Rashba, Physical Review B - Condensed Matter and\nMaterials Physics 62, 267 (2000).\n[23] M. Gurram, S. Omar, and B. J. van Wees, Nature Com-\nmunications 8, 248 (2017).\n[24] P. J. Zomer, M. H. D. Guimar~ aes, J. C. Brant,\nN. Tombros, and B. J. van Wees, Applied Physics Letters\n105, 013101 (2014).\n[25] Y. Hattori, T. Taniguchi, K. Watanabe, and K. Na-\ngashio, ACS Nano 9, 916 (2015).7\nSupplementary Information\nSI. FULL SET OF THE HBN TUNNEL BARRIER CHARACTERIZATION\nFIG. S1. Full set of the contact characterization of sample A. The inset shows the microscope image with the characterized\ncontacts. All contacts with a bilayer hBN tunnel barrier have a relatively homogeneous resistance-area product. Given the\nsigni\fcantly higher resistance of contact 10, we suppose that this contact has a trilayer hBN tunnel barrier.\nFIG. S2. Extended measurements of the contacts on sample B. The inset shows the microscope image with the characterized\ncontacts. Contact 5 shows a linear metallic behavior, due to the shape of the hBN \rake the cobalt is likely in direct contact\nwith the graphene \rake.8\nFIG. S3. Extended measurements of DC bias sweeps on sample A. See inset of Fig. S1 for the contact numbering. The data is\nobtained by aligning the injector I and the detector D parallel and antiparallel and subtracting both curves. Since the detection\npolarization remains constant over the applied bias range, the increase of the non-local resistance corresponds to the increase\nof the spin injection polarization, which is relatively homogeneous over the contacts. The \frst two curves are discussed in the\nmain text.\nSII. ESTIMATION OF THE SPIN RELAXATION LENGTH IN SAMPLE B\nFIG. S4. Distance dependent measurements of the spin valves on sample B. The large di\u000berence in the magnitude of the spin\nsignal indicates an inhomogeneous spin polarization of the contacts and could be caused by cracks in the bl-hBN \rake. See the\ninset of Fig. S2 for the contact numbering.9\nSIII. ORIGIN OF THE BACKGROUND OF THE HANLE CURVES IN SAMPLE B\nThe data shown in the main text in Fig. 6 contains only the pure spin signal between injecting and detecting\nelectrode. The spin signal is obtained by aligning the injector and detector parallel and antiparallel and subtracting\nboth curves. The remaining signal is in theory the purely spin dependent signal. Spurious e\u000bects that are present\nin the measured signal are hereby extracted. These e\u000bects can be obtained by calculating the background signal by\nadding the parallel and antiparallel Hanle curves.\nIn Fig. S5a we show the measured Hanle curves, the extracted spin signal in Fig. S5b and the extracted background\nsignal in Fig. S5c. Both spin and background signal show a dependence on the applied DC bias. The presence of a\nspin related signal in the background signal is not expected, however, the dependence on the DC bias suggests the\nopposite case.\nFIG. S5. a) The raw data of the Hanle measurements on sample B has a signi\fcant background signal that is excluded from b)\nthe spin signal. The dependence of the background signal on the applied DC bias shown in panel c). The background signal is\nextracted by adding the antiparallel to the parallel Hanle curve. d) To separate the spin and charge dependent contributions\nto the background signal, we subtract the data measured with the minimized spin signal (0 \u0016A DC bias) from the individual\nHanle background curves and extract the shown background signal. e) The amplitude of the Hanle background signal shows a\ndependence on the DC bias that roughly resembles the inverted dependence of the injector and detector electrode, which could\nindicate that the background signal has still a spin related contribution coming from one of the reference contacts.\nTo determine the nature of the signal, we normalize the data set to the signal where the spin signal and the spin\ninjection polarization is minimized, which is here the case for a DC bias of 0 \u0016A (Fig. S5b). This way we can separate\nthe charge and spin dependent signals in the background data that do not depend on the magnetization of the inner\ndetector and injector electrodes. The resulting signal is shown in Fig.S5c. We \fnd a clear dependence on the applied\nDC bias. We suspect this signal to arise either as contribution from the current reference electrode or as the rotation\nof the cobalt electrodes at high magnetic \felds out of the sample plane.\nIf we compare the signal amplitude averaged at \u0006700 mT ([R NL(+700 mT)+R NL(-700 mT)]/2), we \fnd a depen-\ndence on the DC bias as shown by the red squares in Fig. S5e. This slope approximately resembles that of the DC\nbias measurements but of opposite sign, which suggests that this signal might be actually spin related. Since the\ninner injector and detector signals are excluded from this data, we can identify the injector reference contact to be\nlikely the origin. This contact is also biased with the DC current but does not have an hBN tunnel barrier. Therefore,\nthe observation of such large signal is still surprising, especially for of the greater distance of the reference electrode\nto the detector of 4 \u0016m instead of 1.9 \u0016m. At this moment, we are unable to determine the origin of the DC bias\ndependence of the background signal. Further work is needed for clari\fcation." }, { "title": "1709.07207v1.Electrical_properties_of_single_crystal_Yttrium_Iron_Garnet_ultra_thin_films_at_high_temperatures.pdf", "content": "Electrical properties of single crystal Yttrium Iron Garnet ultra-thin \flms at high\ntemperatures\nN. Thiery,1V. V. Naletov,1, 2L. Vila,1A. Marty,1A. Brenac,1J.-F. Jacquot,1G. de Loubens,3M.\nViret,3A. Anane,4V. Cros,4J. Ben Youssef,5V. E. Demidov,6S. O. Demokritov,6, 7and O. Klein1,\u0003\n1SPINTEC, CEA-Grenoble, CNRS and Universit\u0013 e Grenoble Alpes, 38054 Grenoble, France\n2Institute of Physics, Kazan Federal University, Kazan 420008, Russian Federation\n3SPEC, CEA-Saclay, CNRS, Universit\u0013 e Paris-Saclay, 91191 Gif-sur-Yvette, France\n4Unit\u0013 e Mixte de Physique CNRS, Thales, Universit\u0013 e Paris-Saclay, 91767 Palaiseau, France\n5LabSTICC, CNRS, Universit\u0013 e de Bretagne Occidentale, 29238 Brest, France\n6Department of Physics, University of Muenster, 48149 Muenster, Germany\n7Institute of Metal Physics, Ural Division of RAS, Yekaterinburg 620041, Russian Federation\n(Dated: September 22, 2017)\nWe report a study on the electrical properties of 19 nm thick Yttrium Iron Garnet (YIG) \flms\ngrown by liquid phase epitaxy. The electrical conductivity and Hall coe\u000ecient are measured in the\nhigh temperature range [300,400] K using a Van der Pauw four-point probe technique. We \fnd that\nthe electrical resistivity decreases exponentially with increasing temperature following an activated\nbehavior corresponding to a band-gap of Eg\u00192 eV, indicating that epitaxial YIG ultra-thin \flms\nbehave as large gap semiconductor, and not as electrical insulator. The resistivity drops to about\n5\u0002103\n\u0001cm atT= 400 K. We also infer the Hall mobility, which is found to be positive ( p-type)\nat 5 cm2/(V\u0001sec) and about independent of temperature. We discuss the consequence for non-local\ntransport experiments performed on YIG at room temperature. These electrical properties are\nresponsible for an o\u000bset voltage (independent of the in-plane \feld direction) whose amplitude, odd\nin current, grows exponentially with current due to Joule heating. These electrical properties also\ninduce a sensitivity to the perpendicular component of the magnetic \feld through the Hall e\u000bect.\nIn our lateral device, a thermoelectric o\u000bset voltage is produced by a temperature gradient along\nthe wire direction proportional to the perpendicular component of the magnetic \feld (Righi-Leduc\ne\u000bects).\nThe recent discovery that spin orbit e\u000bects [1{4] could\nallow to generate or to detect pure spin currents circu-\nlating in an adjacent magnetic layer has triggered a re-\nnewed interest for magnon transport in magnetic oxides,\nand in particular Yttrium Iron garnet, Y 3Fe5O12(YIG)\n[2, 5{15], the material with the lowest known magnetic\ndamping in nature. It confers to YIG the unique ability\nto propagate the spin information on the largest possi-\nble distance. Moreover, as YIG is an electrical insulator,\nall spurious e\u000bects associated with electrical transport\nproperties are absent, which simpli\fes greatly the inter-\npretation of the measurements.\nThe latest studies on the magnon transport proper-\nties of YIG concentrate on the strong out-of-equilibrium\nregime where large spin currents are induced in the YIG\neither by way of spin transfer torque [14, 15] or by tem-\nperature gradients [16, 17]. When performed at room\ntemperature, this involves heating the YIG material well\nabove 300 K. One possible concern is the potential in-\ncrease of its electrical conductivity at high temperature.\nIndeed, it has been known since the seventies [18{23] that\nthe electrical resistivity of doped YIG could decrease by\nseveral orders of magnitude at high temperature due to\nthe presence of impurities. In the case of ultra-thin \flms\ndefects could come from the growth method or from the 2\ninterfaces and potentially lead to a spurious charge con-\nduction channel when heated well above 300 K. In order\nto clarify this point, we propose to investigate the evolu-tion of the electrical properties of single crystal Yttrium\nIron Garnet ultra-thin \flms at high temperatures.\nBefore describing the experimental procedure, we\nwould like to recall that YIG is a ferrimagnet, which has\nan uncompensated magnetic moment on the Fe3+ions,\nfound on octahedral and tetrahedral coordinate sites,\nboth coupled by super-exchange. Studies on Ca and Si\ndoped YIG [18] have established that Fe2+and Fe4+ions\nare formed if tetravalent or respectively divalent impuri-\nties are added to the YIG, which could then lead to an\nelectrical conduction via the charge transfer mechanism,\nrespectively p-type andn-type. In that case, the doped\nYIG behaves as a large gap semiconductor with a charge\nconductivity following an activation mechanism. At the\npresent stage, di\u000berent studies disagree about the micro-\nscopic mechanism at play for the electronic conduction\ninside doped YIG, whether it follows a localized hopping\nmodel, through a small polaron conduction [22] or rather\na band model, through a large polaron conduction [18]. It\nis also known that the value of the magnetic damping co-\ne\u000ecient of YIG is very sensitive to the doping level. This\nis because the charge transfer between the mixed valence\niron ions is associated to a potent magnetic relaxation\nprocess, known as the valence exchange relaxation [24].\nSo far this mechanism activated by impurities, appears in\nthe form of a large enhancement of the magnetic damp-\ning, usually around liquid nitrogen temperature, where\nthe \ructuation rate of the charge transfer matches thearXiv:1709.07207v1 [cond-mat.mtrl-sci] 21 Sep 20172\nLarmor frequency. This e\u000bect is usually minimized by\ngrowing YIG crystals from ultra-pure materials. Quite\nremarkably YIG can usually be synthesized in large vol-\nume in the form of a single crystal with almost no atomic\ndisorder. It has been reported that the resistivity of bulk\nultra-pure YIG can be as large as 1012\n\u0001cm at room\ntemperature [19].\nBut, as explained in the introduction, recent inter-\nest on spin transfer e\u000bects in YIG have required an ef-\nfort to develop high quality YIG material in the form\nof ultra-thin (below 20 nm) \flms (thickness should be\ncompared here relatively to the YIG unit cell, which is\n1.238 nm). This is because spin transfer e\u000bect is an in-\nterfacial phenomenon and consequently its e\u000eciency in-\ncreases with decreasing thickness of the magnetic layer.\nThree growth techniques have so far allowed to produce\ngood quality ultra-thin YIG \flms: sputtering [25{27];\npulsed laser deposition [9, 28{30]; and liquid phase epi-\ntaxy (LPE) [8, 15, 31]. These \flms are usually grown on\nGadolinium Gallium Garnet, Gd 3Ga5O12(GGG) sub-\nstrates, which provides the necessary lattice matching to\nachieve epitaxial growth. For all these three growth pro-\ncesses, the quality of the YIG \flms deteriorates as the\n\flm thickness decreases [9, 31]. This deterioration is an\ninherent consequence of an increasing surface to volume\nratio, which substantially enhances the possibilities for\ndefects and impurities to be introduced into the YIG,\nthrough the two surfaces (contamination, intermixion of\nthe species at the surface or unrelaxed strains in the \flm\nthickness), which leads to lower spontaneous magnetiza-\ntion and an out-of-plane anisotropy accompanied or not\nby an increase of the coercive \feld.\nSo far, the highest thin \flm quality (smallest combi-\nnation of low magnetic damping parameter, low inho-\nmogeneous broadening, and \flm thickness below 20 nm)\nhave been reported for thin \flms grown by the LPE tech-\nnique, an extension of the \rux method. Garnets have a\nnon-congruent melting phase and can only be prepared\nin the form of single crystals once dissolved in a solvent.\nThe solvent used is usually a mixture of di\u000berent oxides\nelements, mainly PbO and B 2O3, which can eventually\nenter as impurities in the \rux growth. The molten mix-\nture is con\fned in a platinum crucible (inert with respect\nto the oxides) placed in an epitaxy furnace above the sat-\nuration temperature, de\fned as the temperature at which\nthe growth rate is zero. Subsequently, the GGG substrate\nwith crystallographic orientation (111) is immersed in the\nbath. Optimization of the growth process parameters is\nachieved by studying the dependencies of the depositing\nconditions on the structural, morphological and magnetic\nproperties. The key to very good growth, is to keep the\nsolution perfectly homogeneous and the growth rate very\nslow. The main problem is the di\u000eculty of developing a\nrecipe leading to YIG \flms homogeneous in both thick-\nness and composition. Indeed, for very thin layers, the\nrole of the transition layer is essential (chemical compo-TABLE I. Summary of the physical properties of the materials\nused in this study.\nYIGtYIG(nm) 4\u0019Ms(G)\u000bYIG \u0001H0(Oe)\n19 1:67\u00021033:2\u000210\u000042.5\nFIG. 1. (Color online) Temperature dependence of a) the\nelectrical resistivity and b) Hall mobility of 19 nm thick YIG\n\flms grown by LPE determined by a Van der Pauw four-point\nprobe technique (see insert). The solid line in a) is a \ft with\nan activated behavior exp[ Eg=(2kBT)], whereEg\u00192 eV.\nThe insert in b) shows the Hall voltage drop Vi+1;i+3when\nthe current is injected between Ii;i+2whereiis the contact\nnumber modulo 4.\nsition) and requires a control of the chemical elements\ncomposing it. Indeed, the in\ruence of this transition\nlayer on the di\u000berent contributions to the line width is\nimportant. The YIG \flms, that we have developed from\nLPE growth technique, have the following characteristics:\nperfect epitaxy (di\u000berence of matching parameter with\nthe substrate is null); spontaneous magnetization almost\nequal to that of the bulk (4 \u0019Msof our 19 nm YIG \flms\nis about 1.7 kG); very low magnetic relaxation (damping\ncoe\u000ecient less than or equal than 3 :5\u000210\u00004); no pla-\nnar anisotropy and very weak coercive \feld ( Hc<3 Oe);\nvery low roughness (3 \u0017Arms).\nIn the following we will concentrate on the electronic\nproperties of LPE grown YIG thin \flms of thickness\ntYIG= 19 nm. The dynamical characteristics of these\n\flms are summarized in Table 1. A 1 \u00021mm2square slab\nof YIG is extracted from the batch and connected along\nthe 4 corners using Al wire bonding. To characterize the\nslab we use the van der Pauw four probes method, which\nis typically used to measure the sheet resistance of ho-\nmogeneous semiconductor \flms. It allows to eliminates\nmeasurement errors associated with the exact shape of\nthe sample. The four points are arranged in a clockwise\norder around the positive \feld normal shown schemati-\ncally inside FIG.1a. Because of YIG high impedance, we\nhave used a Keithley 2636B source-measurement unit in3\norder to draw very little current (sub-nA range) inside\nthe \flm. In our analysis, the GGG substrate will be con-\nsidered a good insulator (resistivity >1015\n\u0001cm) [19]\nand its electrical conductivity will be ignored.\nOur measurements are performed at high temperature\nin the range [300,400] K and for di\u000berent magnetic \felds\nin the range [0,5] T applied normally to the sample sur-\nface. The temperature range explored is still well below\nthe Curie temperature of YIG, which is Tc= 562 K.\nWe \frst extract the sheet resistance Rs, which con-\nsists in measuring all possible combinations of the cross-\nresistance between opposite edges. From the van der\nPauw expression, one can extract Rs, whose minimum\nlays in the couple of G\n range at the highest tempera-\nture. From the sheet resistance, we compute the resistiv-\nity\u001a=RstYIG. FIG.1 shows the resistivity as a function\nof temperature. The \frst remarkable feature is that the\nresistivity of YIG at 400K drops to about 5 \u0002103\n\u0001cm.\nPlotting the data on a semi-logarithmic scale helps to\nshow that the decay of the resistivity follows an exponen-\ntial behavior. Fitting a linear slope through the points on\nthe plot, we infer a band-gap energy of about Eg\u00192 eV,\nwhich is about 1 eV lower than the expected band-gap\nof pure YIG in bulk form.\nNext, we characterize the Hall conductance of our sam-\nple. For this, we now circulate the electrical current along\nthe diagonals Ii;i+2and measure the voltage drop along\nthe opposite contacts Vi+1;i+3. Hereiis the contact num-\nber modulo 4, where the subscript notation is ordered ac-\ncording to the connections to the high/low binding posts\nof the current source and voltmeter. The insert of FIG.1b\nshows the voltage drop measured at 400 K in the pres-\nence of a normal magnetic \feld of 5 T. To eliminate the\nresistivity o\u000bset, we have worked out the di\u000berence of\nthe voltages for positive and negative magnetic \felds.\nIn our measurement geometry, the polarity of the Hall\nvoltage is opposite to the magnetic \feld direction. It\nimplies that the trajectories of the charge carriers are\nde\rected in the opposite direction to the current in the\nelectromagnet, or in other words that the YIG behaves\nas ap-type conductor. Quantitatively the full variation\nof the Hall voltage is about 0.12 V at 10 nA when the\n\feld is changed by H0=\u00065 T at 400 K, where the YIG\nresistivity is \u001a= 5\u0002103\n\u0001cm. This corresponds to a car-\nrier mobility for the holes of about \u0016H\u00195 cm2/(V\u0001sec).\nWe have repeated the measurement for other tempera-\ntures. The measurement at lower temperature is di\u000ecult\nfor 2 reasons. The \frst one is the limited voltage range\nof the sourcemeter, which decreases the upper current\nlimit that could be injected in the YIG. Another conse-\nquence of the large resistivity, is the associated increase\nof the time constant for charging e\u000bects. This increases\nsubstantially the dwell time necessary before taking a\nmeasurement. Because of these di\u000eculties, we have lim-\nited the measurement range to 40 K below the maximum\ntemperature. It seems that the temperature dependence\nFIG. 2. (Color online) Current dependence of the electrical\no\u000bset voltage Vkyin a non-local transport device, one moni-\ntors the voltage along one wire as a current \rows through a\nsecond wire. Panel a) is its microscopy image showing two Pt\nstripes along the y-direction (red) (the scale bar is 10 \u0016m).\nThe polarity of the current source and voltmeter are speci\fed.\nThe YIG magnetization is set along the y-direction by an ex-\nternal in-plane magnetic \feld, H0= 2 kOe. The o\u000bset voltage\nis decomposed in two contributions: b) ( Vk;+I\u0000Vk;\u0000I)=2, odd\nin current (green), and c) ( Vk;+I+Vk;\u0000I)=2, even in current\n(orange). The solid line in b) is a \ft with an exponential\nincrease exp[ \u0000Eg=(2kBT)], whereEg\u00192 eV. The insert is\na zoom of the data and \ft on a semi-logarithmic scale. The\nblack curve in c) shows the increase of relative resistance of the\nPt used as a temperature sensor. The arrow at iB= 2 mA in-\ndicates the threshold current at which the Ohmic losses start\nto become non-negligible in the spin transport experiments.\nof the mobility as a function of temperature is very small\n[32] indicating that most of the change in the resistiv-\nity comes from a variation of the electronic density and\nnot of the scattering time. Such behavior is compati-\nble with what has been found previously in Ca doped\nYIG (p-type) and this observation is used as a signature\nthat charge carriers are provided by large polarons [18].\nOur study does not conclude if the electrical conduction\noccurs in the bulk or if this is a surface e\u000bect. This im-\nportant question shall be determined in future studies\nby monitoring the change in the electrical properties as\na function of the YIG thickness.\nNext, we investigate the implications of these electri-4\ncal properties for the non-local experiments [33], where\none monitors the transport properties between two par-\nallel metal wires deposited on top of YIG. More precisely,\none measures the voltage along one wire (the detector)\nas a current \rows through a second wire (the injector).\nFIG.2a shows a microscopy image of the electrode pat-\ntern on top of the YIG. In these lateral devices, the two\nparallel wires are made of Pt (see two red lines in FIG.2a\nalong they-direction) and the same devices have also\nbeen used to investigate the spin conduction properties\nof YIG. For the lateral device series used herein, the Pt\nwires are 7 nm thick, 300 nm wide, and 30 \u0016m long.\nSince di\u000berent Pt wires (thickness and length) have been\ndeposited between di\u000berent samples, comparison of the\nresults should be done by juxtaposing data obtained with\nidentical current densities (provided in the upper scale).\nThe total resistance of the Pt wire at room temperature\nisR0= 3:9 k\n, corresponding to a Pt resistivity of 27.3\n\u0016\n.cm. Although the analysis below concentrates on a\nparticular lateral device, whose Pt wires are separated by\na gap of 0.4 \u0016m, these measurements have been also per-\nformed on a multitude of other devices patterned on two\ndi\u000berent LPE YIG \flm batch of similar thickness. In the\nfollowing, we shall explicitly clarify the e\u000bects, that are\ngeneric to the YIG \flms. In our measurement setup the\ncurrent is injected in the device only during 10 ms pulses\nusing a 10% duty cycle. This pulse method is very impor-\ntant in order to limit heating of the YIG and substrate.\nThe increase of resistance RIof the Pt wire is monitored\nduring the pulse. The result is shown in FIG.2c (right\naxis), where we have plotted \u0014Pt(RI\u0000R0)=R0as a func-\ntion of the current I, with the coe\u000ecient \u0014Pt= 254 K\nspeci\fc to Pt [34]. The result is shown in FIG.2c us-\ning black dots. For information purposes, we have also\nmarked on the plot the position of the Curie temperature\nTc. In the following, we shall assume that the local YIG\ntemperature is identical to that of the Pt ( i.e. assum-\ning negligible Kapitza resistance [35]). One can use this\nplot to estimate the temperature e\u000bects on the electrical\nproperties. At I= 2 mA, which corresponds to current\ndensity of about 1012A\u0001m2circulating in the Pt injector\nwire, the temperature of the YIG has increased to about\n370 K during the pulse. At this temperature, the YIG re-\nsistivity drops into the sub-105\n\u0001cm range according to\nFIG.1a, which corresponds to a sheet resistance of about\n50 G\n. Considering now the lateral aspect ratio of the\ndevice, this amounts to an electrical resistance of YIG of\nthe order of the G\n between the two wires. The leakage\ncurrent inside the Pt detector wire, whose impedance is\nabout 6 orders of magnitude smaller than the one of YIG,\nstarts thus to reach the sub-nA range, which is compa-\nrable to the induced currents produced by inverse spin\nHall e\u000bects.\nTo resolve this e\u000bect in our lateral device, we propose\nto measure the non-local voltage with the magnetiza-\ntion set precisely parallel to the Pt wire. This con\fg-uration switches o\u000b completely any sensitivity to spin\nconduction. To align the magnetization with the wire,\nan external in-plane magnetic \feld of 2 kOe is applied\nalong they-direction as shown in FIG.2. The induced\no\u000bset voltage is decomposed in two contributions: b) one\n(Vk;+I\u0000Vk;\u0000I)=2, which is odd in current (green) and\nthe other c) ( Vk;+I+Vk;\u0000I)=2, which is even in current\n(orange).\nWe \frst concentrate on the odd contribution of the\no\u000bset shown in green in FIG.2b. For the odd o\u000bset it\nis always observed that, within our convention of bias-\ning the high/low binding posts of the current source and\nvoltmeter in the same direction (cf. +and\u0000polarities\nin FIG.2a), the sign of ( Vk;+I\u0000Vk;\u0000I)=2 is positive for\npositive current and negative for negative current. It im-\nplies that ( Vk;+I\u0000Vk;\u0000I)\u0001I >0, which means that the\nvoltage drop is produced by dissipation. One should em-\nphasize that this sign is opposite to the voltage produced\nby the inverse spin Hall e\u000bect (cf. FIG.2e in ref.[15]).\nOn the \fgure scale, we observe that the odd o\u000bset in-\ncreases abruptly above IB= 2 mA (corresponding to\na YIG temperature of 370 K). This o\u000bset actually fol-\nlows an exponential growth as shown in the insert us-\ning a semi-logarithmic scale. The solid line in FIG.2b\nis a \ft with an exponential increase exp[ \u0000Eg=(2kBT)],\nwhere the temperature Tis extracted from the Pt re-\nsistance (cf. black dots in FIG.2c). From the \ft, we\nextract the local band-gap Eg\u00192 eV, which is the same\nas that extracted from the resistivity. We then evaluate\nquantitatively the expected signal from current leakage\nthrough the YIG. At I= 2:2 mA, when the temperature\nof the YIG reaches T\u0019390 K, the YIG resistivity drops\nto about 104\n\u0001cm. Considering the equivalent circuit,\nthis will produce a di\u000berence of potential of 50 \u0016V on the\ndetector circuit, which is consistent with the observed\nsignal. This con\frms that the odd o\u000bset voltage in our\nnon-local device is purely produced by the decrease of the\nYIG electrical resistivity. Note that this o\u000bset voltage\ndrops very quickly with decreasing current pulse ampli-\ntude. As shown in the insert of FIG.2b, it decreases by\nan order of magnitude, when I= 2:0 mA (corresponding\nto a YIG temperature of T\u0019370 K). At this level, the\no\u000bset starts to become of the same order of magnitude as\nthe spin signal in these devices. We have thus indicated\nby an arrow in FIG.2b, iB= 2 mA ( i.e.current densities\nof approximately 1012A\u0001m2), the threshold current at\nwhich the electrical leakage starts to become important\nin the spin transport experiments.\nWe then move to the even contribution of the o\u000bset\nshown in orange in FIG.2c. One observes that this con-\ntribution always follows the Joule heating of the Pt wire,\nso it is linked to the induced thermal gradient. It is\nascribed to thermoelectric e\u000bects produced by a small\ntemperature di\u000berence at the two Pt jAl contacts of the\ndetector circuit. This di\u000berence is produced by small\nresistance asymmetries along the Pt wire length, which5\nFIG. 3. (Color online) a) Schematic of the the Righi-Leduc ef-\nfect produced on a p-type conductor magnetized out-of-plane.\nThe large in-plane temperature gradient @xTproduced by\nJoule heating creates a temperature gradient @yTalong the\nwire when the sample is subject to an out-of-plane magnetic\n\feld. b) Current and c) magnetic \feld dependence of the Hall\no\u000bset voltage Vkzproduced in the non-local transport device.\nPanel a) shows the variation of the \u0001-signal as function of I\nwhenH0=\u00063 kG. The inset of b) shows the out-of-plane\nangular variation for di\u000berent current between [1.9,2.5] mA\n(step 0.2 mA). Panel b) shows the magnetic \feld dependence\nof the \u0001-signal measured when the \feld is oriented along +^ z\n(\r= +90\u000e).\ninduce one end to heat more than the other end. Consid-\nering the Seebeck coe\u000ecient of Pt jAl, of 3.5\u0016V/K [34],\nthe o\u000bset measured at I= 2 mA, corresponds to a tem-\nperature di\u000berence of less than 3\u000eC between the top\nand bottom contact electrodes, while the wire is being\nheated by almost 70\u000eC. These asymmetries in the tem-\nperature di\u000berence are expected to vary from one device\nto the other and this is precisely what is observed: the\nratio between the even contribution of the o\u000bset and the\ntemperature increase of the Pt wire \ructuates and even\nchanges sign randomly between di\u000berent lateral devices.\nAlthough the o\u000bset voltage is independent of the exter-\nnal magnetic \feld direction when the latter is rotated in-\nplane, it is in principle sensitive to the out-of-plane com-ponent through the Hall e\u000bect. This transverse transport\nin YIG is attracting a lot of interest and several recent\npapers address the issue of transverse magnon transport\ne\u000bects in magnetic materials, such as the magnon Hall\ne\u000bect [36] or the magnon planar Hall e\u000bect [37]. The\nsensitivity to magnons e\u000bects can be eliminated from the\nmeasurements by keeping the magnetization vector in the\nyz-plane containing the interface normal and the length\nof the Pt stripe and thus this con\fguration selects only\nthe transverse transport properties carried by the elec-\ntrical charge. To extract the Hall voltage, we shall only\nconsider the \u0001-signal, de\fned as the di\u000berence between\nthe measured voltage for two opposite polarities of the\nexternal magnetic \feld \u0006H0, \u0001 = (V+\nk\u0000V\u0000\nk)=2. This\nsignal would be also sensitive to the spin Seebeck e\u000bects\nif the YIG magnetization had a non-vanishing projection\nalong thex-axis [15]. The insert of FIG.3b shows the an-\ngular dependence as a function of the azimuthal angle, \r,\nbeing de\fned in FIG.3a. On all the devices, we observe\nthat the\r-dependence of the \u0001-signal is: maximum when\nthe \feld is applied along the z-direction; odd in magnetic\n\feld; and negative when \r= +\u0019=2. Moreover, the \u0001-\nsignal increases with both increasing current density and\nincreasing external magnetic \feld. It is worth to note at\nthis point that the o\u000bset voltage produced by the perpen-\ndicular magnetic \feld is about two orders of magnitude\nsmaller than that of the in-plane direction. The observed\nquadratic dependence with Iin FIG.3b suggests that this\nsignal should be associated to Joule heating and thus to\nparticle \rux induced by thermal gradients. The observed\nlinear dependence with H0in FIG.3c suggests that this\nsignal should be associated to a normal Hall e\u000bect and\nnot to an anomalous Hall e\u000bect linked to Ms. Indeed a\n\ft of the high \feld data leads to a straight line that inter-\ncepts the origin, while anomalous Hall e\u000bect would have\nlead to a \fnite intercept. One also observes in FIG.3c a\ndeparture from this linear behavior below the saturation\n\feld. This is because, below saturation, a component of\nthe magnetization could point in the x-direction, hereby\nswitching on the sensitivity to the spin Seebeck e\u000bect,\nwhich is a stronger positive signal in these devices.\nNext we discuss more in details the potential origin\nof the \u0001-signal. First, as noted in the previous para-\ngraph, the source is the incoming \rux of charge carri-\ners produced by a thermal gradient. This gradient is\nin thex-direction, through the potent Joule heating of\nthe injector. There is in principle an electrical voltage\nproduced in the y-direction associated with this incom-\ning \rux through the electrical Nernst e\u000bect. Our device\ngeometry e\u000bectively shunts both contacts with a rela-\ntively low impedance Pt wire, acting as a voltage di-\nvider, which reduces drastically any sensitivity to the\nNernst e\u000bect. One should mention at this point the re-\ncently reported spin Nernst e\u000bect [38]. But this signal\nshould be maximum when the magnetization is parallel\nto they-direction, while the signal that we discuss here6\nis maximum when the magnetization is parallel to the\nz-direction. We propose here a di\u000berent scenario to ex-\nplain our data. Since our measurement of the even o\u000bset\nin FIG.2c seems to indicate that the two thermocouples\nprovided by the Pt jAl contacts at both ends of the detec-\ntor Pt wire are sensitive to temperature di\u000berence along\nthey-direction, the Hall o\u000bset signal measured in FIG.3\ncan thus be due to a thermal gradient in the y-direction\n(Righi-Leduc e\u000bects [39]). Although a de\fnite quantita-\ntive proof would require some additional measurements,\nin the following we shall check that this explanation is\nconsistent with the data.\nFirstly, this explanation is consistent with the IandH0\nbehavior. Secondly, it also has the correct sign. Since the\n\u0001-signal is negative for \r= +\u0019=2, this implies that @xT\nand@yThave the same sign when the \feld is positive.\nThis is the signature of a p-type doping in agreement\nwith FIG.1b. Concerning the amplitude of these thermal\ngradients, at IB= 2 mA, we evaluate the temperature\nof the YIG underneath the injector and the detector by\nmeasuring the value of the Kittel frequency at these two\npositions using \u0016-BLS spectroscopy. Comparing these\nvalues between FIG.4a and FIG.4b of ref.[15] allows to\nestimate the decrease of spontaneous magnetization un-\nder the injector (-290 G) and the detector (-110 G). If one\nuses the fact that YIG magnetization decreases by 4G/\u000eC\nin this temperature range, we \fnd that at I= 2 mA\nthe temperature of the YIG underneath the injector has\nincreased by +73\u000eC, while the temperature of the YIG\nunderneath the detector has increased by +27\u000eC. The\nfact that these values agree with the increase of tem-\nperature of the Pt resistance con\frms that the Kapitza\nresistance is probably small. Using the gap of 0.4 \u0016m be-\ntween the 2 Pt wires as the area where the thermal gra-\ndient along xoccurs, we \fnd that @xT=\u0000130\u000eC/\u0016m.\nUsing a value of \u0016H= +5 cm2/(V\u0001sec) for the Hall\nmobility, this would produce a transverse gradient of\n@yT=\u0016HH0@xT=\u00002\u000210\u00002\u000eC/\u0016m in a perpendicular\nmagnetic \feld of 3 kG. Recalling that the length of the Pt\nwires is 30\u0016m long, this would produce a voltage of 2 \u0016V,\nusing the thermoelectric coe\u000ecient of Pt jAl. So in the\nend, the expected signal amplitude is of the same order\nof magnitude as the Hall o\u000bset measured at IB= 2 mA.\nIn summary, we have shown that high quality YIG thin\n\flms grown by LPE behave as a large gap semiconduc-\ntor at high temperature due to the presence of a small\namount of impurities inside the YIG. In our case, we ob-\nserve that the resistivity drops to about 5 \u0002103\n\u0001cm\natT= 400 K. These results are important for non-local\ntransport exploring the spin conductivity, especially in\ncases where the YIG is subject to a large amount of de-\nfects like in amorphous materials, or when improper cool-\ning of the sintered product leads to the additional forma-\ntion of Ohmic grain boundaries. In non-local transport\ndevices, these electrical properties are responsible for the\nabrupt emergence of an odd o\u000bset voltage at large cur-rent densities as well as a temperature gradient along\nthe wire proportional to the perpendicular component of\nthe magnetic \feld. These results emphasize the impor-\ntance of reducing drastically the Joule heating by using a\npulse method, when investigating spin transport in YIG\nin the strong out-of-equilibrium regime. For our devices,\nthese electrical properties start to become non-negligible\nfor spin transport studies, when the YIG temperature is\nheated>370 K, which corresponds in our case to inject-\ning a current density >1:0\u00021012A\u0001m2in the Pt (or\nI > 2 mA for these samples). We add that non-local\nvoltage produced by Ohmic losses in the YIG are easily\nseparated from the non-local voltage produced by spin\ntransport. Firstly, the two signals have opposite polari-\nties. Secondly, only the latter varies with the orientation\nof the magnetization in-plane, as \frst demonstrated by\nthe Groeningen group [33].\nThis research was supported by the priority program\nSPP1538 Spin Caloric Transport (SpinCaT) of the DFG\nand by the program Megagrant 14.Z50.31.0025 of the\nRussian ministry of Education and Science. VVN ac-\nknowledges fellowship from the emergence strategic pro-\ngram of UGA, and Russian program of competitive\ngrowth of KFU.\n\u0003Corresponding author: oklein@cea.fr\n[1] S. O. Valenzuela and M. Tinkham, Nature 442, 176\n(2006).\n[2] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, S. Maekawa, and E. Saitoh, Nature 464,\n262 (2010).\n[3] I. M. Miron, K. Garello, G. Gaudin, P.-J. Zermatten,\nM. V. Costache, S. Au\u000bret, S. Bandiera, B. Rodmacq,\nA. Schuhl, and P. Gambardella, Nature 476, 189 (2011).\n[4] J.-C. Rojas-S\u0013 anchez, L. Vila, G. Desfonds, S. Gambarelli,\nJ. P. Attan, J. M. D. Teresa, C. Magn, and A. Fert,\nNature Comm. 4, 2944 (2013).\n[5] Z. Wang, Y. Sun, M. Wu, V. Tiberkevich, and A. Slavin,\nPhys. Rev. Lett. 107, 146602 (2011).\n[6] E. Padr\u0013 on-Hern\u0013 andez, A. Azevedo, and S. M. Rezende,\nAppl. Phys. Lett. 99, 192511 (2011).\n[7] A. V. Chumak, A. A. Serga, M. B. Jung\reisch, R. Neb,\nD. A. Bozhko, V. S. Tiberkevich, and B. Hillebrands,\nAppl. Phys. Lett. 100, 082405 (2012).\n[8] C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Nale-\ntov, and J. Ben Youssef, Phys. Rev. B 87, 174417 (2013).\n[9] O. d'Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef,\nC. Hahn, A. H. Molpeceres, C. Carretero, E. Jacquet,\nC. Deranlot, P. Bortolotti, R. Lebourgeois, J.-C. Mage,\nG. de Loubens, O. Klein, V. Cros, and A. Fert, Appl.\nPhys. Lett. 103, 082408 (2013).\n[10] A. Hamadeh, O. d'Allivy Kelly, C. Hahn, H. Meley,\nR. Bernard, A. H. Molpeceres, V. V. Naletov, M. Viret,\nA. Anane, V. Cros, S. O. Demokritov, J. L. Prieto,\nM. Mu~ noz, G. de Loubens, and O. Klein, Phys. Rev.\nLett. 113, 197203 (2014).7\n[11] M. Collet, X. de Milly, O. d'Allivy Kelly, V. Naletov,\nR. Bernard, P. Bortolotti, J. Ben Youssef, V. Demidov,\nS. Demokritov, J. Prieto, M. Mu~ noz, V. Cros, A. Anane,\nG. de Loubens, and O. Klein, Nature Commun. 7, 10377\n(2016).\n[12] V. Lauer, D. A. Bozhko, T. Brcher, P. Pirro, V. I.\nVasyuchka, A. A. Serga, M. B. Jung\reisch, M. Agrawal,\nY. V. Kobljanskyj, G. A. Melkov, C. Dubs, B. Hille-\nbrands, and A. V. Chumak, Appl. Phys. Lett. 108,\n012402 (2016).\n[13] C. Du, T. van der Sar, T. X. Zhou, P. Upadhyaya,\nF. Casola, H. Zhang, M. C. Onbasli, C. A. Ross, R. L.\nWalsworth, Y. Tserkovnyak, and et al., Science 357,\n195198 (2017).\n[14] D. Wesenberg, T. Liu, D. Balzar, M. Wu, and B. L. Zink,\nNat Phys advance online publication , (2017).\n[15] N. Thiery, A. Draveny, V. V. Naletov, L. Vila, J.-P.\nAttan\u0013 e, G. de Loubens, M. Viret, N. Beaulieu, J. Ben\nYoussef, V. E. Demidov, S. O. Demokritov, A. N. Slain,\nV. S. Tiberkevich, A. Anane, P. Bortolotti, V. Cros, and\nO. Klein, ArXiv e-prints (2017), arXiv:1702.05226 [cond-\nmat.mtrl-sci].\n[16] C. Safranski, I. Barsukov, H. K. Lee, T. Schneider,\nA. A. Jara, A. Smith, H. Chang, K. Lenz, J. Lindner,\nY. Tserkovnyak, and et al., Nature Communications 8\n(2017), 10.1038/s41467-017-00184-5.\n[17] V. Lauer, M. Schneider, T. Meyer, C. Dubs, P. Pirro,\nT. Br acher, F. Heussner, B. L agel, V. I. Vasyuchka, A. A.\nSerga, B. Hillebrands, and A. V. Chumak, ArXiv e-prints\n(2016), arXiv:1612.07305 [cond-mat.mes-hall].\n[18] P. K. Larsen and R. Metselaar, Phys. Rev. B 14, 2520\n(1976).\n[19] R. Metselaar and P. K. Larsen, Proceedings of the Inter-\nnational School of Physics Enrico Fermi 70, 417 (1978),\nphys. Magn.\n[20] H. B. Lal, B. K. Verma, and V. Ram Yadav, Journal of\nMaterials Science 17, 3317 (1982).\n[21] A. Petrov, G. Denisov, and V. Zhukovskii, Inorg. Mater.\n(Engl. Transl.); (United States) 22:4 (1986).\n[22] L. Sirdeshmukh, K. Krishna Kumar, S. Bal Laxman,\nA. Rama Krishna, and G. Sathaiah, Bulletin of Ma-\nterials Science 21, 219226 (1998).\n[23] K. Modi and P. Sharma, Radiation Ef-\nfects and Defects in Solids 169, 723 (2014),\nhttp://dx.doi.org/10.1080/10420150.2014.918130.\n[24] M. Sparks, Physical Review Letters 22, 1111 (1969).\n[25] Z. Fang, A. Mitra, A. L. Westerman, M. Ali, C. Ci-\nccarelli, O. Cespedes, B. J. Hickey, and A. J. Fer-\nguson, Applied Physics Letters 110, 092403 (2017),\nhttp://dx.doi.org/10.1063/1.4977490.\n[26] Y.-M. Kang, S.-H. Wee, S.-I. Baik, S.-G. Min,\nS.-C. Yu, S.-H. Moon, Y.-W. Kim, and S.-I.\nYoo, Journal of Applied Physics 97, 10A319 (2005),\nhttp://dx.doi.org/10.1063/1.1855460.\n[27] H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Hammel,\nand F. Y. Yang, Phys. Rev. B 88, 100406 (2013).\n[28] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek, M. Jantz,\nW. Schneider, M. Wu, H. Schultheiss, and A. Ho\u000bmann,\nAppl. Phys. Lett. 101, 152405 (2012).\n[29] M. C. Onbasli, A. Kehlberger, D. H. Kim, G. Jakob,\nM. Klui, A. V. Chumak, B. Hillebrands, and C. A. Ross,\nAPL Mater. 2, 106102 (2014).\n[30] C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt,\nM. Qaid, H. Deniz, D. Hesse, M. Sawicki, S. G. Ebbing-haus, and G. Schmidt, Scienti\fc Reports 6(2016),\n10.1038/srep20827.\n[31] C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky, U. Br-\nckner, and J. Dellith, Journal of Physics D: Applied\nPhysics 50, 204005 (2017).\n[32] D. C. Bullock and D. J. Epstein, Applied Physics Letters\n17, 199 (1970), http://dx.doi.org/10.1063/1.1653364.\n[33] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A.\nDuine, and B. J. van Wees, Phys. Rev. B 94, 014412\n(2016).\n[34] H. Landolt and R. B ornstein, Group III, Condensed Mat-\nter, Numerical data and functional relationship in Science\nand technology (Springer, Berlin, 1970).\n[35] A more precise analysis should consider the possibility\nthat the temperature di\u000bers between the YIG and the\nPt.\n[36] Y. Onose, T. Ideue, H. Katsura, Y. Shiomi, N. Nagaosa,\nand Y. Tokura, Science 329, 297 (2010).\n[37] J. Liu, L. J. Cornelissen, J. Shan, T. Kuschel, and B. J.\nvan Wees, Phys. Rev. B 95, 140402 (2017).\n[38] S. Meyer, Y.-T. Chen, S. Wimmer, M. Althammer,\nT. Wimmer, R. Schlitz, S. Geprgs, H. Huebl, D. Kdder-\nitzsch, H. Ebert, and et al., Nature Materials (2017),\n10.1038/nmat4964.\n[39] B. Madon, D. C. Pham, J.-E. Wegrowe, D. Lacour,\nM. Hehn, V. Polewczyk, A. Anane, and V. Cros, Phys.\nRev. B 94, 144423 (2016)." }, { "title": "1503.05764v2.Critical_suppression_of_spin_Seebeck_effect_by_magnetic_fields.pdf", "content": "arXiv:1503.05764v2 [cond-mat.mtrl-sci] 11 Aug 2015Critical suppression of spin Seebeck effect by magnetic field s\nTakashi Kikkawa,1,2Ken-ichi Uchida,1,3,∗Shunsuke Daimon,1Zhiyong Qiu,2,4Yuki Shiomi,1,4and Eiji Saitoh1,2,4,5\n1Institute for Materials Research, Tohoku University, Send ai 980-8577, Japan\n2WPI Advanced Institute for Materials Research, Tohoku Univ ersity, Sendai 980-8577, Japan\n3PRESTO, Japan Science and Technology Agency, Saitama 332-0 012, Japan\n4Spin Quantum Rectification Project, ERATO, Japan Science an d Technology Agency, Sendai 980-8577, Japan\n5Advanced Science Research Center, Japan Atomic Energy Agen cy, Tokai 319-1195, Japan\n(Dated: January 4, 2018)\nThe longitudinal spin Seebeck effect (LSSE) in Pt/Y 3Fe5O12(YIG) junction systems has been\ninvestigated at various magnetic fields and temperatures. W e found that the LSSE voltage in a\nPt/YIG-slab system is suppressed by applying high magnetic fields and this suppression is critically\nenhanced at low temperatures. The field-induced suppressio n of the LSSE in the Pt/YIG-slab\nsystem is too large at around room temperature to be explaine d simply by considering the effect\nof the Zeeman gap in magnon excitation. This result requires us to introduce a magnon-frequency-\ndependent mechanism into the scenario of LSSE; low-frequen cy magnons dominantly contribute to\nthe LSSE. The magnetic field dependence of the LSSE voltage wa s observed to change by changing\nthe thickness of YIG, suggesting that the thermo-spin conve rsion by the low-frequency magnons is\nsuppressed in thin YIG films due to the long characteristic le ngths of such magnons.\nPACS numbers: 85.75.-d, 72.25.-b, 72.15.Jf\nI. INTRODUCTION\nMagnons are collective excitations of spins in magnetic\nordered states, the concept of which was first introduced\nby Bloch in orderto explain the temperature dependence\nof magnetization in a ferromagnet [1]. In thermal equi-\nlibrium states, magnons behave as weakly interacting\nbosonic quasiparticles obeying the Bose-Einstein distri-\nbution:\nfBE(ǫ,Tm) =1\nexp(ǫ/kBTm)−1, (1)\nwhereǫis the magnon energy, kBis the Boltzmann con-\nstant, and Tmis the magnon temperature. In soft mag-\nnetic materials such as Y 3Fe5O12(YIG) [2], magnons are\neasily excited by thermal energy since the magnon dis-\npersion is almost gapless except for a small gap due to\nthe Zeeman effect and magnetic anisotropy ( ∼10−3K\nfor YIG [3, 4]).\nIn the field of spintronics [5, 6], magnons have at-\ntracted renewed attention, since they can carry a spin\ncurrent without accompanying a charge current [7, 8].\nImportantly, a magnon spin current in a magnet can in-\nteract with a conduction-electron spin current in an at-\ntached metal at the metal/magnet interface via the in-\nterface exchange interaction, which is described in terms\nof the spin-mixing conductance [9–11]. By making use of\nthis interaction, various spin-current-related phenomena\nhavebeendeveloped,suchasthespinpumping[8,12,13],\nspin Seebeck effect (SSE) [14–38], and their reciprocalef-\nfects [8, 39].\nThe SSE refers to the generation of a spin current as a\nresult of a temperature gradient in a magnetic material.\nHere, the thermally generated spin current is detected aselectric voltage (SSE voltage) via the inverse spin Hall\neffect (ISHE) [12, 13, 40–42] in a paramagnetic metal\nattached to a magnet. The observation of the SSE in\na ferrimagnetic insulator YIG [15, 17] implies that this\nphenomenon is attributed to nonequilibrium magnon dy-\nnamics driven by a temperature gradient, since a con-\nduction electrons’ contribution in YIG is frozen out due\nto its large charge gap. After the pioneering theoretical\nwork by Xiao et al.[43], the SSE is mainly described in\nterms of the effective magnon temperature Tmin a fer-\nrimagnet and effective electron temperature Tein an at-\ntached paramagnetic metal; when the effective magnon-\nelectron temperature difference is induced by an exter-\nnal temperature gradient, a spin current is generated\nacross the ferrimagnet/paramagnet interface. Adachi et\nal.developedlinear-responsetheoriesofthemagnon-and\nphonon-mediated SSEs [44–46]. Subsequently, Hoffman\net al.formulated a Landau-Lifshitz-Gilbert theory of the\nSSE to investigate the thickness dependence and length\nscale of the SSE [47]. In 2014, Rezende et al.discussed\nthe SSE in terms of a bulk magnon spin current created\nby a temperature gradient in a ferrimagnetic insulator\n[31]. However, microscopic understanding of the relation\nbetween the magnon excitation and thermally generated\nspin currents is yet to be established, and more system-\natic experimental studies are necessary.\nA clue to understand a role of magnons in SSE already\nmanifested itself in magnetic-field-dependence measure-\nments. In Ref. 29, we showed that the magnitude of\nthe SSE voltage in paramagnetic-metal (Pt, Au)/YIG-\nslabjunction systems gradually decreases with increas-\ning the magnetic field after taking its maximum value\nat room temperature [see Fig. 1(b)]. This suppression\nof the SSE voltage becomes apparent by applying high\nmagnetic fields, while it is very small in the conventional2\nFIG. 1: (a) A schematic illustration of the LSSE in the Pt/YIG sample and experimental setup used in the present study. The\nsample is sandwiched between two sapphire plates (1) and (2) . The temperatures of the sapphires (1) and (2) were respecti vely\nstabilized at TandT+∆T, where ∆ T(>0) is a temperature difference. ∇T,V,H,M,EISHE, andJsdenote the temperature\ngradient along the + zdirection, electric voltage between the ends of the Pt layer , magnetic field vector with the magnitude\nH, magnetization vector with the magnitude M, electric field induced by the ISHE, and spatial direction of the thermally\ngenerated spin current, respectively. (b),(c) Hdependence of the transverse thermopower Sin the Pt/YIG-slab sample at T=\n300 K, measured when Hwas swept between ±90 kOe (b) and ±5 kOe (c). (d) Hdependence of Vin the Pt/YIG-slab sample\natT= 300 K for various values of ∆ T. (e) ∆Tdependence of Vin the Pt/YIG-slab sample at T= 300 K at H= 1.8 kOe\n(closed circles) and 80 kOe (open triangles).\nSSE measurements in a low field range [see Fig. 1(c)].\nThe SSE suppression by high magnetic fields is irrele-\nvant to the anomalous Nernst effect due to static prox-\nimity ferromagnetism in Pt [48] since the same behavior\nwas observed not only in Pt/YIG-slab systems but also\nin Au/YIG-slab systems [29] (note that Au is free from\nthe proximity ferromagnetism). Although this result im-\nplies that the SSE is affected by a magnon gap opening\ndue to the Zeeman effect, there was no detailed discus-\nsion on the high-magnetic-field behavior of the SSE. In\nthis study, using Pt/YIG systems, we systematically in-\nvestigated effects of high magnetic fields on the SSE at\nvarious temperatures rangingfrom 300 K to 5 K. We also\nreport the YIG-thickness dependence of the SSE voltage\nand its suppression at high magnetic fields. The results\nsuggest an important role of excitation of low-frequency\nmagnonswith longcharacteristiclengths inthe SSE, pro-\nviding an important step in unraveling the nature of the\nSSE.\nII. EXPERIMENTAL CONFIGURATION AND\nPROCEDURE\nExperiments on the SSE have been performed mainly\nin a longitudinal configuration owing to its simplicity\n[17, 20–38], and we also employ this configuration in\nthis study. Figure 1(a) shows a schematic illustra-\ntion of the longitudinal SSE (LSSE). In the longitudi-nal configuration, when a temperature gradient, ∇T, is\napplied to a paramagnetic-metal/ferrimagnetic-insulator\njunction system perpendicular to the interface, a spin\ncurrent is thermally generated in the paramagnetic layer\nalong the ∇Tdirection. The spin current is converted\ninto an electric field EISHEby the ISHE in the paramag-\nnetic layer if the spin-orbit interaction of the paramagnet\nis strong [see Fig. 1(a)]. When the magnetization Mof\nthe ferrimagnet is along the xdirection, EISHEis gener-\nated in the paramagnet along the ydirection following\nEISHE= (θSHρ)Js×σ, (2)\nwhereθSH,ρ,Js, andσare the spin Hall angle, electric\nresistivity, spatial direction of a spin current, and spin-\npolarizationvectorofelectrons( ||M) in the paramagnet,\nrespectively. Therefore, the LSSE can be detected elec-\ntrically by measuring electric voltage VISHE(=EISHELy)\nin theparamagneticmetal layer,where EISHEisthe mag-\nnitude of EISHEandLyis the length of the paramagnetic\nlayer along the ydirection.\nTo investigate the high-magnetic-field behavior of the\nLSSE, we used Pt/YIG junction systems, which are now\nrecognized as a model system for studying spin-current\nphysics[8,15]. Thesampleusedinthepresentstudycon-\nsists of a 5-nm-thick Pt film sputtered on the whole of\nthe (111) surface of a single-crystalline YIG slab or film.\nThe Pt films were formed on all the YIG samples at the\nsame time. The YIG slab has no substrate, of which the3\n(nm)\n0\n0.2\n0.4\n0.6\n0.8\n1.00.20.40.60.85\n0\n(μm)(μm)Surface of YIG slab\n(nm)\n0\n0.2\n0.4\n0.6\n0.8\n1.00.20.40.60.85\n0\n(μm)(μm)Surface of YIG film (a) (b)\nFIG. 2: Atomic force microscope images of the surface of the\nYIG-slab (a) and YIG-film (b) samples, where the surface\nroughness Rais less than 0.3 nm for both the samples. All\nthe YIG-film samples with the different thicknesses were pre-\npared under the same growth condition by means of a liquid\nphase epitaxy method. After the growth, their surfaces were\nmechanically polished under the same condition; all the YIG\nfilms have similar surface roughness.\nlengths along the x,y, andzdirections are Lx= 2.0 mm,\nLy= 4.0 mm, and Lz= 1.0 mm, respectively. To mea-\nsure the thickness dependence of the LSSE, we prepared\nthree YIG films with the thicknesses of tYIG= 10.42µm,\n1.09µm, and 0 .31µm, grown on the whole of single-\ncrystalline Gd 3Ga5O12(GGG) (111) substrates by a liq-\nuid phase epitaxy method [11]. All the YIG films were\nprepared under the same growth condition. The GGG\nsubstrateswiththeYIGfilmswerethencutintoarectan-\ngular shape with the size of Lx= 2.0 mm,Ly= 4.0 mm,\nandLz= 0.5 mm. Before forming the Pt films, the sur-\nfaceofthe YIG slabandfilms weremechanicallypolished\nwith alumina powder with the diameter of 0.05 µm; the\nresultant surface roughness of the YIG slab and films are\nvery small and comparable to each other as shown in the\natomic force microscope images in Fig. 2.\nIn Fig. 3, we show the temperature Tdependence of\nthe magnetization Mfor the YIG slab at H= 1.8 kOe\n[Fig. 3(a)] and for the YIG films at H= 0.3 kOe [Figs.\n3(b)-3(d)]. Here, the Mvalues for the YIG films were\nobtained by subtracting the contributions from the para-\nmagnetic GGG substrates. As Tdecreases, the Mvalues\nmonotonically increase and approach ∼5µB, withµB\nbeing the Bohr magneton, at the lowest temperature in\nall the YIG samples, consistent with the literature value\n[49]. We found that the observed Tdependence of M\nfollows the Bloch law [1]:\nM=M0(1−ζT3/2), (3)\nwhereM0is the saturation magnetization at T= 0 K\nandζis a constant. By fitting the experimental data\nwith Eq. (3), we obtained the similar fitting parameters\n(4.97µB< M <5.07µBand 5.20×10−5K−3/2< ζ <\n5.83×10−5K−3/2) for all the YIG samples, indicating\nthat the magnetic property of our YIG samples is almost(b) (a)\n(d) (c)tYIG = 1 mm \ntYIG = 0.31 μm tYIG = 1.09 μm tYIG = 10.42 μm \nH = 0.3 kOe \nBloch law H = 1.8 kOe \nBloch law H = 0.3 kOe \nBloch law \nH = 0.3 kOe \nBloch law 100 200 300\nT (K)M (μ B/f.u. )\n05\n3\n2\n146\n100 200 300\nT (K)M (μ B/f.u. )\n05\n3\n2\n146100 200 300\nT (K)M (μ B/f.u. )\n05\n3\n2\n146\n100 200 300\nT (K)M (μ B/f.u. )\n05\n3\n2\n146\nFIG. 3: Tdependence of Mfor the YIG-slab sample with\ntYIG= 1 mm (a) at H= 1.8 kOe and in the YIG-film sam-\nples with tYIG= 10.42µm (b), 1.09µm (c), and 0 .31µm (d)\natH= 0.3 kOe, measured with a vibrating sample magne-\ntometer. Here, tYIGis the thickness of YIG. At H= 1.8 kOe\n(0.3 kOe), the magnetization of the YIG slab (YIG films) is\naligned along the Hdirection. The Mvalues for the YIG\nfilms were extracted by subtracting the contributions from\nthe paramagnetic GGG substrates. The Mdata for the YIG\nfilms were detectable only for T > 15 K because of the large\nparamagnetic offset coming from the GGG substrates. The\nsolid lines were obtained by fitting the observed M-Tcurves\nwith Eq. (3).\nthe same irrespective of the YIG thickness.\nIn the LSSE measurements, to apply ∇T, the sam-\nple was sandwiched between two sapphire plates (1) and\n(2) [see Fig. 1(a)]. The sapphire (1) is thermally con-\nnected to a heat bath of which the temperature Twas\ncontrolled and varied in the range from 300 K to 5 K. By\napplying a charge current to a chip heater attached on\nthe top of the sapphire (2), its temperature is increased.\nTo improve the thermal contact, thermal grease was ap-\nplied between the sample and sapphire plates thinly and\nuniformly. The temperature difference ∆ Tbetween the\nsapphire (1) and (2) was measured with two thermocou-\nples. Here, we note that the temperature gradients in\nthe sapphire plates are negligibly small since the thermal\nconductivityofsapphireismuchgreaterthanthatofYIG\nand GGG at all the temperatures [50, 51]. We also note\nthat, since the applied ∆ Tis much smaller than Tin all\nthe measurements [the inset to Fig. 7(a)], the LSSE can\nbe discussed within a linear-response regime [see Figs.\n1(d) and 1(e)]. We confirmed that unintended temper-\nature differences due to thermal artifacts are negligibly\nsmall in our measurement system in all the temperature\nrangebycheckingthattheLSSEdisappearsat∆ T= 0K\nbefore each measurement. A uniform external magnetic\nfieldHwas applied along the xdirection by using a su-4\nperconducting solenoid magnet, where the maximum H\nvalue was 90 kOe. When H >1 kOe (0 .15 kOe), the\nmagnetization of the YIG slab (YIG films) is well aligned\nalong the Hdirection. We also confirmed that, in the\nrange of −90 kOe< H <90 kOe, the magnetoresistance\nratio of the chip heater is <0.03 % in all the temper-\nature range and the Hdependence of ∆ Tis negligibly\nsmall. Under this condition, we measured a DC electric\nvoltage difference Vbetween the ends of the Pt layer of\nthe Pt/YIG-slab and Pt/YIG-film samples. Hereafter,\nto quantitatively compare the temperature dependence\nof the voltage signals in different samples, we mainly plot\nthe transverse thermopower S≡(V/∆T)(Lz/Ly).\nIII. RESULTS AND DISCUSSION\nNow we start by presenting the experimental results\nof the LSSE in the Pt/YIG-slab sample. Figure 4(a)\nshowsSas a function of Hfor various values of T, mea-\nsured when Hwas swept between ±90 kOe. When ∇T\nis applied to the sample, a clear Ssignal appears due\nto the LSSE and its sign is reversed in response to the\nmagnetization reversal of YIG. We found that, in the\nPt/YIG-slab sample, the magnitude of the Ssignal is\nsuppressed by applying high magnetic fields at all the\ntemperatures from 300 K to 5 K, while the magnitude of\nMat each temperature is almost constant after the sat-\nuration [compare Figs. 4(a) and 4(b)]. This suppression\ncannot be explained by the normal Nernst effect [52] in\nthe Pt film since the Ssignal in a Pt/GGG-slab sample,\nin which the YIG slab is replaced with a paramagnetic\nGGG slab, is much smaller than the Hdependence ofthe\nLSSE [see Fig. 4(a)]. The similar Hdependence of the\nLSSE voltage was found to appear even when the thick-\nness of the Pt layer is changed and when the Pt layer is\nreplaced with a different metal [29], indicating that the\nmagnetic-field-induced suppression of the LSSE in the\nPt/YIG-slab sample is attributed to the YIG layer, not\nthe paramagnetic metal layer.\nIn Fig. 5, we show the Tdependence of Sat the posi-\ntiveHvalues and of the magnetic-field-induced suppres-\nsion ofSin the Pt/YIG-slab sample. When the sample\ntemperature is decreasedfrom 300K, the magnitude of S\nmonotonically increases and reaches its maximum value\naroundT= 75 K [see Fig. 5(a)]. On decreasing the\ntemperature further, the Ssignal begins to decrease and\ngoes to zero. This Tdependence of the LSSE with peak\nstructure is qualitatively consistent with previous results\n[21, 31]. Importantly, as shown in Fig. 5(b), the suppres-\nsion of the LSSE thermopower δLSSEalso exhibits tem-\nperature dependence in the Pt/YIG-slab sample, where\nδLSSEis defined as ( Smax−S80kOe)/SmaxwithSmaxand\nS80kOerespectively being the Svalues at the maximum\npoint and at H= 80kOe. We found that the suppression\nof the LSSE in the Pt/YIG-slab sample is almost con-\nFIG. 4: (a) Hdependence of Sin the Pt/YIG-slab and\nPt/GGG-slab samples for various values of T, measured when\nHwas swept between ±90 kOe. (b) Hdependence of Mof\nthe YIG slab for various values of T, measured with a vibrat-\ning sample magnetometer.\nstant (20 % < δLSSE<25 %) above 30 K and strongly\nenhancedbelow30K;the δLSSEvaluein thePt/YIG-slab\nsample reaches ∼70 % atT= 5 K [see Fig. 5(b)].\nThe critical field-induced suppression of the LSSE at\nlowtemperaturesbelow30Kisseeminglyconsistentwith\nconventional SSE models combined with the effect of the\nZeeman gap in magnon excitation. In the conventional\nformulation [45–47, 53], the LSSE voltage VLSSEis ex-\npressed as the following factor related to the magnon\nexcitation:\nVLSSE∝/integraldisplay∞\ngµBHdǫǫD(ǫ,H)[fBE(ǫ,Tm)−fBE(ǫ,Te)]\n∝/integraldisplay∞\ngµBHdǫǫD(ǫ,H)∂fBE\n∂Tm/vextendsingle/vextendsingle/vextendsingle/vextendsingle\nTm=T, (4)\nwhereD(ǫ,H) is the density of states of magnons in the\nferrimagneticinsulator. Toobtainthe differentialformin5\n10 100\nT (K)S (V/K) \n10 100\nT (K) 10-7 10-6 10-5 \n20 0\n60 \n80 \n10040 δLSSE (%) (b)(a)\nH = 1.8 kOe\nH = 80 kOe\nExperiment\nEquation (4) Pt/YIG-slab\nFIG. 5: (a) Double logarithmic plot of the Tdependence of S\nin the Pt/YIG-slab sample at H= 1.8 kOe (closed circles) and\n80 kOe (open triangles). (b) Tdependence of the suppression\nδLSSE of the LSSE voltage by magnetic fields in the Pt/YIG-\nslab sample (circles). Here, δLSSE≡(Smax−S80kOe )/Smax\nwithSmaxandS80kOe respectively being the Svalues at the\nmaximum point and at H= 80 kOe. A gray line shows the\nTdependence of δLSSE calculated numerically from Eq. (4)\nbased on the conventional formulation (see Appendix).\nEq. (4), we assume that the modulation of the effective\ntemperatures induced by the external temperature gradi-\nent isverysmall( Tm∼Teand|Tm(e)−T| ≪Tasdemon-\nstrated in Ref. 28). We numerically calculated the right-\nhand side of Eq. (4) by assuming the density of states of\nparabolic exchange magnon modes: D0√ǫ−gµBHwith\nthe amplitude D0, energy ǫ,g-factorg(= 2.0 for YIG),\nand Bohr magneton µB, where the magnon gap due to\nthe Zeeman effect is described as gµBH. This parabolic\ndispersion well reproduces the magnon band structure\nof YIG in the low-energy range ( T <30 K) [54]. In\nFig. 5(b), we compare the Tdependence of δLSSEin the\nPt/YIG-slab sample with that calculated from Eq. (4);\nbelow 30 K, the observed and calculated δLSSEvalues\nagree with each other within the difference of 10 % (see\nAppendix).\nThe inconsistency between the observed suppressionof\nthe LSSE voltage and the conventional formulation be-\ncomes apparent with increasing the temperature. Equa-\ntion(4)showsthatthesuppressionof VLSSEatT= 300K\nis smaller than 2 % even under the high magnetic fields,\nwhich is much smaller than the experimental results as\nshown in Fig. 5(b) ( δLSSE∼25 % at 300 K). This isbecause the small Zeeman energy is defeated by ther-\nmal fluctuations when gµBH≪kBT(note that the\nmagnon gap energy at H= 80 kOe corresponds to\ngµBH/kB= 10.7 K≪300 K); to affect the magnon\nexcitation by magnetic fields, the magnon energy has to\nbe comparable to or less than the Zeeman energy in the\nconventional model. In contrast, the observed large sup-\npression of the LSSE voltage in the Pt/YIG-slab sam-\nple indicates that the magnon excitation relevant to the\nLSSE is affected by magnetic fields even at around room\ntemperature, suggesting that low-frequency magnons of\nwhich the energy is comparable to the Zeeman energy\n(less than ∼30 K) provide a dominant contribution to\nthe LSSE.\nThe importance of low-frequency magnons in the\nmechanism of the LSSE is clarified by focusing on their\nlength scale. It is notable that magnons with low fre-\nquencies exhibit long thermalization (energy relaxation)\nlengths [55–59], where magnons cannot be thermalized\nwithin the range less than the thermalization lengths.\nIn the Pt/YIG systems under a temperature gradient,\nmagnonscan deviatefrom local thermal equilibrium, and\nthe deviation becomes greater for magnons with longer\nthermalization lengths [43, 55]. The frequency depen-\ndence of the magnon thermalization lengths indicates\nthat low-frequency magnons with long thermalization\nlengths play a central role in the nonequilibrium states\nbetween magnons in YIG and electrons in Pt at the\nPt/YIG interface. In contrast, the contribution from\nhigh-frequency magnons of which the energy is much\ngreater than the Zeeman energy is expected to be weaker\nsince they are closer to local thermal equilibrium due to\ntheir short thermalization lengths [56–59]. This spectral\nnon-uniformity of the thermo-spin conversion can be re-\nsponsible for the unexpectedly strong suppression of the\nLSSE voltage in the Pt/YIG-slab sample, an interpre-\ntation consistent with other fragmentary pieces of infor-\nmation [32, 33, 58, 59]. Although the conventional SSE\ntheoriesdonotincludethismagnon-frequency-dependent\nmechanism, similar non-localnature has been introduced\nfor phonon-electron systems as the concept of “spectral\nnon-uniform temperature” in Ref. 60.\nTo verify the above scenario, we investigated the YIG-\nthickness dependence of the high-magnetic-field response\nof the LSSE. Because of the long-range nature of low-\nfrequency magnons, the spectral non-uniformity of the\nthermo-spin conversion should affect the LSSE in terms\nof the thickness of YIG. In Fig. 6(a), we compare the\nHdependence of Sin the Pt/YIG-slab and Pt/YIG-\nfilm samples with different YIG thicknesses ( tYIG=\n10.42µm, 1.09µm, and 0 .31µm) atT= 300 K. Al-\nthough weobservedclearLSSEsignalsin allthe samples,\nthe magnitude of the LSSE thermopower monotonically\ndecreases with decreasing tYIG. This behavior is consis-\ntent with the experimental results reported by Kirihara\net al.[22] and Kehlberger et al.[38] [see Fig. 6(b)]6\n-1.001.0\n-1.001.0\n-0.500.5\n-0.4-0.200.20.4\n-50 0 50\nH (kOe)(a)\nS (μV/K) 00.51.0 \nT = 300 K \n40 0Smax (μV/K) (b)\n(c) tYIG = 1 mm\n0.31 μm 1.09 μm 10.42 μm \n10-7 10-6 10-4 10-3 10-5 \ntYIG (m) 10-7 10-6 10-4 10-3 10-5 \ntYIG (m) T = 300 K δLSSE (%) T = 300 K \n10 \n20 \n30 \nFIG. 6: (a) Hdependence of Sin the Pt/YIG-slab sample\nwith the YIG thickness of tYIG= 1 mm and in the Pt/YIG-\nfilm samples with tYIG= 10.42µm, 1.09µm, and 0 .31µm at\nT= 300 K, measured when Hwas swept between ±90 kOe.\n(b)tYIGdependence of SmaxatT= 300 K. (c) tYIGdepen-\ndence of δLSSE atT= 300 K.\n[61]. This tYIGdependence suggests that the magnon\nexcitation relevant to the LSSE is limited by the bound-\nary condition in the thin YIG films. Significantly, we\nfound that the suppression ofthe LSSE by high magnetic\nfields,δLSSE, also monotonically decreases with decreas-\ningtYIG[Fig. 6(c)]; in the thinnest Pt/YIG-film sample\nwithtYIG= 0.31µm, the LSSE signal is almost constant\nforH >0.05 kOe ( δLSSE∼1 % even at H= 80 kOe)\n[Fig. 6(a)]. Similar behavior was reported in Ref. 30.\nThis thickness dependence indicates that the contribu-\ntion of low-frequency magnons, which govern the LSSE\nsuppression in the Pt/YIG-slab sample, fades away in\nthe Pt/YIG-film samples when the YIG thickness is less\nthan their thermalization lengths [63]; because the long-\nrange magnons cannot recognize the local temperature\ngradient in thin YIG films, such magnons are no longer\nin non-equilibrium. In this condition, the LSSE suppres-\nsion becomes small since only remaining high-frequency\nmagnons with the short thermalization lengths, which\nhave energy much greater than the Zeeman energy, con-\ntribute to the LSSE.\nFinally, we show the Tdependence of the LSSE ther-\nmopower in the Pt/YIG-slab and Pt/YIG-film samples\nfor various values of tYIG[64]. As shown in Figs. 7(c)-\n7(e), in the thin Pt/YIG-film samples, no suppression of\nthe LSSE appears even at low temperatures that satisfy\nthe condition gµBH∼kBT, which is also inconsistent\nwith the conventional formulation described by Eq. (4)\n(note that, although Sin the thin Pt/YIG film sampleswithtYIG= 0.31 and 1 .09µm slightly increases with\nincreasing Hat low temperatures, this behavior is at-\ntributed to the superposition of the H-linear component\nof the transverse thermoelectric voltage). The Hdepen-\ndence of the LSSE voltage in the thin Pt/YIG-film sam-\nplescanbe explainedbythe Tdependence ofthemagnon\nthermalization lengths; since the thermalization length,\nin general, increases with decreasing T[56, 57, 59], the\nproportion of the low-frequency magnons, affected by\nthe boundary, to the total magnon population should\nincrease at low temperatures. This interpretation is in\nqualitative agreement with the tYIGdependence of the\nLSSE; we found that the magnitude of Smonotonically\ndecreaseswith reducing tYIGin allthe temperaturerange\n[Fig. 7(a)] and the dependence on tYIGofSbecomes\nstronger at lower temperatures [Fig. 7(f)]. These re-\nsults demonstrate again the importance of low-frequency\nmagnons with long characteristic lengths in the mecha-\nnism of the LSSE.\nAt the end of this section, we mention a remaining\ntask of the LSSE research. As shown in Fig. 7(a), the\npeak position of Sshifts from low to high temperatures\nastYIGdecreases. To understand the origin of the YIG-\nthickness-dependent peak shift and peak structure of the\nLSSE voltage, not only magnon effects [31, 54] but also\nphonon effects [46, 59] should be taken into account in\nthe mechanism of the LSSE; the separation and quan-\ntitative evaluation of these contributions are necessary.\nAn indispensable requirement for obtaining the complete\nunderstanding of the temperature dependence of the\nLSSE is the precise determination of the temperature-\ngradient distribution in YIG-film/GGG-substrate sys-\ntems, which enables the quantitative investigation of the\nYIG-thickness dependence of the LSSE. A challenge for\nthe quantitative evaluation of the temperature distribu-\ntion in LSSE devices is already in progress [65].\nIV. CONCLUSION\nIn this study, we have investigated temperature\nand thickness dependencies of high-magnetic-field re-\nsponse of the longitudinal spin Seebeck effect (LSSE) in\nPt/Y3Fe5O12(YIG) junction systems. The experimen-\ntal results show that the LSSE signal is suppressed by\napplying high magnetic fields at the temperatures rang-\ning from 300 K to 5 K and this suppression is enhanced\nwith decreasing the temperature in the Pt/YIG-slab sys-\ntem. The suppression of the LSSE appears even when\nthe magnon gap induced by the Zeeman effect gµBHis\nmuch less than the thermal energy kBT, suggesting that\nlow-frequencymagnonswithenergycomparabletoorless\nthanthe Zeemanenergyprovideadominantcontribution\nto the LSSE rather than the higher-frequency magnons.\nThis spectral non-uniformity of the thermo-spin conver-\nsion is associated with the characteristic lengths of the7\n10 100\nT (K) \n10 100\nT (K) 10 100\nT (K) 10 100\nT (K) (b) (c)\ntYIG = 1 mm \ntYIG = 0.31 μm tYIG = 1.09 μm tYIG = 10.42 μm \n(d) (e)H = 1.8 kOe \nH = 80 kOe \nH = 0.3 kOe \nH = 80 kOe H = 0.3 kOe \nH = 80 kOe H = 0.3 kOe \nH = 80 kOe S (V/K) \n10-9 10-7 10-5 \n10-6 \n10-8 \n10-7 10-6 10-4 10-3 10-5 \ntYIG (m) 10-9 10-7 10-5 \n10-6 \n10-8 (f)\nS (V/K) \nT = 300 K T = 100 K T = 50 K T = 20 K T = 10 K T = 5 K S (V/K) \n10-9 10-7 10-5 \n10-6 \n10-8 S (V/K) \n10-9 10-7 10-5 \n10-6 \n10-8 \nS (V/K) \n10-9 10-7 10-5 \n10-6 \n10-8 \n10-7 10-6 10-4 10-3 10-5 \ntYIG (m) 10-7 10-6 10-4 10-3 10-5 \ntYIG (m) 10-7 10-6 10-4 10-3 10-5 \ntYIG (m) 10-7 10-6 10-4 10-3 10-5 \ntYIG (m) 10-7 10-6 10-4 10-3 10-5 \ntYIG (m) 100 200 300 012345\nT (K)(a)\nS (μV/K) \n∆T (K) \nT (K) 100 200 3000123\ntYIG = 1 mm\n0.31 μm 1.09 μm 10.42 μm \nFIG. 7: (a) Tdependence of Sin the Pt/YIG-slab sample at H= 1.8 kOe and in the Pt/YIG-film samples at H= 0.3 kOe.\nAtH= 1.8 kOe (0 .3 kOe), the magnetization of the YIG slab (YIG films) is aligne d along the Hdirection, but the field-\ninduced suppression of the LSSE is negligibly small. The ins et to (a) shows the Tdependence of ∆ Tapplied during the LSSE\nmeasurements. (b) Comparison between the T-Scurves at H= 1.8 kOe (closed circles) and 80 kOe (open triangles) for the\nPt/YIG-slab sample with tYIG= 1 mm. (c)-(e) Comparison between the T-Scurves at H= 0.3 kOe (closed circles) and\n80 kOe (open triangles) for the Pt/YIG-film samples with tYIG= 10.42µm (c), 1 .09µm (d), and 0 .31µm (e). (f) Double\nlogarithmic plot of the tYIGdependence of Sin the Pt/YIG-slab sample (Pt/YIG-film samples) at H= 1.8 kOe (0.3 kOe) for\nvarious values of T.\nLSSE since the LSSE signal and its magnetic field depen-\ndence are strongly affected by the thickness of YIG. We\nanticipate that the comprehensive LSSE data reported\nhere fill in the missing piece of the mechanism of the\nLSSE and lead to the development of theories of spin-\ncurrent physics.\nClosing remarks: Recently, Jin et al.[66], Ritzmann\net al.[67], and Guo et al.[62] also reported the high-\nmagnetic-field dependence of the LSSE in Pt/YIG sys-\ntems. The experimental results and basic interpretation\nof these studies are consistent with those of the present\nstudy.\nACKNOWLEDGMENTS\nThe authors thank S. Maekawa, H. Adachi, Y.\nOhnuma, G. E. W. Bauer, J. Barker, K. Sato, J. Xiao, Y.\nTserkovnyak,and S.M. Rezendeforvaluablediscussions.\nThis work was supported by PRESTO “Phase Interfaces\nfor Highly Efficient Energy Utilization,” Japan Strate-\ngicInternationalCooperativeProgramASPIMATT from\nJST, Japan, Grant-in-Aid for Scientific Research on In-\nnovative Area “Nano Spin Conversion Science” (No.\n26103005), Grant-in-Aid for Young Scientists (A) (No.25707029), Grant-in-Aid for Young Scientists (B) (No.\n26790038),Grant-in-AidforChallengingExploratoryRe-\nsearch (No. 26600067), Grant-in-Aid for Scientific Re-\nsearch (A) (No. 24244051, 15H02012) from MEXT,\nJapan, NEC Corporation, the Tanikawa Fund Promo-\ntionofThermalTechnology,theCasioSciencePromotion\nFoundation, and the Iwatani Naoji Foundation. T.K.\nis supported by JSPS through a research fellowship for\nyoung scientists (No. 15J08026).\nAPPENDIX: NUMERICAL CALCULATION OF\nEQUATION (4)\nToclarifythe Hdependence oftheLSSEvoltage VLSSE\ndescribed by the conventional formulation, we numeri-\ncally calculated the right-hand side of Eq. (4). For sim-\nplicity, we assume that magnons have a parabolic disper-\nsion relation, where the density of states of magnons is\naffected by the Zeeman energy [68]. As shown in Fig.\n8(a), the magnon gap opening due to the Zeeman effect\nis much smaller than thermal energy near room tempera-\nture even when the high magnetic field of H= 80 kOe is\napplied. Figure 8(b) shows the calculation results of the\nHdependence of VLSSEforvariousvalues of T. We found8\n0 1 2 3 402468\n0100200300H = 0 kOe\nH = 80 kOe\nka -hω /kB (K) f (THz) (a) (b) \nT = 300 K\n 20 K \n 10 K 50 K \n 5 KVLSSE (arb. units) \nH (kOe)20 40 60 80 00.51.01.5\nFIG. 8: (a) Parabolic dispersion relations of magnons at H=\n0 kOe and 80 kOe in units of the magnon frequency f(=\nω/2π) and corresponding temperature /planckover2pi1ω/kB. The parabolic\nmagnon dispersion includes the Zeeman gap: /planckover2pi1ω=Da2k2+\ngµBH, where ωis the angular frequency, kis the magnon\nwavenumber, ais the lattice constant of YIG (= 12 .376˚A)\n[49], and Dis the spin-wave stiffness constant. Here, we use\nDa2= 4.2×10−29erg cm2[54, 69]. (b) Hdependence of\nVLSSE for various values of T, calculated from Eq. (4).\nthat, although VLSSEdescribed by Eq. (4) is suppressed\nby magnetic fields at low temperatures, the suppression\naround room temperature is much smaller than the ob-\nservedHdependence of the LSSE for the Pt/YIG-slab\nsample [compare Figs. 4(a) and 8(b)]. In Fig. 5(b), we\nplot the Tdependence of δLSSEcalculated from Eq. (4),\nwhich is defined as ( Vmax\nLSSE−V80kOe\nLSSE)/Vmax\nLSSEwithVmax\nLSSE\nandV80kOe\nLSSErespectively being the VLSSEvalues at the\nmaximum point and at H= 80 kOe, indicating that the\ninconsistency between the experimental results and Eq.\n(4) increases with increasing T. As discussed above, the\nsmall suppression of VLSSEis attributed to the large en-\nergy difference between gµBHandkBT, showing the im-\nportance of low-frequency magnons in the mechanism of\nthe LSSE. Finally, we note that the integrand of Eq. (4)\nconsists of ǫDfBE, while that of the magnon number is\nDfBEalone; since the factor ǫin the integrand of Eq. (4)\neliminates the singularity of fBEatǫ= 0, the calculated\nvalues do not reach VLSSE= 0 even when gµBH > k BT\n[see Fig. 8(b)].\n∗Electronic address: kuchida@imr.tohoku.ac.jp\n[1] A. G. Gurevich and G. A. Melkov, Magnetization Oscil-\nlations and Waves (CRC, Boca Raton, FL, 1996).\n[2] V. Cherepanov, I. Kolokolov, and V. L’vov, The saga\nof YIG: spectra, thermodynamics, interaction and relax-\nation of magnons in a complex magnet, Phys. Rep. 229,\n81 (1993).\n[3] G. P. Rodrigue, H. Meyer, and R. V. Jones, Resonance\nmeasurements in magnetic garnets, J. Appl. Phys. 31,\nS376 (1960).\n[4] I. S. Tupitsyn, P. C. E. Stamp, and A. L. Burin, Stability\nof Bose-Einstein condensates of hot magnons in yttrium\niron garnet films, Phys. Rev. Lett. 100, 257202 (2008).\n[5] G. E. W. Bauer, E. Saitoh, and B. J. van Wees, Spin\ncaloritronics, Nat. Mater. 11, 391 (2012).[6] S. R. Boona, R. C. Myers, and J. P. Heremans, Spin\ncaloritronics, Energy Environ. Sci. 7, 885 (2014).\n[7] S. Maekawa, H. Adachi, K. Uchida, J. Ieda, and E.\nSaitoh, Spin current: Experimental and theoretical as-\npects, J. Phys. Soc. Jpn. 82, 102002 (2013).\n[8] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando, K.\nTakanashi, S. Maekawa, and E. Saitoh, Transmission of\nelectrical signals by spin-wave interconversion in a mag-\nnetic insulator, Nature 464, 262 (2010).\n[9] Y. Tserkovnyak, A. Brataas, G. E. W. Bauer, and B. I.\nHalperin, Nonlocal magnetization dynamics in ferromag-\nnetic heterostructures, Rev. Mod. Phys. 77, 1375 (2005).\n[10] M. Weiler, M. Althammer, M. Schreier, J. Lotze, M.\nPernpeintner, S. Meyer, H. Huebl, R. Gross, A. Kamra,\nJ. Xiao, Y.-T. Chen, H. Jiao, G. E. W. Bauer, and S.\nT. B. Goennenwein, Experimental test of the spin mix-\ning interface conductivity concept, Phys. Rev. Lett. 111,\n176601 (2013).\n[11] Z. Qiu, K. Ando, K. Uchida, Y. Kajiwara, R. Taka-\nhashi, H. Nakayama, T. An, Y. Fujikawa, and E.\nSaitoh, Spin mixing conductance at a well-controlled\nplatinum/yttrium iron garnet interface, Appl. Phys. Lett.\n103, 092404 (2013).\n[12] A. Azevedo, L. H. Vilela-Le˜ ao, R. L. Rodr´ ıguez-Su´ ar ez,\nA. B. Oliveira, and S. M. Rezende, dc effect in ferromag-\nnetic resonance: Evidence of the spin-pumping effect?, J.\nAppl. Phys. 97, 10C715 (2005).\n[13] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Con-\nversion of spin current into charge current at room tem-\nperature: Inverse spin-Hall effect, Appl. Phys. Lett. 88,\n182509 (2006).\n[14] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Observation of the\nspin Seebeck effect, Nature 455, 778 (2008).\n[15] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J.\nIeda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai, G.\nE. W. Bauer, S. Maekawa, and E. Saitoh, Spin Seebeck\ninsulator, Nat. Mater. 9, 894 (2010).\n[16] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, J.\nP. Heremans, and R. C. Myers, Observation of the spin-\nSeebeck effect in a ferromagnetic semiconductor, Nat.\nMater.9, 898 (2010).\n[17] K. Uchida, H. Adachi, T. Ota, H. Nakayama, S.\nMaekawa, and E. Saitoh, Observation of longitudinal\nspin-Seebeck effect in magnetic insulators, Appl. Phys.\nLett.97, 172505 (2010).\n[18] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, R.\nC. Myers, and J. P. Heremans, Spin-Seebeck effect: A\nphonon driven spin distribution, Phys. Rev. Lett. 106,\n186601 (2011).\n[19] K. Uchida, H. Adachi, T. An, T. Ota, M. Toda, B. Hille-\nbrands, S. Maekawa, and E. Saitoh, Long-range spin See-\nbeck effect and acoustic spin pumping, Nat. Mater. 10,\n737 (2011).\n[20] M. Weiler, M. Althammer, F. D. Czeschka, H. Huebl, M.\nS. Wagner, M. Opel, I.-M. Imort, G. Reiss, A. Thomas,\nR. Gross, and S. T. B. Goennenwein, Local charge and\nspin currents in magnetothermal landscapes, Phys. Rev.\nLett.108, 106602 (2012).\n[21] K. Uchida, T. Ota, H. Adachi, J. Xiao, T. Nonaka, Y.\nKajiwara, G. E. W. Bauer, S. Maekawa, and E. Saitoh,\nThermal spin pumping and magnon-phonon-mediated\nspin-Seebeck effect, J. Appl. Phys. 111, 103903 (2012).9\n[22] A. Kirihara, K. Uchida, Y. Kajiwara, M. Ishida, Y.\nNakamura, T. Manako, E. Saitoh, and S. Yorozu, Spin-\ncurrent-driven thermoelectric coating, Nat. Mater. 11,\n686 (2012).\n[23] D. Qu, S. Y. Huang, J. Hu, R. Wu, and C. L. Chien,\nIntrinsic spin Seebeck effect in Au/YIG, Phys. Rev. Lett.\n110, 067206 (2013).\n[24] T. Kikkawa, K. Uchida, Y. Shiomi, Z. Qiu, D. Hou, D.\nTian, H. Nakayama, X.-F. Jin, and E. Saitoh, Longitu-\ndinal spin Seebeck effect free from the proximity Nernst\neffect, Phys. Rev. Lett. 110, 067207 (2013).\n[25] D. Meier, T. Kuschel, L. Shen, A. Gupta, T. Kikkawa,\nK. Uchida, E. Saitoh, J.-M. Schmalhorst, and G. Reiss,\nThermally driven spin and charge currents in thin\nNiFe2O4/Pt films, Phys. Rev. B 87, 054421 (2013).\n[26] R. Ramos, T. Kikkawa, K. Uchida, H. Adachi, I. Lucas,\nM. H. Aguirre, P. Algarabel, L. Morell´ on, S. Maekawa,\nE. Saitoh, and M. R. Ibarra, Observation of the spin\nSeebeck effect in epitaxial Fe 3O4thin films, Appl. Phys.\nLett.102, 072413 (2013).\n[27] K. Uchida, T. Nonaka, T. Kikkawa, Y. Kajiwara, and E.\nSaitoh, Longitudinal spin Seebeck effect in various garnet\nferrites, Phys. Rev. B 87, 104412 (2013).\n[28] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein, Magnon,\nphonon, and electron temperature profiles and the spin\nSeebeck effect in magnetic insulator/normal metal hybrid\nstructures, Phys. Rev. B 88, 094410 (2013).\n[29] T. Kikkawa, K. Uchida, S. Daimon, Y. Shiomi, H.\nAdachi, Z. Qiu, D. Hou, X.-F. Jin, S. Maekawa, and\nE. Saitoh, Separation of longitudinal spin Seebeck effect\nfrom anomalous Nernst effect: Determination of origin\nof transverse thermoelectric voltage in metal/insulator\njunctions, Phys. Rev. B 88, 214403 (2013).\n[30] M. Schreier, N. Roschewsky, E. Dobler, S. Meyer, H.\nHuebl, R. Gross, and S. T. B. Goennenwein, Current\nheating induced spin Seebeck effect, Appl. Phys. Lett.\n103, 242404 (2013).\n[31] S. M. Rezende, R. L. Rodr´ ıguez-Su´ arez, R. O. Cunha, A.\nR. Rodrigues, F. L. A. Machado, G. A. Fonseca Guerra,\nJ. C. Lopez Ortiz, and A. Azevedo, Magnon spin-current\ntheory for the longitudinal spin-Seebeck effect, Phys.\nRev. B89, 014416 (2014).\n[32] N. Roschewsky, M. Schreier, A. Kamra, F. Schade, K.\nGanzhorn, S. Meyer, H. Huebl, S. Gepr¨ ags, R. Gross,\nand S. T. B. Goennenwein, Time resolved spin Seebeck\neffect experiments, Appl. Phys. Lett. 104, 202410 (2014).\n[33] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. Kirihara,\nP. Pirro, T. Langner, M. B. Jungfleisch, A. V. Chumak,\nE. Th. Papaioannou, and B. Hillebrands, Role of bulk-\nmagnon transport in the temporal evolution of the lon-\ngitudinal spin-Seebeck efect, Phys. Rev. B 89, 224414\n(2014).\n[34] K. Uchida, M. Ishida, T. Kikkawa, A. Kirihara, T. Mu-\nrakami, and E. Saitoh, Longitudinal spin Seebeck effect:\nfrom fundamentals to applications, J. Phys.: Condens.\nMatter26, 343202 (2014).\n[35] A. Aqeel, I. J. Vera-Marun, B. J. van Wees, and T. T.\nM. Palstra, Surface sensitivity of the spin Seebeck effect,\nJ. Appl. Phys. 116, 153705 (2014).\n[36] K. Uchida, T. Kikkawa, A. Miura, J. Shiomi, and E.\nSaitoh, Quantitative temperature dependence of longi-\ntudinal spin Seebeck effect at high temperatures, Phys.\nRev. X4, 041023 (2014).[37] M. Schreier, G. E. W. Bauer, V. I. Vasyuchka, J. Flipse,\nK. Uchida, J. Lotze, V. Lauer, A. V. Chumak, A. A.\nSerga, S. Daimon, T. Kikkawa, E. Saitoh, B. J. van Wees,\nB. Hillebrands, R. Gross, and S. T. B. Goennenwein, Sign\nof inverse spin Hall voltages generated by ferromagnetic\nresonance and temperature gradients in yttrium iron gar-\nnet platinum bilayers, J. Phys. D: Appl. Phys. 48, 025001\n(2015).\n[38] A. Kehlberger, R. R¨ oser, G. Jakob, U. Ritzmann, D.\nHinzke, U. Nowak, M. C. Onbasli, D. H. Kim, C. A.\nRoss , M. B. Jungfleisch, B. Hillebrands, and M. Kl¨ aui,\nDetermination of the origin of the spin Seebeck effect -\nbulk vs. interface effects, arXiv:1306.0784 (2013).\n[39] J. Flipse, F. K. Dejene, D. Wagenaar, G. E. W. Bauer, J.\nBen Youssef, and B. J. van Wees, Observation of the spin\nPeltier effect for magnetic insulators, Phys. Rev. Lett.\n113, 027601 (2014).\n[40] S. O. Valenzuela and M. Tinkham, Direct electronic mea-\nsurement of the spin Hall effect, Nature 442, 176 (2006).\n[41] M. V. Costache, M. Sladkov, S. M. Watts, C. H. van\nder Wal, and B. J. van Wees, Electrical detection of spin\npumping due to the precessing magnetization of a single\nferromagnet, Phys. Rev. Lett. 97, 216603 (2006).\n[42] T. Kimura, Y. Otani, T. Sato, S. Takahashi, and S.\nMaekawa, Room-temperature reversible spin Hall effect,\nPhys. Rev. Lett. 98, 156601 (2007).\n[43] J. Xiao, G. E. W. Bauer, K. Uchida, E. Saitoh, and S.\nMaekawa, Theory of magnon-driven spin Seebeck effect,\nPhys. Rev. B 81, 214418 (2010).\n[44] H. Adachi, K. Uchida, E. Saitoh, J. Ohe, S. Takahashi,\nand S. Maekawa, Gigantic enhancement of spin Seebeck\neffect by phonon drag, Appl. Phys. Lett. 97, 252506\n(2010).\n[45] H. Adachi, J. Ohe, S. Takahashi, and S. Maekawa,\nLinear-response theory of spin Seebeck effect in ferro-\nmagnetic insulators, Phys. Rev. B 83, 094410 (2011).\n[46] H. Adachi, K. Uchida, E. Saitoh, and S. Maekawa, The-\nory of the spin Seebeck effect, Rep. Prog. Phys. 76,\n036501 (2013).\n[47] S. Hoffman, K. Sato, and Y. Tserkovnyak, Landau-\nLifshitz theory of the longitudinal spin Seebeck effect,\nPhys. Rev. B 88, 064408 (2013).\n[48] S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang, J.\nWu, T. Y. Chen, J. Q. Xiao, and C. L. Chien, Transport\nmagnetic proximity effects in platinum, Phys. Rev. Lett.\n109, 107204 (2012).\n[49] M. A. Gilleo and S. Geller, Magnetic and crystallograph ic\nproperties of substituted yttrium-iron garnet, 3Y 2O3·\nxM2O3·(5−x)Fe2O3, Phys. Rev. 110, 73 (1958).\n[50] G. A. Slack, Thermal conductivity of MgO, Al 2O3,\nMgAl 2O4, and Fe 3O4crystals from 3◦to 300◦K, Phys.\nRev.126, 427 (1962).\n[51] G. A. Slack and D. W. Oliver, Thermal conductivity of\ngarnets and phonon scattering by rare-earth ions, Phys.\nRev. B4, 592 (1971).\n[52] W. Nernst, Ueber die electromotorischen Kr¨ afte, welc he\ndurch den Magnetismus in von einem W¨ armestrome\ndurchflossenen Metallplatten geweckt werden, Ann.\nPhys. (Leipzig) 267, 760 (1887).\n[53] S. A. Bender, R. A. Duine, and Y. Tserkovnyak,\nElectronic pumping of quasiequilibrium Bose-Einstein-\ncondensed magnons, Phys. Rev. Lett. 108, 246601\n(2012).\n[54] J. S. Plant,/primereversePseudo-acoustic′magnon dispersion in yt-10\ntrium iron garnet, J. Phys. C 16, 7037 (1983).\n[55] D. J. Sanders and D. Walton, Effect of magnon-phonon\nthermal relaxation on heat transport by magnons, Phys.\nRev. B15, 1489 (1977).\n[56] S. S.-L. Zhang and S. Zhang, Magnon mediated electric\ncurrent drag across a ferromagnetic insulator layer, Phys.\nRev. Lett. 109, 096603 (2012).\n[57] S. S.-L. Zhang and S. Zhang, Spin convertance at mag-\nnetic interfaces, Phys. Rev. B 86, 214424 (2012).\n[58] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. D.\nKarenowska, G. A. Melkov, and B. Hillebrands, Direct\nmeasurement of magnon temperature: New insight into\nmagnon-phonon coupling in magnetic insulators, Phys.\nRev. Lett. 111, 107204 (2013).\n[59] S. R. Boona and J. P. Heremans, Magnon thermal mean\nfree path in yttrium iron garnet, Phys. Rev. B 90, 064421\n(2014).\n[60] K. S. Tikhonov, J. Sinova, and A. M. Finkel’stein, Spec-\ntral non-uniform temperature and non-local heat transfer\nin the spin Seebeck effect, Nat. Commun. 4, 1945 (2013).\n[61] According to Refs. 38 and 62, we can fit the thickness\ndependence of the magnitude of the LSSE voltage in Fig.\n6(b) with S[1−exp(−tYIG/ξ)], where Sandξare ad-\njustable parameters. ξwas estimated to be ∼1µm, which\nis consistent with the value reported in ref. 62.\n[62] E.-J. Guo, A. Kehlberger, J. Cramer, G. Jakob,\nand M. Kl¨ aui, Origin of the thickness-dependent low-\ntemperature enhancement of spin Seebeck effect in YIG\nfilms, arXiv:1506.06037 (2015).\n[63] Our result indicates that the characteristic length of the\nLSSE for low-frequency magnons, affected by the Zee-\nman energy in |H|<90 kOe, is at least greater than 0.31\nµm at room temperature. However, to discuss the charac-\nteristic length associated with the LSSE suppression and\nits magnon-frequency dependence quantitatively, one has\nto determine the spectral non-uniformity of the thermo-spin conversion. Unfortunately, it is difficult to make this\nquantitative evaluation at the present stage.\n[64] We note that, in the Pt/YIG-film samples at low tem-\nperatures, the temperature-gradient distribution in the\nthickness direction may be affected by the difference in\nthe thermal conductivity between YIG and GGG, since\nthe temperature dependence of the thermal conductivity\nof YIG is different from that of GGG and the thermal\nconductivity of YIG may depend on the YIG thickness\nat low temperatures [65], a situation which makes it dif-\nficult to evaluate the temperature difference between the\ntop and bottom of the YIG films and the absolute mag-\nnitude of the LSSE voltage quantitatively. Nevertheless,\neven in this situation, we can discuss the field-induced\nsuppression of the LSSE voltage in the Pt/YIG-film sam-\nples quantitatively, since it is defined as the relative rati o\nbetween the LSSE voltages at low and high magnetic\nfields.\n[65] C. Euler, P. Ho/suppress luj, T. Langner, A. Kehlberger, V. I.\nVasyuchka, M. Kl¨ aui, and G. Jakob, Thermal conduc-\ntance of thin film YIG determined using Bayesian statis-\ntics, arXiv:1505.08006 (2015).\n[66] H. Jin, S. R. Boona, Z. Yang, R. C. Myers, and J. P.\nHeremans, The effect of the magnon dispersion on the\nlongitudinal spin Seebeck effect in yttrium iron garnets\n(YIG), arXiv:1504.00895 (2015).\n[67] U. Ritzmann, D. Hinzke, A. Kehlberger, E.-J. Guo, M.\nKl¨ aui, and U. Nowak, Magnetic field control of the spin\nSeebeck effect, arXiv:1506.05290 (2015).\n[68] C. Kittel, Quantum Theory of Solids , 2nd revised print-\ning (John Wiley and Sons, New York, 1987).\n[69] C. M. Srivastava and R. Aiyar, Spin wave stiffness con-\nstants in some ferrimagnetics, J. Phys. C 20, 1119 (1987)." }, { "title": "2401.02251v2.Nonreciprocal_Unconventional_Photon_Blockade_with_Kerr_Magnons.pdf", "content": "Nonreciprocal Unconventional Photon Blockade with Kerr Magnons\nXiao-Hong Fan,1Yi-Ning Zhang,1Jun-Po Yu,2Ming-Yue Liu,1Wen-Di He,1Hai-Chao Li,3,∗and Wei Xiong1,†\n1Department of Physics, Wenzhou University, Zhejiang 325035, China\n2College of Resources and Environment, Yangtze University, Hubei 430100, China\n3College of Physics and Electronic Science, Hubei Normal University, Huangshi 435002, China\n(Dated: April 26, 2024)\nNonreciprocal devices, allowing to manipulate one-way signals, are crucial to quantum information\nprocessing and quantum network. Here we propose a nonlinear cavity-magnon system, consisting of a\nmicrowave cavity coupled to one or two yttrium-iron-garnet (YIG) spheres supporting magnons with\nKerr nonlinearity, to investigate nonreciprocal unconventional photon blockade. The nonreciprocity\noriginates from the direction-dependent Kerr effect, distinctly different from previous proposals with\nspinning cavities and dissipative couplings. For a single sphere case, nonreciprocal unconventional\nphoton blockade can be realized by manipulating the nonreciprocal destructive interference between\ntwo active paths, via vary the Kerr coefficient from positive to negative, or vice versa. By optimizing\nthe system parameters, the perfect and well tuned nonreciprocal unconventional photon blockade can\nbe predicted. For the case of two spheres with opposite Kerr effects, only reciprocal unconventional\nphoton blockade can be observed when two cavity-magnon coupling strengths Kerr strengths are\nsymmetric. However, when coupling strengths or Kerr strengths become asymmetric, nonreciprocal\nunconventional photon blockade appears. This implies that two-sphere nonlinear cavity-magnon\nsystems can be used to switch the transition between reciprocal and nonreciprocal unconventional\nphoton blockades. Our study offers a potential platform for investigating nonreciprocal photon\nblockade effect in nonlinear cavity magnonics.\nI. INTRODUCTION\nRecently, magnons, also known as spin waves, i.e.,\nthe collective spin excitations in ferro- and ferrimagnetic\nmaterials like yttrium-iron-garnet (YIG), have attracted\nconsiderable attention in condensed matter physics and\nquantum information science [1–10]. Thanks to the\nhigh spin density and low damping of the YIG spheres,\nphotons in microwave cavities can strongly couple to\nthe magnons, giving rise to the field of cavity magnon-\nics [11–13]. Experimentally, sub-millimeter-scale YIG\nspheres and three-dimensional microwave cavities are fre-\nquently employed in cavity magnonics [2–5] for inves-\ntigating numerous exotic phenomena [11, 14], such as\nmagnon memory [15], spin current [7, 16, 17], entangle-\nment [18–21], dissipative coupling [22–24], blockade [25–\n27], non-Hermitian physics [28–32], dynamics of polari-\ntons [33], spin interface [34, 35], state manipulation [36–\n40], microwave-optical transduction [41, 42]. In addi-\ntion, magnons can strongly interact with superconduct-\ning qubits, solid spins, and phonons, building diverse\nmagnon-based hybrid quantum systems including qubit-\nmagnon systems [43–53], cavity magnomechanics [54–57],\noptomechanical cavity magnonics [58, 60, 61], and cavity\noptomagnonics [63–65].\nWith advanced experimental techniques, the magnon\nKerr effect (the Kerr nonlinearity of magnons) steming\nfrom the magnetocrystalline anisotropy in the YIG [66]\nhas been demonstrated [5, 67], leading to the birth of non-\n∗hcl2007@foxmail.com\n†xiongweiphys@wzu.edu.cnlinear cavity magnonics [68]. Utilizing the magnon Kerr\neffect, multi-stability [5, 69], magnon entanglement [20],\nstrong spin-spin coupling [50, 51, 70], superradiant phase\ntransition [71], and sensitive detection [72, 73] can be\nstudied. Besides, the magnon Kerr effect can also be\nused to investigate nonreciprocical devices such as non-\nreciprocal entanglement [58, 59], nonreciprocal transimis-\nsion [74], nonreciprocal excitation [75] and nonreciprocal\nhigher-order sideband generation [76]. However, nonre-\nciprocal single-photon blockade has not yet been revealed\nto date with the magnon Kerr effect, although various\nnonreciprocal devices have been widely investigated with\nspinning cavities [77–81] and dissipative coupling [14, 82].\nNote that photon blockade is a purely quantum effect,\nwhich can be employed to achieve single-photon source\ndevices and generate sub-Poissonian light [83–85]. At\npresent, two classes of photon blockade are proposed:\nconventional [86–88] and unconventional [89–94] photon\nblockade. The former is caused by strong anharmonicity\nof the eigenenergy spectrum, and the latter is formed by\nthe destructive quantum interference in different transi-\ntion paths under weak nonlinearity.\nHere, we propose a scheme to realize a nonrecipro-\ncal unconventional single-photon blockade in a Kerr-\nmodified cavity-magnon system, which consists of a mi-\ncrowave cavity coupled to one or two YIG spheres sup-\nporting Kerr magnons. The nonreciprocity is induced by\nthe direction-dependent Kerr nonlinearity. Specifically,\nwhen the biased magnetic field is alinged along the crys-\ntal axis [100] ([110]), the Kerr coefficient is positive (neg-\native), which has been demonstrated experimentally [67].\nIn the case of a single sphere in the cavity, only two in-\nterference passages are activated. By changing the Kerr\ncoefficient from positive to negative (or vice versa), non-arXiv:2401.02251v2 [quant-ph] 25 Apr 20242\nreciprocal destructive interference occurs, leading to the\nmanifestation of nonreciprocal photon blockade. This\nphenomenon can be rigorously demonstrated through\nboth analytical and numerical analyses, focusing on the\nequal-time second-order correlation function. When the\nsystem parameters are optimized, achieving the (ideal)\nperfect nonreciprocal photon blockade becomes feasible.\nAdditionally, we illustrate that the degree of nonreciproc-\nity can be finely tuned by manipulating system param-\neters, as evidenced by the study of the defined con-\ntrast ratio. When two spheres with opposite Kerr coef-\nficients are considered, three active interference passages\nemerge. In the case of symmetrical coupling strengths\nand Kerr coefficients, two passages induced by magnon-\nphoton couplings assume identical roles in destructively\ninterfering with the passage created by the pumping field,\nthereby leading to reciprocal photon blockade. When\ntwo cavity-magnon coupling strengths or Kerr coefficients\nbecome asymmetric, two passages activated by the cou-\npling strengths assume distinct roles in interfering with\nthe pumping passage, resulting in nonreciprocal photon\nblockade, as evidenced by the corresponding contrast\nratio. This indicates that two-sphere nonlinear cavity-\nmagnon systems can be used to switch the transition\nbetween reciprocal and nonreciprocal photon blockades.\nOur investigation opens up a promising avenue for engi-\nneering nonreciprocal devices in both single and multiple\nYIG spheres with magnon Kerr effect.\nThe rest paper is organized as follows: In Sec. II,\nthe model is described, and the effective non-Hermitian\nHamiltonian is given. Then we study the nonrecipro-\ncal photon blockade in a cavity including a single sphere\nin Sec. III. In Sec. IV, we further study the nonrecipro-\ncal photon blockade in a cavity including two symmetric\nand asymmetric spheres. Finally, a conclusion is given in\nSec. V.\nII. MODEL AND HAMILTONIAN\nWe consider a nonlinear cavity magnonics consisting\nof one or two YIG spheres coupled to a microwave cavity\n[see Fig. 1(a)], where the Kittel mode of the YIG sphere\nis used to support the Kerr magnons (i.e., magnons with\nthe Kerr effect). Such the nonlinearity, arising from\nthe magnetocrystallographic anisotropy, can be tuned by\nthe direction of the magnetic field [32, 67]. Specifically,\nthe Kerr coefficient is positive (negative) when the mag-\nnetic field is aligned along with the crystallographic axis\n[100] ([110]) of the YIG sphere. For studying photon\nblockade effect, an additional pumping field with the fre-\nquency ωpand the Rabi frequency Ω is imposed to the\nmicrowave cavity. The Hamiltonian of the proposed sys-\ntem can be written as (setting ℏ= 1),\n(a)\nFieldx\nx\n𝑥𝑦𝑧\n0K\n0K\n1 2\n0B\n0B(b)\nc\n2c\n0\n| 00\n|10\n| 20\n|11\n| 01\n| 02\nK\nK\n4K\ng\ng\ng\nSingle sphere\nK\n4K\nK\n\n\n\n\n(c)\nc\n2c\n0\n| 000\n| 001\n2K\n2K\n24K\n2g\nTwo spheres\n2K\n24K\n2K\n\n\n| 200\n|101\n| 002\n| 011\n2g\n2g\n1g\n1g\n1g\n2g\n|100\n|110\n| 010\n| 020\n12KK+\n12KK+\n1g\n1K\n1K\n14K\n14K\n1K\n1K\n\n\n\n\n\n\nFIG. 1: (a) Schematic diagram of the proposed cavity-magnon\nsystem. It consists of one or two YIG spheres supporting Kerr\nmagnons coupled to a pumped cavity. The YIG sphere(s) is\n(are) placed in a static magnetic field B0, along the crystallo-\ngraphic axis [100] or [110]. Correspondingly, K > 0 orK < 0.\n(b) Energy level diagram of a single sphere coupled to a cav-\nity and the corresponding excitation paths. (c) Energy level\ndiagram of two spheres simultaneously coupled to a common\ncavity and the corresponding excitation paths.\nHsys=X\nj=1,2[ωmm†\njmj+gj(m†\njc+c†mj) +Kj(m†\njmj)2]\n+ωcc†c+ Ω(ceiωpt+c†e−iωpt), (1)\nwhere ωc(m)is the resonance frequency of the photons\n(magnons) in the cavity (Kittel) mode, gjis the photon-\nmagnon coupling strength and Kis the Kerr coefficient.\nThe operators c(mj) and c†(m†\nj) are the annihilation\nand creation operators of the photons ( jth magnon). In\nthe rotating frame with respect to ωp, Eq. (1) reduces to\nHrf=X\nj=1,2[∆mm†\njmj+gj(m†\njc+c†mj) +Kj(m†\njmj)2]\n+ ∆ cc†c+ Ω(c+c†), (2)\nwhere ∆ c(m)=ωc(m)−ωpis the frequency detuning of\nthe photons (magnons) from the pumping field.\nBy further taking the dissipations of the system into\naccount and neglecting the quantum jump terms, the ef-\nfective non-Hermitian Hamiltonian of the system is\nHeff=Hrf−iκc\n2c†c−iX\nj=1,2κm\n2m†\njmj, (3)\nwhere κcandκmare the decay rates of the photons and\nmagnons, respectively.3\n-1.0 -0.5 0.0 0.5 1.00123456(a)\n0K> 0K<2(0)g\n/∆Γ0 2 4 6 8 10 120123456(b)\n/gΓ\nFIG. 2: g2(0) versus the normalized (a) detuning ∆ and (b)\nmagnon-photon coupling strength g. The red (blue) curve\ncorresponds to the case of K > 0 (K < 0). In (a), g=gopt=\n9.88Γ, and in (b), ∆ = ∆ opt= 0.287Γ. Other parameters are\nΓ/2π= 1 MHz, |K|/Γ = 4×10−3, and Ω /Γ = 0 .1.\nIII. NONRECIPROCAL PHOTON BLOCKADE\nWITH A SINGLE SPHERE\nIn this section, we investigate the photon blockade in\nthe proposed system consisting of a single YIG sphere\ncoupled to the cavity, i.e., j= 1 in Eq. (1). The magnon-\nphoton coupling strength and the magnon Kerr coeffi-\ncient are respectively denoted by g1=gandK1=K.\nOur analysis focuses on the equal-time second-order cor-\nrelation function of the photons in the cavity. The Fock-\nstate basis of the system is denote by |nm⟩=|n⟩ ⊗ |m⟩,\nwith nbeing the number of photons in the microwave\ncavity and mthe number of magnon. In the weak pump-\ning regime, Ω /κc(m)≪1, the photon number is small, so\nwe can work within the few-photon subspace spanned by\nthe basis states |0⟩c,|1⟩c, and|2⟩c. Therefore, the state\nof the system at arbitrary time can be expressed as\n|ψt⟩=C00|0⟩c|0⟩m+C10|1⟩c|0⟩m+C01|0⟩c|1⟩m\n+C20|2⟩c|0⟩m+C11|1⟩c|1⟩m+C02|0⟩c|2⟩m,(4)\nwhere Cijwith i, j= 0,1,2 are the probability ampli-\ntudes. By substituting the state |ψt⟩into the Schr¨ odinger\nequation, the following equations of motion for the prob-\nability amplitudes can be obtained,\ni˙C00=ΩC10,\ni˙C10=∆′\ncC10+gC01+√\n2ΩC20+ ΩC00,\ni˙C01=gC10+ (∆′\nm+K)C01+ ΩC11,\ni˙C20=2∆′\ncC20+√\n2ΩC10+√\n2gC11, (5)\ni˙C11=ΩC01+√\n2g(C20+C02) + (∆′\nc+ ∆′\nm+K)C11,\ni˙C02=√\n2gC11+ 2(∆′\nm+ 2K)C02,\nwhere ∆′\nc(m)= ∆ c(m)−iκc(m)/2. In the long-time limit,\nthe probalitity amplitudes can be attained by directly\nsolving ˙Cij= 0.\nWhen the system is in the state (4), the equal-time\nsecond-order correlation function of the photons can becalculated as\ng2(0)≡⟨c†c†cc⟩\n⟨c†c⟩2=2|C20|2\n(|C10|2+|C11|2+ 2|C20|2)2.(6)\nIn the weak pumping regime (Ω ≪Γ), we have |C10|2≫\n|C11|2,|C20|2. This means that the probability of finding\none photon in the cavity is much larger than that of si-\nmultanesouly finding one photon and one magnon, which\nis also much larger than that of finding two photons in\nthe cavity. As a result, g2(0)≈2|C20|2/|C10|4<1, i.e.,\nthe photon blockade is achieved. Since the probabilities\nin Eq. (6) are affected by the magnon Kerr effect ( K)\n[see Eq. (5)], the so-called nonreciprocal photon block-\nade can be achieved via changing the direction of the\nmagnetic field (i.e., K > 0 orK < 0). To show this, we\nanalytically plot the equal-time second-order correlation\ng2(0) versus the normalized detuning ∆ /Γ and coupling\nstrength g/Γ in Fig. 2, where ωc=ωm=ω(equiva-\nlently, ∆ c= ∆ m= ∆) and κc=κm= Γ are assumed\nfor simplicity hereafter. The red (blue) curve denotes\nK > 0 (K < 0), corresponding to the case that the mag-\nnetic field is aligned along the crystal axis [100] ([110]).\nFrom Fig. 2(a), we show that the perfect photon blockade\ncan be realized by tuning ∆ when the magnon-photon\ncoupling strength gis fixed at its optimal value. For\nK > 0 and K < 0, the nonreciprocal photon block-\nade is predicted. When the positive optimal value of\nthe detuning ∆ opt/Γ = 0 .287 is chosen [see Fig. 2(b)],\ng2(0) decreases first from g2(0) = 1 to g2(0) = 0 and\nthen increases with increasing gwhen K > 0. But when\nK < 0,g2(0) monotonically increases, resulting in pho-\nton bunching [ g2(0)>1]. To demonstrate the validity of\nour approximate analysis, we also perform the numerical\nsimulation by using the Lindblad master equation\n˙ρ=i[ρ, H rf] +κc\n2L[c]ρ+κm\n2L[m]ρ, (7)\nwhere ρis the density matrix of the considered sys-\ntem,L[o]ρ= 2oρo†−o†oρ−ρo†ois the Lindblad op-\nerator. Obviously, the analytical result well matches\nthe simulation (see the circles and squares in Fig. 2).\nThe mechanism of the photon blockade can be explained\nby the destructive interference between two transition\npaths [see Fig. 1(b)]. One path is formed by directly\npumping the vaccum cavity to the cavity having two\nphotons, i.e., |0⟩c|0⟩m→ |1⟩c|0⟩m→ |2⟩c|0⟩m. The\nother path is formed by the strong coupling between\nthe magnons and photons. Specifically, when one pho-\nton is excited in the cavity, the magnon-photon cou-\npling leads to the transition between the states |1⟩c|0⟩m\nand|0⟩c|1⟩m. Then the pumpling field excites the state\n|0⟩c|1⟩mto the state |1⟩c|1⟩m. Due to the photon-magnon\ncoupling, the state |1⟩c|1⟩mfurther transits to the states\n|2⟩c|0⟩mand|0⟩c|2⟩m. During these transitions, the fre-\nquency shift induced by the magnon Kerr effect is pos-\nitive (negative) for K > 0 (K < 0). This indicates\nwhen the photon blockade is achieved at K > 0 (K < 0)\nfor fixed parameters, the reversed photon bunching, i.e.,4\ng2(0)>1, is predicted at K < 0 (K > 0), as demon-\nstrated in Fig. 2(a).\nFrom Fig. 2, one can find that the optimal coupling\nstrength goptand frequency detuning ∆ optfor a given K\nmust exist for prediction of the perfect photon blockade\n[g2(0) = 0]. This indicates that the probability of si-\nmultaneously finding two photons in the cavity is nearly\nzero [see Eq. (6)], i.e., |C20|2≈0, which can be directly\nconvinced by the simulation results in Fig. 3. To analyt-\nically obtain the optimal parameters, the perfect photon\nblockade condition can be specifically rewritten as\ng2K\n∆′m+ 2K+ (∆′\nc+ ∆′\nm+K)(∆′\nm+K) = Ω2,(8)\nor equivalently,\n2Ω2+ Γ2=12∆2+ 28∆ K+ 14K2,\ng2K\n4∆ + 3 K=(∆ + 2 K)2+ Γ2/4. (9)\nFrom the second equality in Eq. (9), the inequality\n(4∆ + 3 K)K > 0 (10)\ncan be directly obtained for a given g. This means that\nthe perfect photon blockade can only be predicted in the\nregion of ∆ >−3K/4 (<−3K/4) for K > 0 (<0). In\naddition, the optimal coupling strength\ngopt=r\n4∆opt+ 3K\nK[(∆opt+ 2K)2+ Γ2/4] (11)\nis required to realize perfect photon blockade for a given\nK, where the optimal paramter ∆ optis given by the first\nequality in Eq. (9), i.e.,\n∆opt=−7K±√\n7K2+ 6Ω2+ 3Γ2\n6≈ ±√\n3\n6Γ.(12)\nThe second approximate equality is established because\nK,Ω≪Γ is taken. The sign ’+ ( −)’ corresponds to\nK > 0 (<0).\nTo quantitatively charaterize the nonreciprocal photon\nblockade, a bidirectional contrast ratio is introduced, i.e.,\nC=\f\f\f\fg2\nK>0(0)−g2\nK<0(0)\ng2\nK>0(0) + g2\nK<0(0)\f\f\f\f∈[0,1], (13)\nwhere C= 1 (0) denotes the ideal nonreciprocal (recip-\nrocal) photon blockade. The larger the contrast ratio\nC, the stronger the nonreciprocity of the photon block-\nade. In Fig. 4(a), we show the behavior of the contrast\nratio with the normalized detuning ∆ /Γ with different\nmagnon-photon couplings. Clearly, the nonreciprocity\nand reciprocity for the photon blockade can be switched\nby tuning the detuning ∆. When the coupling strenth\nis optimal (i.e., gopt= 9.88Γ), the ideal nonreciprocal\nphoton blockade can be attained. But when the cou-\npling strength deviates from the optimal value such as\n2(0)1 g=2(0)1 g=\n2(0)1 g<2(0)1 g0); (ii) the direc-\ntions of two magnetic fields are opposite ( ζK<0). When\nζK>0, the predicted nonreciprocal photon blockade is\nsimilar to that of a single sphere (see Fig. 2), which has\nbeen numerically checked. Therefore, we do not provide\ndiscussions here anymore.\nInterestingly, the situation of ζK<0 is completely dif-\nferent from that of ζK>0. For simplicity, we assume\nthat the magnons in two spheres have the same abso-\nlute values, i.e., |ζK|= 1, equivalently |K1|=|K2|. In\nthe following discussion, we label the scenario of K1>0\nandK2<0 (K1<0 and K2>0) as K+−(K−+).\nWhen the magnons in two YIG spheres are identically\ncoupled to the cavity ( ζg= 1), only the reciprocal pho-\nton blockade is predicted for K+−andK−+[see red or\nblue curve in Fig. 5(a)]. This is due to the fact that\nthe transitions |000⟩ → | 100⟩ → | 001⟩ → | 101⟩ → | 200⟩\n0.0 0.5 1.0 1.50123456(a)\n0.8 1.0 1.2 1.4 1.6 1.8 2.00.00.51.01.52.0(b)2(0)G\n2(0)1 G=\ngζgζK+− K−+\nKζFIG. 6: (a) G2(0) versus the relative coupling strength ζgwith\n∆ =−0.287Γ in the case of ζK<0, where the red (blue)\ncurve corresponds to K+−(K−+). (b) The contourplot of\nG2(0) = 1 versus ζgand|ζK|. Other parameters are the same\nas those in Fig. 2.\nand|000⟩ → | 100⟩ → | 010⟩ → | 110⟩ → | 200⟩play the\nsame role in destructively interfering with the transition\n|000⟩ → | 100⟩ → | 200⟩when ζg= 1 and |ζK|= 1\n[see Fig. 1(c)]. To obtain a visible photon blockade\n[G2(0)≪1], the large magnon-coupling strengths are\nneeded. At the optimal coupling strength gopt= 63Γ,\nwe find that the perfect photon blockade is achieved\nat ∆ opt= 0, as shown by the blue curve in Fig. 5(a).\nThis optimal coupling strength can be experimentally\nrealized owing to the achieved strong and ultra-strong\nphoton-magnon interactions [3, 95, 96]. However, when\nζg̸= 1 (i.e., g1̸=g2), the nonreciprocal photon blockade\nis clearly observed [see Fig. 5(b)], where the red (blue)\ncurve corresponds to K+−(K−+). To realize this nonre-\nciprocal photon blockade, the required magnon coupling\nstrength is relatively smaller than that of ζg= 1. This\nmeans that the nonreciprocal photom blockade can be\nengineered by using the asymmetric and relatively small\nmagnon-photon coupling strength, making the proposal\nmore feasible in experiments. At ∆ /Γ = +2 .87 (−2.87),\nthe optimal photon blockade occurs for K+−(K−+).\nThe mechanism of the nonreciprocal photon blockade at\nζg̸= 1 can be interpreted as follows: For K+−[see the\nred levels in Fig. 1(c)], the transition |000⟩ → | 100⟩ →\n|010⟩ → | 110⟩ → | 200⟩is allowed at ∆ >0, while the\ntranstion |000⟩ → | 100⟩ → | 001⟩ → | 101⟩ → | 200⟩is for-\nbidden due to the Kerr effect induced large detuning. As\na result, the photon blockade is caused by the destructive\ninterference between the former and the direct pumping\npath|000⟩ → | 100⟩ → | 200⟩. On the contrary, the transi-\ntion|000⟩ → | 100⟩ → | 010⟩ → | 110⟩ → | 200⟩is forbidden\nat ∆ <0, while the transtion |000⟩ → | 100⟩ → | 001⟩ →\n|101⟩ → | 200⟩is allowed, giving rise to photon blockade\nforK−+[see the blue levels in Fig. 1(c)].\nFigure 6(a) further examines the behavior of the pho-\nton blockade with the relative coupling strength ζg, where\ng2/Γ = 9 .88 is fixed. In the absence of one sphere such\nas the sphere 1 ( ζg= 0), the photons in the cavity is\nbunching (antibunching) for the case of K+−(K−+).\nBy coupling the sphere 1 to the cavity and continuously\nincreasing g1, we find that the property of the statis-\ntic photons are changed from bunching to antibunching6\n0.6 0.9 1.2 1.50.00.51.0(a)\n-1.0 -0.5 0.0 0.5 1.00.00.51.0(b)\n/∆Γ1Kζ=1Kζ≠\ngζ\nFIG. 7: The contrast ratio Cversus (a) the relative coupling\nstrength ζgand (b) the normalized detuning ∆. In (a), ζK= 1\nwith|K1|=|K2|= 4×10−3Γ (blue) and ζg̸= 1 with |K2|=\n2|K1|= 4×10−3Γ (red). In (b), the black curve denotes g1=\ng2= 9.88Γ and |K1|=|K2|= 4×10−3, the red curve denotes\ng1/Γ = 12 , g2/Γ = 9 .88, and |K1|=|K2|= 4×10−3, the blue\ncurve denotes g1=g2= 9.88Γ and |K2|= 4|K1|= 4×10−3.\nOther parameters are the same as those in Fig. 2.\none sphere\ntwo spheres\none spheretwo spheres()a ()b\n/ΩΓ (mK) T\nFIG. 8: The second-order correlation function g2(0) vs (a)\nthe normalized Rabi frequency of the pumping field and (b)\nthe bath temperature, where the red (blue) curve denotes the\ncase of the single sphere (two spheres). Other parameters are\nthe same as those in Fig. 2.\n(blockade) for K+−, and conversely, from antibunching\nto bunching for K−+. This indicates that the nonrecipro-\ncal photon blockade can be achieved in a broad range of\nthe parameter ζg. Note that at ζg= 1 (g1=g2= 9.88Γ),\nG2(0) = 1 for both K+−andK−+(see the crosspoint),\nmeaning that the nonreciprocity disappears and photons\nsatisfies Poissionian distribution. Figure 6(b) reveals the\nrelationship between ζgand|ζK|when G2(0) = 1. With\nincreasing ζg, the relative Kerr coefficient decreases. This\nsuggests that the crosspoint in Fig. 6(a) has a right (left)\nshift with increasing (decreasing) ζgwhen|ζK|<1 (>1).\nTo describe the nonreciprocity of the photon blockade\ninduced by the opposite Kerr effects of the magnons in\ntwo spheres, a contrast ratio Cis defined as\nC=\f\f\f\f\fG2\nK+−(0)−G2\nK−+(0)\nG2\nK+−(0) + G2\nK−+(0)\f\f\f\f\f. (16)\nIn Fig. 7, we respectively plot it versus the relative cou-\npling strength ζgand the normalized detuning ∆ /Γ. One\ncan see that the nonreciprocity can be well tuned be-\ntween 0 (reciprocity) and 1 (nonreciprocity) by the rel-\native coupling strength ζgin Fig. 7(a) when |ζK|= 1.In particular, the nonreciprocity disappears at ζg= 1,\nconsistent with above discussions. To recover the non-\nreciprocity, aysmmetric coupling strengths ( ζg̸= 1) or\nKerr coefficents ( |ζK| ̸= 1) can be employed, as demon-\nstrated by the the blue and red curves, respectively. Ob-\nviously, the nonreciprocity of the photon blockade can\nalso be controlled by ζgfor the asymmetric Kerr coef-\nficents ( |ζK| ̸= 1). When the magnon-photon coupling\nstrenghts are fixed, the contrast ratio can be tuned by\nthe normalized detuning ∆ /Γ in Fig. 7(b). Specifically,\nonly reciprocal photon blockade is predicted ( C= 0) at\nζg= 1,|ζK|= 1 (see the black curve). However, one of\nthe conditions is broken, i.e., ζg̸= 1 and |ζK|= 1, or\nζg= 1 and |ζK| ̸= 1, the nonreciprocity of the photon\nblockade can be observed.\nV. DISCUSSION AND CONCLUSION\nBefore concluding, we give a brief study of the Rabi\nfrequency of the weak pumping field and the effect of\nthe bath temperature on the photon blockade. From\nFig. 8(a), one can see that the photon blockade can be\nrealized at Ω <0.31Γ (Ω <0.84Γ) in the presence of sin-\ngle YIG sphere (two YIG spheres). This indicates that\nthe range of Ω for achieving the photon blockade can be\nwidened via increasing the number of YIG spheres. Fig-\nure 8(b) shows the impact of the bath temperature on the\nphoton blockade. Obviously, g2(0) is nearly unchanged\nwhen T <4 mK for both the cases of single sphere and\ntwo spheres. But when the temperature crosses the point\nT= 4 mK, g2(0) has a sudden increase. For the case of\nsingle sphere (two spheres), photon blockade disappears\nwhen T >4.45 mK ( T >4.5 mK). It is also evident that\nthe proposed system including one sphere can have bet-\nter photon blockade effect than the case of two spheres\nat a certain temperature.\nIn summary, we have proposed a nonlinear cavity-\nmagnon system to study the nonreciprocal photon block-\nade. The nonreciprocity stems from the direction-\ndependent Kerr effect of magnons in the YIG sphere.\nFor a single sphere case, the nonreciprocal destructive\ninterference between two paths leads to nonreciprocal\nphoton blockade by varying the Kerr coefficient from\npositive to negative (or vice versa). By optimizing the\nsystem parameters, perfect nonreciprocal photon block-\nade can be predicted and finely tuned. For the case of\ntwo spheres with opposite Kerr coefficients, only recipro-\ncal photon blockade can be predicted when two cavity-\nmagnon coupling strengths and Kerr coefficients are sym-\nmetric. However, when two coupling strengths or Kerr\ncoefficients becomes asymmetric, nonreciprocal photon\nblockade appears. This indicates that the transition be-\ntween reciprocity and nonreciprocity of photon block-\nade can be arbitrarily switched in a two-sphere cavity-\nmagnon system. Our study paves a potential way to en-\ngineer nonreciprocal devices in nonlinear cavity magnon-\nics.7\nThis work was supported by Zhejiang Provincial\nNatural Science Foundation of China under Grant\nNo. LY24A040004, the National Natural Science Foun-dation of China under Grant No. 11804074, and the\nNatural Science Foundation of Hubei Province of China\nunder Grant No. 2022CFB509.\n[1] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen-\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nHigh Cooperativity in Coupled Microwave Resonator\nFerrimagnetic Insulator Hybrids, Phys. Rev. Lett. 111,\n127003 (2013).\n[2] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K.\nUsami, and Y. Nakamura, Hybridizing Ferromagnetic\nMagnons and Microwave Photons in the Quantum Limit,\nPhys. Rev. Lett. 113, 083603 (2014).\n[3] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Strongly\nCoupled Magnons and Cavity Microwave Photons, Phys.\nRev. Lett. 113, 156401 (2014).\n[4] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan, M.\nKostylev, and M. E. Tobar, High-Cooperativity Cavity\nQED with Magnons at Microwave Frequencies, Phys.\nRev. Applied 2, 054002 (2014).\n[5] Y. P. Wang, G. Q. Zhang, D. Zhang, X. Q. Luo, W.\nXiong, S. P. Wang, T. F. Li, C. M. Hu, and J. Q. You,\nMagnon Kerr effect in a strongly coupled cavity-magnon\nsystem, Phys. Rev. B 94, 224410 (2016).\n[6] B. Bhoi, T. Cliff, I. S. Maksymov, M. Kostylev, R. Aiyar,\nN. Venkataramani, S. Prasad, and R. L. Stamps, Study\nof photon–magnon coupling in a YIG-film split-ring res-\nonant system, J. Appl. Phys. 116, 243906 (2014).\n[7] L. Bai, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao, and\nC.-M. Hu, Spin Pumping in Electrodynamically Coupled\nMagnon-Photon Systems, Phys. Rev. Lett. 114, 227201\n(2015).\n[8] D. Zhang, X. M. Wang, T. F. Li, X. Q. Luo, W. Wu,\nF. Nori, and J. Q. You, Cavity quantum electrodynam-\nics with ferromagnetic magnons in a small yttrium-iron-\ngarnet sphere, npj Quantum Inf. 1, 15014 (2015).\n[9] Y. Li, T. Polakovic, Y.-L. Wang, J. Xu, S. Lendinez,\nZ. Zhang, J. Ding, T. Khaire, H. Saglam, R. Di-\nvan, J. Pearson, W.-K. Kwok, Z. Xiao, V. Novosad,\nA. Hoffmann, and W. Zhang, Strong Coupling be-\ntween Magnons and Microwave Photons in On-Chip\nFerromagnet-Superconductor Thin-Film Devices, Phys.\nRev. Lett. 123, 107701 (2019).\n[10] J. T. Hou and L. Liu, Strong Coupling between Mi-\ncrowave Photons and Nanomagnet Magnons, Phys. Rev.\nLett.123, 107702 (2019).\n[11] B. Z. Rameshti, S. V. Kusminskiy, J. A. Haigh, K. Us-\nami, D. Lachance-Quirion, Y. Nakamura, C. M. Hu, H.\nX. Tang, G. E. W. Bauer, and Y. M. Blanter, Cavity\nmagnonics, Phys. Rep. 979, 1 (2022).\n[12] D. Lachance-Quirion, Y. Tabuchi, A. Gloppe, K. Usami,\nand Y. Nakamura, Hybrid quantum systems based on\nmagnonics, Appl. Phys. Express 12, 070101 (2019).\n[13] H. Y. Yuan, Y. Cao, A. Kamra, R. A. Duine, and P. Yan,\nQuantum magnonics: When magnon spintronics meets\nquantum information science, Phys. Rep. 965, 1 (2022).\n[14] Y. P. Wang and C.-M. Hu, Dissipative couplings in cavity\nmagnonics, J. Appl. Phys. 127, 130901 (2020).\n[15] X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang,\nand H. X. Tang, Magnon dark modes and gradient mem-ory, Nat. Commun. 6, 8914 (2015).\n[16] L. Bai, M. Harder, P. Hyde, Z. Zhang, C. M. Hu, Y. P.\nChen, and J. Q. Xiao, Cavity Mediated Manipulation of\nDistant Spin Currents Using a Cavity-Magnon-Polariton,\nPhys. Rev. Lett. 118, 217201 (2017).\n[17] D. Mukhopadhyay, J. M. P. Nair, and G. S. Agar-\nwal, Quantum amplification of spin currents in cav-\nity magnonics by a parametric drive induced long-lived\nmode, Phys. Rev. B 106, 184426 (2022).\n[18] H. Y. Yuan, S. Zheng, Z. Ficek, Q. Y. He, and M.-\nH. Yung, Enhancement of magnon-magnon entanglement\ninside a cavity, Phys. Rev. B 101, 014419 (2020).\n[19] V. A. Mousolou, Y. Liu, A. Bergman, A. Delin, O.\nEriksson, M. Pereiro, D. Thonig, and E. Sj¨ oqvist, Phys.\nMagnon-magnon entanglement and its quantification via\na microwave cavity, Phys. Rev. B 104, 224302 (2021).\n[20] Z. Zhang, Marlan O. Scully, and Girish S. Agarwal,\nQuantum entanglement between two magnon modes via\nKerr nonlinearity driven far from equilibrium, Phys. Rev.\nResearch 1, 023021 (2019).\n[21] Y. Ren, J. Xie, X. Li, S. Ma, and F. Li, Long-range\ngeneration of a magnon-magnon entangled state, Phys.\nRev. B 105, 094422 (2022).\n[22] M. Harder, Y. Yang, B. M. Yao, C. H. Yu, J. W. Rao,\nY. S. Gui, R. L. Stamps, and C. M. Hu, Level Attraction\nDue to Dissipative Magnon-Photon Coupling, Phys. Rev.\nLett.121, 137203 (2018).\n[23] V. L. Grigoryan, K. Shen, and K. Xia, Synchronized spin-\nphoton coupling in a microwave cavity, Phys. Rev. B. 98,\n024406 (2018).\n[24] Y. P. Wang, J. W. Rao, Y. Yang, P. C. Xu, Y. S. Gui, B.\nM. Yao, J. Q. You, and C.-M. Hu, Nonreciprocity and\nUnidirectional Invisibility in Cavity Magnonics, Phys.\nRev. Lett. 123, 127202 (2019).\n[25] J. K. Xie, S. L. Ma, and F. L. Li, Quantum-interference\nenhanced magnon blockade in an yttrium-iron-garnet\nsphere coupled to superconducting circuits, Phys. Rev.\nA101, 042331 (2020).\n[26] F. Wang, C. Gou, J. Xu, and C. Gong, Hybrid magnon-\natom entanglement and magnon blockade via quantum\ninterference, Phys. Rev. A 106, 013705 (2022).\n[27] Z. Jin and Jun Jing, Magnon blockade in magnon-qubit\nsystems, Phys. Rev. A 108, 053702 (2023).\n[28] D. Zhang, X. Q. Luo, Y. P. Wang, T. F. Li, and J. Q. You,\nObservation of the exceptional point in cavity magnon-\npolaritons, Nat. Commun. 8, 1368 (2017).\n[29] M. Harder, L. Bai, P. Hyde, and C. M. Hu, Topological\nproperties of a coupled spin-photon system induced by\ndamping, Phys. Rev. B 95, 214411 (2017).\n[30] Y. Cao and P. Yan, Exceptional magnetic sensitivity of\nPT-symmetric cavity magnon polaritons, Phys. Rev. B\n99, 214415 (2019).\n[31] J. Zhao, Y. Liu, L. Wu, C. K. Duan, Y. Liu, and J. Du,\nObservation of Anti-PT-Symmetry Phase Transition in\nthe Magnon-Cavity-Magnon Coupled System, Phys. Rev.\nAppl. 13, 014053 (2020).8\n[32] G.-Q. Zhang and J. Q. You, Higher-order exceptional\npoint in a cavity magnonics system, Phys. Rev. B 99,\n054404 (2019).\n[33] B. Yao, Y. S. Gui, J. W. Rao, S. Kaur, X. S. Chen, W.\nLu, Y. Xiao, H. Guo, K. P. Marzlin, and C. M. Hu, Coop-\nerative polariton dynamics in feedback-coupled cavities,\nNat. Commun. 8, 1437 (2017).\n[34] M. Tian, M. Wang, G.-Q. Zhang, H.-C. Li, and W. Xiong,\nCritical cavity-magnon polariton mediated strong long-\ndistance spin-spin coupling, arXiv:2304.13553.\n[35] X. L. Hei, X. L. Dong, J. Q. Chen, C. P. Shen, Y. F.\nQiao, and P. B. Li, Enhancing spin-photon coupling with\na micromagnet, Phys. Rev. A 103, 043706 (2021).\n[36] D. Xu, X.-K. Gu, H.-K. Li, Y.-C. Weng, Y.-P. Wang, J.\nLi, H. Wang, S.-Y. Zhu, and J. Q. You, Quantum Control\nof a Single Magnon in a Macroscopic Spin System, Phys.\nRev. Lett. 130, 193603 (2023).\n[37] H. Y. Yuan, P. Yan, S. Zheng, Q. Y. He, K. Xia, and\nM.-H. Yung, Steady Bell State Generation via Magnon-\nPhoton Coupling, Phys. Rev. Lett. 124, 053602 (2020).\n[38] F. X. Sun, S. S. Zheng, Y. Xiao, Q. Gong, Q. He,\nand K. Xia, Remote Generation of Magnon Schr¨ odinger\nCat State via Magnon-Photon Entanglement, Phys. Rev.\nLett.127, 087203 (2021).\n[39] G. Q. Zhang, W. Feng, W. Xiong, Q. P. Su, and C. P.\nYang, Generation of long-lived W states via reservoir en-\ngineering in dissipatively coupled systems, Phys. Rev. A\n107, 012410 (2023).\n[40] S. F. Qi and J. Jing, Generation of Bell and Greenberger-\nHorne-Zeilinger states from a hybrid qubit-photon-\nmagnon system, Phys. Rev. A 105, 022624 (2022).\n[41] R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa, A.\nNoguchi, R. Yamazaki, K. Usami, and Y. Nakamura,\nBidirectional conversion between microwave and light via\nferromagnetic magnons, Phys. Rev. B 93, 174427 (2016).\n[42] N. Zhu, X. Zhang, X. Han, C. L. Zou, C. Zhong, C.\nH. Wang, L. Jiang, and H. X. Tang, Waveguide cavity\noptomagnonics for broadband multimode microwave-to-\noptics conversion, Optica 7, 1291 (2020).\n[43] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Coherent coupling\nbetween a ferromagnetic magnon and a superconducting\nqubit, Science 349, 405 (2015).\n[44] D. Lachance-Quirion, S. P. Wolski, Y. Tabuchi, S. Kono,\nK. Usami, and Y. Nakamura, Entanglement-based single-\nshot detection of a single magnon with a superconducting\nqubit, Science, 367, 425 (2020).\n[45] O. V. Dobrovolskiy, R. Sachser, T. Br¨ acher, T. B¨ ottcher,\nV. V. Kruglyak, R. V. Vovk, V. A. Shklovskij, M. Huth,\nB. Hillebrands, and A. V. Chumak, Magnon–fluxon inter-\naction in a ferromagnet/superconductor heterostructure,\nNat. Phys. 15, 477 (2019).\n[46] S. P. Wolski, D. Lachance-Quirion, Y. Tabuchi, S. Kono,\nA. Noguchi, K. Usami, and Y. Nakamura, Dissipation-\nBased Quantum Sensing of Magnons with a Supercon-\nducting Qubit, Phys. Rev. Lett. 125, 117701 (2020).\n[47] T. Neuman, D. S. Wang, and P. Narang, Nanomagnonic\nCavities for Strong Spin-Magnon Coupling and Magnon-\nMediated Spin-Spin Interactions, Phys. Rev. Lett. 125,\n247702 (2020).\n[48] D. S. Wang, T. Neuman, and P. Narang, Spin Emit-\nters beyond the Point Dipole Approximation in Nano-\nmagnonic Cavities, J. Phys. Chem. C 125, 6222 (2021).\n[49] D. S. Wang, M. Haas, and P. Narang, Quantum Inter-faces to the Nanoscale, ACS Nano 15, 7879 (2021).\n[50] I. C. Skogvoll, J. Lidal, J. Danon, and A. Kamra, Tunable\nanisotropic quantum Rabi model via magnon spin-qubit\nensemble, Phys. Rev. Applied 16, 064008 (2021).\n[51] W. Xiong, M. Tian, G.-Q. Zhang, and J. Q. You, Strong\nlong-range spin-spin coupling via a Kerr magnon inter-\nface, Physical Review B 105, 245310 (2022).\n[52] L. Trifunovic, F. L. Pedrocchi, and D. Loss, Long-\nDistance Entanglement of Spin Qubits via Ferromagnet,\nPhys. Rev. X 3, 041023 (2013).\n[53] M. Fukami, D. R. Candido, D. D. Awschalom, and M. E.\nFlatt´ e, Opportunities for Long-Range Magnon-Mediated\nEntanglement of Spin Qubits via On- and Off-Resonant\nCoupling, PRX Quantum 2, 040314 (2021).\n[54] X. Zhang, C. L. Zou, L. Jiang, and H. X. Tang, Cavity\nmagnomechanics, Sci. Adv. 2, e1501286 (2016).\n[55] J. Li, S.-Y. Zhu, and G. S. Agarwal, Magnon-Photon-\nPhonon Entanglement in Cavity Magnomechanics, Phys.\nRev. Lett. 121, 203601 (2018).\n[56] R.-C. Shen, J. Li, Z.-Y. Fan, Y.-P. Wang, and J. Q. You,\nMechanical Bistability in Kerr-modified Cavity Mag-\nnomechanics, Phys. Rev. Lett. 129, 123601 (2022).\n[57] J. Li, Y.-P. Wang, W.-J. Wu, S.-Y. Zhu, and J. Q.\nYou, Quantum Network with Magnonic and Mechanical\nNodes, PRX Quantum 2, 040344 (2021).\n[58] J. Chen, X.-G. Fan, W. Xiong, D. Wang, and L. Ye,\nNonreciprocal entanglement in cavity-magnon optome-\nchanics, Phys. Rev. B 108, 024105 (2023).\n[59] J. Chen, X.-G. Fan, W. Xiong, D. Wang, and L. Ye,\nNonreciprocal Photon-Phonon Entanglement in Kerr-\nModified Spinning Cavity Magnomechanics, Phys. Rev.\nA109, 043512 (2024).\n[60] I. Proskurin, A. S. Ovchinnikov, J. Kishine, and R. L.\nStamps, Cavity optomechanics of topological spin tex-\ntures in magnetic insulators, Phys. Rev. B 98, 220411(R)\n(2018).\n[61] W. Xiong, M. Wang, G.-Q. Zhang, and J. Chen,\nOptomechanical-interface-induced strong spin-magnon\ncoupling, Phys. Rev. A 107, 033516 (2023).\n[62] Y.-P. Gao, C. Cao, T.-J. Wang, Y. Zhang, and C. Wang,\nCavity-mediated coupling of phonons and magnons,\nPhys. Rev. A 96, 023826 (2017).\n[63] X. Zhang, N. Zhu, C.-L. Zou, and H. X. Tang, Op-\ntomagnonic Whispering Gallery Microresonators, Phys.\nRev. Lett. 117, 123605 (2016).\n[64] A. Osada, R. Hisatomi, A. Noguchi, Y. Tabuchi, R. Ya-\nmazaki, K. Usami, M. Sadgrove, R. Yalla, M. Nomura,\nand Y. Nakamura, Cavity Optomagnonics with Spin-\nOrbit Coupled Photons, Phys. Rev. Lett. 116, 223601\n(2016).\n[65] J. A. Haigh, A. Nunnenkamp, A. J. Ramsay, and A. J.\nFerguson, Triple-Resonant Brillouin Light Scattering in\nMagneto-Optical Cavities, Phys. Rev. Lett. 117, 133602\n(2016).\n[66] G. Q. Zhang, Y. P. Wang, and J. Q. You, Theory of\nthe magnon Kerr effect in cavity magnonics, Sci. China:\nPhys. Mech. Astron. 62, 987511 (2019).\n[67] Y. P. Wang, G. Q. Zhang, D. Zhang, T. F. Li, C. M. Hu,\nand J. Q. You, Bistability of Cavity Magnon Polaritons,\nPhys. Rev. Lett. 120, 057202 (2018).\n[68] S. Zheng, Z. Wang, Y. Wang, F. Sun, Q. He, P. Yan,\nand H. Y. Yuan, Tutorial: Nonlinear magnonics, J. Appl.\nPhys. 134, 151101 (2023).\n[69] R. C. Shen, Y. P. Wang, J. Li, S. Y. Zhu, G. S. Agar-9\nwal, and J. Q. You, Long-Time Memory and Ternary\nLogic Gate Using a Multistable Cavity Magnonic Sys-\ntem, Phys. Rev. Lett. 127, 183202 (2021).\n[70] F.-Z. Ji and J.-H. An, Kerr-Nonlinearity-Induced Strong\nSpin-Magnon Coupling, Phys. Rev. B 108, L180409\n(2023).\n[71] G. Liu, W. Xiong, and Z. J. Ying, Switchable superra-\ndiant phase transition with Kerr magnons, Phys. Rev. A\n108, 033704 (2023).\n[72] G.-Q. Zhang, Y. Wang, and W. Xiong, Detection sensi-\ntivity enhancement of magnon Kerr nonlinearity in cavity\nmagnonics induced by coherent perfect absorption, Phys.\nRev. B 107, 064417 (2023).\n[73] J. M. P. Nair, D. Mukhopadhyay, and G. S. Agarwal,\nEnhanced sensing of weak anharmonicities through co-\nherences in dissipatively coupled anti-PT symmetric sys-\ntems, Phys. Rev. Lett. 126, 180401 (2021).\n[74] C. Kong, H. Xiong, and Y. Wu, Magnon-Induced Nonre-\nciprocity Based on the Magnon Kerr Effect, Phys. Rev.\nApplied 12, 034001 (2019).\n[75] W. Xiong and Z. Li, Highly-tunable and strong non-\nreciprocity in coupled nonlinear cavity magnonics,\narXiv:2309.09245.\n[76] M. Wang, C. Kong, Z.-Y. Sun, D. Zhang, Y.-Y. Wu, and\nL.-L. Zheng, Nonreciprocal high-order sidebands induced\nby magnon Kerr nonlinearity, Phys. Rev. A 104, 033708\n(2021).\n[77] R. Huang, A. Miranowicz, J. Q. Liao, F. Nori, and H.\nJing, Nonreciprocal Photon Blockade, Phys. Rev. Lett.\n121, 153601 (2018).\n[78] Y. F. Jiao, S. D. Zhang, Y. L. Zhang, A. Miranowicz,\nL. M. Kuang, and H. Jing, Nonreciprocal optomechani-\ncal entanglement against backscattering losses, Physical\nReview Letters 125, 143605 (2020).\n[79] Wei Zhang, Tie Wang, Shutian Liu, Shou Zhang, and\nHong-Fu Wang, Nonreciprocal photon blockade in a spin-\nning resonator coupled to two two-level atoms. Sci. China\nPhys. Mech. Astron. 66, 240313 (2023).\n[80] Y. W. Jing, H. Q. Shi, and X. W. Xu, Nonreciprocal pho-\nton blockade and directional amplification in a spinning\nresonator coupled to a two-level atom, Phys. Rev. A 104,\n033707 (2021).\n[81] X. Y. Yao, H. Ali, and P. B. Li, Nonreciprocal Phonon\nBlockade in a Spinning Acoustic Ring Cavity Coupled\nto a Two-Level System, Phys. Rev. Applied 17, 054004\n(2022).\n[82] Y. Wang, W. Xiong, Z. Xu, G. Q. Zhang, and J. Q. You,\nDissipation-induced nonreciprocal magnon blockade in amagnon-based hybrid system, Sci. China Phys. Mech. As-\ntron.65, 260314 (2022).\n[83] A. J. Shields, Semiconductor quantum light sources, Nat.\nPhoton. 1, 215 (2007).\n[84] L. Davidovich, Sub-Poissonian processes in quantum op-\ntics, Rev. Mod. Phys. 68, 127 (1996).\n[85] A. Imamoˇ glu, H. Schmidt, G. Woods, and M. Deutsch,\nStrongly Interacting Photons in a Nonlinear Cavity,\nPhys. Rev. Lett. 79, 1467 (1997).\n[86] K. M. Birnbaum, A. Boca, R. Miller, A. D. Boozer, T.\nE. Northup, and H. J. Kimble, Photon blockade in an\noptical cavity with one trapped atom, Nature 436, 87\n(2005).\n[87] Y. H. Zhou, H. Z. Shen, X. Y. Zhang, and X. X. Yi,\nZero eigenvalues of a photon blockade induced by a non-\nHermitian Hamiltonian with a gain cavity, Phys. Rev. A\n97, 043819 (2018).\n[88] C. Hamsen, K. N. Tolazzi, T. Wilk, and G. Rempe, Two-\nphoton blockade in an atom-driven cavity QED system,\nPhys. Rev. Lett. 118, 133604 (2017).\n[89] H. Z. Shen, Y. H. Zhou, and X. X. Yi, Tunable photon\nblockade in coupled semiconductor cavities, Phys. Rev.\nA91, 063808 (2015).\n[90] Y. H. Zhou, H. Z. Shen, X. Q. Shao, X. X. Yi, Strong\nphoton antibunching with weak second-order nonlinear-\nity under dissipation and coherent driving, Opt. Express\n24, 17332 (2016).\n[91] M. Bamba, A. Imamoˇ glu, I. Carusotto, and C. Ciuti,\nOrigin of strong photon antibunching in weakly nonlinear\nphotonic molecules, Phys. Rev. A 83, 021802 (2011).\n[92] Y. H. Zhou, H. Z. Shen, and X. X. Yi, Unconventional\nphoton blockade with second-order nonlinearity, Phys.\nRev. A 92, 023838 (2015).\n[93] H. Flayac, and V. Savona, Unconventional photon block-\nade, Phys. Rev. A 96, 053810 (2017).\n[94] H. J. Snijders, J. A. Frey, J. Norman, H. Flayac, V.\nSavona, A. C. Gossard, J. E. Bowers, M. P. van Exter, D.\nBouwmeester, and W. L¨ offler, Observation of the uncon-\nventional photon blockade, Phys. Rev. Lett. 121, 043601\n(2018).\n[95] J. T. Hou and L. Liu, Strong coupling between mi-\ncrowave photons and nanomagnet magnons, Phys. Rev.\nLett.123, 107702 (2019).\n[96] N. Kostylev, M. Goryachev, and M. E. Tobar, Super-\nstrong coupling of a microwave cavity to yttrium iron\ngarnet magnons, Appl. Phys. Lett. 108, 062402, (2016)." }, { "title": "1908.03358v1.Observation_of_anti_PT_symmetry_phase_transition_in_the_magnon_cavity_magnon_coupled_system.pdf", "content": "arXiv:1908.03358v1 [quant-ph] 9 Aug 2019Observation of anti- PTsymmetry phase transition in the magnon-cavity-magnon\ncoupled system\nJie Zhao,1,2,3,∗Yulong Liu,4,∗Longhao Wu,1,2,3Chang-Kui Duan,1,2,3Yu-xi Liu,5and Jiangfeng Du1,2,3,†\n1Hefei National Laboratory for Physical Sciences at the Micr oscale and Department of Modern Physics,\nUniversity of Science and Technology of China, Hefei 230026 , China\n2CAS Key Laboratory of Microscale Magnetic Resonance,\nUniversity of Science and Technology of China, Hefei 230026 , China\n3Synergetic Innovation Center of Quantum Information and Qu antum Physics,\nUniversity of Science and Technology of China, Hefei 230026 , China\n4Department of Applied Physics, Aalto University, P.O. Box 1 5100, FI-00076 Aalto, Finland\n5Institute of Microelectronics, Tsinghua University, Beij ing 100084, China\n(Dated: August 12, 2019)\nAs the counterpart of PTsymmetry, abundant phenomena and potential applications o f anti-PT\nsymmetry have been predicted or demonstrated theoreticall y. However, experimental realization of\nthe coupling required in the anti- PTsymmetry is difficult. Here, by coupling two YIG spheres to a\nmicrowavecavity,thelargecavitydissipationratemakest hemagnonscoupleddissipativelywitheach\nother, thereby obeying a two-dimensional anti- PTHamiltonian. In terms of the magnon-readout\nmethod, a new method adopted here, we demonstrate the validi ty of our method in constructing an\nanti-PTsystem and present the counterintuitive level attraction p rocess. Our work provides a new\nplatform to explore the anti- PTsymmetry properties and paves the way to study multi-magnon -\ncavity-polariton systems.\nIn the real world, quantum systems interact with sur-\nroundingenvironmentandevolvefrombeingclosedorigi-\nnally into open ones [1, 2]. Hamiltonians describing open\nsystems are generally non-Hermitian. Due to the non-\nconserving nature, the eigen-energies are complex num-\nbers and the corresponding dynamics are complicated\n[3]. One special type of non-Hermitian systems, which\nrespects parity-time ( PT) symmetry, has triggered un-\nprecedented interest, and been widely explored theoret-\nically and experimentally [4–7]. Another special type of\nnon-Hermitiansystemsistheanti- PTsymmetricsystem,\nwhichisthecounterpartofthe PTsymmetricsystemand\nalways preserve conjugated properties to those observed\ninPT-symmetric ones [8, 9]. Based on the conjugated\nproperties, abundant phenomena and potential applica-\ntions of anti- PTsystems have been predicted or demon-\nstrated theoretically. Examples include unidirectional\nlight propagation [10], flat full transmission bands [11],\nenhanced sensor sensitivity [12], constructing topologi-\ncal superconductor [13], and potential effects on quan-\ntum measurement back-action evading [14]. Motivated\nby the intriguing phenomena and various potential appli-\ncations, experimental realizations of anti- PTsymmetric\nsystems are highly desirable. However, because of the\nrequirement of purely imaginary coupling constants be-\ntween two bare states, there have been few experimental\nworks about anti- PTsymmetry [8, 9, 15, 16].\nRecently, collectiveexcitationsofspinensemblesinfer-\nromagnetic systems (also called as magnons) have drawn\nconsiderable attentions due to their very high spin den-\nsity, low damping rate, and high-cooperativity with the\nmicrowave photons [17, 18]. Especially the ferromag-\nnetic mode in an yttrium iron garnet (YIG) sphere canstrongly[19–22] andevenultra-strongly[23, 24]coupleto\nthe microwave cavity photons, leading to cavity-magnon\npolaritons. Based on cavity-magnon polaritons, quan-\ntum memories have been realized [25], remote coherent\ncoupling between two magnons has been proposed [26]\nand observed [27]. At the same time, coupled cavity-\nmagnon polaritons are attractive systems for exploring\nnon-Hermitian physics [15, 28, 29], because of their easy\nreconfiguration, flexible tunability, and especially the\nstrong compatibility with microwave [30, 31], optics [32–\n35], as well as mechanical resonators [36, 37].\nHere,weproposeacoupledmagnon-cavity-magnonpo-\nlariton system to experimentally demonstrate the anti-\nPTsymmetry [11]. The pure imaginary coupling be-\ntween two spatially separated and frequency detuned\nmagnon modes is realized by engineering the dissipa-\ntive reservoir of the cavity field. Different from previous\ncavity-magnon-polariton experiments, in which the sig-\nnals areextracted from cavity[19–24], we need to extract\nthe signals from the magnons. The experimental data\nare not only fitted well with the original experimental\nHamiltonian calculated transmission spectrum but also\nthe one predicted by the standard anti- PTHamiltonian.\nBy continuously tuning non-Hermitian control parame-\nter, e.g. cavity decay rate, we present the spontaneous\nsymmetry-breaking transition, which is accompanied by\nthe energy level attraction. The results are compared\nwith the data normally obtained from the cavity. This\ncomparison demonstrates that the magnon-readout tech-\nnique enables us to measure the magnon state separately\nin a multi-magnon-cavity coupled system and allow the\nexploration of many significant phenomena.\nOur experimental setup is schematically shown in2\nFIG. 1. (color online) (a). The schematic diagram of our\nexperimental system. Two YIG spheres are placed inside an\noxygen free copper made 3D cavity. Antenna 1 and antenna\n2 are coupled to the YIG spheres, and antenna 3 is coupled\nwith the cavity. These three antennae can be connected to a\nnetwork analyzer (VNA) to measure the transmission spectra\nS11, S22and S 33. In the experiment, antenna 3 can be used\nto control the dissipation rate of the cavity. The colored sl ice\nfigure shows the simulated magnetic field distribution of the\ncavity TE 101mode. (b). The coupling mechanism between\ntwo YIG spheres. When the cavity dissipation rate κis much\nlarger than the dissipation rates of the two magnons, i.e.,\nκ≫γ1, γ2, the two magnons are dissipatively coupled with\neach other and the cavity behaves as a dissipative coupling\nmedium.\nFIG. 1 (a). Two YIG spheres are placed inside a three-\ndimensional (3D) oxygen-free copper cavity with inner\ndimensions 40 ×20×8 mm3. The YIG spheres with 0.3\nmm diameter are glued on one end of two glass capillar-\nies, which are anchored at two mechanical stages. The\nYIG spheres are placed near the magnetic-field antinode\nof the cavity mode TE 101through two holes in the cavity\nwall. Two grounded loop readout antennae, antenna 1\nand antenna 2, are coupled with the YIG sphere 1 and\nsphere 2, respectively. In this setup, we can change the\nposition of YIG spheres relative to loop antennae by tun-\ning the mechanical stages. In our experiment, we focus\non the Kittle mode, which is a spatially uniform ferro-\nmagnetic mode. To avoid involving other magnetostatic\nmodes, the antennae are carefully designed and assem-\nbled. The antenna 3 with a length tunable pin is used to\ncontrol the dissipation rate of the cavity. When we probe\nthe system from the cavity, the antenna 1 and antenna 2\nare removed. The whole system is placed in a static mag-\nnetic bias field, which is created by a high-precisionroom\ntemperature electromagnet. The bias magnetic field and\nthe magnetic field of the TE 101cavity mode are nearly\nperpendicular at the site of two YIG spheres.\nIn our system, the two YIG spheres work at low ex-\ncitation regime, thus the collective spin excitation of\nYIG spheres can be simply regarded as harmonic res-\nonators. Indissipativeregime,oursystemcanbeapprox-\nimately described by the standard anti- PTHamiltonian\n[11] (Supplementary Materials A):Heff=/bracketleftbiggΩ−i(γ+Γ) −iΓ\n−iΓ−Ω−i(γ+Γ)/bracketrightbigg\n.(1)\nHereiΓ is the dissipative coupling rate, Ω = ( ω1−ω2)/2\nis the effective detuning in the rotating reference frame\nwith frequency ( ω1+ω2)/2, where ω1(ω2) is the reso-\nnant frequency of magnon 1 (2). For the Kittle mode,\nthe frequency of a magnon linearly depends on the bias\nfield/vectorBi, i.e.,ωi=γ0/vextendsingle/vextendsingle/vextendsingle/vectorBi/vextendsingle/vextendsingle/vextendsingle+ωm,0(i= 1,2), where\nγ0= 28 GHz /T is the gyromagnetic ratio and ωm,0is\ndetermined by the anisotropy field. To obtain the ef-\nfective Hamiltonian in Eq. (1), we further require that\nthe dissipation rates of two magnons are nearly equal,\ni.e.,γ1≈γ2=γ, and the magnon 1 - cavity coupling\nrateg13approximately equals to the magnon 2 - cavity\ncoupling rate g23, i.e.,g13≈g23=g. In the regime of\nκ≫γandκ≫/vextendsingle/vextendsingleω3−ω1(2)/vextendsingle/vextendsingle, with the cavity dissipa-\ntion rate κ, the effective coupling rate is Γ = g2/κ. We\ncan conveniently obtain the eigenvalues of the Hamilto-\nnian in Eq. (1), λ±=−i(γ+ Γ)±√\nΩ2−Γ2. When\n|Ω|>|Γ|, the eigenvalues are normally complex, and the\nsystemworksin anti- PTsymmetrybrokenphaseregime.\nIf|Ω|<|Γ|, the eigenvalues are purely imaginary and the\nsystem works in anti- PTsymmetry phase regime. The\ncondition of |Ω|=|Γ|defines the EP.\nWe can probe the magnon-cavity-polariton system\nfrom either the magnon or the cavity. When we probe\nthe system from the magnon, we carefully tune the me-\nchanical stage and change the position of YIG spheres\nrelative to the readout antennae to change the external\ndissipation rate γ11(γ21) of magnon 1 (2), so that the\nreadout antennae are critically coupled to the magnons,\ni.e.,γi0≈γi1(i= 1,2), where γi0is the intrinsic dissipa-\ntion rate of magnon. In this situation, the total dissipa-\ntion rate of magnon 1 (2) should be γi=γi0+γi1≈2γi0\n(i= 1,2). In this setup, the dissipationrateofthe cavity\nis controlled by solely changing the pin length of the an-\ntenna 3. When we probe the system from the cavity, the\nsignal is injected into the cavity from antenna 3, and the\nreflected signal is measured from the same port. In this\ncase, the overall dissipation rate of magnon 1 (2) equals\nto the intrinsic dissipation rates, i.e., γi=γi0(i= 1,2).\nIn this measurement setup, we require that antenna 3\nis critically coupled to the cavity. To accomplish this\nrequirement, we paste carbon tape at the electric-field\nantinodeofthe cavitymode tochangethe cavityintrinsic\ndissipationrate κintand changethe pin length ofantenna\n3 to change the dissipation rate κ3, such that the con-\nditionκint≈κ3can be satisfied. All system parameters\nused in both readout methods are presented in TABLE\nI, which shows that the difference between g13andg23,\nand the difference between γ1andγ2are both less than 5\npercent of their average values. Therefore, we can safely\nneglectthedifferencebetweendissipationrates γ1andγ2,3\nTABLE I. Parameters used in cavity-readout and magnon-read out methods. γ1andγ2are the dissipation rates of magnon\n1 and magnon 2, respectively. g13org23is the coupling strength between the cavity and the magnon 1 o r magnon 2. |Ω|\nis the effective detuning. κintis the intrinsic dissipation rate of the cavity (without add itional ports). κ1,κ2andκ3are the\ndissipation rates introduced by antenna 1, antenna 2 and ant enna 3, respectively.\nProbe MethodSystem Parameters (units: 2 π×MHz)\nγ1 γ2g13 g23|Ω|κint κ1 κ2 κ3\nCavity-readout 1.111.119.779.612.7tunable 0 0 ≈κint\nMagnon-readout 2.222.226.656.412.7 1.5 0.450.92tunable\nand the difference between coupling rates g13andg23.\nUsing the magnon-readout method, we read the re-\nflection parameters S 11and S22from antenna 1 and an-\ntenna 2, respectively. In this case, the magnon readout\nantennae coupled to the YIG spheres and to the cavity\nsimultaneously. In other words, the applied probe mi-\ncrowave signal through antenna 1 (2) drives not only the\nmagnon 1 (2) but also the cavity with a relative phase\nϕ13(ϕ23) simultaneously. The reflected signals from the\nmagnon 1 (2) and the cavity also preserve the same rel-\native phase ϕ13(ϕ23). Based on the mechanism, we\ncan solve the input and output field relation as sout=\n−sin+√κkeiϕk3c+√γk1a(k= 1,2). Comparing with\nthe magnon-readout method, the cavity-readout method\nis much simpler. The injected signal from the antenna\n3 only drives the cavity, and the input-output field rela-\ntion preserves the normal form, sout=−sin+√κ3c. Us-\ning the magnon-cavity-magnon coupled original Hamil-\ntonian and the input-output field relation, we can solve\nthe whole spectra with the standard input-output theory\nin both readout methods, as shown in Supplementary\nMaterial B.\nBased on the magnon-readout method, we can demon-\nstrate that the approximation used in our system is valid\nand construct the anti- PTsymmetry. We apply bias\nmagnetic fields B1andB2to bias the magnon 1 and\n2 at frequency at ω1andω2, respectively. In our ex-\nperiment, the resonant frequencies ω1andω2are set to\nsatisfy the relationship ω1−ω2= 5.4 MHz, thus the ef-\nfective detuning in this configuration is |Ω|= 2.7 MHz,\nwhich is constant in all experiments. And then, we mea-\nsure the reflection parameters S 11and S22from antenna\n1 and antenna 2, respectively. As shown in FIG. 2 (a),\nthe measured S 11and S22data are fitted well with the\ncalculated spectra using the original experiment Hamil-\ntonian, as shown in Supplementary Materials B. This\nresult proves that the physical model used in solving the\nmeasurement spectra is sufficient. In the other side, the\nanti-PTHamiltonian in Eq. (1) describes a system with\ndissipatively coupled detuned resonators. We can solve\nthe corresponding reflection spectra with the standard\nanti-PTHamiltonian in Eq. (1), as shown in FIG. 2 (b),\nin which the resonant dips are marked with triangles. In\norder to compare the experimental results with the spec-\ntra predicted by the standard anti- PTHamiltonian, wedrawthe trianglesat the same position in FIG. 2 (a). We\nconclude from this comparison that the resonance occurs\nat the right frequency and amplitude which are predicted\nbythestandardanti- PTHamiltonian. Themeasurement\ndata demonstrate that the approximations are sufficient\nand indicate that we successfully construct the anti- PT\nsymmetry in a magnon-cavity-magnon coupled system.\nBased on the cavity-readout method, we can only\nprobe the system through the antenna 3. As shown in\nFIG. 2 (c), although the measured data can be fitted well\nwith the spectra given by the original experiment Hamil-\ntonian, the results cannot provethat we successfully con-\nstruct an anti- PTsystem. Because the cavity mode cis\neliminated in the large dissipation rate approximation,\nwe cannot compare the measurement results with those\nobtained by the anti- PTHamiltonian.\nWenowdiscussthespontaneousphasetransitionofthe\nanti-PTsystem. In our experiments, the coupling rates\nbetween magnons and the cavity are fixed values, which\nare around 6.5 MHz. In order to observe the anti- PT\nsymmetry phase transition, we need to increase the effec-\ntive coupling rate Γ = g2/κby decreasing the overall dis-\nsipation rate ofthe cavity κ, whereκ=κint+κ1+κ2+κ3\nin the magnon-readout, κintis the intrinsic dissipation\nrate of the cavity (without additional ports), κ1,κ2and\nκ3are the dissipation rates introduced by the antenna\n1, antenna 2 and antenna 3, respectively. With differ-\nent cavity dissipation rates, we obtain the corresponding\ntransmission spectra S 11and S22, as shown in FIG. 2 (a).\nWhen the cavity dissipation rate κis large, the corre-\nsponding effective coupling rate is smaller than the effec-\ntive magnon detuning (i.e., Γ <Ω). The system works in\nthe anti-PTsymmetry broken phase, and the separation\nbetween two dips in the spectrum is larger than the full\nwidth at half maximum (FWHM). Using the definition\nofEP,we can obtain the correspondingcavitydissipation\nrateκ0= 15.8 MHz. Continuously decreasing the cavity\ndissipationacrosstheEPresultsintwomaincounterintu-\nitive phenomena: (i) decreasing the cavity loss, the mea-\nsured spectra show mode attraction; (ii) increasing the\neffective coupling strength between the magnon modes,\nwe observe the energy attraction instead of the mode\nsplitting. These two counterintuitive phenomena are ba-\nsically induced by the broken anti- PTsymmetry phase\ntransition. When our system works in anti- PTsymme-4\nFIG. 2. (color online) (a). The magnon-readout results with different cavity dissipation rate κin unit of MHz. The circles\nand the squares present the experiment data of spectrum S 11and S 22, respectively. The solid lines and the dash-dot lines are\nthe fitting results solved by the original experiment Hamilt onian. The triangles mark the resonant dip positions in the o riginal\nanti-PTHamiltonian solved spectra, as shown in (b). (b). The spectr a S11and S 22solved by the original anti- PTHamiltonian\nin Eq. (1). The triangles indicate the resonant dips in the sp ectra. (c). Cavity-readout result in anti- PTsymmetry phase\n(upper panel) and in anti- PTsymmetry broken phase (lower panel). The circles are experi mental data and the solid lines are\ntheoretical predictions from the original experiment Hami ltonian with best-fit parameters.\ntry phase, the separation between two dips is smaller\nthan the full width at half maximum (FWHM). In order\nto formulate the relationship between the dip separation\nandtheFWHM, wecandefinethecombinedspectrumby\n¯S = (S 11+S22)/2, as shown in Supplementary Material\nC.\nThe anti- PTsymmetry induced level attraction can\nbe expressed even more clearly by examining the eigen-\nvalues of different dissipation rate κ. Using the method\nelaborated in Supplementary Material D, we extract the\neigenvalues and plot the real and imaginary parts as a\nfunction of κin FIG. 3 (a) and (b) respectively, which\nshow excellent agreement with theoretical results. The\nexperimental data in FIG. 3 (a) reveal that the excep-\ntional point occurs at κ0= 15.8 MHz, which corresponds\nto a dissipative coupling rate Γ = 2 .7 MHz. According\nto Eq. (1), the two real parts of eigenvalues should be\n±2.7 MHz when the cavity dissipation rate κapproaches\ninfinity. As shown in FIG. 3 (a), the real parts of eigen-\nvalues corresponding to κ= 105 MHz, are approximate\n±2.7 MHz, which are compatible with the theoretical re-\nsults. When we decrease the value of κ, the difference\nbetween two real parts becomes smaller and is reduced\nto zero at the EP. The theory predicts that there should\nbe two different imaginary parts in anti- PTsymmetry\nregime, and a single value of imaginary part in symmetry\nbroken regime. We have also observed this phenomenon\nin our experiment, as shown in FIG. 3 (b).\nIn conclusion, we have successfully constructed anti-PTsymmetry in a magnon-cavity-magnon coupled sys-\ntem without any gain medium, and observed anti- PT\nsymmetry from the magnon side. From the magnon-\nreadout results, we have observed the broken anti- PT\nsymmetry at the phase transition point (i.e., the EP),\nresulting in a counterintuitive energy attraction phe-\nnomenon instead of the energy repulsion widely reported\nin strongly coupled-resonator systems [19–22]. Encir-\ncling around such exceptional point in the future may\nallow us to observe various topological operations based\non non-adiabatic transitions. The negative frequencies\n(negative-energy modes) in anti- PTsymmetric Hamil-\ntonian equivalent to harmonic oscillators with nega-\ntive mass also have a close connection to evade quan-\ntum measurement backaction [14]. Comparing with the\ncavity-readout results, we uncover the unique ability\nof magnon-readout method in exploring multi-magnon-\ncavity couped systems. Our experiment illustrates the\npower of the magnon-readout, and motivates further ex-\nplorations on macroscopic quantum phenomena and the\nfundamental limit on the quantum sensing based on EPs\n[12].\nThis work was supported by the National Key R&D\nProgram of China (Grant No. 2018YFA0306600), the\nCAS (Grants No. GJJSTD20170001 and No. QYZDY-\nSSW-SLH004), and Anhui Initiative in Quantum Infor-\nmation Technologies (Grant No. AHY050000).5\nFIG. 3. The real part (panel a) and imaginary part (panel b)\nof the eigenvalues as a function of κ. The shadow area with\nκ >15.8 MHz indicates the parametric regime of anti- PT\nsymmetrybrokenphase. Theexperimental dataare extracted\nfrom the data in TABLE. I using the method presented in\nSupplementary Note D.\n∗These authors contributed equally to this work\n†djf@ustc.edu.cn\n[1] I. de Vega and D. Alonso,\nRev. Mod. Phys. 89, 015001 (2017).\n[2] Z.-L. Xiang, S. Ashhab, J. Q. You, and F. Nori,\nRev. Mod. Phys. 85, 623 (2013).\n[3] M.-A. Miri and A. Al` u, Science 363, eaar7709 (2019).\n[4] R. El-Ganainy, K. G. Makris, M. Khajavikhan, Z. H.\nMusslimani, S. Rotter, and D. N. Christodoulides, Nat.\nPhys.14, 11 (2018).\n[5] L. Feng, R. El-Ganainy, and L. Ge, Nat. Photonics 11,\n752 (2017).\n[6] S ¸.¨Ozdemir, S. Rotter, F. Nori, and L. Yang, Nat. Mater.\n, 1 (2019).\n[7] Y. Wu, W. Liu, J. Geng, X. Song, X. Ye, C.-K.\nDuan, X. Rong, and J. Du, Science 364, 878 (2019),\nhttps://science.sciencemag.org/content/364/6443/878 .full.pdf.\n[8] P. Peng, W. Cao, C. Shen, W. Qu, J. Wen, L. Jiang, and\nY. Xiao, Nat. Phys. 12, 1139 (2016).\n[9] Y. Choi, C. Hahn, J. W. Yoon, and S. H. Song, Nat.\nCommun. 9, 2182 (2018).\n[10] H.-K. Lau and A. A. Clerk, Nat. Commun. 9, 4320\n(2018).[11] F. Yang, Y.-C. Liu, andL. You,Phys. Rev. A 96, 053845\n(2017).\n[12] J. Wiersig, Phys. Rev. Lett. 112, 203901 (2014).\n[13] Z. Gong, Y. Ashida, K. Kawabata, K. Takasan, S. Hi-\ngashikawa, and M. Ueda, Phys. Rev. X 8, 031079 (2018).\n[14] N. Bernier, L. T´ oth, A. Feofanov, and T. Kippenberg,\nPhys. Rev. A 98, 023841 (2018).\n[15] X.-L. Zhang, S. Wang, B. Hou, and C. Chan, Phys. Rev.\nX8, 021066 (2018).\n[16] Y. Li, Y.-G. Peng, L. Han, M.-A. Miri, W. Li, M. Xiao,\nX.-F. Zhu, J. Zhao, A. Al` u, S. Fan, et al., Science 364,\n170 (2019).\n[17] D. Lachance-Quirion, Y. Tabuchi,\nA. Gloppe, K. Usami, and Y. Nakamura,\nAppl. Phys. Express 12, 070101 (2019).\n[18] M. Goryachev, S. Watt, J. Bourhill, M. Kostylev, and\nM. E. Tobar, Phys. Rev. B 97, 155129 (2018).\n[19] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, Phys. Rev. Appl. 2,\n054002 (2014).\n[20] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Phys.\nRev. Lett. 113, 156401 (2014).\n[21] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y. Nakamura, Phys. Rev. Lett. 113, 083603\n(2014).\n[22] D. Zhang, X.-M. Wang, T.-F. Li, X.-Q. Luo, W. Wu,\nF. Nori, and J. You, npj Quantum Inf. 1, 15014 (2015).\n[23] X. Zhang, C. Zou, L. Jiang, and H. X. Tang, J. Appl.\nPhys.119, 023905 (2016).\n[24] J. Bourhill, N.Kostylev, M. Goryachev, D.Creedon, and\nM. Tobar, Phys. Rev. B 93, 144420 (2016).\n[25] X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang,\nand H. X. Tang, Nat. Commun. 6, 8914 (2015).\n[26] B. Z. RameshtiandG.E. Bauer, Phys.Rev.B 97, 014419\n(2018).\n[27] N. J. Lambert, J. Haigh, S. Langenfeld, A. Doherty, and\nA. Ferguson, Phys. Rev. A 93, 021803 (2016).\n[28] G.-Q. Zhangand J. You, Phys.Rev. B 99, 054404 (2019).\n[29] D. Zhang, X.-Q. Luo, Y.-P. Wang, T.-F. Li, and J. You,\nNat. Commun. 8, 1368 (2017).\n[30] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Science 349, 405\n(2015).\n[31] D. Lachance-Quirion, Y. Tabuchi, S. Ishino, A. Noguchi ,\nT. Ishikawa, R. Yamazaki, and Y. Nakamura, Sci. Adv.\n3, e1603150 (2017).\n[32] S. V. Kusminskiy, H. X. Tang, and F. Marquardt, Phys.\nRev. A94, 033821 (2016).\n[33] R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa,\nA. Noguchi, R. Yamazaki, K. Usami, and Y. Nakamura,\nPhys. Rev. B 93, 174427 (2016).\n[34] X. Zhang, N. Zhu, C.-L. Zou, and H. X. Tang, Phys.\nRev. Lett. 117, 123605 (2016).\n[35] J. Graf, H. Pfeifer, F. Marquardt, and S. V. Kusminskiy,\nPhys. Rev. B 98, 241406 (2018).\n[36] J. Holanda, D. Maior, A. Azevedo, and S. Rezende, Nat.\nPhys.14, 500 (2018).\n[37] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Sci. Adv.\n2, e1501286 (2016).arXiv:1908.03358v1 [quant-ph] 9 Aug 2019Supplementary Materials for Observation of anti- PTsymmetry\nphase transition in the magnon-cavity-magnon coupled syst em\nJie Zhao,1,2,3,∗Yulong Liu,4,∗Longhao Wu,1,2,3\nChang-Kui Duan,1,2,3Yu-xi Liu,5and Jiangfeng Du1,2,3,†\n1Hefei National Laboratory for Physical Sciences at\nthe Microscale and Department of Modern Physics,\nUniversity of Science and Technology of China, Hefei 230026 , China\n2CAS Key Laboratory of Microscale Magnetic Resonance,\nUniversity of Science and Technology of China, Hefei 230026 , China\n3Synergetic Innovation Center of Quantum Information and Qu antum Physics,\nUniversity of Science and Technology of China, Hefei 230026 , China\n4Department of Applied Physics, Aalto University,\nP.O. Box 15100, FI-00076 Aalto, Finland\n5Institute of Microelectronics, Tsinghua University, Beij ing 100084, China\n(Dated: August 12, 2019)\n1A. EFFECTIVEANTI- PTHAMILTONIANOF THEMAGNON-CAVITY-MAGNON\nCOUPLED SYSTEM\nIn our system, two detuning magnons are coupled to a microwave ca vity mode separately,\nand there is no any direct interaction between these two magnons. In this section, we derive\nthe effective Hamiltonian which, describes the effective coupling betw een two magnons.\nBased on the effective Hamiltonian, we obtain the anti- PTHamiltonian.\nInoursystem, thetwoYIGspheresareworkingatlowexcitationre gimeandthecollective\nspin excitation (magnon) of YIG spheres can be simply regarded as h armonic oscillators.\nThe original experiment Hamiltonian of the system can be given as\nH=ω1a†a+ω2b†b+ω3c†c+g13(ac†+a†c)+g23(bc†+b†c). (S1)\nWhere we have assumed that ¯ h= 1.c/parenleftbig\nc†/parenrightbig\nis the annihilation (creation) operator of the\ncavity field with resonance frequency ω3.a/parenleftbig\na†/parenrightbig\nis the annihilation (creation) operator of the\nfirst magnon mode, and b/parenleftbig\nb†/parenrightbig\nis the annihilation (creation) operator of the second magnon\nmode.ω1andω2are the corresponding resonance frequencies of these two magn on modes.\ng13andg23represent thesingle-photoncoupling strength between thecavit y andthemagnon\nmodes.\nThe corresponding semiclassical Langevin equations are given by\n˙a=−(iω1+γ1)a−ig13c, (S2)\n˙b=−(iω2+γ2)b−ig23c, (S3)\n˙c=−(iω3+κ)c−ig13a−ig23b. (S4)\nIntroducing the slowly varying amplitudes A,BandCwith\na=Ae−iω1t, (S5)\nb=Be−iω2t, (S6)\nc=Ce−iω3t, (S7)\nwe use Eqs. (S2), (S3) and (S4) to derive the equations of motion f or slowly varying ampli-\ntudes as\n2˙A=−γ1A−ig13Ce−i∆13t, (S8)\n˙B=−γ2B−ig23Ce−i∆23t, (S9)\n˙C=−κC−ig13Aei∆13t−ig23Bei∆23t, (S10)\nwhere ∆ 13=ω3−ω1and ∆ 23=ω3−ω2, which are the frequency detunings between the\ncavity and the first or the second magnon mode. We can obtain the f ormal solution of Cas\nC(t) =−ig13/integraldisplayt\n0dt′A(t′)ei∆13t′e−κ(t−t′)−ig23/integraldisplayt\n0dt′B(t′)ei∆23t′e−κ(t−t′)(S11)\nIf the dissipation rate of the cavity mode cis large enough and satisfies the condition\nκ≫γ1,γ2, the amplitude changes of mode aand mode bare small within the range of the\nintegration of the cavity mode c. In this case, we can set A(t′) =A(t) andB(t′) =B(t),\nand then we take them out of the integral and get\nC(t) =−ig13\nκ−i∆13A(t)ei∆13t+−ig23\nκ−i∆23B(t)ei∆23t. (S12)\nSubstituting this equation into Eq. (S8) and Eq. (S9), we adiabatica lly eliminate the\nvariables of the mode c. The corresponding equations of motion for the mode aandbare\nthen reduced to\n˙A(t) =−γ1A(t)−g2\n13\nκ−i∆13A(t)−g13g23\nκ−i∆23B(t)e−i(ω2−ω1)t, (S13)\n˙B(t) =−γ2B(t)−g2\n23\nκ−i∆23B(t)−g13g23\nκ−i∆13A(t)e−i(ω1−ω2)t(S14)\nCombine these equations with A(t) =a(t)eiω1tandB(t) =b(t)eiω2t, we can obtain\nid\ndt\na\nb\n=\nω1−i/parenleftBig\nγ1+g2\n13\nκ−i∆13/parenrightBig\n−ig13g23\nκ−i∆23\n−ig13g23\nκ−i∆13ω2−i/parenleftBig\nγ2+g2\n23\nκ−i∆23/parenrightBig\n\na\nb\n (S15)\nThe effective Hamiltonian can be\nHeff=\nω1−i/parenleftBig\nγ1+g2\n13\nκ−i∆13/parenrightBig\n−ig13g23\nκ−i∆23\n−ig13g23\nκ−i∆13ω2−i/parenleftBig\nγ2+g2\n23\nκ−i∆23/parenrightBig\n (S16)\n3And ifκ≫ |∆13|,|∆23|, the dissipation rates of two magnons equal to each other, i.e.,\nγ1=γ2=γ, thecoupling ratesbetween magnons andcavity arethe same, i.e. g13=g23=g,\nthe effective Hamiltonian Eq. (S16) is reduced to\nHeff=\nω1−i/parenleftBig\nγ+g2\nκ/parenrightBig\n−ig2\nκ\n−ig2\nκω2−i/parenleftBig\nγ+g2\nκ/parenrightBig\n. (S17)\nMoving to the frame rotating with frequency ω= (ω1+ω2)/2 and define the effective\ncoupling rate Γ =g2\nκ, the effective Hamiltonian can be reduced to\nHeff=\nΩ−i(γ+Γ) −iΓ\n−iΓ−Ω−i(γ+Γ)\n, (S18)\nwhere Ω = ( ω1−ω2)/2 is the effective detuning in the rotating frame. The effective Hamil-\ntonian in Eq. (S18) is anti- PTsymmetric [1].\nB. CALCULATION OF THE TRANSMISSION SPECTRA\nIn our experiment, the antenna 1 (antenna 2) is coupled to magnon 1 (magnon 2) and\nis used to readout the transmission spectra of the system from th e magnons. The antennae\nare not only coupled to the magnons but also coupled to the cavity. A s discussed in the\nmain text, the antenna 1 - cavity (antenna 2 - cavity) coupling intro duces the dissipation\nrateκ1(κ2) to the cavity. When we apply a signal to drive the magnon, this signa l also\ndrives the cavity with a relative phase ϕ13(ϕ23). When we measure the reflected signal, the\nsignal coming out from the cavity also must be taken into account. F ollowing this idea, the\ntransmission spectra can be solved using the standard input-outp ut theory. In this section,\nwe solve the transmission spectrum S 11, which is read from the magnon 1. The same method\ncan be applied to the calculation of the spectrum S 22.\nWhen we measure the transmission spectrum S 11, a microwave pulse with amplitude s\nand frequency ωpis injected into the antenna 1. This microwave pulse drives the magno n\n1 and the cavity simultaneously with a relative phase ϕ13. In this situation, the system\nHamiltonian can be\n4H=ω1a†a+ω2b†b+ω3c†c+g13(ac†+a†c)+g23(bc†+b†c)\n+i√γ11s(a†e−iωpt+h.c.)+i√κ1s(c†e−iωpt−iϕ13+h.c.), (S19)\nwhereγ11is the antenna induced magnon dissipation rateand κ1is the antenna induced cav-\nity dissipation rate. The coupling terms in our experiments are actua llyg13(ac†+eiΦ13a†c)+\ng23(bc†+eiΦ23b†c) [2]. The measured values of Φ 13and Φ 23are around 0 .03π, which is much\nsmaller than π, and the calculated spectra fit well with the experimental data. Th erefore,\nwe omit the phase Φ 13and Φ 23. In the rotating reference frame with the frequency ωp, the\nHamiltonian is\nH= ∆1a†a+∆2b†b+∆3c†c+g13(ac†+a†c)+g23(bc†+b†c)\n+i√γ11s(a†+h.c.)+i√κ1s(c†e−iϕ13+h.c.), (S20)\nwhere ∆ i=ωi−ωp,i= 1,2,3. The corresponding semiclassical Langevin equations with\nzero mean value of noise operators are\n˙a=−(i∆1+γ1)a−ig13c+√γ11s, (S21)\n˙b=−(i∆2+γ2)b−ig23c, (S22)\n˙c=−(i∆3+κ)c−ig13a−ig23b+√κ1se−iϕ13, (S23)\nwhereκis the overall dissipation rate of the cavity, γ1andγ2are the overall dissipation\nrates of the magnon 1 and magnon 2, respectively. We have defined thato≡ /angbracketlefto/angbracketright, with\no=a, b, c.\nUsing the Langevin equations, we can obtain the steady state solut ion ofaandc:\na=√2γ11s\ni∆1+γ1+g2\n13\ni∆3+κ+g2\n23\ni∆2+γ2−ig13√2κ1se−iϕ13\ni∆3+κ+g2\n23\ni∆2+γ2\ni∆1+γ1+g2\n13\ni∆3+κ+g2\n23\ni∆2+γ2(S24)\nc=√2κ1se−iϕ13−ig13√2γ11s\ni∆1+γ1\ni∆3+κ+g2\n13\ni∆1+γ1+g2\n23\ni∆2+γ2(S25)\n5Following the method presented in Refs. [3] and [4], we can solve the b oundary condition,\nwhich describes the relationship between the external fields and th e intracavity fields. We\nfirst consider the output field aout, the boundary condition is,\naout=−s+√γ11a+√κ1ceiϕ13. (S26)\nSimilarly, the boundary condition related to the output field coutcan be obtained,\ncout=−s+√γ11a+√κ1ceiϕ13. (S27)\nThe overall output field can be obtained by adding the aoutpart and the coutpart. Because\nthe input field sis added twice, the reflection coefficient can be obtained as\nt1=aout+cout\n2s\n=−1+2γ11\ni∆1+γ1+g2\n13\ni∆3+κ+g2\n23\ni∆2+γ2−i2g13√γ11κ1e−iϕ13\ni∆3+κ+g2\n23\ni∆2+γ2\ni∆1+γ1+g2\n13\ni∆3+κ+g2\n23\ni∆2+γ2\n+2κ1e−iϕ13−i2g13√γ11κ1\ni∆1+γ1\ni∆3+κ+g2\n13\ni∆1+γ1+g2\n23\ni∆2+γ2eiϕ13. (S28)\nUsing the reflection coefficient t1, we can easily solve the S parameter S 11=|t1|. It’s\neasily to verify that the S parameter S 22can be solved with the same method, S 22=|t2|,\nwhere\nt2=bout+cout\n2s\n=−1+2γ21\ni∆2+γ2+g2\n23\ni∆3+κ+g2\n13\ni∆1+γ1−i2g23√γ21κ2e−iϕ23\ni∆3+κ+g2\n13\ni∆1+γ1\ni∆2+γ2+g2\n23\ni∆3+κ+g2\n13\ni∆1+γ1\n+2κ2e−iϕ23−i2g23√γ21κ2\ni∆2+γ2\ni∆3+κ+g2\n13\ni∆1+γ1+g2\n23\ni∆2+γ2eiϕ23. (S29)\nUsing the obtained equations of S 11and S22, we can fit the experiment data, as shown in\nFig. 2a in the main text. In the fitting process of S 11or S22, there are only fitting parameters\nϕ13orϕ23, respectively.\n6FIG. S1. color online. The combined spectra with different cav ity dissipation rates.\nC. THE COMBINED SPECTRA ¯S\nInspectroscopy, we thinktwo peakscannot bedistinguished when theseparationbetween\nthem is smaller than the full width at half maximum (FWHM) of each peak . In this\nsituation, we can observe one peak in the spectrum. In our experim ent, we can obtain the\ntransmissionspectraS 11andS22withdifferentseparationsbetweenresonantdips. Inorderto\nconveniently measurethedipseparation, wedefinethecombinedsp ectraas¯S = (S 11+S22)/2.\nIn our experiment, the experimentally obtained spectra S 11(ωp), S22(ωp) and the combined\nspectrum ¯S(ωp) are fitted very well. Under different cavity dissipation rates, we ca n obtain\nthe combined spectra as shown in Fig. S1.\nWe can find from Fig. S1 that there are two dips in the spectrum when the experiment\nsystem works in anti- PTsymmetry broken regime. If the cavity loss is decreased, then the\nmeasured spectra also show mode attraction. In Fig. S1, the anti- PTsymmetry breaking\nprocess is illustrated clearer compared with the data shown in Fig. 2 ( a) in the main text.\nHowever, there is not a physical quantity corresponding to the co mbined spectrum.\nD. THE REAL AND IMAGINARY PARTS OF THE EIGENENERGY\nInourexperiment, thelineshapesofreflectioncoefficientsS 11andS22arenotthenormally\nLorentzian ones when the cavity dissipation rate is not large enough . It is not suitable\nto extract the real part (resonant frequency) or the imaginary part (line width) of the\n7eigenenergy of the system by directly fitting the reflection coefficie nts. As illustrated in the\nmain text, we experimentally obtain the parameters of the system a nd theoretically solve\nthe eigenenergies using the following Hamiltonian:\nHeff=\nω1−i/parenleftBig\nγ1+g2\n13\nκ−i∆13/parenrightBig\n−ig13g23\nκ−i∆23\n−ig13g23\nκ−i∆13ω2−i/parenleftBig\nγ2+g2\n23\nκ−i∆23/parenrightBig\n\nThe fitting lines in Fig. 4 are drawn with the mean value of the correspo nding parameters\nwith the anti- PTHamiltonian Eq. S18.\nE. DATA OBTAINED FROM CAVITY SIDE\nIn most experiments about magnon - cavity polariton, the system is probed from the\ncavity. In this section, we present the data obtained from the cav ity.\nThe experimental setup is the same as the one used in the main text e xcept that the\nantenna 1 and antenna 2 are removed. In this setup, the coupling r ate between the cavity\nand magnon 1 (magnon 2) is g13= 9.77 MHz and g23= 9.61 MHz. The intrinsic dissipation\nrates of two magnons and the effective magnon detunings are the s ame as the values used\nin the main text. We probe the status of the system by measuring th e reflection coefficient\nS33from antenna 3. In order to maintain the consistency of experimen tal data obtained\nwith different cavity dissipation rates, we need to keep the antenna 3 critically coupled to\nthe cavity. In our experiment, we change the intrinsic dissipation ra te of the cavity by\nfilling it with dissipative materials, and the antenna 3 induced dissipation rate by tuning\nthe length of antenna 3. We tune the intrinsic cavity dissipation rate and the antenna 3\ninduced dissipation rate at the same time, so that the antenna 3 is cr itically coupled to the\ncavity (the reflection coefficient S 33at the resonant frequency is less than -20 dB).\nThe experiment data are presented in Fig. S2. In our setup, the ex ceptional point is\ndefined by the cavity dissipation rate around κ0= 34.8 MHz. As illustrated in the main\ntext, there is only one resonant frequency when our system work s in the anti- PTsymmetric\nphase regime (i. e. the cavity dissipation rate is less than the critical valueκ0). We find\nin Fig. S2 that although the two peaks tend to merge into a single one w hen we reduce the\nvalue of cavity dissipation rate, the two peaks are separated even if the cavity dissipation\nrate is less than the value of κ0. The experimental data obtained from the cavity cannot\n8reveal the exceptional point schematically. Thus we conclude that the data obtained from\nthe cavity cannot be used as a demonstration of the phase transit ion of an anti- PTsystem.\n∗These authors contributed equally to this work\n†djf@ustc.edu.cn\n[1] F. Yang, Y.-C. Liu, and L. You, Physical Review A 96, 053845 (2017).\n[2] M. Harder, Y. Yang, B. M. Yao, C. H. Yu, J. W. Rao, Y. S. Gui, R . L. Stamps, and C.-M.\nHu, Phys. Rev. Lett. 121, 137203 (2018).\n[3] D. F. Walls and G. J. Milburn, Quantum optics (Springer Science & Business Media, 2007).\n[4] A. A. Clerk, M. H. Devoret, S. M. Girvin, F. Marquardt, and R. J. Schoelkopf, Reviews of\nModern Physics 82, 1155 (2010).\n9FIG. S2. color online. The reflection coefficient spectra S 33read from the antenna 3 with different\ncavity dissipation rates. The black dot are experiment data and the blue solid lines are the\ntheorectical fitting data. In this setup, the exceptional po int is defined by cavity dissipation rate of\naroundκ0= 34.8 MHz. The two peaks tend to merge into a single one when we redu ce the value\nof cavity dissipation rate. However, there are always two pe aks in this figure even if the cavity\ndissipation rate is less than the value of κ0.\n10" }, { "title": "1610.08756v1.Spin_wave_propagation_in_ultra_thin_YIG_based_waveguides.pdf", "content": " \nSpin-wave propagation in ultr a-thin YIG based waveguides \n \nM. Collet1, O. Gladii2, M. Evelt3, V. Bessonov4, L. Soumah1, P. Bortolotti1, S.O Demokritov3, 4, Y. \nHenry2, V. Cros1, M. Bailleul2, V.E. Demidov3, and A. Anane1,* \n \n1 Unité Mixte de Physique, CNRS, Thales, Univ. Pa ris-Sud, Université Paris-Saclay, 91767 Palaiseau, \nFrance \n2 Institut de Physique et Chimie des Matériaux de Strasbourg, UMR 7504 CNRS, Université de \nStrasbourg, 67034 Strasbourg, France \n3 Institute for Applied Physics and Center for Nanotechnology, University of Muenster, 48149 \nMuenster, Germany \n4 M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, \nYekaterinburg 620041, Russia \nSpin-wave propagation in an assembly of microfa bricated 20 nm thick, 2.5 µm wide Yttrium \nIron Garnet (YIG) waveguides is studied using propagating spin-wave spectroscopy (PSWS) and \nphase resolved micro-focused Brillouin Light Scatt ering (µ-BLS) spectroscopy. We show that \nspin-wave propagation in 50 parallel waveguid es is robust against microfabrication induced \nimperfections. Spin-wave propagation parameters are studied in a wide range of excitation \nfrequencies for the Damon-Eshbach (DE) configurat ion. As expected from its low damping, YIG \nallows the propagation of spin waves over long distances (the attenuation lengths is 25 µm at \nμ0H = 45 mT). Direct mapping of spin waves by µ-BLS allows us to reconstruct the spin-wave \ndispersion relation and to confirm the multi-mode propagation in the waveguides, glimpsed by \npropagating spin-wave spectroscopy. \n \n Magnonics holds the promise of realizing a spin-wav e (SW) computational platform for analog and \ndigital signal processing [\n1–3]. However, complex architectures that would be relevant for applications \nwould only be possible if the SW can propagate on large enough distances without need for buffering. \nThus, the necessity to use a low loss propagation me dium for SW signals processing. Due to its low \nmagnetic losses, Yttrium Iron Garnet (YIG) is one of the candidate materials an d has been indeed used \nto realize proof of concept devices [4,5]. Furthermore, Large scale integration of magnonics circuits \nwill require wafer scale microfabrication of YIG, whic h is now possible thanks to the advent of ultra-\nthin high quality YIG films [6–8]. In this letter, we study spin -wave propagation in an assembly of \nmicrofabricated 20-nm thick YIG waveguides usi ng two complementary spectroscopic techniques, \nnamely the propagating spin-wave spectroscopy (PSWS) [9–11] and phase resolved micro-focused \nBrillouin Light Scattering (µ-BLS) spectroscopy [12]. We observe SW pr opagation in parallel \nwaveguides over distances as large as 70 µm. The obtaine d values of the propagation lengths are close \nto those expected from analytical models, which demonstrates the robustness of SW propagation in \nour thin YIG films against microfabrication i nduced imperfections. Moreover, using the phase \nresolved µ-BLS it was possible to reconstruct the multi-mode spin-wave dispersion relation. \nA pulsed laser deposited, 20 nm thick YI G film with a Gilbert damping of α\tൌ\t4ൈ10‐4 and an \neffective magnetization of μ0Meff = 0.213 T has been used for this study. Details about the growth and \nthe standard characterization of the structural pr operties of our YIG films can be found in d’Allivy \nKelly et al. [6]. Different devices containing a series of 50 parallel waveguides with approximately a 2.5 µm w\nDue to m\nsignific a\nusing A\nneutrali z\nYIG fil m\nasymme t\nline sep a\nThree s e\nThe PS W\nmagneti cFIG. 1. ( a\nis kept co n\n(b) (Top p\nmicrosco p\nspectra r ep\n= 30, 50 a\nSW sign a\ndistance D\nwidth separ a\nmiss-focusi n\nant spread is\nAr etching. D\nzed [12]. The \nm and conn e\ntric U shape\narated by a 2\neparation dis t\nWS experim e\nc field appl ia) Sketch of \nnstant at 20 0\npanel) Hist o\npic image o f \nepresenting I\nand 70 μm. T\nal amplitud e\nD. Solid line s\nated by 1.5 µ\nng during th e\n obtained (t o\nDue to the i\nAu (200 n m\nected to a v e\n of the ante n\n2 µm gap all o\ntances betwe\nents have be e\nied in the fi la device ba s\n0 µm, differ e\nogram repr e\nfa device wi t\nIm(∆L21) me\nThe data ar e\ne -ln(A) (bl a\ns are linear f\nm have been\ne lithograph y\nop panel of \ninsulating c h\nm)/Ti (20 n m\nctor networ k\nnnas consisti n\nows for the e\neen antennas \nen performe d\nlm plane an dsed on the 2 0\nent separati o\nesenting the\nth a distanc e\neasured at μ0\nvertically o f\nack squares )\nfits of the d a\nn defined by \ny process n o\nFig. 1(b)). M\nharacter of \nm) inductive \nk analyzer ( P\nng of a 1.5 µ\nexcitation o f \nhave been s\nd in the Da m\nd perpendic u0 nm thick Y\nons between\nwaveguide s\ne D = 50 μm\n0H = 45 mT f\nffset for cla r\n) and of th e\nata.\nlaser-lithog r\not all the wa v\nMilling of t h\nthe substrat e\nantennas ha v\nPSWS) or a \nµm wide sig n\nfspin waves \nelected ( D =\nmon-Eshbac h\nular to the dYIG film. W h\n antennas: D\ns width disp e\nm between a n\nfor differen t\nrity. (d) Dep e\ne propagati o\nraphy ( bottom\nveguides ha v\nhe YIG fil m\ne, the Ar b e\nve been dep o\nmicrowave \nnal line and a\nover a wide \n30, 50 and 7\n(DE) confi g\nirection of Shile the total \nD = 30, 50 o\nersion. (Bot t\nntennas. (c ) M\nt distances b\nendence of t h\non time τ (r\nm panel of F\nve the sam e\nm has been p\neam was el\nosited direc t\nsource (µ- B\na 10 µm wi d\nrange of w a\n70 µm). \nguration, i.e. ,\nSW propagal width of th e\nor 70 μm we r\nttom panel) \nMutual-ind u\nbetween ant e\nthe logarith m\n(red circles ) \nFig. 1(b)). \n width, a \nerformed \nectrically \ntly on the \nLS). The \nde ground \nvevector. \n, with the \ntion. The e device \nre used. \nOptical \nuctance \nennas D \nm of the \non the \n\nSOLT (\nreferenc e\nwhich t h\nmagneti c\nindices i\nThen, t h\nmagneti c\nresonan c\nthe spin \nof the c o\nself-ind u\nIn Fig. 1\nthe thre e\noscillati o\ntwo qu a\nwavegu i\nܣൌܣe\nFIG. 2 . \nis excit e\ntheir i n\nfrequen c\nreprese n\nBLS se t\nwavegu i\nShort, Ope n\ne planes at \nhen propag a\nc flux. Fro m\ni and j (i,j = \nhe inductan c\nc fields: one \nce:\tܮ߂\tൌ\t\nwaves’ pro p\noupling bet w\nuctance resp o\n(c), we pres e\ne distances b\non period a n\nantities, the \nides can be i\nexp\tሺെሺܦܦ\n(a) Scheme \ned by a micr o\nnteraction w\ncies extract e\nnts a theore t\ntup (left pa n\nide at a 10 µ\nn, Load, T h\nthe probe t e\nate in the Y\nm the meas u\n1, 2) corre s\nce matrix ߂ܮ\n at the SW r\nଵ\nఠൣܼሺܪ,߱୰\npagation ch a\nween the mi\nonses ܮ߂ (i \nent the imag\nbetween ant e\nnd the ampl i\nmain para m\nnferred. Th e\nܦୣሻܮୟ୲୲⁄ሻ , \nof the BLS \nowave-field p\nwith a prob i\ned for differ e\ntical fit usi n\nnel). The ri g\nm distance f\nhru) calibrat i\nermination. A\nYIG film to w\nuremen ts of \nspond to the\nܮ is calcu l\nresonance fi e\n୰ୣୱሻെܼሺ߱,\naracteristics b\ncrowave cir c\n= 1, 2). \ninary part o f\nennas ( D =\ntude of the \nmeters defini n\ne decay of t h\nwhere ܣൌ\nsetup: the m\nproduced b y\ning light f o\nent bias ma g\nng the Kitte l\nght panel sh o\nfrom the ex c\nion procedu r\nAt the inpu t\nward the s e\nthe S-para m\ndetecting a n\nlated by su b\neld Hres and t\n,ܪ୰ୣሻ൧. Fro m\nbetween the \ncuit and the\nf the mutual-\n30, 50, and\nwaveforms \nng the spin -\nhe amplitude \nൌ| ܮ߂ ଶଵ|୫ୟ୶\nmagnetizatio n\ny the antenn\nfocused dire\ngnetic fields\nl’s law equ a\nows a typic a\ncitation ante n\nre has bee n\nt antenna, a\necond anten n\nmeters, the i\nnd exciting a\nbtracting tw o\nthe other at a\nm the mutu a\ntwo antenn a\nmagnetic m\ninductance ߂\n70 μm). O n\ndecrease wi t\n-wave prop a\nof the trans m\n୶ඥ|ܮ߂ଵଵ|୫ ⁄\nn dynamics \na. The mag n\nctly on YI G\nusing the P\nation. Squar e\nal BLS spec\nnna at μ0H =\nn used to d e\nmicrowave \nna which d e\nimpedance m\nantenna, res p\no sets of d a\na reference f\nal-inductanc e\nas. Simultan e\nmedium is m\n߂ܮଶଵ\trecord e\nne clearly o b\nth increasin g\nagation in t h\nmitted signa l\nୟ୶|ܮ߂ଶଶ|୫ୟ୶\nof a 2.5 µm \nnetic oscilla t\nG. (b) Tra n\nPSWS techn i\ne symbols a r\ntrum recor d\n= 50 mT.\nefine the m\ncurrent exc\netects the o\nmatrix ܼ,\tw\npectively, is \nata taken at \nfield Href far \ne ܮ߂ we c a\neously, the e\nmonitored thr\ned at μ0H = 4\nbserves that \ng D. Analy z\nhe ensembl e\nal can be ex p\n୶ is the m\n\nwide YIG w\ntions are de t\nnsmission r e\nique (triang l\nre obtained \nded on the s\nmicrowave \nites SWs \nscillating \nwhere the \nobtained. \ndifferent \nfrom the \nan extract \nefficiency \nrough the \n45 mT for \nboth the \ning these \ne of YIG \npressed as \nmaximum \nwaveguide \ntected via \nesonance \nles). Line \nusing µ-\name YIG amplitude of the mutual-inductance normalized to those of the two self-inductances, Latt is the \nattenuation length (corresponding to the length over which the spin-wave amplitude decreases by a \nfactor of e), and Deff is the effective width of the antenna, which accounts for the propagation losses \ndirectly under the antenna[13]. Consequently, as shown in Fig. 1(d) (black squares), the value of the \nattenuation length Latt can be deduced from the inverse of the slope, when plotting –ln(A) as a function \nof D. The propagation time , which is the inverse of the oscillati on period, is related to the SW group \nvelocity Vg through ߬ൌሺ ܦܦ ୣሻܸ⁄. Thus, by plotting as a function of D (red circles in Fig. 1(d)), \nthe group velocity can be extracted too. \nIn summary, the values of the two paramete rs characterizing the SW propagation at μ0H = 45 mT in \nour 20 nm thick, 2.5 µm wide YIG waveguides are: \tܮୟ୲୲ൌ\t 2 5േ1 \t ߤ m and ܸൌ \t319േ14\tm/s , \nfrom which we can also deduce a magnetization relaxation time ܶଶൌ\tܮୟ୲୲/ܸൌ\t 7 8േ6 \t n s . These \nexperimental values can be compared to the on es obtained from the theoretical modeling of the \ndispersion relation for a waveguide in the Damon-Eshbach configuration [14,15]. For unpinned spin \nsurfaces and without any quantization along the thickn ess of the film, the dispersion relation for the nth \nSW width mode can be expressed as: \n߱ଶൌ൫ ߱ு߱ெΛଶ݇ଶ߱ெሺ1െܲሻ൯ሺ߱ு߱ெΛଶ݇ଶ߱ெܲsinଶ߮ሻ (1) . \nHere ߱ு\tൌ\tߤ\tܪߛand ߱ெ\tൌ\tߤܯߛୣ, with ߤ the vacuum permeability and ߛ the gyromagnetic \nratio, Λଶൌଶ\nఓబெమ, with ܣ the exchange constant, and \tܲ ൌ \t1െଵିషೖ\nௗ the dipolar matrix element, \nwith d the thickness of the film. ݇ଶ\tൌ\t݇௫ଶ݇௬,ଶ\t and ߮ൌ arctan ൬ೣ\n,൰, where ݇௬,ൌగ\n is the \nquantized transverse wavevector arisi ng from the lateral boundary conditions ( ܮ is the width of the \nwaveguide). \nThe SWs contributing to the signal are those having wavevectors ሺ݇௫,݇௬,ሻ that match the excitation \nmicrowave magnetic field spatial distribution, they can be inferred from the Fourier transform of the \nspatial profile of the microwave field. However, among those SWs, the one that will contribute most \nefficiently are those that have large attenuation le ngths. By convoluting the k-vector response and the \nk-vector dependence of the attenuation length we found that the maximum contribution corresponds to \n݇௫ൎ 0.8 µm-1. Using the expression (Eq. 1) with the magne tic characteristics of our YIG film, we \npredict theoretically a group velocity ܸ\tൌ\tడఠ\nడ\tൌ \t350\tm/s and a magnetization relaxation time \nܶଶ\tൌ߱ߙడఠ\nడு\tൌ\t94\tns , in good agreement with the values found experimentally. \nInterestingly, the oscillations observed in Fig. 1(c) are well fitted using a single period, however this is \nnot the case for all excitation frequencies. For exampl e, at 1.4 GHz, we have distinctively observed \ntwo oscillation periods. This behavior is indicative of a more complex SW spectrum propagating into \nthe assembly of waveguides. In order to get mo re insight on this complex behavior, we have \nperformed a µ-BLS study on exactly the same devices used for PSWS. The probing blue laser light \nproduced by a single continuous wave frequency is focused into a diffraction-limited spot on the \nsurface of the YIG film [16,17] (Fig. 2(a)). Using a six-pass Fabry-Perot interferometer, one can analyze \nthe interaction of the probing light with the magne tic excitations in YIG. The resulting BLS signal is \nproportional to the intensity of the spin waves at the position of the probing spot and at the selected \nfrequency of excitation. For the experiments, a mi crowave current with low power to minimize the \nheating is sent through the antenna in a range of frequency that excites propagating SWs in the wavegu i\nhigher S\nOn the r\nby shini n\nspectru m\nInteresti n\nPSWS m\nTo have \nµ-BLS e\nalso the \nfield μ0H\nused fo rFIG. 3\nusing p\nDashe d\npropa g\nDashe d\nfreque n\nT\nides. Note t h\nWs frequen c\nright panel o\nng the laser \nm presents o\nngly, the ex c\nmeasurement\naccess to th e\nexperiments \nphase of th e\nH = 0.15 T i n\nr the phase r3. (a) Two- d\nphase resol v\nd lines sho w\ngation coor d\nd lines rep r\nncy spectru m\nhat due to i n\ncies than the \nof Fig. 2(b), w\nspot in the m\nne peak at 3\ncitation freq u\ns (see Fig. 2 (\ne phase char\n[18,19]. In thi s\ne propagatin g\nn order to m a\nresolved me adimensional \nved BLS m e\nw the edges o\ndinates at f =\nresent the e x\nm recorded a\nnstrumentati o\nones for PS W\nwe display t\nmiddle of a Y\n3.23 GHz w\nuency perfe c\n(b)). \nacteristics o f\ns case, the B\ng SWs. The \natch the min\nasurements. Aphase map \neasurement s\nof the wave g\n= 6.62 GHz .\nxponential d\nat 500 nm fr\non limitatio n\nWS experi m\ntypical BLS \nYIG waveg u\nwhich corres p\nctly agrees w\nf the propag a\nBLS signal c o\nmeasureme n\nnimum work i\nAs shown i nof the pro p\ns at f = 6.6 2\nguide. (b) A\n. Red plain \ndecay of th e\nfrom the ant e\nn, most BL S\nments. \nintensity as \nuide 10 µm a\nponds to th e\nwith the freq u\nating SWs, w\nontains info r\nnts were per f\ning frequenc y\nn the inset opagating sp i\n2 GHz over \nAmplitude p r\nline shows t\ne envelope.\nenna. The d\nS spectra h a\na function o\naway from t h\ne excitation \nuencies extr a\nwe have perf o\nmation both \nformed at h i\ny of the elec\nof Fig. 3(b), n waves co m\na total are a\nrofile of the \nthe fit of th e\nThe inset s\ndata were ob t\nad to be rec o\nof frequency \nhe antenna. \nof propagat i\nacted on ܮ߂ଶ\normed phas e\non the amp l\nigh external \nctro-optical m\nthe antenna\nmpensated f\na of 3 µm x\nspin wave a\ne experime n\nshows the e\ntained at μ0H\norded for \nrecorded \nThe BLS \ning SWs. \nଶଵ data in \ne resolved \nlitude but \nmagnetic \nmodulato r \ns allow a for decay \nx 20 µm. \nalong the \nntal data. \nexcitation \nH = 0.15 wide sp e\nbetween \ncoheren c\nexcitati o\nFor the s\nduration \nThe inf o\nreferenc e\nSW pha s\nthe spin \ndirectio n\ncorresp o\n2.3 µm-1\nFIG. 4.( a\ncoordina t\nmulti-mosignal at \nf\nwavegui d\nrepresen t\nextracte d \nµm wide \nectral excita t\n 6.56 and 6\ncy. Thus, p h\non spectrum. \nspatial phas e\nn of 160 µs a\normation on \ne signal. In \nse. From th e\nwaves can b\nn in the m i\nonding to th e\n1. Then the \na) Amplitu d\ntes at f = 6.\nde propaga t\nf = 6.58 G H\nde. The ins e\nt experimen t\ndfrom the F o\nYIG waveg u\ntion rangin g\n6.68 GHz ( b\nhase resolve d\n \ne map of the \nare applied t o\nthe phase i\nthis case, w e\nese phase se n\nbe extracte d\niddle of a \ne distance be t\nattenuation \nde profile o f\n58 GHz . Re\ntion in the \nHz. The two p\net shows th e\ntal dispersi o\nourier anal y\nuide for the f\ng from 6.4 t o\nblue shaded \nd measurem e\npropagating \no the anten n\nis obtained \ne observe a n\nnsitive BLS\nd directly. C o\nwaveguide \ntween two m\nlength can b\nf the spin w\ned plain lin e\nwaveguide.\npeaks corre s\ne transvers e\nn relations \nysis signal. L\nfirst two odd \no 6.7 GHz, w\narea) wher e\nents have b e\nspin waves \nna at a frequ e\nby modulat i\nn interferen c\nmeasureme n\nonsidering a \nshown in F\nmaxima equa l\nbe extracte d\nwaves com p\ne shows the \n(b) Fourie r\nspond to th e\ne amplitude \nusing phas e\nLines show t\ndmodes. Th e\nwe focused o\ne the phase \neen perform e\npresented in \nency of 6.6 2\ning the BL S\ne pattern th a\nnts, the wav\none-dimen s\nFig. 3(b), w\nls λ\tൌ\t2.7\tμ m\nd as follows\npensated fo r\nfit of the e x\nr transform\ne first and t h\nof these t w\ne resolved m\nthe theoreti c\ne data were o\nour study i n\nresolved sp e\ned for differ e\nFig. 3(a), m\n2 GHz with a\nS signal wit h\nat reproduce s\nelength and \nional scan a\nwe can de d\nm at 6.62 G H\nfrom the e x\nr decay alo n\nxperimental \nextracted f\nhe third tra n\nwo modes. (\nmeasuremen t\ncal dispersio n\nobtained at μ\nn the freque n\nectra posses\nent frequenc i\nmicrowave p u\na power of -\nh a fixed ti\ns the variati\nattenuation \nalong the pr o\nduce the w a\nHz associate d\nxponential s\nng the pro p\nl data consi d\nfrom the s p\nnsverse mod e\n(c) Square \nts for the t w\nn relations f\nμ0H = 0.15 T\nncy range \ns a good \nies of the \nulses with \n-20 dBm. \nme-delay \non of the \nlength of \nopagation \navelength \nd to a k = \nsinusoidal \npagation \ndering a \npin-wave \nes in the \nsymbols \nwo peaks \nfor a 2.5 \nT. \nwaveform [20]: \nܫൌ\t ܣൈe x p ሺെሺݔെݔ ሻܮ௧௧⁄ሻൈsinሺ2ߨ ሺݔെݔሻ⁄ߣሻ\t (2) \nUsing Eq. 2, we find that, at this frequency, the attenuation length is ܮ௧௧ൌ\t 1 3േ2 \t μ m and the signal \ncan be well fitted with a single oscillation period. \nFor other excitation frequencies, we observe si multaneous excitation of propagating SWs with \ndifferent wavelengths. Scanning the laser at the center of the waveguide as shown on Fig. 4(a) at f = \n6.58 GHz, we observe a more complex SW spectrum. From the Fourier analysis of the line scan \ndisplayed in Fig. 4(b), we observe mainly two peaks corresponding to two SW modes dominating the \nspectrum. Varying the excitation frequency allows us to experimentally reconstruct the dispersion \nrelation i.e. frequency vs k-vector, for each of these two main m odes. The results are plotted as red and \nblue symbols in Fig. 4(c). In order to index these two modes, the experimental dispersion relations are \ncompared to the theoretical expected ones de duced from Eq. 1. Taking only the mode index ݊ as a free \nparameter and keeping all the other ones identical , we identify that the higher-frequency branch \ncorresponds to \t݊ ൌ \t1 and the lower-frequency branch to \t݊ ൌ \t3 (continuous lines in Fig. 4(c)). The \nabsence of a 3rd mode at 6.62 GHz can be explained by an extinction in the Fourier transform of the \nantenna preventing any SWs to propagate at k ൎ 4 µm-1. We emphasize that the absence of mode 2 is \nexpected because of the uniformity of the microwave field along the y direction. Similar symmetry \nbased mode selection has already been repor ted for metallic ferromagnetic stripes [21]. The group \nvelocity is extracted by a linear fit of the experime ntal dispersion relation. The results are in agreement \nwith theory with a slightly larger group velocity for mode 3 than that of mode 1 ( 260േ6 m/s \nagainst\t232േ2 m/s). \nIn conclusion, we succeed to characterize spin -wave propagation in microfabricated high quality 20 \nnm thick, 2.5 µm wide YIG waveguides. Spin wa ves propagation parameters in a wide range of \nexcitation frequency for DE mode configuration ha ve been extracted. As expected from its low \ndamping, YIG allows the propagation of spin waves over large attenuation length (25 µm at μ0H = 45 \nmT and 13 µm for μ0H = 0.15 T) in excellent agreement with the theoretical expectations. Direct \nmapping of spin-waves by µ-BLS allows us to conf irm the multi-mode propagation in the waveguide, \nglimpsed by propagating spin-wave spectroscopy. The electrical detection of SWs in our devices is robust over large propagation distances, up to 70 µm , with a good coherency and amplitude of the \nsignal. The observed good compliance of the SWs with analytical models in such microfabricated YIG \nwaveguides despite the lithographically induced impe rfections opens the path to reliable design of \ncomplexes magnonics circuits such as logic circuit or microwave application using magnonics crystal. \nWe acknowledge E. Jacquet, R. Lebourgeois, R. Be rnard and A.H. Molpeceres for their contribution \nto sample growth, and O. d’Allivy Kelly for fruitf ul discussion. This research was partially supported \nby the Deutsche Forschungsgemeinsc haft and the program Megagrant № 14.Z50.31.0025 of the \nRussian Ministry of Education and Science. MC acknowledges DGA for financial support. OG thanks \nIdeX Unistra for doctoral funding. \n *abdelmadjid.anane@thalesgroup.com \nReferences \n1 V. V. Kruglyak, S.O. Demokritov, and D. Grundler, J. Phys. D. Appl. Phys. 43, 260301 (2010). \n2 A. V. Chumak, V.I. Vasyuchka, A.A. Serga, and B. Hillebrands, Nat. Phys. 11, 453 (2015). \n3 Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi, H. Umezawa, H. Kawai, K. \nAndo, Y.K. Takahashi, S. Maekawa, and E. Saitoh, Nature 464, 262 (2010). \n4 T. Schneider, A.A. Serga, B. Leven, B. Hillebr ands, R.L. Stamps, and M.P. Kostylev, Appl. Phys. \nLett. 92, 022505 (2008). \n5 A. Khitun, M. Bao, and K.L. Wang, J. Phys. D. Appl. Phys. 43, 264005 (2010). \n6 O. d’Allivy Kelly, A. Anane, R. Bernard, J. Be n Youssef, C. Hahn, A.H. Molpeceres, C. Carrétéro, \nE. Jacquet, C. Deranlot, P. Bortolotti, R. Lebourgeoi s, J.-C. Mage, G. de Loubens, O. Klein, V. Cros, \nand A. Fert, Appl. Phys. Lett. 103, 082408 (2013). \n7 Y. Sun, Y.Y. Song, H. Chang, M. Kabatek, M. Jantz, W. Schneider, M. Wu, H. Schultheiss, and A. \nHoffmann, Appl. Phys. Lett. 101, 1 (2012). \n8 C. Hauser, T. Richter, N. Homonnay, C. Eisensch midt, H. Deniz, D. Hesse, S. Ebbinghaus, G. \nSchmidt, and N. Weinberg, Sci. Rep. 6, 20827 (2016). \n9 V. Vlaminck and M. Bailleul, Phys. Rev. B 81, 014425 (2010). \n10 O. Gladii, M. Collet, K. Garcia-H ernandez, C. Cheng, S. Xavier, P. Bortolotti, P. Cros, Y. Henry, J.-\nV. Kim, A. Anane, and M. Bailleul, Appl. Phys. Lett. 108, 202407 (2016). \n11 H. Yu, O. Kelly, V. Cros, R. Bernard, P. Bortolotti, A. Anane, F. Brandl, R. Huber, I. Stasinopoulos, \nand D. Grundler, Sci Rep 4, 6848 (2014). \n12 V.E. Demidov and S.O. Demokritov, IEEE Trans. Magn. 51, 0800215 (2015). \n13 C.S. Chang, M. Kostylev, E. Ivanov, J. Ding, and A.O. Adeyeye, Appl. Phys. Lett. 104, 032408 \n(2014). \n14 B.A. Kalinikos and A.N. Slavin, J. Phys. C Solid State Phys. 19, 7013 (1986). \n15 S.O. Demokritov, B. Hillebrands, and A.N. Slavin, Phys. Rep. 348, 441 (2001). \n16 M. Evelt, V.E. Demidov, V. Bessonov, S.O. Demokr itov, J.L. Prieto, M. Muñoz, J. Ben Youssef, V. \nV. Naletov, G. de Loubens, O. Klein, M. Collet, K. Garcia-Hernandez, P. Bortolotti, V. Cros, and A. \nAnane, Appl. Phys. Lett. 108, 172406 (2016). \n17 V.E. Demidov, M. Evelt, V. Bessonov, S.O. Demokr itov, J.L. Prieto, M. Muñoz, J. Ben Youssef, V. \nV Naletov, G. de Loubens, O. Klein, M. Collet, P. Bortolotti, V. Cros, and A. Anane, Sci. Rep. 6, \n32781 (2016). \n18 A.A. Serga, T. Schneider, B. Hillebrands, S.O. Demokritov, and M.P. Kostylev, Appl. Phys. Lett. \n89, 063506 (2006). \n19 V.E. Demidov, S. Urazhdin, and S. O. Demokritov, Appl. Phys. Lett. 95, 262509 (2009). \n20 V.E. Demidov, M.P. Kostylev, K. Rott, J. Münchenberger, G. Reiss, and S.O. Demokritov, Appl. \nPhys. Lett. 99, 082507 (2011). 21 V. E. Demidov, S. O. Demokritov, K. Rott, P. Krzysteczko, and G. Reiss, Phys. Rev. B 77, 064406 \n(2008). \n " }, { "title": "1512.01410v1.Magnetic_field_dependence_of_the_magnon_spin_diffusion_length_in_the_magnetic_insulator_yttrium_iron_garnet.pdf", "content": "arXiv:1512.01410v1 [cond-mat.mes-hall] 4 Dec 2015Magnetic field dependence of the magnon spin diffusion length in the magnetic\ninsulator yttrium iron garnet\nL.J. Cornelissen∗and B.J. van Wees\nPhysics of Nanodevices, Zernike Institute for Advanced Mate rials,\nUniversity of Groningen, Nijenborgh 4, 9747 AG Groningen, T he Netherlands\nWe investigated the effect of an external magnetic field on the diffusive spin transport by magnons\nin the magnetic insulator Y 3Fe5O12(YIG), using a non-local magnon transport measurement ge-\nometry. We observed a decrease in magnon spin diffusion lengt hλmfor increasing field strengths,\nwhereλmis reduced from 9.6 ±1.2µm at 10 mT to 4.2 ±0.6µm at 3.5 T at room temperature. In\naddition, we find that there must be at least one additional tr ansport parameter that depends on\nthe external magnetic field. Our results do not allow us to una mbiguously determine whether this\nis the magnon equilibrium density or the magnon diffusion con stant. These results are significant\nfor experiments in the more conventional longitudinal spin Seebeck geometry, since the magnon\nspin diffusion length sets the length scale for the spin Seebe ck effect as well and is relevant for its\nunderstanding.\nPACS numbers: 72.25.Pn, 72.15.Gd, 75.47.Lx\nThe magneticinsulatoryttrium irongarnet(YIG) pro-\nvides an ideal platform for the study of spin waves1, due\nto its low magnetic damping2and the fact that no elec-\ntronic currents can flow in this material. It has been\nshown that spin waves in the GHz regime can be trans-\nported through YIG waveguides over large distances.3,4\nRecently, research efforts are also directed to the high-\nfrequency part of the spin wave spectrum, studying the\ndiffusive transport of quantized spin waves (magnons).\nThis has been largely motivated by the observation of\nthe spin Seebeck effect (SSE) in YIG by Uchida et al.\n[5], in which a magnon current is generated by apply-\ning a temperature gradient over the magnetic insulator.\nThis temperature gradient results in excitation and dif-\nfusion of thermal magnons, which can result in thermal\nspin pumping when the magnetic insulator is coupled to\na normal metal layer.6Very recently it has been shown\nthat these thermal magnons can also be excited electri-\ncally, and can transport spin through YIG. Their trans-\nport can be described diffusively, characterized by the\nmagnon spin diffusion length λm, the length scale over\nwhich the magnon spin current decays exponentially.7\nThe SSE in YIG has been studied extensively, both\ntheoretically6,8–10andexperimentally.11–18Recentexper-\nimentsshowthatthe voltageresultingfromthe SSEisre-\nduced upon increasing the external magnetic field.13,14,19\nAmechanisminwhichlow-frequencymagnonscontribute\nmore to the SSE than high-frequency ones has been pro-\nposed to explain these results. The magnetic field will\nopen a Zeeman gap in the magnon density of states, thus\n’freezing out’ the low-frequency magnons with energies\nbelow the gap. This could then cause the reduction in\nSSE signal.\nIn this paper we investigate the effect of the applied\nmagneticfield onthe diffusive transportofmagnonspins.\nWe employ a non-local measurement geometry in which\nwe measure the magnon spin signal as a function of dis-\ntance, which allows us to directly extract the magnon\nspin diffusion length for various magnetic field strengths.YIG \nGGG Ti/Au \nPt \nB IV+\n-\nα\nx y\nFIG. 1: (Color online) Schematic of our typical device geom-\netry. The platinum injector and detector strips are contact ed\nby Ti/Au leads, and current ( I) and voltage ( V) connections\nare indicated. The magnetic field is rotated in the xy-plane\n(the plane of the sample surface), making an angle αwith the\nnegative y-axis.\nThe main advantage of this method is that the locations\nof both magnon injection and detection are well deter-\nmined, due to the localized magnon injection and detec-\ntion resulting from the exchange interaction between a\nspin accumulation in the platinum injector/detector and\nmagnons in the YIG. This means that the distance over\nwhich the magnon spin current diffuses is known pre-\ncisely. Our results clearly indicate that the magnon spin\ndiffusion length decreases for increasing magnetic field\nstrength, causing a strong reduction of the magnon spin\nsignal.\nThe measurement geometry is shown schematically in\nFig.1and is equivalent to the non-local geometry we\ndeveloped in Ref. [7]. The platinum injector and de-\ntector are placed a distance dapart. We measured two\nseries of samples, series A and series B, tailored to per-\nform measurements in the short ( d∼0.2−5µm) and\nlong (d∼2.5−30µm) separation distance regime, re-2\na b\nR1ω\nnl \nR2ω\nnl d = 2.5 µm d = 2.5 µm\nFIG. 2: (Color online) Non-local signal as a function of angl eα, for an injector-detector separation distance d= 2.5µm and for\nvarious external magnetic field strengths. (a) First harmon ic signal. The solid lines are sin2αfits through the data. (b) Second\nharmonic signal. The solid lines are sin αfits through the data. The decrease in signal amplitude for in creasing magnetic field\nstrength is clearly visible for both first and second harmoni c signals. The amplitudes of the non-local signals, R1ω\nnlandR2ω\nnl,\nare indicated in figure (a) and (b) respectively, for B= 7 T. These measurements were performed using Irms= 110µA at a\nlock-in frequency of f= 10.447 Hz.\nspectively. Our samples consist of a (111) single crys-\ntal Y3Fe5O12film with a thickness of 200 nm (series A)\nor 210 nm (series B) grown on a 500 µm thick (111)\nGd3Ga5O12substrate by liquid-phase epitaxy. The YIG\nsamples were providedby the Universit´ ede BretagneOc-\ncidentale in Brest, France (series A) and obtained com-\nmercially from Matesy GmbH (series B). We define the\nplatinum injector and detector strips on top of the YIG\nfilm using three steps of electron beam lithography. The\nfirst step results in a pattern of Ti/Au markers, used to\nalign the following steps. In the second step, we define\nthe platinum injector and detector, which are deposited\nby DC sputteringin anAr+plasma. The platinum thick-\nness is approximately 13 .5 and 7 nm, for series A and B\nrespectively. In the final step we define Ti/Au (5/75 nm)\ncontacts and bonding pads using electron beam evapora-\ntion. Priortothetitanium evaporation,argonionmilling\nwas performed to removepolymer residues from the plat-\ninum strips. The platinum injector and detector dimen-\nsions are wA= 100−150 nm, wB= 300 nm, LA= 12.5\nµm andLB= 100µm, where wandLdenote strip width\nand length, respectively.\nWe perform a non-local measurement by applying a\ncurrentI(typically Irms= 200µA) to the injector. Due\nto the spin Hall effect (SHE), this generates a spin cur-\nrent towards the YIG, resulting in a spin accumulation\nat the YIG |Pt interface. Depending on the orientation\nof the spin accumulation with respect to the YIG mag-\nnetization, magnons will be generated in the YIG. These\nmagnons will diffuse to the detector, where they are ab-\nsorbed and generate a spin current into the YIG, which\nby virtue of the inverse spin Hall effect will be converted\nto a charge voltage V(in an open circuit geometry), asshown in Refs. [7,20]. The non-local resistance is now de-\nfined asRnω=V/Inand is a measure for the magnitude\nof the magnon spin current between injector and detec-\ntor. Using a lock-in detection technique17, we are able to\nseparately detect the first harmonic ( n= 1) and second\nharmonic ( n= 2) response of the sample to our excita-\ntion frequency ω= 2πf, allowing us to separately probe\nthe physics of magnons that are excited electrically7,20\nand thermally7,11, respectively.\nWe then rotate the sample in an external field, thereby\nvarying the angle between the YIG magnetization and\nthe spin accumulation in the injector. When α= 0, the\nmagnetization is parallel to the charge current in the in-\njector, hence perpendicular to the spin accumulation and\nno magnons are excited or detected. When α=±90 de-\ngrees, spin accumulation and magnetization are collinear\nand the magnon generation and detection efficiency is\nmaximal. The magnitude of the external field is varied,\nranging from 10 mT to 7 T. A typical measurement re-\nsult (for d= 2.5µm) is shown in Fig. 2, for both the\nfirst harmonic (Fig. 2a) and second harmonic (Fig. 2b)\nresponse.\nFor electrically excited magnons, both injection effi-\nciencyηinjand detection efficiency ηdetdepend on the\nangleαasηinj,ηdet∝sinα. Since the total signal is\nthen proportional to the product of ηinjandηdet, this re-\nsults in a total angular dependence of R1ω=R1ω\nnlsin2α,\nwhereR1ω\nnlis the amplitude of the first harmonic signal7\n(indicated in Fig. 2a). The second harmonic signal how-\never relies on the magnon spin current generated by the\nspin Seebeck effect in the YIG, due to the temperature\ngradient arising from Joule heating in the injector. Since\nJoule heating is independent of α, the only angular de-3\npendence for the second harmonic non-local signal comes\nfrom the magnon detection efficiency ηdet, resulting in\nR2ω=R2ω\nnlsinα, whereR2ω\nnlis the amplitude of the sec-\nond harmonic signal7(indicated in Fig. 2b). From Fig. 2\nwecanclearlyseethatboththefirstandsecondharmonic\nsignals decrease for increasing external field strengths.\nIn order to investigate the dependence of non-local sig-\nnals on the magnetic field, we performed a series of non-\nlocal measurements as a function of field strength for\nvarious injector-detector separation distances. The re-\nsults are shown in Fig. 3, presenting the data for the first\nharmonic signal on the left (Fig. 3a) and the second har-\nmonic signal on the right (Fig. 3b). The distances that\nwe measured are 200 nm, 1 µm, 2.5µm, 5µm, 15µm,\n20µm and 30 µm. The devices with d= 200 nm and\nd= 1µm are in sample series A, the other distances in\nseries B.\nFor both first and second harmonic results, it can be\nseen that the signal at larger distances is suppressed\nmuch more strongly by the external field than at shorter\ndistances. In particular, for the first harmonic response,\natd= 30µm the signal is reduced to ≈0 for a field of\n1 T, whereas for d= 200 nm there is virtually no signal\nreduction up to approximately 1.5 T. For the intermedi-\nate distance d= 2.5µm the signal is suppressed for a\nfield of 1 T, but only by 24% (compared to the signal\nat 10 mT). These observations clearly indicate that the\nmechanism leading to signal suppression must lie in the\nmagnon transport ratherthan in the generation or detec-\ntion of magnons: A reduction in ηinjorηdetwould lead\nto the same signal suppression at all distances.\nAs we derived in Ref. [7], the non-local resistance as a\nfunction of injector-detector separation distance is given\nby\nRnl=C\nλmexp(d/λm)\n1−exp(2d/λm), (1)\nwheredis the distance between injectorand detector and\nCis a distance independent pre-factor that depends for\ninstance on the effective spin mixing conductance of the\nPt|YIG interface and the magnon diffusion constant Dm.\nFurthermore, λm=√Dmτis the magnon spin diffusion\nlength, where τisthemagnonspinrelaxationtime. From\nEq. (1) it becomes apparent that for d > λ ma slight\nreduction of λmcan cause a large drop in Rnl, while as\nlong asd≪λmthe non-local resistance is (in first order\napproximation) equal to −C/(2d) and hence the signal\nwill not be influenced by a change in λm. The behaviour\nobserved in the data shown in Fig. 3can therefore be\nexplained by assuming that λmis not a constant, yet is\nreduced under the influence of the external field.\nIn order to assess the field dependency of λm, we plot\nthe data presented in Fig. 3as a function of distance,\nfor various magnetic field strengths. This allows us to\nextractλmat each field value, by fitting the distance\ndependent data to Eq. ( 1). The results of this proce-\ndure are shown in Fig. 4a and b for the first and second\nharmonic signals, respectively. The solid lines are fitsFirst harmonic Second harmonica b\nd = 200 nm d = 200 nm\nd = 1 μm d = 1 μm\nd = 2.5 μm d = 2.5 μm\nd = 5 μm d = 5 μm\nd = 15 μm d = 15 μm\nd = 20 μm d = 20 μm\nd = 30 μm d = 30 μm\nFIG. 3: (Color online) Magnitude of the first (a) and second\n(b) harmonic non-local signals (normalized to device lengt h)\nas a function of magnetic field, for injector-detector separ a-\ntion distances d= 200 nm to d= 30µm. In each plot, the\nred squares mark the amplitude of the signal, extracted from\nan angle-dependent measurement as shown in Fig. 2. The\nerrorbars represent the standard error in the fit to extract\nthe amplitude. All measurements were performed at an ex-\ncitation current of Irms= 200µA with frequency f= 10.447\nHz.4\na b\nFIG. 4: (Color online) Data presented in Fig. 3, plotted as a f unction of distance for the first (a) and second (b) harmonic\nnon-local signals (normalized to device length), for magne tic field strengths of B= 0.01 T to B= 6.0 T. In each plot, the\nsymbols mark the amplitude of the signal, extracted from an a ngle-dependent measurement as shown in Fig. 2. The errorbar s\nrepresent the standard error in the fit to extract the amplitu de. The solid lines are fits of Eq. 1 through the data. The shade d\nregions on the bottom of the graphs indicate the noise floor of the setup for our measurement settings.\nthrough the data to Eq. ( 1), which are performed with\nweightswi∝1/y2\ni, where yiis the amplitude of data\npointi, thus giving more weight to data points at large\ndistances (which have a smaller amplitude but contain\nmore information about λmcompared to the points at\nshort distances). It can be seen from the figure that\nfor both the first and second harmonic, the slope of the\nfit (in the region d >5µm) changes for increasing field\nstrength,indicatingadecreaseof λm. Theshadedregions\nin the plots represent the noise floor in our measurement\nsetup, which is approximately 4 nV rms. We perform a fit\nof Eq. (1) to the data up to B= 3.5 T since the signal\nhas dropped below the noise floor at that field value for\ndistances d≥15µm, which leaves us with insufficient\ndata points to unambiguously extract λmfor larger field\nvalues. The same procedure is used for the second har-\nmonic data presented in Fig. 4b, where in this case we\ncan perform the fits up to B= 6.0 T due to the larger\nsignal-to-noise ratio for the second harmonic signal.\nFrom the fits shown in Fig. 4we find the magnon spin\ndiffusion length as a function of field, λm(B), which we\nplotted in Fig. 5a. In this figure, both the spin diffu-\nsion length extracted from the first harmonic and second\nharmonic signals ( λ1ωandλ2ω, respectively) are shown.\nFor fields up to B= 0.7 T, the spin diffusion lengths\nextracted from the first and second harmonic signals are\nequal within the measurement uncertainty. For larger\nfields however, λ1ωsaturates to a smaller value than λ2ω.\nThis corresponds to the smaller change in slope of the\nfits when comparing the distance dependence of the sec-\nond harmonic signal in Fig. 4b to that of the first har-\nmonic in Fig. 4a. This is due to the fact that while the\nfirst harmonic signal truly drops to zero for large fields\n(see Fig. 3a ford≥15µm), the second harmonic signalsaturates at a finite value even for very large field and\ndistance (see Fig. 3b, for instance d= 30µm). This\nfinite saturation value might be due to a local heating\neffect: While at large fields the magnons generated near\nthe injector cannot reach the detector any more due to\nthe short spin diffusion length, a small temperature gra-\ndient (resulting from injector Joule heating) could very\nwell still be present near the detector. This temperature\ngradient would then give rise to a spin Seebeck voltage\nas it generates magnons locally, meaning that no spin\ninformation, but only heat, is transported from injector\nto detector. This theory could be tested quantitatively\nby performing detailed finite element modeling of our de-\nvices, which would be interesting but is beyond the scope\nof this current paper.\nAnother indication that the situation for the thermally\nexcitedmagnonsismorecomplicatedcomesfromthefact\nthat ford= 1µm the second harmonic signal slightly in-\ncreases up to a field of 2 .5 T, rather than immediately\ndecreasing as is observed for all other distances. We do\nnot have an explanation for this behaviour at this mo-\nment.\nFinally, from the data for d= 200 nm it is clear that\nthe non-local signal is reduced for largefields, despite the\nfact that d≪λmwhich should imply that Rnlis inde-\npendent of λm. Specifically, a reduction of λmfrom 9.5\nµm to 4µm, as shown in Fig. 5a, should result in a signal\nreduction of only 0 .03% atd= 200 nm. The observed\nsignal reduction for this distance is 3% (from B= 10\nmT to 3 .5 T), which is thus too large to be explained\nonly by a reduction of λm. This can be understood by\nrealizing that the pre-factor Cis also reduced under the\ninfluence ofthe magneticfield, asshowninFig. 5b. Com-\nparing the situation for a magnetic field of 10 mT and5\na b\nFIG. 5: (Color online) (a) Magnon spin diffusion length λmas a function of external magnetic field, extracted from a fit o f\nEq. 1 to the distance dependence of the first harmonic (red cir cles) and second harmonic (blue squares) signals. (b) Pre-f actor\nCas a function of external magnetic field, extracted from the fi rst (red circles, left axis) and second (blue squares, right axis)\nharmonic signals.\n3.5 T, both λ1ω\nmandC1ωare reduced by a factor of 0.44.\nSinceC1ωdepends linearlyon Dm, wemight assumethat\nthe reduction of C1ωcan be explained by a reduction of\nDmby this same factor. However, since we have that\nλm=√Dmτ, this means that τalso has to decrease as a\nfunction of the magnetic field in order to explain the ob-\nserved change in λm. However, the equilibrium magnon\ndensitynalso influences C, so the effect we observed\nmight also be explained by a reduction of nas proposed\nin Refs. [13,14].\nSummarizing, we have investigated the influence of\nan external magnetic field on the diffusive transport of\nmagnon spins in YIG. The most important effect that we\nfound is that the magnon spin diffusion length reduces as\na function of field, decreasing from λm= 9.6±1.2µm\nat 10 mT to λm= 4.2±0.6µm at 3.5 T at room tem-\nperature. For field values higher than 3.5 T, we cannot\nextractλmreliably since the signals at long distances\ndrop below the noise floor for those fields. We also found\nthat for thermally generated magnons, λmappears to\nsaturate at a higher value than for electrically generated\nones. We postulate that this might be due to the pres-\nence of a small but finite local contribution to the SSE atthe detector, arising from diffusion of the heat generated\nat the injector. This implies that for large fields, we can\nno longer rely on the second harmonic signal to extract\nλm. Furthermore, we showed that the observed signal\nreduction cannot be explained solely by the suppression\nofλm, but requires an additional transport parameter\nto be field-dependent. From the data presented here we\ncannotidentifywhetherthisparameteristhemagnondif-\nfusion constant Dmor the equilibrium magnon density\nn. However, it is clear that the observed magnetic field\ndependence of the magnon spin diffusion length needs to\nbe takeninto accountin the analysisofthe magneticfield\ndependence of the spin Seebeck effect.\nTheauthorswouldliketoacknowledgeH.M.deRoosz,\nJ.G. Holstein, H. Adema and T.J. Schouten for technical\nassistance,J.BenYoussefforprovidingtheYIGfilmused\nin the fabrication of sample series A and R.A. Duine for\ndiscussions. This work is part of the research program\nof the Foundation for Fundamental Research on Matter\n(FOM) and supported by NanoLab NL, EU FP7 ICT\nGrant No. 612759 InSpin and the Zernike Institute for\nAdvanced Materials.\n∗Electronic address: l.j.cornelissen@rug.nl\n1A. A. Serga, A. V. Chumak, and B. Hillebrands, Journal\nof Physics D: Applied Physics 43, 264002 (2010).\n2V. V. Kruglyak, S. O. Demokritov, and D. Grundler, Jour-\nnal of Physics D: Applied Physics 43, 264001 (2010).\n3A. V. Chumak, A. A. Serga, M. B. Jungfleisch, R. Neb,\nD. A. Bozhko, V. S. Tiberkevich, and B. Hillebrands, Ap-\nplied Physics Letters 100, 082405 (2012).\n4A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands, Nature Physics 11, 453 (2015).\n5K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi,J. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai,\net al., Nature materials 9, 894 (2010).\n6J. Xiao, G. E. W. Bauer, K.-i. Uchida, E. Saitoh, and\nS. Maekawa, Physical Review B 81, 214418 (2010).\n7L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and\nB. J. van Wees, Nature Physics 11, 1022 (2015).\n8H. Adachi, K.-i. Uchida, E. Saitoh, and S. Maekawa, Re-\nports on Progress in Physics 76, 36501 (2013).\n9S. Hoffman, K. Sato, and Y.Tserkovnyak, Physical Review\nB88, 064408 (2013).\n10S. M. Rezende, R. L. Rodr´ ıguez-Su´ arez, R. O. Cunha,6\nA. R. Rodrigues, F. L. A. Machado, G. A. Fonseca Guerra,\nJ. C. Lopez Ortiz, and A. Azevedo, Physical Review B 89,\n014416 (2014).\n11B. L. Giles, Z. Yang, J. Jamison, and R. C. Myers (2015),\narXiv:1504.02808.\n12A. Kehlberger, U. Ritzmann, D. Hinzke, E.-J. Guo,\nJ. Cramer, G. Jakob, M. C. Onbasli, D. H. Kim, C. A.\nRoss, M. B. Jungfleisch, et al., Physical Review Letters\n115, 096602 (2015).\n13H. Jin, S. R. Boona, Z. Yang, R. C. Myers, and\nJ. P. Heremans, Physical Review B 92, 054436 (2015),\narXiv:1504.00895.\n14T. Kikkawa, K.-i. Uchida, S. Daimon, Z. Qiu, Y. Shiomi,\nand E. Saitoh, Physical Review B 92, 064413 (2015).\n15A. Kirihara, K.-i. Uchida, Y. Kajiwara, M. Ishida,\nY. Nakamura, T. Manako, E. Saitoh, and S. Yorozu, Na-ture materials 11, 686 (2012).\n16M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein, Physical Re-\nview B88, 094410 (2013).\n17N. Vlietstra, J. Shan, B. J. van Wees, M. Isasa,\nF. Casanova, and J. Ben Youssef, Physical Review B 90,\n174436 (2014).\n18M. Schreier, N. Roschewsky, E. Dobler, S. Meyer,\nH. Huebl, R. Gross, and S. T. B. Goennenwein, Applied\nPhysics Letters 103, 242404 (2013), arXiv:1309.6901v1.\n19U. Ritzmann, D. Hinzke, A. Kehlberger, E.-J. Guo,\nM. Kl¨ aui, and U. Nowak (2015), arxiv:1506.05290.\n20S. T. B. Goennenwein, R. Schlitz, M. Pernpeintner,\nK. Ganzhorn, M. Althammer, R. Gross, and H. Huebl,\nApplied Physics Letters 107, 172405 (2015)." }, { "title": "1805.11575v1.Efficient_injection_and_detection_of_out_of_plane_spins_via_the_anomalous_spin_Hall_effect_in_permalloy_nanowires.pdf", "content": "E\u000ecient injection and detection of out-of-plane\nspins via the anomalous spin Hall e\u000bect in\npermalloy nanowires\nK. S. Das,\u0003,yJ. Liu,yB. J. van Wees,\u0003,yand I. J. Vera-Marun\u0003,z\nyPhysics of Nanodevices, Zernike Institute for Advanced Materials, University of\nGroningen, Nijenborgh 4, 9747 AG Groningen, The Netherlands\nzSchool of Physics and Astronomy, University of Manchester, Manchester M13 9PL,\nUnited Kingdom\nE-mail: k.s.das@rug.nl; b.j.van.wees@rug.nl; ivan.veramarun@manchester.ac.uk\n1arXiv:1805.11575v1 [cond-mat.mes-hall] 29 May 2018Abstract\nWe report a novel mechanism for the electrical injection and detection of out-of-\nplane spin accumulation via the anomalous spin Hall e\u000bect (ASHE), where the direction\nof the spin accumulation can be controlled by manipulating the magnetization of the\nferromagnet. This mechanism is distinct from the spin Hall e\u000bect (SHE), where the spin\naccumulation is created along a \fxed direction parallel to an interface. We demonstrate\nthis unique property of the ASHE in nanowires made of permalloy (Py), to inject and\ndetect out-of-plane spin accumulation in a magnetic insulator, yttrium iron garnet\n(YIG). We show that the e\u000eciency for the injection/detection of out-of-plane spins\ncan be up to 50% of that of in-plane spins. We further report the possibility to detect\nspin currents parallel to the Py/YIG interface for spins fully oriented in the out-of-\nplane direction, resulting in a sign reversal of the non-local magnon spin signal. The\nnew mechanisms that we have demonstrated are highly relevant for spin torque devices\nand applications.\nKeywords\nAnomalous spin Hall e\u000bect, permalloy, yttrium iron garnet, out-of-plane spins, transverse\nspin current, electrical spin injection and detection, magnon spintronics, spin torque devices\n2Electrical injection and detection of spin currents plays an essential role for the techno-\nlogical implementation of spintronics. The conventional way of electrical spin injection is\nby driving a spin-polarized current from a ferromagnet into a normal metal.1This method,\nhowever, is limited in the scalability and direction of the injected spin current, which is\nparallel to the charge current, and has motivated the study of alternative methods based\non the spin Hall e\u000bect (SHE) present in heavy non-magnetic metals.2,3The SHE generates\na spin current perpendicular to a charge current, which is particularly signi\fcant for spin\ntorque applications4{8and for spin injection into magnetic insulators.9{11\nHowever, the spin direction of the spin accumulation generated via the SHE is \fxed,\nparallel to the interface, depending only on the direction of the charge current through\nthe heavy non-magnetic metal [Fig. 1(a)]. Alternatively, the anomalous Hall e\u000bect12in\nferromagnetic metals can be used as a tunable source of transverse spin current, as has\nbeen theoretically predicted13{15and recently demonstrated experimentally.16{19We call this\nphenomenon the anomalous spin Hall e\u000bect (ASHE), which generates a spin accumulation\noriented parallel to the ferromagnet's magnetization [Fig. 1(b) - 1(d)]. In principle, the ASHE\nprovides a novel way of electrically injecting and detecting a spin accumulation with out-of-\nplane components, which can be controlled by manipulating the ferromagnet's magnetization.\nHere, we experimentally demonstrate the versatility of the ASHE for electrically inject-\ning and detecting spin accumulation oriented in arbitrary directions, parallel to the ferro-\nmagnet's magnetization, in a proof-of-concept device geometry. We utilize the ASHE in a\nnanowire made of a ferromagnetic metal, permalloy (Ni 80Fe20, Py), to inject a magnon spin\naccumulation in a magnetic insulator, yttrium iron garnet (Y 3Fe5O12, YIG). The injected\nmagnon spins are electrically detected at a second Py nanowire. This non-local geometry,\nshown in Fig. 2(a), and the insulating property of the YIG \flm ensure that we exclusively\naddress spin-dependent e\u000bects, free from magnetoresistance due to the magnetization of the\nPy nanowire ( MPy). Moreover, the YIG \flm serves as a selector of the spin components from\nthe Py injector, since only the spin component parallel to the YIG magnetization ( MYIG)\n3will result in the generation of magnon spin accumulation in the YIG \flm.9We apply an\nexternal magnetic \feld ( B) at di\u000berent out-of-plane angles for the distinct manipulation of\nthe magnetizations MPyandMYIG. Therefore, we control both the direction of the injected\nand detected spin accumulation generated by the ASHE (parallel to MPy), and the e\u000e-\nciency of the magnon injection and detection process (via the projection of MPyonMYIG).\nFurthermore, we detect a \fnite non-local signal with a negative sign when both MPyand\nMYIGare oriented fully perpendicular to the sample ( xy) plane. We attribute this to a\nsecond mechanism of generation and detection of horizontal spin currents, parallel to the\nPy/YIG interface. The e\u000eciency of this injection/detection mechanism is maximum when\nthe spins are fully oriented in the out-of-plane direction. Besides its possible use for magnon\ntransistor and magnon-based logic operations,20{22this model system is also highly relevant\nfor spin torque applications.4{8\nThe devices were patterned using electron beam lithography on a 210 nm thick YIG\n\flm, grown on a GGG (Gd 3Ga5O12) substrate by liquid-phase epitaxy. A scanning electron\nmicroscope (SEM) image of a representative device is shown in Fig. 2(b). The devices\nconsist of two Py nanowires (left and middle) and one Pt nanowire (right) with thicknesses\nof 9 nm (Py) and 7 nm (Pt), respectively. The Py and the Pt nanowires were deposited\nby d.c. sputtering in Ar+plasma. Electron beam evaporation was used to deposit the\nTi/Au leads and bonding pads following the \fnal lithography step. The middle Py nanowire\nis used as the spin injector, while the outer Py and Pt nanowires are used as detectors.\nThe width of the middle Py injector is 200 nm and that of the outer Py and Pt detectors\nis 400 nm. The edge-to-edge distance between the injector and the detectors is 500 nm.\nThe electrical connections are also depicted in Fig. 2(b). An alternating current ( I), with\nan rms amplitude of 310 \u0016A and frequency of 5.5 Hz, is sourced through the middle Py\ninjector. The non-local voltages across the left Py detector ( VPy) and the right Pt detector\n(VPt) are simultaneously recorded by a phase-sensitive lock-in detection technique. The \frst\nharmonic response (1 f) of the non-local voltage corresponds to the linear-regime electrical\n4spin injection and detection via the (A)SHE and their reciprocal processes. The second\nharmonic (2 f) response, driven by Joule heating at the injector and proportional to I2,\ncorresponds to the thermally generated magnons near the injector via the spin Seebeck e\u000bect\n(SSE)9,23which travel to the detector. At the Py detector, a lateral temperature gradient\nalong thex-axis also contributes to an electrical signal via the anomalous Nernst e\u000bect\n(ANE).24,25The non-local voltage [ V1(2)f] measured across the detectors has been normalized\nby the injection current ( I) for the \frst harmonic response ( R1f\nNL=V1f=I) and byI2for the\nsecond harmonic response ( R2f\nNL=V2f=I2). The experiments have been conducted in a low\nvacuum atmosphere at 293 K.\nTo explore the injection/detection of out-of-plane spins, we performed magnetic \feld ( B)\nsweeps within the xz-plane, at di\u000berent angles \u001ewith respect to the x-axis [see Fig. 2(a)].\nThe \frst harmonic responses ( R1f\nNL) measured by the Py and the Pt detectors are plotted as\na function of Bin Figs. 2(c) and 2(d), respectively. R1f\nNLcomprises of magnon spin injection\nand detection due to two di\u000berent mechanisms: (i) SHE (independent of MPy) and (ii)\nASHE (maximum contribution when MPyis perpendicular to I).16The SHE results in a\nconstant spin accumulation oriented along the x-axis at the bottom interface of the injector,\nwhich leads to a maximum magnon spin injection when MYIGis also oriented parallel to\nthex-axis. Since the YIG \flm has a small in-plane coercivity of less than 1 mT, MYIGwill\nbe oriented along the x-axis at low magnetic \felds. This gives rise to a signal of 0.35 m\n at\nthe Py detector [Fig. 2(c)] and 1.30 m\n at the Pt detector [Fig. 2(d)] for B\u00180. At such\nlow \felds MPyis oriented along the Py nanowire ( y-axis) due to shape anisotropy, thus only\nthe SHE contributes to the magnon injection and detection processes. The ASHE starts to\ncontribute when MPyhas a component oriented perpendicular to I, and becomes maximum\nwhenMPyis parallel to the x-axis [see Fig. 1(b)]. Therefore, the maximum non-local signal\nis attained for \u001e= 0owhenB > 50 mT, corresponding to MPyoriented along the x-axis.16\nAs the angle \u001eis increased, the z-components of MPy(Mz\nPy) andMYIG(Mz\nYIG) increase,\nwhile thex-components ( Mx\nPyandMx\nYIG) decrease. The schematic shown in Fig. 1(c) depicts\n5the case when MPyis oriented at an angle \u0012with respect to the positive x-axis, such that\n0\u000e<\u0012< 90\u000e. The contribution of the out-of-plane spin component to the spin accumulation\nat the bottom interface is given by sin \u0012cos\u0012and reaches a maximum of 50% when \u0012= 45\u000e,\ncompared to that of the in-plane spin component (given by cos2\u0012) when\u0012= 0\u000e. When MPy\nis oriented fully perpendicular to the bottom interface [Fig. 1(d)], spin accumulation with\nonly out-of-plane components are created at the left and right edges of the Py nanowire. In\nthis case, the spin injection and detection e\u000eciency through the bottom interface is expected\nto be zero.\nHowever, when Bis applied almost perpendicular to the plane of the sample ( \u001e= 89o) the\n\frst harmonic response R1f\nNLmeasured by the Py detector changes sign and becomes negative.\nThis result cannot be explained within the standard framework of (SHE driven) transport\ndominated by in-plane spins, where a vanishing signal is expected.9{11We therefore argue\nthat such a negative signal can only be understood by the injection/detection mechanism of\nspin currents parallel to the x-axis via the ASHE, the e\u000eciency of which is maximized for\nspins oriented fully along the z-axis [see Fig. 1(d)]. This is consistent with R1f\nNLmeasured by\nthe Pt detector, which is zero, as expected from the lack of the ASHE detection in the Pt\nnanowire. Thus, a spin accumulation with an exclusively out-of-plane component can only\nbe injected and detected via the ASHE and, in our sample geometry, results in a distinct\nnegative polarity of the non-local signal.\nFurther understanding is achieved by studying the second harmonic response measured\nby the Py and Pt detectors, shown in Figs. 3(a) and 3(b), respectively. The temperature\ngradient generated due to Joule heating at the injector drives the spin Seebeck e\u000bect (SSE),\nand the generated magnons are detected by the Pt nanowire via the inverse spin Hall e\u000bect\n(ISHE) and by the Py detector as a combination of the ISHE and the inverse ASHE. In\naddition to these spin detection processes, at the Py detector the ANE also contributes to\nR2f\nNL. Starting with the case \u001e= 0o, when MPyis oriented along the x-axis, only the SSE\ncontributes to R2f\nNLmeasured by the Py detector, with a negligible ANE contribution due\n6to the small temperature gradient along the z-axis within the Py detector. However, when\n\u001e6= 0oand thez-component of MPyincreases, the ANE starts to dominate and is maximized\nfor\u001e= 90o, whereas the contribution due to the SSE goes down as the x-component of MYIG\ndecreases. We therefore consider ANE /Mz\nPyand SSE/Mx\nYIG, and employ the Stoner-\nWohlfarth model26to extract from R2f\nNLthe magnetization behaviour of the Py nanowire\nand the YIG \flm (see Supporting Information). The extracted Mz\nPyandMz\nYIGare plotted\nas a function of Bfor di\u000berent angles \u001ein Figs. 3(c) and 3(d), respectively.\nWe use the extracted magnetization behaviour of the Py nanowires and the YIG \flm to\nmodel the \frst harmonic response via the following expressions,\nR1f\nNL(Py) = [aMx\nYIG+bMx\nPy(MYIG\u0001MPy)]2\u0000[\u0011bMz\nPy(MYIG\u0001MPy)]2; (1)\nR1f\nNL(Pt) =cMx\nYIG[aMx\nYIG+bMx\nPy(MYIG\u0001MPy)]; (2)\nwhere, ( MYIG\u0001MPy) = (Mx\nYIGMx\nPy+My\nYIGMy\nPy+Mz\nYIGMz\nPy), with MYIGandMPy\nbeing unitary vectors. The coe\u000ecients a,bandccan be expressed as a/GPy\u0012Py\nSH\u0015Py\ntPy\u001bPy,b/\nGPy\u0012Py\nASH\u0015Py\ntPy\u001bPyandc/GPt\u0012Pt\nSH\u0015Pt\ntPt\u001bPt.16Here,GPy(Pt) ,\u0012Py(Pt)\nSH ,\u0015Py(Pt) ,tPy(Pt) and\u001bPy(Pt) represent\nthe e\u000bective spin mixing conductance for the Py(Pt)/YIG interface, the spin Hall angle, the\nspin relaxation length, the thickness and the charge conductivity of the Py (Pt) nanowire,\nrespectively. \u0012Py\nASHis the anomalous spin Hall angle of Py. For the simulations, we use\na= 0:58 (m\n)1=2,b= 0:72 (m\n)1=2andc= 2:37 (m\n)1=2, which are extracted by \ftting\nthe experimental data at \u001e= 0o, and are in close agreement with our previously reported\nvalues.16\nThe \frst part of Eq. 1 within the square brackets accounts for the spin current directed\nperpendicular to the Py/YIG interface, as depicted in Fig. 4(a). The term with the coe\u000ecient\nais related to the (constant) spin accumulation along the x-axis due to the SHE in Py, which\nis independent of MPy. This term only depends on Mx\nYIGsince the generation of magnons is\n7proportional to the projection of MYIGon the spin accumulation direction. The term with\nthe coe\u000ecient bis related to the ASHE in Py, which is maximized when MPyis parallel\nto thex-axis. The ASHE generates a spin accumulation parallel to MPy, thus the magnon\ngeneration is also proportional to the projection of MPyonMYIG. This term includes both\nthe in-plane and the out-of-plane components of the spin accumulation. Since the injection\nand detection processes are reciprocal, the term within the square brackets is squared.\nThe second part of Eq. 1, within the square brackets and preceded by a negative sign,\naccounts for the spin current parallel to the Py/YIG interface, as depicted in Fig. 4(b).\nThe contribution of this part to the magnon injection and detection processes is maximum\nfor out-of-plane spins. It is clear from the symmetry of the ASHE and our measurement\ngeometry that the detection of such in-plane spin currents, with spins oriented in the out-\nof-plane direction, will result in a negative non-local signal measured by the Py detector\n[Figs. 4(a) and 4(b)]. Moreover, the parameter \u0011tells us the e\u000eciency of detecting spin\ncurrents parallel to the interface for out-of-plane spins as compared to that of detecting spin\ncurrents perpendicular to the interface for in-plane spins. By \ftting the experimental data,\nwe obtain\u0011= 61%. Note that the detection of the spin current parallel to the interface is\nachieved exclusively via the ASHE. This is evident in the lack of a negative signal while using\nthe Pt nanowire as a detector, where the only detection mechanism is via the ISHE. Thus\nthe Pt nanowire is only sensitive to the spin current perpendicular to the Pt/YIG interface\nfor in-plane spins. Eq. 2 describes the spin injection by the Py injector (following Eq. 1) and\nthe detection via the ISHE in the Pt nanowire.\nThe simulated curves, following Eq. 1 and Eq. 2, are shown as solid black lines in Figs. 2(c)\nand 2(d), respectively. This modelling for all tilt angles ( \u001e) employs the same values for\nthe parameters a,bandcas those extracted from the in-plane measurements at \u001e= 0\u000e.\nThe satisfactory agreement with the experimental data, both in terms of magnitude and\nlineshape, demonstrates that our model captures the dominant physics of the out-of-plane\nspin injection and detection processes. To achieve further insight, we separate the modelled\n8contributions of the spin current perpendicular to the interface and the spin current parallel\nto the interface to the non-local signal at the Py detector, following Eq. 1. The results, shown\nin Figs. 4(c) and 4(d), present in an explicit manner the contribution of the two di\u000berent\nmechanisms of detecting the spin current oriented along the z-axis and that along the x-axis,\nrespectively, with increasing \u001e.\nNote that, although we understand the di\u000berent symmetries of the injection/detection\nmechanisms depicted in Figs. 4(a) and 4(b), we do not fully understand why these mecha-\nnisms have comparable e\u000eciencies, given the speci\fc cross sections of the nanowires. The\nminor disagreement between model and experiment, observed at intermediate values of B,\ncan be attributed either to the extraction method of the magnetization behaviour of the Py\nnanowires and the YIG \flm, shown in Figs. 3(c) and 3(d), or could hint to a subtle e\u000bect\nnot present in our description. To explore the latter, we have considered a second set of\n\ftting curves with a non-constant bparameter, motivated by recent studies on spin rotation\nsymmetry and dephasing.27The apparent variation of the spin injection and detection pro-\ncesses due to a tilted MPyis of only up to\u001820% (see Supporting Information). Finally,\ncontrol experiments with a di\u000berent architecture using a Pt injector and a Pt detector have\nbeen performed, con\frming the absence of injection and detection of out-of-plane spins via\nthe SHE alone (see Supporting Information).\nThe present demonstration of electrical injection and detection of spin accumulation in\narbitrary directions is highly desirable in spintronics. We envision that the use of out-of-plane\nspins within transverse spin currents, in a common ferromagnetic metal like permalloy, has\nthe potential to impact spintronic-based technologies like spin-transfer-torque memories4{8\nand logic devices.20{22Further remains both on the fundamental understanding, and on the\npossible implications for previous SHE studies, where the control of spin transport e\u000eciency\nand directionality enabled by the ASHE16{19has not been hitherto considered.\n9Acknowledgement\nWe thank J. G. Holstein, H. M. de Roosz, H. Adema and T. Schouten for their technical\nassistance. We acknowledge the \fnancial support of the Zernike Institute for Advanced\nMaterials, the Future and Emerging Technologies (FET) programme within the Seventh\nFramework Programme for Research of the European Commission, under FET-Open Grant\nNo. 618083 (CNTQC) and the research program Magnon Spintronics (MSP) No. 159, \f-\nnanced by the Nederlandse Organisatie voor Wetenschappelijk Onderzoek (NWO). This\nproject is also \fnanced by the NWO Spinoza prize awarded to Prof. B. J. van Wees by the\nNWO.\nSupporting Information Available\nThe following \fles are available free of charge.\nThe Supporting Information contains details on determination the Py and the YIG mag-\nnetization orientations using the Stoner{Wohlfarth model. The Supporting Information\nalso contains the modelling results of the \frst harmonic non-local resistance with an angle-\ndependentb-parameter. The experimental results on a control device with a Pt injector and\na Pt detector have also been included in the Supporting Information.\nReferences\n(1) Jedema, F. J.; Filip, A. T.; van Wees, B. J. Electrical spin injection and accumulation\nat room temperature in an all-metal mesoscopic spin valve. Nature 2001 ,410, 345.\n(2) Kimura, T.; Otani, Y.; Sato, T.; Takahashi, S.; Maekawa, S. Room-temperature re-\nversible spin Hall e\u000bect. Phys. Rev. Lett. 2007 ,98, 156601.\n10(3) Sinova, J.; Valenzuela, S. O.; Wunderlich, J.; Back, C.; Jungwirth, T. Spin Hall e\u000bects.\nRev. Mod. Phys. 2015 ,87, 1213.\n(4) Miron, I. M.; Garello, K.; Gaudin, G.; Zermatten, P.-J.; Costache, M. V.; Au\u000bret, S.;\nBandiera, S.; Rodmacq, B.; Schuhl, A.; Gambardella, P. Perpendicular switching of\na single ferromagnetic layer induced by in-plane current injection. Nature 2011 ,476,\n189.\n(5) Liu, L.; Moriyama, T.; Ralph, D. C.; Buhrman, R. A. Spin-torque ferromagnetic reso-\nnance induced by the spin Hall e\u000bect. Phys. Rev. Lett. 2011 ,106, 036601.\n(6) Liu, L.; Pai, C.-F.; Li, Y.; Tseng, H. W.; Ralph, D. C.; Buhrman, R. A. Spin-torque\nswitching with the giant spin Hall e\u000bect of tantalum. Science 2012 ,336, 555.\n(7) Yu, G. et al. Switching of perpendicular magnetization by spin-orbit torques in the\nabsence of external magnetic \felds. Nat. Nanotech. 2014 ,9, 548.\n(8) Lau, Y.-C.; Betto, D.; Rode, K.; Coey, J. M. D.; Stamenov, P. Spin-orbit torque switch-\ning without an external \feld using interlayer exchange coupling. Nat. Nanotech. 2016 ,\n11, 758.\n(9) Cornelissen, L. J.; Liu, J.; Duine, R. A.; Youssef, J. B.; van Wees, B. J. Long-distance\ntransport of magnon spin information in a magnetic insulator at room temperature.\nNat. Phys. 2015 ,11, 1022.\n(10) Kajiwara, Y.; Harii, K.; Takahashi, S.; Ohe, J.; Uchida, K.; Mizuguchi, M.;\nUmezawa, H.; Kawai, H.; Ando, K.; Takanashi, K.; Maekawa, S.; Saitoh, E. Transmis-\nsion of electrical signals by spin-wave interconversion in a magnetic insulator. Nature\n2010 ,464, 262.\n(11) Goennenwein, S. T. B.; Schlitz, R.; Pernpeintner, M.; Ganzhorn, K.; Althammer, M.;\n11Gross, R.; Huebl, H. Non-local magnetoresistance in YIG/Pt nanostructures. Appl.\nPhys. Lett. 2015 ,107, 172405.\n(12) Nagaosa, N.; Sinova, J.; Onoda, S.; MacDonald, A. H.; Ong, N. P. Anomalous Hall\ne\u000bect. Rev. Mod. Phys. 2010 ,82, 1539.\n(13) Taniguchi, T.; Grollier, J.; Stiles, M. Spin-transfer torques generated by the anomalous\nHall e\u000bect and anisotropic magnetoresistance. Phys. Rev. Applied 2015 ,3, 044001.\n(14) Taniguchi, T. Magnetoresistance generated from charge-spin conversion by anomalous\nHall e\u000bect in metallic ferromagnetic/nonmagnetic bilayers. Phys. Rev. B 2016 ,94,\n174440.\n(15) Taniguchi, T. Magnetoresistance originated from charge-spin conversion in ferromagnet.\nAIP Advances 2017 ,8, 055916.\n(16) Das, K. S.; Schoemaker, W. Y.; van Wees, B. J.; Vera-Marun, I. J. Spin injection and\ndetection via the anomalous spin Hall e\u000bect of a ferromagnetic metal. Phys. Rev. B\n2017 ,96, 220408.\n(17) Gibbons, J. D.; MacNeill, D.; Buhrman, R. A.; Ralph, D. C. Reorientable spin direction\nfor spin current produced by the anomalous Hall e\u000bect. arXiv:1707.08631 [cond-mat]\n2017 ,\n(18) Qin, C.; Chen, S.; Cai, Y.; Kandaz, F.; Ji, Y. Nonlocal electrical detection of spin\naccumulation generated by anomalous Hall e\u000bect in mesoscopic Ni81Fe19\flms. Phys.\nRev. B 2017 ,96, 134418.\n(19) Iihama, S.; Taniguchi, T.; Yakushiji, K.; Fukushima, A.; Shiota, Y.; Tsunegi, S.; Hira-\nmatsu, R.; Yuasa, S.; Suzuki, Y.; Kubota, H. Spin-transfer torque induced by the spin\nanomalous Hall e\u000bect. Nat. Electron. 2018 ,1, 120.\n12(20) Chumak, A. V.; Serga, A. A.; Hillebrands, B. Magnon transistor for all-magnon data\nprocessing. Nat. Commun. 2014 ,5, 4700.\n(21) Cornelissen, L.; Liu, J.; van Wees, B.; Duine, R. Spin-current controlled modulation of\nthe magnon spin conductance in a three-terminal magnon transistor. Phys. Rev. Lett.\n2018 ,120, 097702.\n(22) Cramer, J.; Fuhrmann, F.; Ritzmann, U.; Gall, V.; Niizeki, T.; Ramos, R.; Qiu, Z.;\nHou, D.; Kikkawa, T.; Sinova, J.; Nowak, U.; Saitoh, E.; Klui, M. Magnon detection\nusing a ferroic collinear multilayer spin valve. Nat. Commun. 2018 ,9, 1089.\n(23) Uchida, K.; Xiao, J.; Adachi, H.; Ohe, J.; Takahashi, S.; Ieda, J.; Ota, T.; Kajiwara, Y.;\nUmezawa, H.; Kawai, H.; Bauer, G. E. W.; Maekawa, S.; Saitoh, E. Spin Seebeck\ninsulator. Nat. Mater. 2010 ,9, 894.\n(24) Slachter, A.; Bakker, F. L.; van Wees, B. J. Anomalous Nernst and anisotropic magne-\ntoresistive heating in a lateral spin valve. Phys. Rev. B 2011 ,84, 020412.\n(25) Bauer, G. E. W.; Saitoh, E.; Wees, B. J. v. Spin caloritronics. Nat. Mater. 2012 ,11,\n391.\n(26) Stoner, E. C.; S, F. R.; Wohlfarth, E. P. A mechanism of magnetic hysteresis in het-\nerogeneous alloys. Phil. Trans. R. Soc. Lond. A 1948 ,240, 599.\n(27) Humphries, A. M.; Wang, T.; Edwards, E. R. J.; Allen, S. R.; Shaw, J. M.; Nem-\nbach, H. T.; Xiao, J. Q.; Silva, T. J.; Fan, X. Observation of spin-orbit e\u000bects with spin\nrotation symmetry. Nat. Commun. 2017 ,8, 911.\n13E\u000ecient injection and detection of out-of-plane spins via\nthe anomalous spin Hall e\u000bect in permalloy nanowires:\nSupporting Information\nDetermination of the Py and the YIG magnetization orientations\nIn this section, we will discuss the procedure for determining the orientation of MPyand\nMYIG.\nA magnetic \feld Bis applied at an angle \u001ewith respect to the x-axis, as shown in\nFig. 5(a). For every \feld-sweep curve, we sweep the magnitude of Bin both positive and\nnegative directions. The applied Bhas both in-plane and out-of-plane components with\nrespect to the plane of the YIG \flm. The sample is aligned in such a way that Bis in the\nxz-plane. Therefore, we can simply express Bas\nB= (Bx;0;Bz) = (Bcos\u001e;0;Bsin\u001e) (3)\nwhere\u001eis the angle between Band x-axis. The orientation of MPyandMYIGdoes not only\ndepend on Bbut also the saturation magnetization ( Ms\nPyandMs\nYIG) and the shape of the\nmagnets.\nFirstly, we write the magnetization of the Py as\nMPy= (Mx\nPy;My\nPy;Mz\nPy); (4)\nand we de\fne the angle between MPyand three coordinate axes, \u0012i, as\ncos\u0012i=Mi\nPy\nMs\nPy; (5)\nwhere i =x;y;z .\n14In order to \fnd out MPyunder a given B, we can write down the magnetism-related\nenergy density \"mfor Py\n\"m\nPy=EZeeman\nPy +Eani\nPy (6)\nwhere the \frst term is the Zeeman energy term\nEZeeman\nPy =\u0000MPy\u0001B; (7)\nand the second term is the anisotropy term\nEani\nPy=X\ni=x;y;zKi\nPysin2\u0012i; (8)\nwhereKi\nPyis the anisotropy constant of Py along three axes. Due to the shape of Py bar,\nMPymostly like to align in the plane of the \flm and along the bar, i.e. y-axis. This\ntranslates to a relation of Kz\nPy> Kx\nPy> Ky\nPy. To determine the orientation of MPy, we\ncan \fnd out the energy minimum by @\"m=@\u0012 i= 0 and@2\"m=@2\u0012i>0. When\"mreaches its\nminimum, we obtain\ncos\u0012x=MPyBx\n2 (Ky\nPy\u0000Kx\nPy); (9)\ncos\u0012z=MPyBz\n2 (Ky\nPy\u0000Kz\nPy); (10)\ncos2\u0012y= 1\u0000cos2\u0012x\u0000cos2\u0012z; (11)\nwhich tells us the orientation of MPy. Eqs. 9, 10 and 11 hold with increasing the \feld Buntil\nit reaches the critical magnetic \feld Bc, where cos2\u0012y= 0. ForB >B c, the magnetization\nof Py lies in the xz-plane, namely My\nPy= 0. Larger tilting angle \u001ecorresponds to larger Bc.\nIn the regime where B > B c, the magnetization of Py becomes MPy= (Mx\nPy;0;Mz\nPy) and\ncos\u0012y= 0. Doing the same procedure of \fnding the minimum of \"mfor Py, we can obtain\n15the relation of \u0012xas\nMs\nPyBxsin\u0012x\u0000Ms\nPyBzcos\u0012x= 2 (Kz\nPy\u0000Kx\nPy) sin\u0012xcos\u0012x; (12)\nfrom which we can model the magnetization behaviour of Py in the large \feld regime ( B >\nBc). Combined with the solution of Eqs. 9, 10 and 11 for B B c. In order\nto \fnd out the orientation of the magnetization under an external magnetic \feld B, we\ncan write down the magnetism-related energy density \"m\nYIGfor YIG. Doing the minimizing\nprocedure for \"m\nYIG, we obtain the formula with the same form as Eq. 12 for YIG as\nMs\nYIGBxsin\rx\u0000Ms\nYIGBzcos\rx= 2 (Kz\nYIG\u0000Kx\nYIG) sin\rxcos\rx; (15)\n16based on which we model the magnetization behaviour of YIG. In Fig. 5(c), we give two\nexamples of the modelled MYIGfor\u001e= 27\u000e;67\u000e.\nWe compare the modelled MPyandMYIGwith the measured second harmonic non-local\nresistance detected by the Py and the Pt detectors, as shown in Fig. 6. Note that, we\nhave not considered any interfacial exchange interaction between the Py nanowires and the\nYIG thin \flm in modelling the magnetization behaviours. The excellent agreement between\nthe experimental data and the modelled magnetization curves with values of saturation\nmagnetization close to that reported in literature, con\frms the absence of any signi\fcant\ninterfacial exchange interaction.\nModelling the \frst harmonic non-local resistance with an angle-\ndependent b-parameter\nAs discussed in the main text, we obtain a satisfactory agreement between our modelled\nresults (following Eqs. 1 and 2 of the main text) and the experimental data, successfully\ncapturing the physics behind the injection and detection of spin accumulation with out-\nof-plane components. The modelled results in the main text consider a \fxed b-parameter,\nobtained by \ftting the in-plane magnetic \feld sweep data ( \u001e= 0\u000e). Here, we present another\nset of modelling results by considering a dependence of the b-parameter on \u001e. The modelled\ncurves, along with the experimental data, are shown in Figs. 7(a) and 7(b) for the Py detector\nand the Pt detector, respectively. We obtain an excellent agreement between the model and\nthe measured data at all magnetic \feld regimes, both for the Py and the Pt detectors. Here,\nwe have used bas a \ftting parameter for obtaining the modelled results. Interestingly, we\nobserve a systematic decrease in bfrom 0.72 (m\n)1=2for\u001e= 0\u000eto 0.54 (m\n)1=2for\u001e= 77\u000e.\nHowever, for \u001e= 89\u000e, the value of bagain increases to 0.72 (m\n)1=2. At this point we\nare unsure about the exact physical origin of this behaviour and further study needs to be\nperformed to pinpoint the actual reason. However, this does not contradict our observations\nand the model presented in the main text, which accurately reproduces the lineshape and\n17the magnitude of the experimentally obtained results in the low and high magnetic \feld\nregimes.\nControl device with Pt injector and Pt detector\nAs a control experiment, we fabricated a device with a Pt injector and a Pt detector on\nthe same chip and with the same edge-to-edge separation of 500 nm. Magnetic \feld sweep\nmeasurements were carried out at di\u000berent tilt angles ( \u001e) in thexz-plane, as described in the\nmain text. The \frst ( R1f\nNL) and the second ( R2f\nNL) harmonic responses of the non-local signal,\nmeasured by the Pt detector, are shown in Figs. 8(a) and 8(b), respectively. Fig. 8(b) shows\nthat the magnetization behaviour of the YIG \flm for this control Pt-Pt device is same as that\nof the Py devices. More importantly, Fig. 8(a) shows the absence of the modulation of the\nnon-local signal via the ASHE, as opposed to the Py-Py and the Py-Pt devices (discussed in\nthe main text) and the sign reversal at \u001e= 89\u000ecorresponding to the injection and detection\nof spins oriented fully perpendicular to the Pt/YIG interface. Thus, this control experiment\ndemonstrates that the out-of-plane spin injection and detection achieved via the ASHE, is\nabsent in the pure SHE case.\n18(a) (b)\nSHE\nI\n IASHE\nM\n(c)\nM IASHE\nM IASHE(d)\nθ\nxzyFigure 1: Schematic illustration of: (a)the spin Hall e\u000bect (SHE) in a metal with high\nspin-orbit coupling, (b-d) the anomalous spin Hall e\u000bect (ASHE) in a ferromagnetic metal\nfor three di\u000berent orientations of the ferromagnet's magnetization ( M) and a \fxed charge\ncurrent ( I). The magnitude and the direction of the spin current generated due to the ASHE\nis given by M\u0002I, with the spin accumulation direction parallel to M. Spin accumulation\nwith both in-plane and out-of-plane components is generated at the bottom interface when\nMtilts out of the plane, as shown in (c). The contribution of the out-of-plane component of\nthe spin accumulation at the bottom interface is given by sin \u0012cos\u0012and reaches a maximum\nof 50% when \u0012= 45\u000e, compared to the contribution of the in-plane spin component at the\nbottom interface when \u0012= 0\u000e. Spin accumulation exclusively oriented perpendicular to the\ntop/bottom interface is achieved at the edges when Mis oriented completely in the out-\nof-plane direction, as shown in (d). The dashed arrows indicate the directions of the spin\ncurrent.\n19(a) (b)\n(c) (d)\nMYIGYIGMagnons\nMPyI V\nPy+-\nxz\nyB\nĭPy\nGGGMPy\n2µmI V\nPy+-\nV\nPt+-PyPtPyAu\nYIGFigure 2: (a)Schematic illustration of the experimental geometry. The ASHE and its\nreciprocal e\u000bect in Py are used to inject and detect magnons in the YIG \flm. An external\nmagnetic \feld ( B) is applied in the xz-plane, at an angle \u001ewith respect to the x-axis,\nto manipulate the magnetizations of Py ( MPy) and YIG ( MYIG).(b)SEM image of a\nrepresentative device illustrating the electrical connections. An alternating current ( I) is\nsourced through the injector (middle Py nanowire). The corresponding non-local voltages\nacross the left Py detector ( VPy) and the right Pt detector ( VPt) are measured simultaneously.\n(c-d) The \frst harmonic response of the non-local resistance ( R1f\nNL) is plotted as a function of\nBapplied at di\u000berent angles ( \u001e), measured by the Py detector (c)and the Pt detector (d).\nSymbols represent experimental data, while solid black lines are modelled curves following\nEq. 1 and Eq. 2 for the Py and the Pt detectors, respectively.\n20(c) (d)(a) (b)Figure 3: (a)The second harmonic response of the non-local resistance ( R2f\nNL) measured by\nthe Py detector has two contributions: the anomalous Nernst e\u000bect (ANE) (proportional to\nMz\nPy) and the spin Seebeck e\u000bect (SSE) (proportional to Mx\nYIG).(b)TheR2f\nNLmeasured by\nthe Pt detector is only due to the SSE, which decreases as Mz\nYIGincreases.Mz\nPy(c)and\nMz\nYIG(d)are plotted against Bfor the di\u000berent out-of-plane angles ( \u001e). The magnetizations\nare extracted from the StonerWohlfarth model, by \ftting the second harmonic responses\n(discussed in the Supporting Information).\n21MYIGPy\nxz\nyBMPy\nYIGIV+-\nPyMPy\nĭ-VNL\nMYIGPy\nxz\nyBMPy\nYIGIV+-\nPyMPy+VNL\nĭ(a) (b)\n(c) (d)Vertical spin current\ninjection and detectionHorizontal spin current\ninjection and detectionFigure 4: (a)Mechanism for spin current injection and detection along the \u0000zand the\n+zdirections, respectively, resulting in a positive non-local signal ( VNL). This mechanism\nhas the maximum contribution to VNLfor in-plane spins. (b)Mechanism for spin current\ninjection and the detection along the xdirection, parallel to the Py/YIG interface, resulting\nin a negative VNL. This mechanism has the maximum contribution to VNLfor out-of-plane\nspins. The individual contribution of the two di\u000berent mechanisms to the non-local resistance\n(RNL) measured by the Py detector, following Eq. 1, has been plotted in (c)for the injection\nand detection of vertical spin current, and in (d)for the injection and detection of horizontal\nspin current.\n22(a) (b) (c)\nMYIG YIG\nMPy Py\nxz\nyB\nĭPy\nGGGMPyFigure 5: (a)Schematic illustration of the measured device in a xyz-coordinate system. The\nYIG \flm lies in the xy-plane. The Py nanowires are aligned along the y-axis. An external\nmagnetic \feld Bis applied in the xz-plane at an angle of \u001ewith respect to the x-axis.\nModelled results for the normalized magnetization components along x-,y- andz-axes as a\nfunction of magnetic \feld Bfor(b)Py (Mx\nPy,My\nPyandMz\nPy) and (c)YIG ( Mx\nYIG,My\nYIGand\nMz\nYIG). Here, we show the examples under the condition of \u001e= 27\u000e(solid lines), 67\u000e(dashed\nlines). For Py, we use the following parameters: Ms\nPy= 5:2\u0002105[A/m], Kz\nPy= 160 [kJ/m3],\nKy\nPy\u00190 [kJ/m3] and Kx\nPy= 10 [kJ/m3]. For YIG, we use: Ms\nYIG= 2:1\u0002105[A/m],\nKz\nYIG= 17 [kJ/m3] and Ky\nYIG=Kx\nYIG= 1 [kJ/m3].\n(a) (b)\nFigure 6: Normalized second harmonic non-local resistance as a function of the magnetic\n\feld strength Bwith di\u000berent tilting angles \u001efor(a)the Py and (b)the Pt detector. In\n(a), we have subtracted the spin Seebeck contribution from the measured signals and we\nattribute the \feld-dependent response shown here to only the anomalous Nernst e\u000bect of\nthe Py detector, which scales with Mz\nPy. In(b), where the detector is a Pt nanowire, the\n\feld-dependent behaviour is only due to the spin Seebeck e\u000bect of YIG, which is proportional\nto the Mx\nYIG. The symbols represent the measured second harmonic data, while the dashed\nblack lines represent the modelled results based on the magnetization behaviour of the Py\nnanowire and the YIG \flm, as shown in Fig. 5.\n23(a) (b) (c)Figure 7: The \frst harmonic response of the non-local resistance ( R1f\nNL) is plotted as a\nfunction of Bapplied at di\u000berent angles ( \u001e) in thexz-plane, measured by the Py detector\n(a)and the Pt detector (b). The symbols represent the experimental data, while the solid\nblack lines represent the modelled curves considering a dependence of the parameter bon\u001e,\nas shown in (c).\n(a) (b)\nFigure 8: (a)The \frst (R1f\nNL) and (b)the second ( R2f\nNL) harmonic responses of the non-local\nsignal, plotted as a function of Bapplied at di\u000berent angles ( \u001e) in thexz-plane, measured\nby the Pt detector. This data corresponds to a control device with a Pt injector and a Pt\ndetector.\n24" }, { "title": "1901.05753v1.Spin_transport_parameters_of_NbN_thin_films_characterised_by_spin_pumping_experiments.pdf", "content": "1 \n \nSpin transport parameters of NbN thin films characterised by spin \npumping experiments \nK. Rogdakis1,*, A. Sud1, M. Amado2, C. M. Lee2, L. McKenzie -Sell2, K.R. Jeon2, \nM. Cubukcu1, M. G. Blamire2, J. W. A. Robinson2, L. F. Cohen3, and H. Kurebayashi1,† \n 1London Centre for Nanotechnology, University College London, London WC1H 0AH, United \nKingdom \n2Department of Materials Science & Metallurgy, University of Cambridge, Cambridge CB3 0FS, \nUnited Kingdom \n3The Blackett Laboratory, Imperial College London, London SW7 2AZ, United Kingdom \n \nAbstract \nWe present measurements of ferro magnetic -resonance - driven spin pumping and inverse spin -\nHall effect in NbN/ Y3Fe5O12 (YIG) bilayers . A clear enhancement of the (effective) Gilbert \ndamping constant of the thin -film YIG was observed due to the presence of the NbN spin sink . \nBy varying the NbN thickness and em ploying spin-diffusion theory , we have estimated the \nroom temperature values of the spin diffusion length and the spin Hall angle in NbN to be 14 \nnm and -1.1×10-2, respectively. Furthermore, we have determined the spin-mixing conductance \nof the NbN/YIG interface to be 10 nm-2. The experimental quantification of these spin transport \nparameters is an important step towards the development of superconducti ng spintronic devices \ninvolving NbN thin films . \n \nIntroduction \nThe extraction of key functional materials parameters associated with electron transport \nis important for the development of new solid -state device schemes as well as testing \nprototypes. In the field of spintronics, the spin Hall angle ( θSH) represents the strength of spin -\nHall effect (SHE) [1] that converts charge currents into spin currents via the relativistic spin -\norbit interaction. T he spin diffusion le ngth (𝑙𝑆𝐷) [2] is a parameter that describes the distance \nover which non-equilibrium spin currents can diffuse before dissipation and is crucial in \ndetermining the useful device dimensions of future spintronic applications. Moreover, t he spin \nangular momentum transfer across a ferromagnetic (FM) and non -magnetic (NM) interfac e can \nbe parameterised by the spin mixing conductance (𝑔𝑟↑↓) which governs the spin current \ngeneration efficiency in spin pumping process es [3]. These s pin transport parameters can be 2 \n determined by employing different measurement techniques. For example, it is possible to use \nlateral spin -valves to quantify 𝑙𝑆𝐷 and θSH in non -magnetic materials [ 4, 5, 6, 7]. Spin pumping \n[3, 8, 9] is another established method to investigate spin transport parameters in a variety of \nmaterials, such as metals [10], inorganic [11, 12] and organic semiconductors [13, 14], graphene \n[15] and topological insulators [16]. It should be noted that spin pumping relies on the transfer \nof angular momentum from a ferromagnet with precessing moments into an adjacent non -\nmagnetic layer , and do es not suffer from the conductance mismatch problem which causes \ndifficulties in electric al spin injection through ohmic contacts [11]. Using a FM conductor as \nspin injector in a spin pumping experiment can potentially give rise to microwave (MW) -\ninduced photo -voltages [17] due to time -varying resistance changes produced by the magnetic \nprecession coupled with a time -varying current, as well as the ISHE in the FM layers [18, 19]. \nThe use of FM insulators such as Y3Fe5O12 (YIG) to conduct spin pumping experiments has the \nadvantage because these effects are negate d. In addition , YIG has a low bulk Gilbert damping \nconstant (α ≃ 6.7 × 10−5) and a high Curie temperature ( TC = 560 K) , enabling efficient spin \npumping at room temperature ( RT) [20]. \nIn this paper, we report spin pumping in thin -film YIG/NbN bilayers with the aim of \nextracting multiple spin transport parameters of NbN thin films in the normal state . NbN is a \nkey material for superconducting (SC) spintronics [21] with a bulk TC of approximately 16.5 \nK, a SC energy gap of 2.5 meV, and a SC coherence length of 5 nm [22]. NbN is increasingly \nused in the field of SC spintronics , for example in spin -filter Josephson junctions [23, 24, 25] \nand to demonstrate spectroscopic evidence for odd frequency (spin -triplet) superconductivity \nat the interface with GdN [26]. Recently , Wakamura et al. observed an unprecedented \nenhancement of the SHE at 2K, interpreted in terms of quasiparticle mediated transport [ 27]. \nQuasiparticle spin transport has also been investigated by spin pumping and by monitoring the \nspin Seebeck effect [ 28, 29]. To the best of our knowledge, s pin trans port parameters in NbN \nsuch as 𝑙𝑆𝐷 and θSH have only been extracted by Wakamura et al. [27] by the spin absorption \nmethod in lateral spin -valves , and it is vitally important to extract these parameters also by other \ncharacterisation techniques and with NbN grown by different growth method s. This can, for \nexample, help to understand whether spin transport parameters in NbN have a significant \ndependence on the growth conditions . In our study, b y using high-quality epitaxial thin-film \nYIG it is possible to obs erve a modulation of the Gilbert damping constant (α) with NbN \nthickness and therefore extract 𝑙𝑆𝐷 of NbN (14 nm) and 𝑔𝑟↑↓ of the YIG/NbN interface (1 0 nm-\n2). Furthermore, we have investigated the NbN -thickness -dependence of the ISHE voltage \n(VISHE) and have determined θSH of NbN ( -1.1 ×10-2) by the spin pumping technique . We 3 \n compare 𝑙𝑠𝑑 extracted by three independent methods , namely the thickness dependence of α and \nVISHE as well as Hanle spin precession , and we find good agreement between them . Determining \nthe normal -state spin -transport parameters in NbN from spin -pumpi ng-induced ISHE is \nimportant, which enables the comparison between parameters extract ed using various \ntechniques from different research groups [e.g. 27-29]. By accumulation of a body of results , \nwe will then be able to understand the fundamental nature of SHE and spin transport in NbN \nwhich can be useful and transferable to future spintronics research using SC NbN [ 21, 30]. \n \nMaterial growth \n Epitaxial YIG thin films are grown on (111) -oriented GGG single crystal substrate s by \npulse laser depositio n (PLD) in a n ultra-high vacuum chamber (UHV) with a base pressure \nbetter than 5×10-7 mbar. Prior to film growth, the GGG substrate are ultrasonically cleaned by \nacetone and isopropyl alcohol and annealed ex-situ at 1000 oC in a constant O2 flow \nenvironment for 8 hours. The YIG is deposited from a stoichiometric ( polycrystalline ) target \nusing a KrF excimer laser (248 nm wavelength) , with a nominal energy of 450 mJ and fluence \nof 2.2 W cm-2 in 0.12 mbar of O 2 at 680 oC, and pulse frequency of 4 Hz for 60 minutes. The \nYIG is p ost-anneal ed at 750 oC for 1.5 hours in 0.5 mb ar partial pressure of static O 2 and \nsubsequently cooled to RT at a rate of -10 K/min. Atomic force microscopy (AFM ) reveal s that \na root -mean -squared roughness of the YIG films is less than 0.16 nm over 10 ×10 µm scan size \n[Fig. 1(a) ]. The YIG films were characterised by a SC quantum interference device (SQUID) \nmagnetometer and have a saturation magnetisation ( MS) of 140 3 emu cm-3 [Fig. 1(b) ], which \nmatches the bulk value [31]. In Fig. 1(c) we have plotted a high -angle X -ray diffraction trace \nof the same film where Laue fringes indicate layer -by-layer growth of YIG and good lattice -\nmatching with the substrate. Figure 1(d ) shows low-angle X -ray reflectivity from a YIG film \nand from the decay and angle separation of the Kiessig fringes , we determined a nominal \nthickness tYIG = 60 2 nm. Following the growth of YIG, films were directly transferred in air \nto a UHV sputter deposition system with a base pressure of 1×10-9 mbar. NbN is grown by \nreactive sputtering in a gas mixture of argon (72%) and nitrogen (28%) with the deposition rate \nof 85 nm min-1. The growth temperature is RT, giving polycrystalline NbN layers . We grew \nNbN with different thicknesses (tNbN) from 5 to 50 nm. \n \nFerromagnetic resonance ( FMR ) setup and spin pumping measurements 4 \n FMR is performed using a broadband coplanar waveguide (CPW) and ac-field \nmodulation technique as illustrated i n Fig. 2(a). The sample s are placed face down on top of \nthe CPW s where an insulator tape is used for electrical insulation. We generate dc ( H) and ac \n(hac) magnetic fields by electromagnets and the absorbed power at the modulation frequency is \nmeasured by a MW power detector and a lock -in amplifier while H is swept . An input MW \npower (PMW) of 100 mW is used unless otherwise is stated . We kept t he modulation field \namplitude (hac) smaller than the measured FMR linewidth s of all samples tested , in order to \navoid artefacts by strong modulation . The magnetic field is applied along different in -plane and \nout-of-plane directions related to the samples as shown in Fig. 2(a ). The FMR absorption ( VP) \nwas measured using a MW power detector for different frequencies typically ranging from 2 -\n12GHz as depicted in Fig. 2(b) (for a sample with tNbN = 10 nm ). For each scan, the resonance \nfield ( Hres) and the half-width -at-half-maximum linewidth ( ΔH) of the FMR signal are \ndetermined by a fit using differential forms of symmetric and anti -symmetric Lorentzian \nfunctions (Appendix A) . Figure 2(c) shows the frequency dependence of the extracted Hres for \ndifferent NbN thicknesses. The curves of the frequency dependen ce for all samples, including \ntNbN = 0 nm, overlap suggesting no significant modification of the YIG magnetic anisotrop y due \nto the presence of NbN. We note here that the effective magnetisation (Meff) extracted from the \nfits for each sample shows larger values than the Ms value measured in the SQUID. This \nenhanced Meff has often been observed in other thin -film studies [ 32, 33] and a detailed \nunderstanding of this lies outside of the scope of the present work . For spin transport analysis \ndiscussed later, we use the values extracted by SQUID measurements since it is a more direct \nmeasurement of magnetisati on, while we confirmed that the discrepancy between Ms and Meff \ndoes not alter the calculated spin tran sport parameters significantly. Although the magnetic \nanisotropies of the YIG films are unchanged with or without the presence of NbN, the \nmagnetization relaxation of YIG represented by ΔH shows a clear dependence on tNbN as shown \nin Fig. 3( a). With a linear fit to the data for each thickness using ΔH =ΔH0 + (4πα/γ)f where \nΔH0 and γ describe the inhomogeneous broadening and the gyromagnetic ratio respectively, we \nhave quantif ied α for each sample as shown in Fig. 3(b) . α = (5.4 ± 0.2) × 10−4 was obtained for \nbare YIG, which compare s well to previously reported values [34, 35]. A gradual increase of α \nis observed with increasing NbN thickness , in agreement with spin pumping through the \nYIG/NbN interface where the α dependence with tNbN is given by [36]: \n𝛼(𝑡𝑁𝑏𝑁)=𝛼0+(𝑔𝐿𝜇𝐵𝑔𝑟↑↓\n4𝜋𝑀𝑠𝑡𝑌𝐼𝐺)∙[1+𝑔𝑟↑↓𝜌𝑁𝑏𝑁𝑙𝑠𝑑𝑒2\n2πℏ tanh(𝑡NbN\n𝑙𝑠𝑑)]−1\n (1). 5 \n Here, α0 is the Gilbert damping constant for tNbN= 0 nm and the second term represents the \ndamping enhancement by spin pumping into NbN ; 𝑔𝐿 is the free electron Land é factor which is \nassumed equal to 2, 𝑔𝑟↑↓ is the effective real -part spin -mixing conduct ance across the NbN/YIG \ninterface; 𝜌𝑁𝑏𝑁 is the resistivity of NbN which was measured for each sample [see inset of Fig . \n3(b)], and 𝑒 is the electron charge. A best f it of the data in Fig. 3(b) using Eq. (1) yield s 𝑔𝑟↑↓ = \n10 ±2 nm-2 and 𝑙𝑠𝑑 =14 ± 3 nm. The extracted 𝑙𝑠𝑑 can be well compared with the value (7 nm) \nby Wakamura et al. [27] using the spin -absorption method in lateral spin -valve devices . We \nalso found that the spin couplin g of NbN/YIG is as good as heavy-metals/YIG interfaces since \n𝑔𝑟↑↓ is comparable to those of YIG/Pt, YIG/Ta and YIG/W [35]. We note from analytic \ncalculations (Appendix B) that the additional damping expected from eddy currents cannot \nexplain t he observed NbN thickness dependence of α. \nWe now discuss the ISHE voltage (VISHE) measurements . In Figs. 4(a) and 4(b) we show \ntypical data set s for VISHE (for direct comparison we present also corresponding Vp data) for tNbN \n= 20 nm and f = 3 GHz . Note that , since we use d the lock-in ac field-modulation method for \nboth detections , the curves represent the derivative of the signals without the ac field -\nmodulation : for both VP and VISHE a symmetric Lorentzian lineshape is expected without the ac \nfield modulation. As expected from spin pumping and ISHE , we observe a clear VISHE peak at \nthe YIG precession frequency . By changing the sign of H [observe the sign of magnetic field \naxis for Figs. 4 (a) and 4 (b)], we observe a sign change of VISHE in agreement with the symmetry \nof spin pumping [37]. Corresponding measurements for tNbN = 5 nm are depicted in Figs. 4(c) \nand 4(d). By using the known ac field modulation amplitude as well as differential forms of \nsymmetric and anti -symmetric Lorentzian fu nctions (Appendix A), we quantify the peak \namplitude of ISHE voltage defined as 𝑉𝐼𝑆𝐻𝐸∗. The PMW-dependence of 𝑉𝐼𝑆𝐻𝐸∗ shown in Figs. 5 (a) \nand 5 (b) suggests that 𝑉𝐼𝑆𝐻𝐸∗ is proportional to PMW, consistent with standard spin -pumping \ntheory [36]. \nWe have also performed H - angular dependen t measurements of V*ISHE along in -plane \nand out-of-plane directions of the NbN/YIG films . The in -plane angular dependence of the spin \npumping experiment follows the expres sion 𝑉𝐼𝑆𝐻𝐸∗∝ 𝝐𝒙∙(𝑱𝐬×𝝈)∙|𝝈×𝒉𝒓𝒇| where the first \npart is due to the ISHE symmetry , 𝐸𝐼𝑆𝐻𝐸∝(𝑱𝐬×𝝈) , multiplied by the amplitude of magnetic \ntorque generated by MW-induced magnetic field |𝝈×𝒉𝒓𝒇|; here, 𝝐𝒙 is the unit vector along x \ndirection in the measurement ’s framework shown in Fig. 2(a). The first component gives a cos \ndependence whereas the second produces a |cos| dependence, which combined nicely matches \nour expe rimental results shown in Fig. 6 (a). The rationale to plot 𝑉𝐼𝑆𝐻𝐸∗𝑡𝑁𝑏𝑁/𝜌𝑁𝑏𝑁 against 𝑡𝑁𝑏𝑁 6 \n is to include the thickness dependence of 𝜌𝑁𝑏𝑁 allowing to fit the data points based on bare \nNbN as well as those of the YIG/NbN bi-layers . In addition, this analysis can display the \nasymptotic behaviour of the data/fit -curves towards the long thickness limit. The in -plane \nsymmetry re -confirm s that spin rectification effects are not a dominant mechanism in our \nmeasurements since in this case a higher order sin 2θ component is expected in the voltage \nsymmetry [17]. We also measure d the out -of-plane angular dependence of 𝑉𝐼𝑆𝐻𝐸∗ as shown in \nFig. 6 (b) and moreover we applied the Hanle precession model [38] to fit our data . In this case \nthe out -of-plane 𝑉𝐼𝑆𝐻𝐸∗ is given by: \n𝑉𝐼𝑆𝐻𝐸∗(𝜙)∝{cos(𝜙)∙cos(𝜙−𝜙𝑀)+sin𝜙∙sin(𝜙−𝜙𝑀)∙[1\n1+(𝜔𝐿∙𝜏𝑠)2]} (2) \n𝜔𝐿=𝑔𝐿𝜇𝐵∙(𝜇0𝐻)/ℏ is the Larmor frequency and 𝜏𝑠 is the spin relaxation time in NbN ; 𝜙 and \n𝜙𝑀 represent the angle of between the z -axis and H and the equilibrium magnetic moment \ndirection, respectively. By minimizing the total magnetic energy of the FM layer consisting of \nthe Zeeman and demagnetization energy, the following equation is derived to determine the \nvalue of 𝜙𝑀 with respect to 𝜙: 𝜙𝑀=\n𝜙−arctan\n[ \nsgn(𝜙).√(cos(2𝜙)+(𝜇0𝐻𝑟𝑒𝑠\n𝜇0𝑀𝑒𝑓𝑓)\nsin(2𝜙))2\n+1−(cos(2𝜙)+(𝜇0𝐻𝑟𝑒𝑠\n𝜇0𝑀𝑒𝑓𝑓)\nsin(2𝜙))\n] \n [39]. After spin currents are \ninjected inside NbN, they start precessing due to the external ly applied H. This is described by \nthe well -known Hanle precession model which is the basis of Eq. (2). The equilibrium spin \norientation depends on the precession rate ( 𝜔𝐿) and the spin relaxation rate (1/ 𝜏𝑠), both of which \ncontribute in the equation. When 𝜏𝑠 is much shorter than 1/ 𝜔𝐿, the injected spins do not precess \nand instead generate 𝑉𝐼𝑆𝐻𝐸 with spin orientation along M (𝜙M). This is the case for the red curve \nin Fig. 6(b). In the opposite extreme condition ( depicted as blue curve in Fig. 6(b)), spins \nprecess many times and dephase along the H orientation (𝜙), resulting in an approximately \ncos(𝜙) angle dependence . Fitting the data in Fig. 6(b) using Eq. (2) allows us to estimate 𝜏𝑠. In \nparticular, the best fit of the measured 𝑉𝐼𝑆𝐻𝐸∗(𝜙) was obtained giving an extracted 𝜏𝑠 = 11 ± 2 \nps. This value quantified by the Hanle model can be compared with 𝜏𝑠 independently calculated \nfrom the spin diffusion model as already discussed above, i.e. 𝜏𝑠 =(𝑙𝑠𝑑)2/𝐷 where D is the \nEinstein diffusion coefficient (its value equal to 0.4−0.56 cm2/s was taken from Ref. [ 40]). \nFollowing this approach and by using 𝑙𝑠𝑑=14 nm as extracted from the thickness dependence \nof damping modulation , we calculated 𝜏𝑠 = 3.6-5.9 ps which is a fair agreement between the \ntwo different 𝜏𝑠 extraction methods . 7 \n In the following section, the 𝜃SH of NbN is determined from the thickness dependence \nof 𝑉𝐼𝑆𝐻𝐸∗ as shown in Fig. 7. Using the spin transport parameters discussed above and Eq. (3) , \nwe estimate the spin current emitted at the NbN/ YIG interface, js, as well as the value of 𝜃SH \nextracted by fitting the thickness dependence of 𝑉𝐼𝑆𝐻𝐸∗ [39]: \n𝑉𝐼𝑆𝐻𝐸∗=(𝑤𝑦𝜌𝑁𝑏𝑁\n𝑡𝑁𝑏𝑁)∙𝜃SH𝑙𝑠𝑑∙tanh(𝑡𝑁𝑏𝑁\n2𝑙𝑠𝑑)∙𝑗𝑠 (3) \nwhere 𝑗𝑠=(𝐺𝑟↑↓ℏ\n8𝜋)∙(𝜇0ℎ𝑟𝑓𝛾\nα)2\n∙[𝜇0𝑀𝑠𝛾 + √(𝜇0𝑀𝑠𝛾)2 + 16(𝜋𝑓)2 \n(𝜇0𝑀𝑠𝛾)2 + 16(𝜋𝑓)2]∙(2𝑒\nℏ) \nwith 𝐺𝑟↑↓≡𝑔𝑟↑↓∙[1+𝑔𝑟↑↓𝜌𝑁𝑏𝑁𝑙𝑠𝑑𝑒2\n2πℏ tanh(𝑡𝑁𝑏𝑁\n𝑙𝑠𝑑)]−1\n. \nHere w e assume that YIG is a perfect insulator ; 𝜇0ℎ𝑟𝑓 is the amplitude of MW magnetic field \n(56 µT for 100 mW); 𝑤𝑦 is defined by the width of MW transmission line . For the data fitting \nprocedure we use 𝜃SH and 𝑙𝑠𝑑 as free parameters , where the best fitting was achieved for 1.1 \n×10-2 and 14 nm, respectively. We also confirm ed the sign of 𝜃SH to be negative by comparing \nYIG/NbN data with a YIG/Pt control sample where Pt is known to have a positive 𝜃SH [1]. We \nemphasise that the value of 𝑙𝑠𝑑 extracted by the thickness dependence of 𝑉𝐼𝑆𝐻𝐸∗ agrees very well \nwith the one extracted from the thickness dependence of damping . The former approach \nincludes spin -orbit and spin -transport properties of NbN, whereas the latter is purely related \nwith magnetic propert ies of YIG . We found that t he value we extract by our spin pumping \nexperiments is similar to θSH quantified by Wakamura et al. using lateral spin -valve samples \n(θSH ~-1× 10-2) [27] for the temperature region between 20 to 200 K. Although there is \ndifference in temperature between experiments by Wakamura et al. and ours, an agreement of \nthe same sign and magnitude in θSH quantified by different techniques ( i.e. spin pumping and \nspin-absorption) has been observed. T he value of θSH of the same material but grown and \nmeasured by different research groups can vary rather significantly , for example as in the case s \nof Pt [41] and some topological insul ators [ 42, 43, 44]. Such differences might result from \nvariation in sample qualit y where the density of scattering impurities can particularly influence \nθSH via the extrinsic spin-Hall mechanisms [1]. We note that the resistivity of NbN used in the \nWakamura et al. study measured at 20 K (220 μΩcm ) is roughly three times greater than our \nNbN films at the same temperature (65 μΩcm ). This highlights that the resistivity and mobility \nof NbN might be highly growth -dependent, possibly due to the stoichiometry of Nb and N as \nwell as the nitrogen vacancy concentration . The NbN spin-Hall resistivity of Wakamura et al. \nis 2.2 μΩ∙cm at 20 K [27], whereas our spin-Hall resistivity at RT is calculated to be 0.5 μΩ∙cm \nwhich is smaller owing to the resistivity difference . For the relevance of SC spintronics, w e also 8 \n compare our θSH value with those of Nb thin films reported in previous works . Morota et al. \nmeasured θSH of several 4d and 5d transition metals by the spin absorption method in the lateral \nspin valve structures [6] including Nb. They quantified θSH of Nb to be -8.7 ×10-3 at 10K, which \nis close to our θSH in NbN at RT. There is recent work by Jeon et al. who measured θSH = -\n1×10-3 in Nb at RT [39]. Direct comparison between θSH of Nb and NbN is not possible but they \nare within the same order, suggesting that there are similar atomistic spin-orbit contributions \nfrom Nb atoms both for Nb and NbN. Details of this will be further clarified when more realistic \ntheoretical studies of SHE in NbN become available . \nAs a final remark, we also performed FMR measurements as a fun ction of temperature to \ndetermining the low -temperature spin -pumping properties of NbN through the SC Tc. However, \na significant increase of magnetic damping was observed as the temperature was lowered (this \nbehaviour is summarised in Appendix C). This enhanced damping complica ted the \ninvestigation of VISHE across the SC Tc. \n \nConclusions \nWe determined the spin transport parameters of polycrystalline NbN thin -films by the spin \npumping technique using epitaxial YIG thin-films at RT . We observe a modification of the YIG \nGilbert damping param eter as a function of the variation of the NbN film thickness, confirming \nspin current injection in the NbN layer . By applying a spin-diffusion model , we have estimate d \n𝑙𝑠𝑑 =14 ± 3 nm in NbN and 𝑔𝑟↑↓ = 10 ±2 nm-2 at the NbN/YIG interface . From the NbN thickness \ndependence of the ISHE voltages , we determine θSH to be equal to -1.1 ×10-2. We also compare \n𝑙𝑠𝑑 of NbN extracted by three different techniques (thickness dependence of both α and 𝑉ISHE \nas well as the Hanle measurements) and found good agreement between them . The measured \nparameters are a good reference to understand the NbN spin -orbit and spin transport properties \nand to aid the design of feasible spintronic experiments/ devices in the normal and SC state. \nAcknowledgment This work was supported by the Engineering and Physical Sciences \nResearch Council through the Programme Grant “Superspin” ( Grant No. EP/N017242/ 1) and \nInternational Network Grant ( Grant No. EP/P026311/1 ). \n \nAppendix A: Derivation of FMR fit curves \nIn normal dc FMR analysis, the measured dc voltage can be decomposed into symmetric and \nanti-symmetric Lorentzian functions with respect to μ0Hres, with weights of Asym and Aasy \nrespectively , where combined lead to the following general power absorption expression \n[which is applicable both for FMR absorption (V p) and ISHE voltage (V ISHE)]: 9 \n 𝑃𝑑𝑐(𝐻)=𝐴𝑠𝑦𝑚(𝐻)+𝐴𝑎𝑠𝑦(𝐻)+𝑉0=𝐴𝑠𝑦𝑚∆𝐻2\n(𝐻−𝐻𝑟𝑒𝑠)2+∆𝐻2+𝐴𝑎𝑠𝑦∆𝐻(𝐻−𝐻𝑟𝑒𝑠)\n(𝐻−𝐻𝑟𝑒𝑠)2+∆𝐻2+𝑉0, (4) \nwhere V0 is a background voltage. The first term gives the symmetric lineshape and the second \nterm produces the anti -symmetric one. For FMR mea surements based on a c magnetic -field \nmodulation, where an additional pair of coils on electromagnets provide small ac magnetic field, \nPac has the following relationship with Pdc. \n𝑃𝑎𝑐=𝑑𝑃𝑑𝑐\n𝑑𝐻ℎ𝑎𝑐 (5) \nwhere, hac is the amplitude o f ac magnetic field modulation. With these two equations, we can \ncalculate 𝑃𝑎𝑐 as: \n𝑃𝑎𝑐(𝐻)=−𝐴𝑠𝑦𝑚ℎ𝑎𝑐2(𝐻−𝐻𝑟𝑒𝑠)∆𝐻2\n{(𝐻−𝐻𝑟𝑒𝑠)2+∆𝐻2}2−𝐴𝑎𝑠𝑦ℎ𝑎𝑐∆𝐻{(𝐻−𝐻𝑟𝑒𝑠)2+∆𝐻2}\n{(𝐻−𝐻𝑟𝑒𝑠)2+∆𝐻2}2 (6) \nThis equation was used to fit the ac field modulated signals, bot h Vp and VISHE, in our study. \nThe first term gives now the anti -symmetric lineshape and the second term pr oduces the \ndistorted symmetric one . Figure 8 (a) and (b) display typical FMR data together with best fit \ncurves using Eq. ( 4) and (6 ), respectively, with corresponding extracted parameters presented \nin Fig 8 as legends . We also checked that there was no experimental artifact by doing our ac \nexperiments, by directly confirming that ac (Fig. 8a) and dc (Fig. 8b) measurements for the \nsame experimental conditions generate the same fit parameters. \n \nAppendix B: A simpl ified model for the eddy -current damping \nWe consider a slab of magnet containing a chain of distributed magnetic moments m as shown \nin Fig 9 (a). In order to model the eddy -current damping in NbN, we first calculate the magnetic \nflux at point P where the distance between the point and the slab is x (Fig 9a). We can estimate \nthe magnetic field at point P generated by a moment at (0, y) using the Biot -Savart law, as: \n𝐵=𝜇0\n4𝜋𝑚\n(𝑥2+𝑦2)3/2 (7) \nwhere 𝜇0 is the permeability of free space. We assume that the length of the chain is infinitely \nlong, which is a valid assumption by taking in consideration that the film thickness is much \nshorter than the sample lateral dimensions. By integrating the contribution of the individual \nmoments, we calculate the tota l magnetic field 𝐵𝑡𝑜𝑡𝑎𝑙 as: 10 \n 𝐵𝑡𝑜𝑡𝑎𝑙= 2∫𝜇0\n4𝜋𝑚\n(𝑥2+𝑦2)3/2𝑑𝑦∞\n0=𝜇0\n2𝜋𝑚\n𝑥2 (8) \nUsing this 𝐵𝑡𝑜𝑡𝑎𝑙 expression within this quasi -2D picture, we can calculate the magnetic flux Φ \nat point P. By definition, Φ = ∬𝐵𝑡𝑜𝑡𝑎𝑙𝑑𝑠 , where the integration surface is defined by the \nthickness 𝑡𝑁𝑏𝑁 and the width w of the NbN film. This reads : \nΦ = ∬𝐵𝑡𝑜𝑡𝑎𝑙𝑑𝑠=𝑤×∫𝜇0\n2𝜋𝑚\n𝑥2𝑡𝑌𝐼𝐺\n2+𝑡𝑁𝑏𝑁\n𝑡𝑌𝐼𝐺/2𝑑𝑥=𝜇0𝑤𝑚\n𝜋𝑡𝑁𝑏𝑁\n𝑡𝑌𝐼𝐺(𝑡𝑌𝐼𝐺+2𝑡𝑁𝑏𝑁) (9) \nFor the definition of the integration region, we assume that the chain of the magnetic moments \nis locate d at the centre of the YIG film . \nAfter estimating the magnetic flux, we can calculate t he radiative dissipation power P as: \n𝑃=𝜔\n2𝑍𝑁𝑏𝑁 Φ2=𝜔\n2𝑍𝑁𝑏𝑁( 𝜇0𝑤𝑚\n𝜋𝑡𝑁𝑏𝑁\n𝑡𝑌𝐼𝐺(𝑡𝑌𝐼𝐺+2𝑡𝑁𝑏𝑁))2\n (10) \nHere 𝑍𝑁𝑏𝑁 is the impedance of the NbN film and for simplification we assume that the real part \n(resistance) dominates, meaning that 𝑍𝑁𝑏𝑁≈𝑅𝑁𝑏𝑁=𝜌𝑁𝑏𝑁(𝑑/𝑤𝑡𝑁𝑏𝑁). Using the total non -\nequilibrium magnon energy generated during the experiments as ħ𝜔𝑁𝑉 (here, 𝑁 is the number \nof the non -equilibrium magnons and V is the volume of YIG), we can express t he rate of energy \ndissipation being: \n1\n𝜏=𝑃\n𝐸=𝜔 𝑤𝑡𝑁𝑏𝑁\n2𝜌𝑁𝑏𝑁𝑑ħ𝜔𝑁( 𝜇0𝑤𝑚\n𝜋𝑡𝑁𝑏𝑁\n𝑡𝑌𝐼𝐺(𝑡𝑌𝐼𝐺+2𝑡𝑁𝑏𝑁))2\n (11) \nFinally, the damping component caused by eddy currents generated by the time -dependent flux \nchange can be given by: \n 𝛼𝑒𝑑𝑑𝑦=1\n2𝜔(1/𝜏)=𝑤𝑡𝑁𝑏𝑁\n4𝜌𝑁𝑏𝑁𝑑ħ𝜔𝑁𝑉( 𝜇0𝑤𝑚\n𝜋𝑡𝑁𝑏𝑁\n𝑡𝑌𝐼𝐺(𝑡𝑌𝐼𝐺+2𝑡𝑁𝑏𝑁))2\n (12) \nAs this model is a simplified one, we only discuss 𝛼𝑒𝑑𝑑𝑦 qualitatively. In particular, we c an \nextract the NbN thickness dependence of 𝛼𝑒𝑑𝑑𝑦 by using this expression and find that it is \nproportional to ( 𝑡𝑁𝑏𝑁3/2\n𝑡𝑌𝐼𝐺+2𝑡𝑁𝑏𝑁)2\n. We plot the dependence in F ig. 9 (b) which indicates that the \ndamping based on this mechanism should monotonically increase with thickness. However, this \ntrend is different from what we experimentally observed, where 𝛼 becomes constant for the \nlarger thickness limit. This suggest that the damping mechanism through the eddy current in \nthe NbN layers is no t significant and can be neglected for the examined NbN thicknesses . \nMoreover, in the work by Flovik et al. [45] they discuss the eddy current effect on the lineshape \nof the FMR spectrum. They show ed that when eddy currents exist in an FM/ NM bi-layer, the 11 \n FMR lineshape can be significantly affected, varying from a pure symmetric shape to a mix ture \nof symmetric and anti -symmetric ones. Experimentally, we have not observed strong 𝐴𝑎𝑠𝑦 \ncomponent, suggesting that the eddy current in our NbN film does not pla y a significant role in \nour measurements. In addition, similar eddy current and radiative damping mechanisms has \nalso been discussed by Schoen et al. [46]. They demonstrated that when their sample is placed \n100 μm away from the waveguide, radiative damping with the waveguide is largely supressed. \nSince we also inserted an insulating tape be tween our samples and the waveguide , we believe \nthat the radiative damping is minor in our experiments. Furthermore, Qaid et al. [47] reported \nthat although eddy -current da mping can be observed in a weak spin -orbit material ( in their case \na conducting polymer) , this is not the case for a high spin orbit metal (Pt). For instance, they \nshowed that the damping enhancement in a YIG/Pt structure can still be dominated by the spin-\npumping effect in Pt. Since our NbN is a suffici ently high spin -orbit material, w e believe that \nthe eddy -current component is much smaller (an order of magnitude at least) than that of spin -\npumping into NbN. \n \nAppendix C: Low temperature measurements of spin pumping in NbN/YIG samples \nIt is widely reported that YIG thin -films tend to show signif icant temperature dependent \nmagnetic damping [32, 33 , 48, 49], where the superb damping character at RT is lost when the \nfilms are cooled to lower temperatures. The origin of this remains under debate but enhanced \nlow temperature two -magnon scattering (due to interfac ial defects in ultrathin films) [32] in \ncombination with rare-earth or Fe2+ impurity scattering [ 50, 51] are likely mechanisms . Jermain \net al. [33] discuss that, if the FMR linewidth has a peaked temperature -dependence that \ndominates over the proportionality expected with MS(T) increase , impurity scattering is the \nmore likely mechanism. Although the nature of the impurities remains ambiguous, other reports \nof the high frequency characterisation of PLD -grown and sputtered YIG thin film s have pointed \nout the likely significan ce of Gd3+ diffusion from the GGG substrate [ 52, 53, 54]. \nOur own extensive FMR measurements of bare YIG on GGG ( of comparable \nthicknesses) [55] show that, when Gd3+ impurities are concentrate d in a thin (1 -5 nm) layer near \nthe substrate interface , they form a ferromagnetic sublattice that, as its moment increases at low \ntemperatures, opposes the net YIG magnetisation [50, 56], and also introduces magnetic \ndisorder and additional damping channels that dominate the film’s FMR response . \nHere we describe the low-temperature characterisatio ns of our YIG/NbN samples . \nFigure 10 summarises both FMR absorption spectra and ISHE voltages as a function of 12 \n temperature for the sample with NbN thickness of 10 nm. With decreasing temperature, there \nis a clear increase of ΔH, leading to a corresponding reduction of the FMR absorption signal , \nas shown in Fig. 10(a). The FMR spectrum at 3K can be extracted by taking multiple scans to \nimprove the signal to noise ratio through data averaging. Figure 10(b) shows that ΔH increases \nby a factor of 5 between 300K and 3K, with a steep enhancement below 100 K. For direct \ncomparison we present data in Fig. 10(b) both of YIG/NbN (black points) and bare YIG samples \n(red points). It is clear that linewidth enhancement at low tempe ratures is due to YIG. In \ncomparison with the previous low temperature FMR studies on YIG, we can detect an FMR \nsignal down to 3K in the MW transmission geometry, possibly owing to a relativ ely thick film . \nUnfortunately, the ΔH enhancement significantly hindered our ISHE detection plotted in Fig s. \n10(c) and (d). The voltage peak is comparable or below the noise level at 50 K and it was not \npossible to investigate the evolution of VISHE across the Tc of NbN which is 11 K for the 10 nm \nfilm, measured by the four point dc resistance R4p shown in the inset of Fig. 10(d). To study the \nspin transport properties in NbN across Tc, by spin -pumping technique , would require an \nimprovement of YIG thin -film quality to overcome the observed ΔH enhancement. We note \nthat a very recent work by Umeda et al. exploited the spin -Seebeck effe ct as a spin -injection \nmethod, demonstrating an interesting coherent peak in spin-Seebeck coefficient related to the \nquasi -particle spin transport [ 29]. \n \n*rogdakis7@gmail.com \n†h.kurebayashi@ucl.ac.uk \n \nReferences \n[1] J. Sinova , S. O. Valenzuela, J. Wunderlich, C.H. Back, and T. Jungwirth, Rev. Mod. Phys. \n87, 1213 (2015). \n[2] J. Bass and W. P. Pratt Jr, J. Phys.: Condens. Matter 19 183201 (2007). \n[3] Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev. Lett. 88, 117601 (2002). \n[4] S. O. Valenzuela, and M. Tinkham, Nature 442, 176 (2006). \n[5] T. Kimura, Y. Otani, T. Sato, S. Takahashi, and S. Maekawa, Phys. Rev. Lett. 98, 156601 \n(2007). \n[6] M. Morota, Y. Niimi, K. Ohnishi, D. H. Wei, T. Tanaka, H. Kontani, T. Kimura, and Y. \nOtani , Phys. Rev. B 83, 174405 (2011). \n[7] G. Mihajlovic, J. E. Pearson, M. A. Garcia, S. D. Bader, and A. Hoffmann , Phys. Rev. Lett. \n103, 166601 (2009). \n[8] S. Mizukami, Y. Ando, and T. Miyazaki, Phys. Rev. B 66, 104413 (2002). \n[9] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys. Lett. 88, 182509 (2006). \n[10] O. Mosendz, J. E. Pearson, F. Y. Fradin, G. E. W. Bauer, S. D. Bader, and A. Hoffmann, \nPhys. Rev. Lett. 104, 046601 (2010). 13 \n \n[11] K. Ando, S. Takahashi , J. Ieda , H. Kurebayashi , T. Trypiniotis , C. H. W. Barnes , S. \nMaekawa and E. Saitoh , Nat. Mater. 10, 655 (2011). \n[12] E. Shikoh, K. Ando, K. Kubo, E. Saitoh, T. Shinjo, and M. Shiraishi, Phys. Rev. Lett. 110, \n127201 (2013) . \n[13] S. Watanabe, K. Ando, K. Kang, S. Mooser, Y. Vaynzof, H. Kurebayashi, E. Saitoh, and \nH. Sirringhaus, Nat. Phys. 10, 308 (2014). \n[14] D. Sun , K. J. van Schooten , M. Kavand , H. Malissa, C. Zhang, M. Groesbeck , C. Boehme \nand Z. V. Vardeny , Nat. Mater. 15 863 (2016). \n[15] Z. Tang, E. Shikoh, H. Ago, K. Kawahara, Y. Ando, T. Shinjo, and M. Shiraishi , Phys. \nRev. B 87, 140401(R) (2013). \n[16] Y. Shiomi, K. Nomura, Y. Kajiwara, K. Eto, M. Novak, K. Segawa, Y. Ando, and E. \nSaitoh , Phys. Rev. Lett. 113, 196601 (2014). \n[17] M. Harder, Y. Gui, C. -M. Hu , Phys. Rep. 661, 1 (2016). \n[18] A. Tsukahara, Y. Ando, Y . Kitamura, H. Emoto, E. Shikoh, M. P. Delmo, T. Shinjo, and \nM. Shiraishi, Phys. Rev. B 89, 235317 (2014). \n[19] L. Chen, S. Ikeda, F. Matsukura, and H. Ohno, Appl. Phys. Express 7, 013002 (2014). \n[20] Y. Kajiwara, et al., Nature 464, 262 (2010). \n[21] J. Linder and J.W.A. Robinson, Nature Physics 11, 307 –315 (2015). \n[22] J. Y. Juang and D. A. Rudman, Advances in Cryogenic Engineering Materials, edited by \nR. P. Reed and A. F. Clark (Plenum, New York, 1986), 32, p. 651. \n[23] A. Pal, Z. H. Barber, J.W. A. Robinson and M. G. Blamire, Nat. Commun. 5, 3340 (2014). \n[24] K. Senapati, M. G. Blamire, and Z. H. Barber, Nat. Mater. 10, 849 -852, (2011). \n[25] A. Pal, K. Senapati, Z. H. Barber, and M. G. Blamire, Adv. Mater. 25, 5581 –5585 ( 2013 ). \n[26] A. Pal, J. A. Ouassou, M. Eschrig, J. Linder and M. G. Blamire, Scientific Reports , 7:40604 \n(2017). \n[27] T. Wakamura , H. Akaike , Y. Omori , Y. Niimi , S. Takahashi , A. Fujimaki , S. Maekawa \nand Y. Otani , Nat. Mater . 14, 675 -678, (2015). \n[28] Y. Yao, Q. Song, Y. Takamura, J. P. Cascales , W. Yuan, Y. Ma, Y. Yun, X. C. Xie, J. S. \nMoodera, and W. Han , Phys. Rev. B 97, 224414 (2018) . \n[29] M. Umeda , Y. Shiomi , T. Kikkawa , T. Niizeki , J. Lustikova , S. Takahashi , E. Saitoh , Appl. \nPhys. Lett. 112, 232601 (2018). \n[30] M. Eschrig, Rep. Prog. Phys. 78,104501 (2015). \n[31] E. E. Anderson, Phys. Rev. 134, 1581 (1964). \n[32] N. S. Sokolov et al., J. Appl. Phys. 119, 023903 (2016). \n[33] C. L. Jermain et al., Phys. Rev. B 95, 174411, (2017). \n[34] O. d'Allivy Kelly et al., App. Phys Lett. 103 082408 (2013). \n[35] H. L. Wang, C.H. Du, Y. Pu, R. Adur, P.C. Hammel, and F.Y. Yang , Phys. Rev. Lett. \n112, 197201 (2014). \n[36] Y. Tserkovnyak, A. Brataas, G. E. W. Bauer and B. I. Halperin, Rev. Mod. Phys. 77, 1375 \n(2005 ). \n[37] K. Ando et al. , J. Appl. Phys. 109, 103913 (2011). \n[38] K. Ando and E. Saitoh, Nat. Comms. 3, 629 (2012). \n[39] K. R. Jeon, C. Ciccarelli, H. Kurebayashi, J. Wunderlich, L. F. Cohen, S. Komori, J.W. \nA. Robinson, and M. G. Blamire , Phys. Rev . Appl. 10, 014029 (2018). \n[40] L. Zhang et al., Scientific Reports 8: 1486 (2018). \n[41] L. Q. Liu, R. A. Buhrman, and D. C. Ralph, arXiv:1111.3702. \n[42] A. R. Melnik et al. , Nature 511, 449 –451 (2014). 14 \n \n[43] Y. Fan et al., Nat. Mater. 13, 699 –704 (2014). \n[44] P. Deorani, J. Son, K. Banerjee, N. Koirala, M. Brahlek, S. Oh, and H. Yang , Phys . Rev. \nB 90 094403 (2014). \n[45]V. Flovik, F. Macia, A. D. Kent, and E. Wahlstrom, J. of Appl. Phys. 117, 143902 (2015). \n[46] M. A. W. Schoen, J. M. Shaw, H. T. Nembach, M. Weiler , and T. J. Silva, Phys. Rev. B \n92, 184417 (2015). \n[47] M. M. Qaid, T. Richter, A. Müller, C. Hauser, C. Ballani, and G. Schmidt, Phys. Rev. B \n96, 184405 (2017) . \n[48] E. Shigematsu , Y. Ando , R. Ohshima , S. Dushenko , Y. Higuchi , T. Shinjo , H. J. von \nBardeleben and M. Shiraishi , Appl. Phys. Express 9, 053002 (2016). \n[49] R. Ohshima, H. Emoto, T. Shinjo, Y. Ando, and M. Shiraishi, J. Appl. Phys. 117, 17D136 \n(2015). \n[50] M. Sparks, Ferromagnetic -Relaxation Theory (McGraw -Hill, 1964). \n[51] G. F. Dionne, Magnetic Oxides (Springer US, 2010). \n[52] E. L. Jakubisova, S. Visnovsky, H. Chang, and M. Wu, Appl. Phys. Lett. 108, 082403 \n(2016). \n[53] A. Mitra, O. Cespedes, Q. Ramasse, M. Ali, S. Marmion, M. Ward, R. M. D. Brydson, C. \nJ. Kinane, J. F. K. Cooper, S. Lang ridge, and B. J. Hickey, Sci. Rep. 7, 11774 (2017). \n[54] J. M. Gomez -Perez, et al., arXiv:1803.05545 . \n[55] L. McKenzie -Sell, M. Amado, G. Kimbell, G. Divitini, C. Ciccarelli, and J. W. A. \nRobinson, to be submitted (n.d.). \n[56] T. Yamagishi, J. Awaka, Y. Kawas hima, M. Uemura, S. Ebisu, S. Chikazawa, and S. \nNagata, Philos. Mag. 85, 1819 (2005). \n \nFigure captions \nFIG.1: Structural and magnetic properties of a bare (111) -oriented YIG film (nominally 60 -nm-\nthick) used in this work and deposited onto GGG. (a) 10×10 µm2 AFM topography scan \nshowing a root -mean -square roughness of less than 0.16 nm. (b) Magnetization hysteresis loops \ncharacterised by a superconducting quantum interference device magnetometer show ing a \nsaturation volume magnetization of 140 3 emu cm-3. (c) High angle X -ray diffraction data \ndemonstrating (111) orientation with visible Laue fringes on the (444) and (888) diffraction \npeaks characteristic of layer -by-layer growth. (d) Low angle X -ray reflectometry data (black) \nwith a best -fit (red) curve fr om which we estimate a nominal thickness of 60 2 nm. \n \n \nFIG.2: (a) A schematic of the spin -pumping setup. The lateral area of all samples is 5x5mm2. \nMW magnetic fields ( hrf) were generated by the transmission line to generate magnetic \ndynamics in the YIG film. Spin currents ( js) were emitted at the YIG/NbN interface, which can \ninduce ISHE voltages detected through the two electrodes attached to the edges of the sample. \nWe simultaneously measured the FMR absorption signal as a voltage in a microwave power \nmeter ( VP) connected to the microwave line and the ISHE signal ( VISHE) using two lock -in \namplifiers. (b) FMR absorption measurements for different MW frequencies. (b) FMR \nabsorption measurements for different MW frequencies. Voltages in our MW power detect or \nwere measured while magnetic fields were swept. Dots in red, green, blue, cyan, pink, yellow \nand black represents measurement results for 3, 4, 5, 6, 8, 10 and 12 GHz respectively. (c) A \nplot of frequency versus FMR field ( Hres) for samples with differe nt NbN thicknesses. Dots \nrepresent experimental results and curves are produced by fitting using the Kittel formula. \n \n \nFIG.3: (a) Frequency dependence of FMR linewidth of YIG/NbN samples with different NbN \nthicknesses. Experimental data (filled points ) is fitted by a linear line ΔH =ΔH 0 + (4πα/γ) f, 15 \n \nwhere ΔH 0 and γ describe the inhomogeneous broadening and the gyromagnetic ratio \nrespectively, from which the Gilbert damping coefficient , α, is extracted . (b) Plots of α for \ndifferent YIG/NbN samples. Equation (1) was used to fit to the thickness dependence with the \nspin-diffusion length and the real part of mixing conductance as fitting parameters. The inset \ndepicts the resistivity as a function of NbN thickness. \n \n \nFIG.4: ISHE measurements. Simultaneous measurements of FMR absorption and ISHE \nvoltages for positive (a) and negative (b) magnetic field values for a tNBN = 20 nm sample. \nCorresponding data for tNBN = 5 nm are depicted in (c) and (d), respectively. Both VP and VISHE \npeaks appear at the same magnetic field, confirming that the voltages were generated when YIG \nmagnetic moments were preccessing. The sign change in voltage peaks observed between the \npositive and negative magnetic field regions is consistent with the spin -pumping/ISHE picture. \n \nFIG.5: Microwave power dependent measurements. (a) ISHE voltage measurements with \ndifferent insertion powers ( PMW). (b) A plot of ISHE voltage peak to peak amplitude ( V*ISHE) \nas a function of PMW. VISHE scales with PMW as expected from the spin pumping theory in the \nlinear regime. \n \nFIG.6: In -plane (a) and out -of-plane (b) angular dependences of VISHE signal peak to peak \namplitude ( V*ISHE). Fit curves in both angular dependences are discussed in the main text. We \nshow f it curves with four different spin -relaxation time ( 𝜏𝑠) in (b) to illustrate how the model \ncurve changes with 𝜏𝑠. The best fit curve was produced with 𝜏𝑠 = 11 ± 2 ps. We define three \nangles ( ϕ, ϕM, θ) as depicted in Figure’s insets. \n \nFIG.7: 𝑉ISHE𝑡NbN/𝜌NbN as a function of NbN thickness. We plot 𝑉ISHE𝑡NbN/𝜌NbN to normalise \n𝑉ISHE with NbN thickness and resistivity. By using Eq. (3) in the main text, we extract the spin -\nHall angle ( θSH) and spin -diffusion length ( 𝑙𝑠𝑑) of NbN to be 1.1 ×10-2 and 14 nm . The best fit \ncurve is shown along with the experimental data. \n \nFIG.8: Comparison of (a) ac and (b) dc VP measurements. The extracted parameters using \nEquations in Appendix A for each measurement method are depicted in the legends of the \nfigur es. We can confirm that the extracted values are almost the same for both measurements. \nFIG.9: Eddy -current damping contribution. (a) A schematic of our model for the eddy -current \ndamping. A chain of Magnetic moments (red arrow) lines up along the y direct ion and we \nconsider the magnetic field at Point P (x, 0) . (b) A plot of calculated eddy -current damping as \na function of the NbN thickness. The unit of the eddy -current damping is arbitrary in order to \ndiscuss them qualitatively. The thickness dependence i s clearly different from our experimental \nresults in Fig. 3(b), suggesting that this damping mechanism is not significant in our \nexperiments. \n \nFIG.10: FMR absorption spectra and ISHE voltages as a function of temperature for tNbN=10 \nnm sample. (a) FMR abso rption spectra measured at 3 GHz, with temperature ranging from \n260K to 3K. (b) Linewidth evolution with temperature for the 3 GHz measurements. Black data \ncorresponds to an YIG/NbN sample and red data to a bare YIG sample. (c) ISHE voltages \nmeasured at 3 GHz for the temperature region of 50K -300K. We confirm that the peak height \nis below the signal -to-noise ratio around 50 K. (d) The normalised ISHE voltage amplitude as \na function of temperature. The inset represents our four point probe measurements of Nb N \nresistivity (for tNbN = 10 nm). 16 \n \n(a) (b) (c) (d)Figure 1:\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 17 \n \nFigure 2:\n(a)\n-400 -200 0 200 400-2-1012\n \n \nH (mT)VP (mV)\nf=2GHz\nf=12GHz\nISHE(b)\n(c)\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 18 \n \n(a)Figure 3:\n0 5 10 150.00.51.01.5\n 0nm \n 5nm \n 10nm\n 15nm \n 20nm \n 30nm \n 50nm H (mT)\nf (GHz)\n(b)\n0 10 20 30 40 500.00040.00060.00080.00100.00120.0014\na\ntNbN(nm) Data\n gr = 10.44 nm-2 , lsd = 14.46 nm \n gr = 8 nm-2 , lsd = 14 nm\n gr = 13 nm-2, lsd = 18 nm\n gr = 22 nm-2 , lsd = 20 nm\n gr = 9 nm-2 , lsd = 16 nm\n0 10 20 30 40 5030405060 ( - cm)\ntNbN (nm)\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 19 \n \n(a)\n-0.40.00.4\n35 40 45 50 550.450.600.75 VP (mV)20nm\nT=300K\nf = 3GHz VISHE (V)\nH (mT) (b)Figure 4:\n-101\n35 40 45 50 5501T=300K\nf=3GHzVP (mV)5nmVISHE (V)\n 0H (mT)\n-101\n-55 -50 -45 -4001VP (mV)5nmVISHE (V)\n0H (mT)T=300K\nf=3GHz\n-0.40.00.4\n-55 -50 -45 -40 -350.450.600.75T = 300K VP (mV)20nm\nf = 3GHzVlSHE (V)\nH (mT)\n(c) (d)\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 20 \n \n(a)\n40 45 500.40.60.8 VISHE (V)\nH (mT) 8mW\n 18mW\n 32mW\n 56mW\n 100mW\n 178mW 300K \n3GHz(b)\n0 50 100 150 2000.00.10.20.30.40.5V*\nISHE (V)\nP\nMW (mW) 300K\n3GHzFigure 5:\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 21 \n \n(b)Figure 6:\n(a)\n0 90 180 270 360-1.0-0.50.00.51.0\n \n 10nm \n Fit\n 20nm \n FitV*\nISHE (V)\n (deg)\n90 75 60 45 30 15 00.00.51.0V*\nISHE()/V*=\nISHE (-)\n (deg) VISHE/V=\nISHE\n s = 11 ps\n s = 53 ps ( s = 1)\n s << 1/ \n s >> 1/ \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 22 \n \n0 10 20 30 40 500123\ntNbN (nm) V*\nISHEtNbN/NbN (A)\n data\n fitFigure 7:\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 23 \n \nFigure 8\n(a)(b)\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 24 \n \nFigure 9:\n(b)\n (a)\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 25 \n \nFigure 10:\n(a)\n(c)(b)\n(d)\n50 100 150 200 250 3000.00.20.40.60.81.01.2V*\nISHE/ V*300 K\nISHE\nT (K)\n20 30 40-3-2-10123\n \n30K\n3K210K\n170KVP (mV)\n H (mT)260K\n100K\n(x10)\n20 30 40-3.0-1.50.01.53.0\n \n100K170K210K260K\n50KVISHE(V)\nH (mT)75K\n10 1000510152025R4p (ohm)\nT (K)\n0 50 100 150 200 250 3000.51.01.52.02.53.0\n0H (mT)\nT (K)\n " }, { "title": "1502.05198v1.Spectral_shape_deformation_in_inverse_spin_Hall_voltage_in_Y3Fe5O12_Pt_bilayers_at_high_microwave_power_levels.pdf", "content": "Spectral shape deformation in inverse spin Hall voltage in Y 3Fe5O12jPt\nbilayers at high microwave power levels\nJ. Lustikova,1,a)Y. Shiomi,1Y. Handa,1and E. Saitoh1, 2, 3, 4\n1)Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n2)WPI Advanced Institute for Materials Research, Tohoku University, Sendai 980-8577,\nJapan\n3)CREST, Japan Science and Technology Agency, Tokyo 102-0076, Japan\n4)Advanced Science Research Center, Japan Atomic Energy Agency, Tokai 319-1195,\nJapan\n(Dated: 1 March 2022)\nWe report on the deformation of microwave absorption spectra and of the inverse spin Hall voltage signals in thin\nfilm bilayers of yttrium iron garnet (YIG) and platinum at high microwave power levels in a 9.45-GHz TE 011\ncavity. As the microwave power increases from 0.15 to 200 mW, the resonance field shifts to higher values, and\nthe initially Lorentzian spectra of the microwave absorption intensity as well as the inverse spin Hall voltage\nsignals become asymmetric. The contributions from opening of the magnetization precession cone and heating\nof YIG cannot well reproduce the data. Control measurements of inverse spin Hall voltages on thin-film YIG jPt\nsystems with a range of line widths underscore the role of spin-wave excitations in spectral deformation.\nI. INTRODUCTION\nSpintronics is a progressive field of electronics, in which\nin addition to the electronic charge used in conventional\nelectronics, the electron spin is employed for information\ntransmission.1In this stream, the generation, manipulation\nand detection of spin current, the flow of electronic spin\nangular momentum, are of main interest.\nA versatile method for generating spin currents in thin\nfilm bilayer systems comprising a ferromagnet and a para-\nmagnetic metal is spin pumping.2–9Upon ferromagnetic\nresonance (FMR) in the magnetic layer, precession mo-\ntion of magnetization relaxes not only through damping\nprocesses inside the ferromagnet but also by transfer of\nspin angular momentum to the conduction electrons in the\nneighbouring paramagnetic layer.\nIn a paramagnetic metal with spin orbit coupling, such\nas platinum, the injected spin current is converted into\na transverse charge current by means of the inverse spin\nHall effect (ISHE).6,8–10Since the injected spin current is\nproportional to the power absorbed by the magnetization\nexcitation,11,12spin pumping in combination with ISHE\nenables direct electric detection of magnetization dynam-\nics in the ferromagnet. In the uniform precession mode at\nlow microwave power, the microwave absorption intensity\nfollows a Lorentzian function,13,14which is reflected by\nthe ISHE voltage signal.6,9\nThe ferrimagnetic insulator yttrium iron garnet\n(Y3Fe5O12, YIG)15is a commonly used spin injector. The\nlow magnetic loss at microwave frequencies (damping\nconstant 3\u000210\u00005)16, as well as highly insulating proper-\nties (band gap 2.85 eV)17make it ideal for the transport\nand manipulation of pure spin currents. YIG also offers\na playground for exploring non-linear magnetization\nphenomena at high microwave power levels, such as spin\nwave instabilities, foldover and bistable behaviour.18,19\nIn recent years, there has been significant interest in\nobserving non-linear spin dynamics in YIG via ISHE\nmeasurements and harnessing these effects in spintronics\na)Electronic mail: lustikova@imr.tohoku.ac.jpdevices.20–23\nThe simplest example of a non-linear regime in spin\npumping is the effect of decreasing static component of\nmagnetization.24–26In perpendicular pumping, an external\nrf field hacwith frequency wis applied perpendicularly to\nthe direction of the static magnetic field H, which is point-\ning along the zdirection. This causes damped precession\nmotion of the magnetization around the z-axis. The total\nmagnetization vector Mthen consists of a static compo-\nnentMzand a dynamic component m(t). For small excita-\ntion amplitudes hac, such thatjmj\u001cjMj, one can approx-\nimate the static component of magnetization by the size\nof the saturation magnetization, Mz\u0019MS(linear regime).\nWith ever increasing microwave power, the decrease in the\nstatic component of magnetization Mzcan no longer be\nneglected. This leads to a decrease in the demagnetizing\nfield, which affects the resonance condition.13,24\nSuch feedback response in the resonance field (or fre-\nquency) leads to a ”foldover” of the initially Lorentzian\nmicrowave absorption spectrum and is responsible for\nbistability at even higher excitation amplitudes.26,27\nFoldover and bistability in the FMR of YIG films have\nbeen reported previously, and have been attributed either to\nheat28or to spin-wave instability processes.29,30In Ref. 31,\nnonlinear effects in high power spin pumping have been\nattributed to spin-wave excitations, but the spectral shape\nhas not been discussed.\nIn this work we study the spectral shape deformation of\ninverse spin Hall voltages in Pt (14 nm) induced by spin\npumping from thin YIG films (96 nm). A quantitative\nanalysis of the spectra indicates that they cannot be well\nexplained by the opening of the magnetization precession\ncone, nor by heating of YIG upon FMR. The disappear-\nance of non-linearity in films with broader line widths sug-\ngest that the deformation occurs due to excitations of spin\nwave modes.\nII. EXPERIMENT AND RESULTS\nYIG films investigated in this study were deposited by\non-axis magnetron rf sputtering on gadolinium gallium\ngarnet (111) (Gd 3Ga5O12, GGG) substrates with a thick-arXiv:1502.05198v1 [cond-mat.mes-hall] 18 Feb 20152\nness of 500 mm. The base pressure was 2 :3\u000210\u00005Pa, and\nthe pressure of the pure argon atmosphere was 1 :3 Pa. Dur-\ning deposition, the substrate remained at ambient temper-\nature and the deposition rate was 2 :7 nm/min. Crystaliza-\ntion was realized by post-annealing in air at 850\u000eC for 24\nhours. Thickness of the films was 96 nanometers. The ef-\nfective saturation magnetization and the damping constant\nof the films were 103 \u00065 kA/m and (7:0\u00061:0)\u000210\u00004, re-\nspectively; with a peak-to-peak line width of (0:40\u00060:03)\nmT at a frequency of 9 :45 GHz. The structural prop-\nerties as well as the spin injection efficiency in the lin-\near low-power regime of such films have been described\nelsewhere.32\nFor spin current detection, the YIG samples were coated\nby a 14-nm-thick platinum film by rf sputtering, causing\nthe line width to enhance to (0:52\u00060:02)mT. Platinum\nwas chosen for its high conversion efficiency from spin\ncurrent to charge current.9The width and length of the\nsamples were w=1 mm and l=3 mm, respectively.\nFigure 1 summarizes the setup and the results of the\nspin pumping experiment on samples prepared by sput-\ntering. Figure 1(a) is an illustration of the experimental\nsetup. The measurement was performed at room temper-\nature in a 9.45-GHz TE 011cylindrical microwave cavity\nwith microwave power PMWin the range from 0.15 mW\n(corresponding to an rf field m0hac=4:4mT) to 200 mW\n(m0hac=0:16 mT). The sample was placed in the centre\nof the cavity where the electric field component of the mi-\ncrowave is minimized while the magnetic field component\nis maximized and lies in the plane of the sample surface. A\nstatic magnetic field was applied in the plane of the sam-\nple surface perpendicular to the direction of the rf field and\nto the direction in which the ISHE voltage was measured.\nThe microwave absorption intensity was measured using a\nfield lock-in technique.9\nThe obtained microwave absorption derivative spectra\ndI=dHand normalized ISHE voltage signals V=Vmaxat\nvarious values of PMWare shown in Fig. 1 (b) and (c),\nrespectively. Here, Vmaxdenotes the maximal value of the\nvoltage peak. All curves were obtained by sweeping the\nstatic field at a fixed rate of 60 mT/min.\nAt the lowest power ( PMW=0:15 mW), the microwave\nabsorption derivative spectrum dI=dHin Fig. 1(b) has the\nshape of the first derivative of a Lorentzian function, as\npredicted by linear magnetization dynamics. The corre-\nsponding ISHE voltage signal in Fig. 1(c) also follows a\nLorentzian profile, with the same resonance field HRand\nfull width at half maximum as the microwave absorption\nspectrum. The ISHE origin of the voltage signal has been\nconfirmed in Ref. 32. This Lorentzian behaviour is pre-\nserved in the low power regime ( PMW=0:15, 1, 10 mW).\nAs the microwave power is increased beyond 10 mW\n(PMW=50, 100, 200 mW) a shift of the resonance field\nHRto higher values occurs. Along with this HRshift, the\nmicrowave absorption spectra as well as the voltage signals\ngradually develop a deformed shape. This feature is most\npronounced at the highest power, PMW=200 mW. Here,\nthe left shoulder of the microwave absorption derivative\nis significantly broader than the right one, which exhibits\na sharp dip. This derivative spectrum shows good corre-\nspondence with the voltage signal, which has the shape of\nan inclined Lorentzian peak with a broad left shoulder and\na narrow right shoulder. Subsidiary resonance peaks were\nFIG. 1. (a) Schematic illustration of the experimental setup. H,\nhac,M(t),jsandsdenote the static magnetic field, the rf field,\nthe magnetization vector, the injection direction of spin current\ngenerated by spin pumping and the spin-polarization vector of\nthe spin current, respectively. The bent arrows in the Pt layer\nillustrate the motion of the electrons under the influence of the\nspin-orbit coupling which leads to the appearance of a transverse\nelectromotive force (ISHE). (b) Field Hsweep of the microwave\nabsorption intensity differentiated by H, (c) normalized inverse\nspin Hall voltage at selected values of microwave power PMW.\nHere, Vmaxis the peak value of the voltage signal. The yellow\ncurves are eye guides visualising the position of the resonance at\neach PMW. (d) PMWdependence of the observed ferromagnetic\nresonance field HR(black circles) and of the static component of\nthe magnetization Mz(red triangles) determined from the reso-\nnance condition, Eq. (1).\nnot observed in the spin pumping measurement.\nThe shift of the measured resonance field m0HRto\nhigher values with increasing PMWis shown in Fig. 1(d).\nAs the power is increased from 0.15 mW to 200 mW, m0HR\nis first constant at a value of 275.3 mT up to PMW=8 mW,\nand after that, gradually increases to 277.3 mT at the high-\nest microwave power.\nThis increase in resonance field HRpoints to a decrease\nin the static component of the magnetization Mzwhich can\nbe estimated from the resonance condition.28,29For tan-\ngentially magnetized films (with static magnetic field in\nthez-direction), an rf demagnetizing field is created by the\nout-of plane dynamic component of magnetization, lead-\ning to a resonance condition13\n\u0012w\ng\u00132\n=m0HR(m0HR+m0Mz); (1)\nbased on which the observed HRshift corresponds to a\ndecrease in Mzfrom 103.4 kA/m to 99.4 kA/m. The PMW\ndependence of Mzis plotted in Fig. 1(d). Reflecting the\nbehaviour of HR, the static component of magnetization Mz\nis first constant up to PMW=8 mW, and after that gradually3\ndecreases with increasing microwave power.\nIII. ANALYSIS AND DISCUSSION\nThe observation of asymmetric spectral profiles in the\nmicrowave absorption intensity and of those in the ISHE\nvoltage is likely linked to a decrease in the static com-\nponent of the effective saturation magnetization, which\ncan be caused by (i) opening of the precession cone, (ii)\nheating of the ferromagnet, or (iii) spin wave instabil-\nity processes.29We first examine the uniform precession\nmode, case (i) and (ii).\nThese two mechanisms are illustrated in Figs. 2(a) and\n(b). In Fig. 2(a), increasing the rf field leads to the opening\nof the precession cone. While the total magnetization vec-\ntorMremains unchanged, the static component Mz, which\nis the projection of Minto the direction of the external\nfield, decreases. In Fig. 2(b), the heating of the ferro-\nmagnet due to microwave absorption is considered. The\nincreasing thermal fluctuations of the individual spins re-\nsult into a decrease in the total magnetization M, leading\nto a smaller static component Mz.\nIn both cases, the increase in microwave absorption in-\ntensity with increasing power leads to a decrease in the\nstatic component of magnetization Mz, which manifests it-\nself as an increase in the resonance field HRvia the reso-\nnance condition in Eq. (1). One then has to consider the\ndependence of HRon the microwave absorption intensity\nI, so that the originally Lorentzian spectral shape of I(H)\nassumes the following form:27\nI(H) =G2\n(H\u0000HR(I))2+G2; (2)\nFIG. 2. Two possible mechanisms of spectral shape deforma-\ntion at high microwave power levels. (a) Opening of the pre-\ncession cone causes the z-component of the magnetization vec-\ntor (blue arrows) to decrease from the saturation value MSto a\nsmaller value Mz. (b) The magnetization vector of the ferromag-\nnet “shrinks” due to thermal fluctuations caused by heating upon\nFMR, leading to a smaller z-component Mz. Results of the nu-\nmerical calculation of spectral shape of the microwave absorption\nintensity using Eq. (2), (c) for the opening of the precession cone\nat selected values of precession angle qRat FMR, and (d) for\nheating at selected values of temperature increase DTRat FMR.where Gis a damping factor and the expression is normal-\nized so that I(HR) =1. The dependence of the resonance\nfield on the microwave absorption intensity, HR=HR(I),\ncauses a deformation of the microwave absorption spec-\ntrum I(H)into an inclined Lorentzian peak.\nAt each point of the H-sweep, HRis determined from\nEq. (1) as m0HR=\u00001\n2m0Mz+1\n2q\n(m0Mz)2+4(w=g)2.\nThe spectral shape of the microwave absorption intensity\ncan be then obtained by solving Eq. (2) for a given de-\npendence of Mzon the microwave absorption intensity\nI(H). In the analysis below we fix the damping parame-\nterG=0:42 mT, as determined from Lorentzian fit of the\ndata at PMW=0:15 mW, and use (w=g) =0:334 T.\nWe first analyze the case of the opening precession\ncone [case (i)]. The precession angle qis defined as the\nangle between the magnetization vector Mand the di-\nrection of the static magnetic field ( z-direction), so that\nMz=MScosq. We assume that the precession angle q\nincreases linearly with the microwave absorption intensity,\nq=k1I. The normalization requirement I(HR) =1 leads\nto a coefficient k1=qR, where qRis the precession angle\nat FMR. We obtain the spectral shape of the microwave\nabsorption intensity, I(H), by solving Eq. (2) with HR(I)\ndetermined from Eq. (1), where Mz(I) =MScos(qRI). The\nsaturation magnetization is here fixed at MS=103 kA/m as\ndetermined from the FMR condition at PMW=0:15 mW.\nThe numerical solutions of Eq. (2) for selected values\nofqRare plotted in Fig. 2(c). With increasing qR, the\nspectra develop an asymmetric shape with HRshifting to-\nwards higher values. At qR=10\u000e, Eq. (2) has more than\none solution, which leads to different values of microwave\nabsorption intensity when sweeping the magnetic field in\nopposite directions. When sweeping the static magnetic\nfield in the upward direction, I(H)increases up to a maxi-\nmum at H1, after which is suddenly drops. For a sweep in\nthe downward direction, I(H)increases only slightly up to\nH2(smaller than H1), where it abruptly jumps to its value\nat the upward sweep, and then decreases along the same\npath as the in upward sweep.\nThis hysteretic behaviour of the numerical solution sets\nin atqR=8\u000e, at which the resonance field predicted from\nMzby Eq. (1) is HR=275:8 mT. In our experiments, this\nvalue of HRwas observed for PMWbetween 10 mW and\n50 mW where the experimental spectra are nowhere near\nhysteretic. Therefore, the observed spectra cannot be ex-\nplained by the opening of the precession cone.\nNext, we discuss heating of the YIG upon FMR [case\n(ii)]. Here, we assume the precession angle to be negli-\ngibly small so that Mz\u0019MS. According to magnetization\nmeasurements, the temperature dependence of the magne-\ntization of YIG in the vicinity of 300 K is approximately\nlinear,33and can be expressed as\nMz(T) =MS(1\u0000kDT); (3)\nwhere MSis the effective saturation magnetization at 300\nK, and DTis the increase in the temperature of YIG, with\nbase taken at 300 K. The linear coefficient was estimated\nask=2:14\u000210\u00003K\u00001based on Ref. 33 by a linear fit of\nthe magnetization curve [Fig. 1 in Ref. 33] in the vicinity\nof 300 K. The coefficient in our samples, obtained by mea-4\nFIG. 3. (a) Temperature increase DTRcalculated from the ferromagnetic resonance field HRat each PMWand Eq. (3). (b) Comparison\nof the ISHE voltage signal observed at PMW=200 mW and a sweep rate of 60 mT/min (“data”) and the numerical calculation for DT\nproportional to I(H)at every point of the sweep corresponding to immediate cooling (“calc 1”) and for a relaxation time of t=15 s\n(“calc 2”). (c) Comparison of the ISHE voltage signal observed at PMW=200 mW and a sweep rate of 1.3 mT/min in upward (black\ndots) and downward sweep (grey dots) with calculated curves for t=40 s (“calc”), for upward and downward sweep as indicated by\nred arrows.\nsuring the temperature dependence of magnetization, was\n2:23\u000210\u00003K\u00001.\nWe assume that DTis proportional to the absorbed mi-\ncrowave power I(H)at every point of the field sweep,\nDT(H) =k2I(H):Again, due to the normalization I(HR) =\n1, we have k2=DTR, where DTRdenotes the temperature\nincrease at FMR. To obtain the spectral shape of the mi-\ncrowave absorption intensity I(H), we solve Eq. (2) with\nHRdetermined from Eq. (1), where Mz(T)is given by Eq.\n(3). In the calculation, we take MS=103 kA/m.\nThe calculated curve of the FMR spectrum for selected\nvalues of DTRis plotted in Fig. 2(d). As the temperature\nincreases, the spectrum takes on an asymmetric shape with\nthe peak shifting towards higher values of magnetic field.\nThe left shoulder of the FMR peak broadens with increas-\ning temperature. The apparent line width of the FMR peak\nincreases with increasing DTR. ForDTR=10 K we observe\nhysteretic behaviour between the upward and downward\nfield sweep.\nBased on Eq. (3) we first estimate the temperature in-\ncrease DTRat ferromagnetic resonance that would be nec-\nessary to explain the magnitude of the decrease in Mzwith\nincreasing microwave power shown in Fig. 1(d). The re-\nsult is shown in Fig. 3(a). Up to PMW=8 mW, DTRis\nzero, and after that gradually increases with increasing mi-\ncrowave power. The overall behaviour reflects the PMW\ndependence of HRandMz. For PMW=200 mW, a temper-\nature increase of 18 :5 K is estimated.\nFigures 3(b), (c) compare the results of the numerical\ncalculation for case (ii) with the voltage signal observed\natPMW=200 mW. Here, the assumption is that the ISHE\nvoltage observed in the spin pumping is directly propor-\ntional to the absorbed microwave power I(H).\nIn Fig. 3(b) the ISHE voltage obtained at PMW=200\nmW and a sweep rate of 60 mT/min is compared with\nthe result of the calculation for DTR=18:5 K (“calc 1”).\nWhile the voltage signal is smooth, the calculated curve\ndrops sharply after resonance and is a bad fit to the exper-\nimental data. To obtain a good fit at the right flank, it is\nnecessary to assume that the temperature after resonance\ndecreases exponentially back to 300 K with a relaxation\nconstant t, that is, DT(H) =DTRexp[\u0000(H\u0000HR)=(v\u0001t)].\nHere, vis the field-sweep rate. The best agreement be-\ntween the signal and the calculated curve was obtained for\nt=15 s (“calc 2”) which signifies a rather slow coolingprocess. However, there is a slight disagreement between\ndata and calculation at the left flank.\nIn Fig. 3(c) we show the ISHE signal obtained at\nPMW=200 mW and a sweep rate of 1.3 mT/min. The\nshape of the signal resembles that at higher sweep rate, but\nHRis shifted to higher values (278.5 mT) leading to an es-\ntimate DTR=28:4 K. Hysteretic behaviour was observed,\nnamely, the resonance field in the downward sweep (278.3\nmT) is smaller than in the upward sweep (278.5 mT). Cal-\nculation for DTR=28:4 K is plotted along with the data.\nThe disagreement between the left flank of the signal and\nthe calculation in the upward sweep is even larger than in\nFig. 3(b). Further, to simulate the slow decrease of the\nvoltage at the right flank in the upward sweep, a relaxation\ntime of more than t=40 s is required. Moreover, the cal-\nculated curve in the downward sweep reaches resonance at\n276 mT which is in strong disagreement with the observed\ncurve.\nThere are several problems with the heating model. The\ncooling times estimated from the experimental curves at\n60 mT/min and 1.3 mT/min, t=15 s and more than 40\ns, respectively, are unreasonably high considering that the\nsmall volume of the ferromagnetic film, attached to a thick\nGGG substrate acting as a heat bath, should cool almost\ninstantaneously. In addition, the modelled curves do not\nmatch the left flank of the signal at any sweep rate. Fi-\nnally, the temperature increase DTRrequired to explain the\nHRshift leads to a hysteresis that is much larger than the\nobserved one; namely a HRdifference between upward\nand downward sweep that is almost 8 times higher than\nthe observed one for the 1 :3 mT/min sweep [Fig. 3(c)].\nFrom these observations we conclude that a simple heat-\ning model cannot explain the data.\nThe discussion above suggests that the origin of the non-\nlinear phenomena observed is neither opening of the pre-\ncession cone, nor heating upon FMR. A possible mech-\nanism of the decrease in the resonance field HRwith in-\ncreasing microwave field might be the outflow of Mzcom-\nponent into spin waves with zero or non-zero wave vector.\nConsequently, the deformation of the FMR spectra as well\nas the ISHE signals might be related to the excitation of\nspin waves.5\nFIG. 4. (a) Inverse spin Hall voltage signal observed in a YIG(96\nnm)jPt(14 nm) sample where the YIG was additionally annealed\nin vacuum prior to Pt coating. The signal at PMW=1 mW is plot-\nted 50 times larger for increased visibility. The fit is a Lorentzian\nfunction. (b) Microwave power dependence of the peak value of\nthe ISHE voltage observed in a YIG jPt sample which has been\ncoated by Pt directly after annealing of YIG in air (”before an-\nnealing”) and which has in addition been annealed in vacuum be-\nfore Pt deposition (”after annealing”). The signal from the former\nsample is plotted reduced by a factor 5 for improved visibility.\nThe black lines are a guides for the eyes.\nIV. CONTROL MEASUREMENTS ON YIG FILMS\nWITH DIFFERENT LINE WIDTHS\nTo further investigate the behaviour at high power mi-\ncrowave levels, we have performed spin pumping from\nsputtered YIG films which, in addition to annealing in air,\nhave also been annealed in vacuum, as well as from films\nprepared by pulsed laser deposition (PLD).\nFilms prepared by sputtering under the conditions de-\nscribed in section II., were additionally annealed in vac-\nuum at 500\u000eC for 3 hours. The line width of the sam-\nples at 9 :45 GHz increased from (0:95\u00060:21)mT to\n(2:14\u00060:13)mT by this process. A possible reason for\nthe line width enhancement are oxygen vacancies near the\nsample surface introduced during the vacuum annealing\nprocess.\nFigure 4 presents the effects of the vacuum annealing\non spin pumping. In Fig. 4(a) we show the inverse spin\nHall voltage signal from a sample where the YIG has been\nannealed in air, later in vacuum, and finally coated by 14-\nnm Pt layer by sputtering at room temperature. The ISHE\nsignal at both low ( PMW=1 mW) and high ( PMW=200\nmW) microwave power has a Lorentzian shape. The spec-\ntral deformation is neither present in the microwave ab-\nsorption spectra. Fig. 4(b) shows the PMWdependence\nof the ISHE voltage at FMR in a sample where the YIG\nhas only been annealed in air (”before annealing”) and a\nsample where the YIG has been annealed in air and addi-\ntionally in vacuum (”after annealing”). In the former case,\nthe power dependence of ISHE voltage deviates from the\npredicted linear dependence, namely, the signal amplitude\nat higher power levels is smaller than that given by the ex-\npected linear dependence. However, in the latter case the\npower dependence follows a straight line as expected in\nthe conventional spin pumping model.9Annealing of the\nYIG in vacuum caused the magnitude of the ISHE signal\natPMW=200 mW become smaller by a factor of 9 com-\npared to untreated samples.\nFinally, we look at spin pumping in a YIG jPt system\nwhere the YIG has been prepared by PLD. The films were\ndeposited on GGG substrates from a stoichiometric target\nusing a KrF excimer laser with a repetition rate of 10 Hz.During the deposition, the GGG substrate was kept at 750\n\u000eC and a pure oxygen atmosphere with a pressure of 27\nPa was maintained. The deposition rate was 0.053 nm/min\nand the final thickness 9 nm. After the growth, the films\nwere annealed at 800\u000eC in 63 kPa oxygen gas for 1 hour.\nThe results are summarized in Fig. 5. The TEM cross-\nsection image of a GGG jYIG(9 nm)jPt(8 nm) sample is\nshown in Fig. 5(a). The YIG grows epitaxially on the\nGGG substrate and the garnet structure is perfectly main-\ntained throughout the thin film. For spin pumping experi-\nments, the YIG has been coated by 14-nm Pt layer by sput-\ntering. A comparison of the microwave absorption deriva-\ntive spectra prior to and after Pt sputtering is shown in\nFig. 5(b). Prior to Pt coating (“YIG”), the spectrum is\na Lorentzian function derivative for low microwave power\n(PMW=1 mW). At PMW=200 mW a deformation of the\nspectrum and an HRshift qualitatively similar to those\npresented in Fig. 1(b) were observed. After Pt coating\n(“YIGjPt”), the microwave absorption spectrum broadens,\nhas a Lorentzian derivative shape and does not change by\nincreasing the power from PMW=1 mW to 200 mW. The\nspectral width enhancement measured at PMW=1 mW\nwas from 0 :64 mT in bare YIG to 4 :40 mT in YIGjPt at\na frequency of 9 :45 GHz. The shift of HRby increasing\nthe power from PMW=1 mW to 200 mW corresponds to\na decrease in effective Mzfrom 142 kA/m to 136 kA/m in\nYIG, and from 162 kA/m to 160 kA/m in YIG jPt.\nThe results of the ISHE measurements on the (PLD-\nmade-YIG)jPt system are shown in Figs. 5(c),(d). The\nspectral shape was that of a Lorentzian for all microwave\npowers from PMW=1 mW to 200 mW [Fig. 5(c)]. The\npower dependence of the ISHE signal amplitude is linear\n[Fig. 5(d)].\nFIG. 5. Spin pumping from YIG prepared by PLD. (a) Cross-\nsection TEM image of a GGG jYIG(9 nm)jPt(8 nm) sample. (b)\nFMR spectra of a bare YIG(9 nm) sample (blue curves) and a\nYIG(9 nm)jPt(14 nm) sample (red curves) at PMW=1 mW and\n200 mW. Here, HRdenotes the resonance field at PMW=1 mW.\n(c) Inverse spin Hall voltage signal in a YIG(9 nm) jPt(14 nm)\nsample at PMW=1 mW and 200 mW. The signal at PMW=1 mW\nhas been plotted multiplied by factor 50 to improve visibility. The\nfit is a Lorentzian function. (d) Microwave power dependence of\nthe peak value of the ISHE voltage (red points). The black line is\na guide for the eyes.6\nThe overall trends observed in the measurements on\nYIGjPt systems where the YIG has been prepared by\n(A) sputtering at room temperature and subsequent post-\nannealing in air, (B) same as (A) and additional anneal-\ning in vacuum, (C) pulsed laser deposition, are the follow-\ning. (i) A ”foldover” of the microwave absorption spec-\ntra as well as of the inverse spin Hall voltage signals with\nincreasing microwave power was observed in films with\nsmall line widths (below 1 mT at 9 :45 GHz). The spec-\ntra remained Lorentzian in films with broader line widths\n(more than 2 mT at 9 :45 GHz). (ii) At increased mi-\ncrowave power levels, a shift of the resonance field cor-\nresponding to a decreased effective static magnetization\ncomponent develops. (iii) A strongly non-linear power\ndependence of the ISHE voltage amplitude was observed\nalong with the spectral shape deformation. The power de-\npendence in films with Lorentzian spectra was linear even\nat high microwave power levels.\nThe fact that the deformation of spectral shapes, as well\nas the non-linear power dependence of the ISHE voltages\nare only present in films with lower damping may be ex-\nplained in terms of spin-wave excitations, which can cause\na reduction of Mz. Spin pumping is realized for spin waves\nwith zero as well as non-zero wave vectors, and these spin-\nwave excitations can be detected via ISHE.22,34A simi-\nlar non-linear power dependence of the ISHE voltage at-\ntributed to spin-wave excitations has been observed in 200-\nnm thick YIG with a damping constant 2 \u000210\u00004which was\nprepared by liquid phase epitaxy,35and demonstrated even\nin spin pumping from Bi:YIG films prepared by metal-\norganic decomposition method.31\nV. CONCLUSION\nIn summary, we have investigated the microwave ab-\nsorption spectra of tangentially magnetized 96-nm thick\nsputtered YIG films and the inverse spin Hall voltage sig-\nnals induced in adjacent Pt layers by spin pumping in a\nTE011microwave cavity. We have found that with increas-\ning microwave power, the absorption spectra as well as\nthe voltage signals develop an asymmetric shape with a\nbroadened left shoulder and that the resonance field shifts\nto higher values, which points to a decrease in the static\ncomponent of the magnetization. Analysis of the spec-\ntral shapes and comparison with measurements on other\nYIGjPt systems suggests that this deformation may be\ncaused by spin-wave excitation processes. Inverse spin\nHall effect enables direct electrical detection of this pro-\ncess.\nACKNOWLEDGMENTS\nThis work was supported by CREST “Creation of\nNanosystems with Novel Functions through Process Inte-gration”, Strategic International Cooperative Program AS-\nPIMATT from JST, Japan, and Grants-in-Aid for Chal-\nlenging Exploratory Research (No. 26610091) and Sci-\nentific Research (A) (No. 24244051) from MEXT, Japan.\n1S. Maekawa, Concepts in Spin Electronics (Oxford Univ. Press, 2006).\n2R. H. Silsbee, A. Janossy, and P. Monod, Phys. Rev. B 19, 4382 (1979).\n3Y . Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev. Lett. 88,\n117601 (2002).\n4S. Mizukami, Y . Ando, and T. Miyazaki, Phys. Rev. B 66, 104413\n(2002).\n5A. Azevedo, L. H. Vilela Leao, R. L. Rodriguez-Suarez, A. B. Oliveira,\nand S. M. Rezende, J. Appl. Phys. 97, 10C715 (2005).\n6E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys. Lett. 88,\n182509 (2006).1\n7M. V . Costache, M. Sladkov, S. M. Watts, C. H. van der Wal, and B. J.\nvan Wees, Phys. Rev. Lett. 97, 216603 (2006).\n8Y . Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi,\nH. Umezawa, H. Kawai, K. Ando, K. Takanashi, S. Maekawa, and E.\nSaitoh, Nature 464, 262 (2010).\n9K. Ando, S. Takahashi, J. Ieda, Y . Kajiwara, H. Nakayama, T. Yoshino,\nK. Harii, Y . Fujikawa, M. Matsuo, S. Maekawa, and E. Saitoh, J. Appl.\nPhys. 109, 103913 (2011).\n10S. O. Valenzuela and M. Tinkham, Nature 442, 176 (2006).\n11H. Y . Inoue, K. Harii, K. Ando. K. Sasage, and E. Saitoh, J. Appl. Phys.\n102, 083915 (2007).\n12K. Ando, J. Ieda, K. Sasage, S. Takahashi, S. Maekawa, and E. Saitoh,\nAppl. Phys. Lett. 94, 262505 (2009).\n13D. D. Stancil, and A. Prabhakar, Spin Waves (Springer, 2009).\n14B. Lax, and K. J. Button, Microwave Ferrites and Ferrimagnetics (Mc-\nGraw Hill, 1962).\n15H. L. Glass, Proc. IEEE 76, 151 (1988).\n16M. Sparks, Ferromagnetic relaxation theory (McGraw Hill, New York,\n1964).\n17Y . Sun and M. Wu, in Solid State Physics, edited by M. Wu and A.\nHoffmann (Elsevier, 2014), V ol. 64, Chap. 6.\n18H. Suhl, J. Phys. Chem. Solids 1, 209 (1957).\n19E. Schl ¨omann, J. J. Green, and U. Milano, J. Appl. Phys. 31, S386\n(1960).\n20H. Kurebayashi, O. Dzyapko, V . E. Demidov, D. Fang, A. J. Fergusson,\nand S. O. Demokritov, Nature Mat. 10, 660 (2011).\n21H. Kurebayashi, O. Dzyapko V . E. Demidov, D. Fang, A. J. Ferguson,\nand S. O. Demokritov, Appl. Phys. Lett. 99, 162502 (2011).\n22M. B. Jungfleisch, A. V . Chumak, V . I. Vasyuchka, A. A. Serga, B.\nObry, H. Schultheiss, P. A. Beck, A. D. Karenowska, E. Saitoh, and B.\nHillebrands, Appl. Phys. Lett. 99, 182512 (2011).\n23K. Ando, and E. Saitoh, Phys. Rev. Lett. 109, 026602 (2012).\n24P. E. Wigen, Nonlinear Phenomena and Chaos in Magnetic Materials\n(World Scientific, Singapore 1994).\n25P. W. Anderson and H. Suhl, Phys. Rev. 100, 1788 (1955).\n26Y . K. Fetisov, IEEE Trans. Magn. 35, 4511 (1999).\n27A. Prabhakar and D. D. Stancil, J. Appl. Phys. 85, 4859 (1999).\n28Y . T. Zhang, C. E. Patton, IEEE Trans. Magn. 22, 993 (1986).\n29Y . T. Zhang, C. E. Patton, and G. Srinivasan, J. Appl. Phys. 63, 5433\n(1988).\n30M. Chen, C. E. Patton, G. Srinivasan, and Y . T. Zhang, IEEE Trans.\nMagn. 25, 3485 (1989).\n31R. Iguchil, K. Ando, T. An, E. Saitoh, and T. Sato, IEEE Trans. Magn.\n48, 3051 (2012).\n32J. Lustikova, Y . Shiomi, Z. Qiu, T. Kikkawa, R. Iguchi, K. Uchida, and\nE. Saitoh, J. Appl. Phys. 116, 153902 (2014).\n33E. E. Anderson, Phys. Rev. 134, A1581 (1964).\n34C. W. Sandweg, Y . Kajiwara. A. V . Chumak, A. A. Serga, V . I.\nVasyuchka, M. B. Jungfleisch, E. Saitoh, and B. Hillebrands, Phys. Rev.\nLett.106, 216601 (2011).\n35V . Castel, N. Vlietstra, B. J. van Wees, and J. Ben Youssef, Phys. Rev.\nB86, 134419 (2012)." }, { "title": "2104.12323v1.Cavity_magnomechanical_storage_and_retrieval_of_quantum_states.pdf", "content": "Cavity magnomechanical storage and retrieval of\nquantum states\nBijita Sarma, Thomas Busch\nQuantum Systems Unit, Okinawa Institute of Science and Technology Graduate\nUniversity, Okinawa 904-0495, Japan\nJason Twamley\nQuantum Machines Unit, Okinawa Institute of Science and Technology Graduate\nUniversity, Okinawa 904-0495, Japan\nand\nCentre for Engineered Quantum Systems, Department of Physics and Astronomy,\nMacquarie University, Sydney, New South Wales 2109, Australia\n27 April 2021\nAbstract. We show how a quantum state in a microwave cavity mode can be\ntransferred to and stored in a phononic mode via an intermediate magnon mode in\na magnomechanical system. For this we consider a ferrimagnetic yttrium iron garnet\n(YIG) sphere inserted in a microwave cavity, where the microwave and magnon modes\nare coupled via a magnetic-dipole interaction and the magnon and phonon modes in\nthe YIG sphere are coupled via magnetostrictive forces. By modulating the cavity\nand magnon detunings and the driving of the magnon mode in time, a Stimulated\nRaman Adiabatic Passage (STIRAP)-like coherent transfer becomes possible between\nthe cavity mode and the phonon mode. The phononic mode can be used to store\nthe photonic quantum state for long periods as it possesses lower damping than the\nphotonic and magnon modes. Thus our proposed scheme o\u000bers a possibility of using\nmagnomechanical systems as quantum memory for photonic quantum information.\nKeywords : Cavity Magnomechanics, Quantum Information Storage, Stimulated Raman\nAdiabatic Passage\n1. Introduction\nThe coupling between magnons in a ferrimagnetic material and phonons in a\nmechanical resonator has attracted wide attention in recent years due to its\napplication in magnomechanical settings similar to cavity quantum electrodynamics\nand optomechanics. A mechanical resonator can be coupled to an optical cavity mode\nvia radiation pressure interaction [1, 2], as well as to a microwave cavity mode via an\nelectrostatic interaction [3, 4]. Thus such mechanical mirrors can be used as transducersarXiv:2104.12323v1 [quant-ph] 26 Apr 2021Cavity magnomechanical storage and retrieval of quantum states 2\nfor the coherent transfer between optical and microwave \felds [5, 6], or as optical\nwavelength converters [7]. One of the relatively new candidates in the picture is the\nmagnon, a collective excitation of magnetization, which can be coupled to phonons\nvia the magnetostrictive force [8]. This type of coupling has better tunability as the\nmagnon frequency can be controlled via an external magnetic \feld [9, 10, 11]. Magnons\ncan in turn be strongly coupled to microwave cavity modes as well, particularly in\nthe insulating magnetic material yttrium iron garnet (YIG). The Kittel mode [12],\nfound in YIG spheres, can couple very strongly to cavity photons or to superconducting\nquantum circuits [13], producing a hybrid system that can be e\u000eciently used in quantum\ninformation processing [14, 15, 16, 17, 18, 19, 20, 21, 22, 23]. This kind of magnon-cavity\ncoupling can also give rise to bistable behavior as studied in [24].\nCombining the magnetostrictive coupling between magnons and phonons and the\nmagnon-cavity coupling, a new kind of photon-magnon-phonon interaction can be\nrealized with YIG spheres interacting with microwave cavities. This opens up new\npossibilities in quantum state engineering and control. Here we consider a photon-\nmagnon-phonon coupled system which is capable of transferring cavity photons to\nmechanical motional phonons, enabling the storage of photonic quantum states for\nlong durations, thanks to the lower damping rate of the phononic mode. Storage of\nquantum states and information in long-lasting modes is very important for quantum\ncommunication networks. Photons are the usual candidate for the \rying qubits, whereas\nsome other quantum system with a longer coherence time is necessary for the storage of\nquantum information in quantum repeaters and networks [25]. The storage of quantum\nstates has, for example, been previously studied in atomic media, where the information\nis stored as spin excitations [26, 27, 28], in optomechanical systems [29, 30, 31], in\ncoupled optical waveguides, or in acoustic excitations [32, 33, 34, 35].\nThe system we consider consists of a YIG-sphere placed inside a microwave cavity\nwhere the magnon modes of the sphere couple with the deformation phonon modes via\na magnetostrictive force, and also to the electromagnetic cavity modes via a magnetic\ndipole interaction. We drive the magnon mode with a microwave \feld such that the time-\nmodulation of the amplitude of the drive on the magnon mode yields a time-dependent\ncoupling strength between the magnon and the mechanics. Using additionally time-\nmodulated resonance frequencies of the photonic and magnon modes, a STIRAP-like\none-way transfer becomes possible [36, 37], which e\u000eciently transfers quantum states\nfrom the cavity mode to the mechanical mode. The quanta can be stored in the\nmechanical resonator for some time and can then be extracted using a retrieval pulse.\nIn this way quantum states can be stored for times longer than the cavity lifetime, due\nto the lower damping rate of the phononic mode. We show that our storage protocol\ncan be applied to various kinds of quantum states.Cavity magnomechanical storage and retrieval of quantum states 3\nFigure 1. (a) Schematic of the cavity magnomechanical system where a YIG-sphere is\nplaced inside a microwave cavity so that the magnon modes of the sphere interact with\nthe cavity mode via a collective-spin-photon coupling, and also with the deformation\nphonon modes via a magnetostrictive force. The vertical dashed arrows indicate an\napplied magnetic \feld and the dynamical magnetization causes a deformation of the\nYIG sphere (see top view in lower panel), which leads to magnetostrictive coupling\nbetween magnons and phonons [8]. (b) The coupling pulses and the detunings in units\nof!b=2\u0019, and the variation in the cavity, magnon and phonon states for di\u000berent\ndurations of the pulse sequence. This choice of pulses leads to a STIRAP-like one-\nway transfer for quantum states from the cavity mode ato the mechanical mode b\nand after some storage delay, a transfer back to the cavity mode. The state to be\ntransferred is initially in the cavity mode and the \flled circles indicate the mode\nthat the quantum state is encoded in at particular times during the protocol. The\nparameters considered here are: 2 \u0019\n0=!b= 0:1,!bT= 108:7,!btc1=\u0000612:2,\n!btc2= 612:2,!b\u001cch= 164:9,!b\u001c= 1101:6,\u0014\u000e= 14:05, andh\u000e= 13:94. Here\u0014\u000e\nandh\u000eare dimensionless parameters.\n2. Model\nWe consider a system schematically shown in Fig. 1(a), where a YIG sphere is inserted\ninto a microwave cavity. The Hamiltonian of the system is given by (note that we have\nset~= 1)\nH0=!aaya+!mmym+!bbyb+gma(aym+mya) +gmbmym(b+by)\n+i(\"pmye\u0000i!pt\u0000\"\u0003\npmei!pt); (1)\nwherea(ay),m(my) andb(by) are the annihilation (creation) operators of the microwave\ncavity mode, the magnon mode and the mechanical mode with resonance frequencies\n!a,!mand!brespectively. The magnon frequency can be tuned by the external\nbias magnetic \feld, H, as!m=\rH, where\r=2\u0019= 28 GHz=T is the gyromagnetic\nratio. In addition, gmaandgmbare the single excitation coupling rates of the cavity-\nmagnon interaction and magnon-phonon magnetostrictive interaction. The last term in\n(1) describes the external driving of the magnon mode, where !pis the frequency of\nthe drive magnetic \feld and \"pis the Rabi frequency between the drive magnetic \feldCavity magnomechanical storage and retrieval of quantum states 4\nand the magnon mode, given by \u000fp=p\n5\n4\rp\nNB 0[20]. HereB0is the amplitude of the\ndrive magnetic \feld, N=\u001aVis the total number of spins in a sphere of volume Vand\n\u001a= 4:22\u00021027m\u00003is the spin density of YIG.\nMoving over to the frame rotating with the drive frequency !p, given by the\ntransformation, R= exp [i!p(aya+mym)t], withH=RH 0Ry+i@R\n@tRy, the Hamiltonian\nof the system can be written as\nH= \u0001aaya+ \u0001mmym+!bbyb+gma(aym+mya) +gmbmym(b+by)\n+i(\"pmy\u0000\"\u0003\npm); (2)\nwhere \u0001 a=!a\u0000!pand \u0001m=!m\u0000!pare detunings.\nThe dynamical evolution of the system operators can then be described by the\nLangevin equations\n_a= (\u0000i\u0001a\u0000\u0014a)a\u0000igmam+p\n2\u0014aain;\n_b= (\u0000i!b\u0000\u0014b)b\u0000igmbmym+p\n2\u0014bbin; (3)\n_m= (\u0000i\u0001m\u0000\u0014m)m\u0000igmaa\u0000igmbm(b+by) +\"p+p\n2\u0014mmin:\nHere\u0014a;\u0014mand\u0014bare the losses of the cavity mode, the magnon mode and the\nmechanical mode respectively and ain;minandbinare the noise operators with zero\nmean values, and correlation functions given byD\nxin(t)xy\nin(t0)E\n= (\u0016nx+ 1)\u000e(t\u0000t0), andD\nxy\nin(t)xin(t0)E\n= \u0016nx\u000e(t\u0000t0), withx=fa;b;mg. The \u0016na, \u0016nmand \u0016nbare the mean\nthermal occupations of the cavity mode, magnon mode and phonon mode, given by\n\u0016nx= (e~!x=kBT\u00001)\u00001, whereTis the bath temperature and kBis the Boltzmann\nconstant. For strong driving, each Heisenberg operator can be expressed as a sum of its\nsteady-state mean value and the quantum \ructuation, i.e., a=\u000b+a1;b=\f+b1and\nm=\u0011+m1, where\u000b,\f,\u0011are the classical mean \feld values of the modes and a1,b1,\nm1are the corresponding quantum \ructuation operators.\nFollowing the standard linearization approach [5, 6], the dynamics of the quantum\n\ructuations is given by the linearized Hamiltonian of the form\nHlin= \u0001aay\n1a1+~\u0001mmy\n1m1+!bby\n1b1+Gmb(m1+my\n1)(b1+by\n1)\n+gma(my\n1a1+m1ay\n1); (4)\nwhereGmb=\u0011gmbis the coherent-driving-enhanced linearized magnomechanical\ncoupling strength, with \u0011=\"p(i\u0001a+\u0014a)=(g2\nma+i(~\u0001m+\u0014m)(i\u0001a+\u0014a)). Here\n~\u0001m= \u0001m+gmb(\f+\f\u0003) is the magnomechanical interaction-induced e\u000bective magnon-\ndrive detuning. Transforming this Hamiltonian into an interaction picture with U=\nexph\n\u0000i!b(ay\n1a1+my\n1m1+by\n1b1)ti\nyields\nH=\u000eaay\n1a1+\u000emmy\n1m1+Gmb\u0010\nmy\n1b1+m1by\n1+e\u00002i!btm1b1+\ne2i!btmy\n1by\n1\u0011\n+gma(my\n1a1+m1ay\n1): (5)\nHere\u000ea= \u0001a\u0000!band\u000em=~\u0001m\u0000!bare the e\u000bective detunings. The magnomechanical\ncoupling,Gmb, can be varied by tuning the magnon drive Rabi frequency, and theCavity magnomechanical storage and retrieval of quantum states 5\n(a)\n(b)\n (c)\nFigure 2. (a) Evolution of the `Stokes' eigenvalues, ( S0;S+;S\u0000) (dashed lines), and\nthe instantaneous eigenvalues of the system under the STIRAP-like pulses explained in\nthe text (solid lines), (b) Unitary population transfer dynamics (without any damping)\nof the Fock state j1;0;0ishowing the transfer from the microwave cavity mode to the\nmechanical resonator mode and then back to the cavity mode, (c) Unitary transfer\ndynamics of a coherent state with \u000b= 0:5 in terms of the \fdelity, F, from the\nmicrowave cavity mode to the mechanical resonator mode and then back to the cavity\nmode. The pulse parameters considered are same as in Fig. 1.\nmagnon frequency can be altered by adjusting the strength of the external magnetic\nbias \feld [20], which can therefore be used to tune the magnon detuning, \u000em. The\nphoton frequency can be modulated by using a tunable 3D microwave cavity as realized\nin the Refs. [38, 39, 40, 41], which will modulate the cavity detuning, \u000ea. In the\nfollowing section we will show that, using these time-dependent modulations, a STIRAP-\nlike protocol can be designed to e\u000bectively transfer the microwave cavity state to the\nmechanical mode and then retrieve it back to the microwave cavity mode.\n3. State transfer and retrieval\nStimulated Raman Adiabatic Passage - or STIRAP for short - can be e\u000eciently used\nto transfer population in conventional three-level atomic systems [37, 42]. Conventional\nSTIRAP relies on the fact that at two-photon resonance an instantaneous eigenvector\nwith zero eigenvalue exists, which is called a dark-state , and which is a superposition\nof the initial and target states. In the adiabatic limit the STIRAP dynamics allows to\ntrap the population within the `dark state manifold' at all times, and any population\ntransfer to the intermediate state, which has often a high decay rate, is avoided. TheCavity magnomechanical storage and retrieval of quantum states 6\nstandard STIRAP protocol then modulates the coupling strengths in time between the\ntwo states in the dark-state manifold and the intermediate state. If this is done using\na so-called counter-intuitive pulse sequence, one can transport population from one\nstate in the dark-state manifold to the other state within that manifold with perfect\n\fdelity. However, in our system it is not suitable to apply conventional STIRAP as\nthe cavity-magnon coupling, gma, is constant, i.e. it cannot be modulated in a time-\ndependent manner. We therefore present in the following a modi\fed STIRAP method\nwhich allows state transfer by modulating the detunings.\nIn this scheme the cavity-magnon coupling gma\u0011\ns=2 = \n 0=2, which is called\nthe `Stokes' coupling in the context of atomic STIRAP, is constant in time and the\nmagnomechanical coupling Gmb(t)\u0011\np(t)=2, which is generally known as the `Pump'\ncoupling in STIRAP, is modulated as\n\np(t) = \np1(t) + \np2(t): (6)\nHere\n\np1(t) = \n 0e\u0000\u0010t\u0000tc1\nT\u00112\n; (7)\nand\n\np2(t) = \n 0e\u0000\u0010t\u0000tc2\nT\u00112\n; (8)\nare Gaussian-shaped pulses centered at the times tc1andtc2, with width T, and\namplitude \n 0. Application of the pulse \n p1(t) transfers the state from the cavity to\nthe mechanical mode, whereas the application of the pulse \n p2(t) brings the state back\nto the cavity. The cavity and magnon detunings are considered as\n\u000em(t) =\u0000\u0014\u000eh\u000e\n0\n2\u0014\ntanh\u0012t\u0000\u001c\n\u001cch\u0013\n+ tanh\u0012t+\u001c\n\u001cch\u0013\u0015\n;\n\u000ea(t) =\u0000(\u0014\u000e\u00001)h\u000e\n0\n2\u0014\ntanh\u0012t\u0000\u001c\n\u001cch\u0013\n+ tanh\u0012t+\u001c\n\u001cch\u0013\u0015\n; (9)\nso that\n\u000em(t)\u0000\u000ea(t) =\u000es(t) =\u0000h\u000e\n0\n2\u0014\ntanh\u0012t\u0000\u001c\n\u001cch\u0013\n+ tanh\u0012t+\u001c\n\u001cch\u0013\u0015\n:(10)\nThe shapes of these coupling and detuning pulses are shown in Fig. 1(b). The choice\nof these pulse shapes for the magnomechanical coupling and the detunings can be\nunderstood by looking at the instantaneous eigenvalues of the system. In the rotating\nwave approximation the Hamiltonian (5) is given by\nH=2\n640 \np(t)=2 0\n\n\u0003\np(t)=2\u000em(t) \ns=2\n0 \n s=2\u000ea(t)3\n75 (11)\nwhich is similar to the Hamiltonian used in STIRAP in a three-level atom with states\nj0;1;2i, where the transfer is sought from j0itoj2iwithout populating j1i. In our system\nthis corresponds to the transfer from jaitojbiand vice versa in the retrieval stage ofCavity magnomechanical storage and retrieval of quantum states 7\n-����-���� ���������������������������\n�(��)�\n(a)\n-����-���� ���������������������������\n�(��)� (b)\n-����-���� ������������������������������\n�(��)�\n(c)\n(d) (e)\nFigure 3. Transfer \fdelities, F(t), for an input coherent state in the cavity with (a)\n\u000b= 0:5, (b)\u000b= 0:75 and (c)\u000b= 1. Panel (d) shows the Wigner function of the input\ncoherent state in the cavity mode with \u000b= 1 and panel (e) shows the Wigner function\nof the state in the cavity mode after the transfer. The phonon, magnon and cavity\nmode frequencies are considered as: !b=2\u0019= 10 MHz, !a=2\u0019=!m=2\u0019= 10 GHz.\nThe pulse parameters are considered as: 2 \u0019\n0=!b= 0:1,T= 0:01 ms,tc1=\u00000:061\nms,tc2= 0:061 ms,\u001cch= 0:016 ms,\u0014\u000e= 14:05,\u001c= 0:011 ms,h\u000e= 13:94. The bath\ntemperature is considered as Tth= 1 mK, and the damping rates are considered as:\n\u0014b= 100 Hz and \u0014m= 10 kHz.\nthe scheme, with no occupation of jmiduring the storage stage. The instantaneous\neigenvalues ( \u00150,\u00151,\u00152) of the Hamiltonian in Eq. (11), when the time modulated pulses\nare applied, are shown in Fig. 2(a) (solid lines). If we consider the magnomechanicalCavity magnomechanical storage and retrieval of quantum states 8\n-����-���� ����������������������������\n�(��)�\n(a)\n-����-���� ����������������������������������������\n�(��)� (b)\n-����-���� ������������������������������������\n�(��)�\n(c)\n(d) (e)\nFigure 4. Transfer \fdelities for an input cat state in the cavity mode with (a) \u000b= 0:5,\n(b)\u000b= 0:75, and (c) \u000b= 1. Figures (d) and (e) show the input and output Wigner\nfunctions for the cavity mode with input cat state with \u000b= 1. Parameters are same\nas in Fig. 3.\ncouplingGmb(t) to vanish (i.e. \n p(t) = 0), the Hamiltonian is given by\nHs=2\n640 0 0\n0\u000em(t) \n 0=2\n0 \n\u0003\n0=2\u000ea(t)3\n75; (12)\nand it acts only on the cavity-magnon subspace, i.e. it does not involve the mechanical\nmode. This yields the asymptotic eigenstates js0(t=\u00061)i, andjs\u0006(t=\u00061)i, where\njs+(\u00001)i'j \tbi!js+(+1)i'j\tai; (13)Cavity magnomechanical storage and retrieval of quantum states 9\njs\u0000(\u00001)i'j \tai!js\u0000(+1)i'j\tbi: (14)\nHerej\tai(j\tbi) are the states of the microwave cavity (mechanical mode) and the\ncorresponding eigenvalues are\nS0= 0; S\u0006=\u000ea+\u000em\n2\u0006p\n(\u000ea\u0000\u000em)2+ \n2\n0\n2: (15)\nThe time evolution of the eigenvalues of this Stokes Hamiltonian results in the\neigenvalues S\u0006(t) crossing the eigenvalue S0twice att\u0018tc1andt\u0018tc2as shown by the\ndashed lines in Fig. 2(a). However, the application of the magnomechanical coupling \n p\nlifts the degeneracy between the eigenstates S\u0000andS0in the \frst avoided crossing, and\nS0andS+, in the second avoided crossing (shown by the solid lines in Fig. 2(a)), which\nleads to state transfers at these two points. The corresponding population transfers at\nthese two points for an initial Fock state in the cavity are depicted in Fig. 2(b), where we\nshow the time evolution of the phonon, magnon and photon mode occupancy, Nb,Nm\nandNaconsidering an initial state ( Na;Nm;Nb) = (1;0;0). This behavior is obtained\nby solving the Schr odinger equation without considering any coupling of the system to\nexternal baths. One can see that the population is transferred with nearly 100% \fdelity\nfrom the cavity mode ato the mechanical mode band again back to the mode a. The\npopulation in the magnon mode, m, is brie\ry non-zero around t\u0018tc1andt\u0018tc2,\nhowever quickly returns to vanishing occupancy, leading to a complete transfer between\nthe cavity and mechanics, despite a vast di\u000berence in frequencies between them.\nNext, we consider the situation where the cavity mode is initially in a coherent state\nj\tii=D(\u000b)j0i, whereD(\u000b) =e\u000bay\u0000\u000b\u0003a. The performance of our quantum memory\nscheme is evaluated in terms of the \fdelity, F=p\nh\tij\u001aa(t)j\tii, which measures the\noverlap of the density matrix of the instantaneous cavity state, \u001aa(t) = Tr m;b[\u001a(t)],\nwith the initial cavity state j\tii. For an initial coherent state with \u000b= 1 the transfer\nand retrieval processes are shown in Fig. 2(c) and values of F\u00181 indicate that the\ntransferred state closely resembles the original cavity state intended to be transferred,\nstored and retrieved [43]. In Fig. 2(c) the rapid oscillations in the \fdelity at the starting\nand ending portions of the pulse are due to the rapid rotation of the cavity state in\nphase space with large detunings. By halting the pulse at the opportune time we obtain\nnear-unit \fdelity, which shows near-perfect transfer and retrieval.\nIt is to be noted that until now in our analysis, we have not taken into account\nany damping existing in the system. We will therefore, in the following, study the state\ntransfer dynamics for various input states in a realistic open system by coupling all\nmodes to a thermal bath. We consider the open quantum system dynamics using the\nquantum master equation,\n_\u001a=i[\u001a;H] +n\n\u0014a(\u0016na+ 1)L[a1] +\u0014a\u0016naL[ay\n1]\n+\u0014m(\u0016nm+ 1)L[m1] +\u0014m\u0016nmL[my\n1]\n+\u0014b(\u0016nb+ 1)L[b1] +\u0014b\u0016nbL[by\n1]o\n\u001a; (16)\nwithL[A]\u001a\u0011A\u001aAy\u00001=2fAyA;\u001agrepresenting the dissipation and noises in the system.Cavity magnomechanical storage and retrieval of quantum states 10\n-����-���� ��������������������������������\n�(��)�\n(a)\n-����-���� ���������������������������\n�(��)� (b)\n-����-���� ���������������������������������\n�(��)�\n(c)\n(d) (e)\nFigure 5. The transfer \fdelities for an input squeezed vacuum state in the cavity\nmode with (a) r= 0:5, (b)r= 0:75, and (c) r= 1. Figures (d) and (e) show the\nWigner functions for the initial and retrieved cavity mode with input squeezed vacuum\nstate withr= 1. Parameters are same as in Fig. 3.\nWe consider the phonon, magnon and cavity mode frequencies as !b=2\u0019= 10 MHz and\n!a=2\u0019=!m=2\u0019= 10 GHz, and the bath temperature is chosen to be Tth= 1 mK. Since\nthe cavity and magnon modes oscillate at high frequencies, coupling these to a thermal\nbath at mK temperatures yields almost zero thermal occupancy, however the phonon\nmode is occupied. The damping rates are considered as \u0014b= 100 Hz and \u0014m= 10 kHz.\nIn the following we also consider \u0014a= 0, as we are only interested in the loss of \fdelity\ncaused by the transfer and storage processes. We start the transfer from an initial state\ngiven byj\u000b;0;0i, where the cavity mode contains a coherent state, the magnon modeCavity magnomechanical storage and retrieval of quantum states 11\noccupation is zero and the mechanical mode has been precooled to the ground state\n[44, 45, 46].\nIn Fig. 3(a-c) we show the instantaneous \fdelities for the transfer and retrieval\nprocesses for the coherent state from the cavity to the mechanical mode and back to\nthe cavity mode for various values of \u000b. For all examples the retrieval \fdelity is nearly\n100%. To further quantify the e\u000bectiveness of the photon-phonon-photon transfer, we\ncalculate the Wigner function for the initial cavity state and for the cavity state after\nthe transfer. One can see from Figs. 3(d) and (e) that both these Wigner functions are\nvirtually identical with only a small shift after storage and retrieval towards the vacuum\nstate.\nNext, we consider two slightly more complicated states. In Fig. 4, we show the\nstorage and retrival \fdelity of an initial cat state given by j\tii=N(j\u000bi+j\u0000\u000bi), where\nNis a normalization parameter. Panels (a)-(c) show the results for the transfer \fdeltiy\nfor\u000b= 0:5;0:75;1 and panels (d) and (e) show the Wigner functions for the cavity state\nbefore and after the transfer for the state with \u000b= 1. We also consider in Fig. 5 the\nsqueezed vacuum state as the initial state in the cavity given by j\tii=e(ray2\u0000r\u0003a2)=2j0i,\nwhereris the squeezing parameter. The storage \fdelities for three di\u000berent squeezing\nstrengthsr= 0:5;0:75;1 are shown in Figs. 5(a)-(c) and panels (d) and (e) depict the\nWigner functions for the cavity state before and after the transfer with r= 1. One can\nsee in both cases that, even though the retrieval \fdelity is rather high, it does no longer\nreach the value of F= 1. For the cat state it decreases with increasing values of \u000b,\nwhich suggests that the overall \ructuations of the two terms, j\u000biandj\u0000\u000bibecome more\nprominent and are the reason for the loss of \fdelity. Similarly for squeezed states the\ndecoherence distorts the squeezed feature in phase space, resulting in the lower \fdelity.\nAsrincreases the distortion is more pronounced, which is visible in the shape of the\nWigner function of the retrieved photon in Fig. 5(e). However, for all the input states\ndescribed here, the storage \fdelity is still very high which shows that the transfer is\nhighly e\u000ecient.\nThe above analysis shows that the mechanical mode can be used as a storage mode\nfor the photonic state. However, as the \fdelity inevitably decays for longer storage times,\nit is interesting to study how this decay happens. For this we introduce a time-delay of\nthe retrieval pulse by \u0001 t, so that\n\np2(t) = \n 0e\u0000\u0010t\u0000(tc2+\u0001t)\nT\u00112\n: (17)\nand similar for the cavity and magnon detunings\n\u000em(t) =\u0000\u0014\u000eh\u000e\n0\n2\u0014\ntanh\u0012t\u0000(\u001c+ \u0001t)\n\u001cch\u0013\n+ tanh\u0012t+\u001c\n\u001cch\u0013\u0015\n;\n\u000ea(t) =\u0000(\u0014\u000e\u00001)h\u000e\n0\n2\u0014\ntanh\u0012t\u0000(\u001c+ \u0001t)\n\u001cch\u0013\n+ tanh\u0012t+\u001c\n\u001cch\u0013\u0015\n:(18)\nFor a cavity mode that is initially occupied by a coherent state with \u000b= 1 we show the\ndynamics of the \fdelity for delay time \u0001 t= 14\u0002tc2in Fig. 6(a). Before the transfer\nthe \fdelity is equal to one, but after the \frst set of pulses is applied, the cavity emptiesCavity magnomechanical storage and retrieval of quantum states 12\n������������������������������������\n�(��)�\n(a)\n������������������������������������\n�(��)���� ���������������\n�� (b)\n� � �� �����������������\n��(��)��\n(c)\nFigure 6. (a) Transfer \fdelity with delay time \u0001 t= 14\u0002tc2for an input coherent state\nin the cavity mode with \u000b= 1. The phonon, magnon and cavity mode frequencies are\nconsidered as: !b=2\u0019= 10 MHz, !a=2\u0019=!m=2\u0019= 10 GHz. The pulse parameters\nare considered as: 2 \u0019\n0=!b= 0:1,T= 0:01 ms,tc1=\u00000:061 ms,tc2= 0:061 ms,\n\u001cch= 0:016 ms,\u0014\u000e= 14:05,\u001c= 0:011 ms,h\u000e= 13:94. The bath temperature\nTth= 1 mK, and the damping rates are taken as: \u0014b= 100 Hz and \u0014m= 10\nkHz. The solid orange line shows the vacuum occupation which is reached by the\ncavity mode after the state transfer to the mechanical mode as the storage time is\nchosen to be very long. (b) The corresponding variation of the mode occupations\nwith respect to the added delay time, \u0001 t= 14\u0002tc2. (c) Variation of the retrieved\nmaximum \fdelity, Fr(red dots) with respect to the storage time ts, obtained with \u0001 t=\n(2\u0002tc2;6\u0002tc2;14\u0002tc2;30\u0002tc2;66\u0002tc2;100\u0002tc2;135\u0002tc2;165\u0002tc2;200\u0002tc2;250\u0002tc2).\nThe blue line shows an exponential decay \ft of the form Fr=Ae\u0000ts=thalf+A0, where\nthalfis the half lifetime.\nand the \fdelity drops to the value for the vacuum, F\u0018jh\tij0ij2(indicated by the\norange-colored line). This means that the quantum state initially present in the cavity\nmode has been almost fully transferred to the mechanical mode, from which it will be\nretrieved when the second set of pulses is applied. At this point the cavity state \fdelity\nincreases again and in Fig. 6(b) the corresponding mode occupations are shown. One\ncan see that the cavity mode occupation goes down to zero after the initial transfer,\nwhile the mechanical mode occupation rises. The latter also slowly increases during\nthe storage period due to its coupling to the thermal environment at T= 1 mK, the\nsteady-state mechanical occupation tending towards \u0016 nb\u00181:6. During application of the\ntransfer pulses the magnon mode occupation rises brie\ry, but also quickly returns to\nzero. In Fig. 6(c), we show the cavity \fdelity after retrieval, Fr(red dots) as a function\nof the storage time, ts, with the blue line corresponding to an exponential \ftting ofCavity magnomechanical storage and retrieval of quantum states 13\n� ��������������������������������������\nκ�/ω�������\nFigure 7. The number of retrieved cavity photons, Na\fnal, as a function of\nthe magnon damping rate \u0014m=!bat a bath temperature of Tth= 10 mK. The\nparameters considered here are: 2 \u0019\n0=!b= 0:5,!bT= 108:7=m,!btc1=\u0000612:2=m,\n!btc2= 612:2=m,!b\u001cch= 164:9=m,!b\u001c= 1101:6=m, \u0001t= 0, where m= 5. Also\n2\u0019\u0014b=!b= 10\u00005,\u0014\u000e= 14:05, andh\u000e= 13:94. Here\u0014\u000eandh\u000eare dimensionless\nparameters.\nthese results. The storage time can be calculated as the time di\u000berence between the\napplication of the transfer and retrieval pulses, which can be given approximately as\nts= (tc2+ \u0001t\u0000tc1). The half-life of the \ftted exponential decay rate is given by 6\nms, where the primary loss arises from the mechanical mode damping, which itself has\na lifetime of 10 ms. The additional small losses can therefore be attributed to magnon\nmode decay that occurs during the transfer.\nFor all the analysis above, we have assumed rather low values for the bath\ntemperature and the magnon damping rate, which are currently not experimentally\naccessible. Let us therefore in the following explore the e\u000bects of higher bath\ntemperature and higher magnon damping. Since the numerical treatment becomes very\nresource intensive for higher bath occupations [47, 48], we \frst solve for the average\nvalues of the second-order moments of the system analytically as described in the\nfollowing. Applying the master equation given in Eq. (16), a linear set of di\u000berential\nequations for the second-order moments can be obtained as\n@th^oi^oji=Tr( _\u001a^oi^oj) =X\nm;n\u0016m;nh^om^oni; (19)\nwhere the ^ oi, ^oj, ^om, ^onare one of the operators: ay\n1,my\n1,by\n1,a1,m1andb1; and\n\u0016m;nare the corresponding coe\u000ecients. We use this approach to time evolve the mean\noccupations in the photon ( hay\n1a1i), phonon (hby\n1b1i) and magnon (hmy\n1m1i) modes,\nconsidering that initially only the cavity mode is occupied with hNai(=hay\n1a1i)(t=\n0) = 1, and all the other second-order moments are zero, with state jNa;Nm;Nbi(t=Cavity magnomechanical storage and retrieval of quantum states 14\n0) =j1;0;0i. Considering Tth= 10 mK, we show in Fig. 7 the retrieved photon number\nin the cavity at the end of the scheme as a function of a decreasing magnon damping\nrate. Here the storage time is chosen to be !bts= 1224:4 which for !b=2\u0019= 10 MHz\ncorresponds to ts= 0:19 ms. One can see that, as expected, lower magnon damping\nrates result in improved photon retrieval.\n4. Conclusions\nIn conclusion, we have presented a scheme to transfer quantum states from a microwave\ncavity mode to a mechanical mode in a photon-magnon-phonon hybrid system where\na YIG sphere is placed in a microwave cavity with the magnon mode coupled both\nto the photonic and phononic modes. We have shown that using time-modulated\nshapes for the detunings for the magnon and cavity modes and also the magnetostrictive\ncoupling, transfer with high \fdelity between non-directly coupled modes can be obtained\nfor a number of di\u000berent states including coherent states, cat states and squeezed\nvacuum states. Given the \rexibilities in controlling the magnomechanical coupling, it\nis interesting to consider this work as a \frst step for implementing mechanical bosonic\nquantum error correction codes on the stored phononic quantum information to further\nincrease the mechanical storage times [49].\nAcknowledgements\nThis work was supported by the Okinawa Institute of Science and Technology Graduate\nUniversity. We are grateful for the help and support provided by the Scienti\fc\nComputing and Data Analysis section of Research Support Division at OIST. We\nacknowledge support from the ARC Centre of Excellence for Engineered Quantum\nSystems grant CE170100009.\nReferences\n[1] Kippenberg T, Rokhsari H, Carmon T, Scherer A and Vahala K 2005 Phys. Rev. Lett. 95033901\n[2] Arcizet O, Cohadon P F, Briant T, Pinard M and Heidmann A 2006 Nature 44471{74\n[3] Teufel J D, Li D, Allman M, Cicak K, Sirois A, Whittaker J and Simmonds R 2011 Nature 471\n204{208\n[4] Andrews R W, Peterson R W, Purdy T P, Cicak K, Simmonds R W, Regal C A and Lehnert K W\n2014 Nature Phys. 10321{326\n[5] Wang Y D and Clerk A A 2012 Phys. Rev. Lett. 108153603\n[6] Tian L 2012 Phys. Rev. Lett. 108153604\n[7] Hill J T, Safavi-Naeini A H, Chan J and Painter O 2012 Nature Comm. 31{7\n[8] Zhang X, Zou C L, Jiang L and Tang H X 2016 Sci. Adv. 2e1501286\n[9] Serga A, Chumak A and Hillebrands B 2010 J. Phys. D: Appl. Phys. 43264002\n[10] Lenk B, Ulrichs H, Garbs F and M unzenberg M 2011 Phys. Rep. 507107{136\n[11] Chumak A V, Vasyuchka V I, Serga A A and Hillebrands B 2015 Nature Phys. 11453{461\n[12] Kittel C 1948 Phys. Rev. 73155{161Cavity magnomechanical storage and retrieval of quantum states 15\n[13] Tabuchi Y, Ishino S, Noguchi A, Ishikawa T, Yamazaki R, Usami K and Nakamura Y 2015 Science\n349405{408\n[14] Huebl H, Zollitsch C W, Lotze J, Hocke F, Greifenstein M, Marx A, Gross R and Goennenwein\nS T 2013 Phys. Rev. Lett. 111127003\n[15] Tabuchi Y, Ishino S, Ishikawa T, Yamazaki R, Usami K and Nakamura Y 2014 Phys. Rev. Lett.\n113083603\n[16] Zhang X, Zou C L, Jiang L and Tang H X 2014 Phys. Rev. Lett. 113156401\n[17] Goryachev M, Farr W G, Creedon D L, Fan Y, Kostylev M and Tobar M E 2014 Phys. Rev. Appl.\n2054002\n[18] Bai L, Harder M, Chen Y P, Fan X, Xiao J Q and Hu C M 2015 Phys. Rev. Lett. 114227201\n[19] Zhang D, Wang X M, Li T F, Luo X Q, Wu W, Nori F and You J 2015 npj Quantum Inf. 11\n[20] Li J, Zhu S Y and Agarwal G 2018 Phys. Rev. Lett. 121203601\n[21] Li J, Zhu S Y and Agarwal G 2019 Phys. Rev. A 99021801\n[22] Li J and Gr oblacher S 2021 Quant. Sci. Tech. 6024005\n[23] Qi S F and Jing J 2020 arXiv:2011.05642\n[24] Wang Y P, Zhang G Q, Zhang D, Li T F, Hu C M and You J Q 2018 Phys. Rev. Lett. 120057202\n[25] Briegel H J, D ur W, Cirac J I and Zoller P 1998 Phys. Rev. Lett. 815932\n[26] Liu C, Dutton Z, Behroozi C H and Hau L V 2001 Nature 409490{493\n[27] Phillips D F, Fleischhauer A, Mair A, Walsworth R L and Lukin M D 2001 Phys. Rev. Lett. 86\n783\n[28] Hammerer K, S\u001crensen A S and Polzik E S 2010 Rev. Mod. Phys. 821041\n[29] Fiore V, Yang Y, Kuzyk M C, Barbour R, Tian L and Wang H 2011 Phys. Rev. Lett. 107133601\n[30] Fiore V, Dong C, Kuzyk M C and Wang H 2013 Phys. Rev. A 87023812\n[31] Kumar P and Bhattacharya M 2019 Phys. Rev. A 99023811\n[32] Yanik M F and Fan S 2004 Phys. Rev. Lett. 92083901\n[33] Xu Q, Dong P and Lipson M 2007 Nature Phys. 3406{410\n[34] Baba T 2008 Nature Photon. 2465{473\n[35] Zhu Z, Gauthier D J and Boyd R W 2007 Science 3181748{1750\n[36] Bergmann K, N agerl H C, Panda C, Gabrielse G, Miloglyadov E, Quack M, Seyfang G, Wichmann\nG, Ospelkaus S, Kuhn A et al. 2019 J. Phys. B: At. Mol. Opt. Phys. 52202001\n[37] Bergmann K, Vitanov N V and Shore B W 2015 J. Chem. Phys. 142170901\n[38] Carvalho N C, Fan Y, Le Floch J M and Tobar M E 2014 Rev. Sci. Instrum. 85104705\n[39] C Carvalho N, Fan Y and Tobar M 2016 Rev. Sci. Instrum. 87094702\n[40] Clark T, Vadakkumbatt V, Souris F, Ramp H and Davis J 2018 Rev. Sci. Instrum. 89114704\n[41] Ramp H, Clark T, Hauer B, Doolin C, Balram K C, Srinivasan K and Davis J 2020 Appl. Phys.\nLett.116174005\n[42] Vitanov N V, Rangelov A A, Shore B W and Bergmann K 2017 Rev. Mod. Phys. 89015006\n[43] Nielsen M A and Chuang I L 2011 Quantum Computation and Quantum Information (Taylor &\nFrancis)\n[44] Teufel J D, Donner T, Li D, Harlow J W, Allman M, Cicak K, Sirois A J, Whittaker J D, Lehnert\nK W and Simmonds R W 2011 Nature 475359{363\n[45] Schliesser A, Del'Haye P, Nooshi N, Vahala K and Kippenberg T J 2006 Phys. Rev. Lett. 97243905\n[46] Sarma B, Busch T and Twamley J 2020 New J. Phys. 22103043\n[47] Johansson J R, Nation P D and Nori F 2012 Comput. Phys. Commun. 1831760{1772\n[48] Johansson J, Nation P and Nori F 2013 Comput. Phys. Commun. 1841234{1240\n[49] Terhal B, Conrad J and Vuillot C 2020 Quant. Sci. Tech. 5043001" }, { "title": "1811.12317v2.Effect_of_YIG_Nanoparticle_Size_and_Clustering_in_Proximity_Induced_Magnetism_in_Graphene_YIG_Composite_Probed_with_Magnetoimpedance_Sensors__Towards_Improved_Functionality__Sensitivity_and_Proximity_Detection.pdf", "content": "1 \n Effect of YIG Nanoparticle Size and Clustering in Proximity -Induced Magnetism in \nGraphene/YIG Composite Probed with Magnetoimpedance Sensors: Towards Improved \nFunctionality, Sensitivity and Proximity Detection \nS. Hosseinzadeh1, L. Jamilpanah2, J. Shoa e Gharehbagh2, M. Behboudnia1, \nS. M. Mohseni2,* \n1 Department of Physics, Urmia University of Technology, Urmia, Iran \n2 Faculty of Physics, Shahid Beheshti University, Evin, 19839 Tehran, Iran \n \n \nProximity -induced magnetism (PIM) in graphene (Gr) adjacent to magnetic specimen has raised \ngreat fundamental interests. The subject is under debate and yet no application is proposed and \ngranted. In this paper, toward accomplishment of fundamental facts, we first explore the effect of \nparticle size and clustering in the PIM in Gr nanoplates (GNPs)/yttrium iron garnet (YIG) \nmagnetic nanoparticle (MNP) composite. Microscopic analyzes suggest that fine MNPs \ndistributed uniformly on the GNPs have higher satura tion magnetization due to the PIM in Gr. We \npropose that such magnetic plates can thus be used to shield the stray field generated on the \nsurface of magnetic sensors and play a role as a magnetic lens to prevent the field emanating \noutside the body of magn etic specimen. The GNPs/YIG composites are coated on a magnetic \nribbon and proposed for application in magneto -impedance (MI) sensors. We show that such \nplanar magnetic flakes enhance the MI response against the external applied magnetic field \nsignificantl y. The suggested application can be furthermore developed toward bio -sensing and \nmagnetic shielding in different magnetic sensors and devices. \nKeyword: proximity induced magnetism; magnetic graphene; magnetic sensor; magneto -\nimpedance \n \n \n \n \n \n*Corresponding author’s email address: m-mohseni@sbu.ac.ir , majidmohseni@gmail.com \nTel: +989354880368 2 \n \n1. Introduction \nProximity -induced magnetism (PIM) is a process where a non -magnetic material acquires \nmagnetization due to coupling with a magnetic film [1]. The first report on magnetic proximity was \nbroadcasted in 1969 [2] in superconduct ors. It has been found that the superconducting transition \ntemperature in Pb /Pd/Fe structure decreases with decreasing the thickness of Pd , indicating that \nthe Pd layer bec ame magnetized in contact with the Fe layer . Later, it has been shown that Fe and \nCo ferromagnetic materials can induce magnetization into 4d and 5d elements such as Pd and \nPt.[3-6] Very recently, the scenario revived and wider windows of materials have represented \ncounterintuitively PIM. The Graphene (Gr) layer transferred on an electrically insulator yttrium \niron garnet (YIG) thin film illustrates magnetic signal in the Hall Effect (AHE) . The non -magnetic \nGr layer has become magnetized while sitting on magnetic YIG thin film in a YIG/Gr bilay er.[7] \nThis subject opened a field in view of deep understanding of the PIM in two -dimensional materials \n(2DM) family with many questions and proposals. [8-15] \nThere are several studies suggesting that the interface between the ferromagnetic and non -\nmagnetic materials is the key toward observing PIM. [16-18] Different approaches to achieve room \ntemperature ferromagnetis m in Gr have been reported by using magnetic nanoparticles (MNPs) \nwith focus on the surface shape of matrix and the uniformity of MNPs on the surface of Gr \nmultilayer .[19] However, study on particle size and distribution on the surface of Gr plates is rare. \nIn this paper, we report evidence of induced ferromagnetism at room temperature in graph ene \nnanoplates (GNPs) with layer number less than three that is decorated by YIG -MNPs. \nTechnical application of magnetic Gr that is magnetized via the PIM is rare. Such magnetic plate \ncan have alternative benefits compared to known MNPs, as they are being frequently used. The \nplanar shape of Gr with high surface to volume ratio, while being magnetized, can be applied as \nmagnetic shielding to absorb undesired magnetic field presented in different devices such as \nsensors. Nonetheless, such MNPs on the surface of Gr plates that provides PIM, occupy a little \nportion of the Gr plates surface. Hence, together with other well -known Gr functionalities, such \nPIM in Gr can be applied in many systems, such as bio -sensors and many other magnetic functional \nelements. 3 \n Here, we introduce technological application of such magnetic GNPs. Magnetic properties of such \nplanar magnetic plates is employed to improve the sensing of the magnetoimpedance (MI) effect \nthrough their magnetic shielding ability. High sensitivity and faci le technological requirements \nhave presented t he MI effect a rich research field. [20, 21] This effect is the change in electrical \nimpedance against external DC magnetic field. The MI is determined through the skin depth ( δ), \nδ = (ρ/πμtf)1/2, of the high frequency ( f) current and the transvers magnetic permeability (μt) of \nmetallic ferromagnet with electric resistivity (ρ).[22] Impedance of a metallic ferromagnet changes \nby the new skin depth of the current when external magnetic field is applied . Fundamental \nprospective of ferromagnetic metals and development of highly sensitive magnetic field sensors \nhas increased interest in MI effect .[23-25] Therefore, the impedance of t he ribbon is a function of \nfrequency of driving current and external dc magnetic field ( H) through μt and δ. At high \nfrequencies, the skin depth δ decreases and so the current passes at the sheath of the ribbon and so \nthe electrical and magnetic environmen tal conditions would highly affect the MI behavior. [26] \nThis phenomenon has two prospects, one is related to the magnetic field sensor performance and \nanother one is related to the environmental functionality response. There are reports on the \nmagnetic field sensitivity enhancement by coating layers with diffe rent magnetization and \nconductivities on the surface of MI sensors. [27-32] They can tune the MI response mainly due to \nclosure of magnetic flux path at the surface of the MI elements. Interestingly, MI sensing element \npreserves as a surface media to probe the spin -orbit torque due to non -magnetic Pt [33] and \nIrMn [34] layer, as the thin skin depth is quite sensitive against tiny changes at the surf ace. \nRecently, we presented surface modification of MI sensor made of magnetic ribbons for \nenvironmental sensitivity and stability by coating vertical -Gr-oxide (GO) [32]. Essentially, Gr \nbased materials play an important role in environmental sensitivity with preserving stability in \ndifferent environments. [35, 36] Since the PIM influences the magnetization of the GNPs, these \nwholly magnetized plates can be mounted on the surface at the close proximity to the MI sensors. \nThe MI sensor is not only affected by the magnetization of these plates, but it can be influe nced \nby their shielding performance thanks to their planar shapes. We show that the MI response \nincreases significantly at the proximity of the magnetic -GNPs composites coated on surface of the \nsensor. \n 4 \n 2. Experimental \n2.1. Materials \nGNPs (N002 -PDR, XY=7μm, z=50 -100nm) is supplied by Angstron materials Inc . Ferric nitrate \n(Fe(NO 3)3·9H2O), yttrium nitrate (Y(NO 3)3·6H2O), citric acid, ethylene glycol, \ndimethylformamide (DMF) were all from Merck (99.9% pure) to prepare YIG MNPs . \n2.2. Prepara tion of YIG MNPs \nFollowing our previous work on the preparation of MNPs [37-40], YIG-MNPs were synthesized \nby citrate -nitrate (CN) and modified co -precipitation (MCP), as two different sets . For the CN \nsynthesis, we dissolved the required amount of the metal nitrates in stoichiometric ratio of Y: Fe \n= 3:5 in distilled water. Citric acid was then added into the prepared aqueo us solution to pH=1. \nThe solution was heated to dry and then annealed in ambient air at the temperature of 8 00 °C with \na heating rate of rate of 10 °C/min for 2hrs. For synthesis of YIG -MNPs by MCP, we mixed the \nrequired amount of Y and Fe nitrates in stoichiometric ratio of Y: Fe = 3:5 in DMF to form metal -\norganic solution. Ethylene glycol was then added into prepared metal -organic solution. A small \namount of ammonia was added to the solu tion to adjust pH value to about 10.5. During the \nprocedure, the precipitate continuously stirred using a magnetic agitator. Then the precipitate \ncollected and washed with distilled water and ethanol. The collected precipitate dissolved in \ndistilled water with small amount of citric acid to reach pH=2. Finally, the solution precursor \nheated to dry and annealed to 700 °C for 2 h . Details of the MCP method is well -discussed in our \nrecent work .[41] \n \n2.3. Preparing Gr/YIG: \n0.015 g YIG powder from each set was added to 35 ml ethano l followed by probe sonication for \n30 min. 0.015 g of GNPs was added to the mixture followed by additional sonication for 30 min. \nAfter the ultrasonic treatment, the solution was heated inside an oven at 75 °C for 12 h to dry the \nsample . \n \n2.4. MI setup \nConve ntional melt -spinning technique was used to fabricate Co 68.15Fe4.35Si12.5B15 magnetic \nribbons with 0.8 mm width, 40 mm length and about 28 µm thickness. The impedance 5 \n measurement was done using four -point probe method. An AC current passed through longitud inal \ndirection of the ribbon (length= 4 cm) with different frequencies supplied b y function generator \nwith constant amplitude of 30 mA. The impedance was evaluated by measuring the voltage and \ncurrent across the sample using a digital oscilloscope. An exte rnal magnetic field was applied \nalong the ribbon axis to perform MI measurements. This magnetic field was produced by passing \nelectrical current in a 40 cm long solenoid, which can generate a magnetic field up to 120 Oe. The \nlongitudinal direction of sampl es was set perpendicular to the Earth’s magnetic field to minimize \nits undesired impact on the measurements . \n \n3. Results and discussion \n3.1. Characteristics of YIG \nFigure 1 shows transmission electron microscopy (TEM ) images of YIG -MNPs prepared by CN \nand MCP methods. It can be seen in Figure 1 a that the size distribution of YIG -MNPs prepared \nby CN method is from 20 -50 nm and it reveals that particles are aggregated and exhibit irregular \nshapes without shaped borders. Because of the observed aggregation in TEM images of this \nsample , the dynamic light scattering (DLS ) results show a much larger dimension for these \nparticles . Figure 1 b illustrates TEM images of YIG -MNPs synthesized by MCP method , \ndemonstrating a moderate clustering of particles . We can observe some small aggregations, which \nare composed of primary particles with the size distribution of 10 -20 nm, matches the result of \nDLS measurement. Figure 1 b describes in accordance with the calculated values for crystal size \nby the Scherrer’s eq uation ,[42] the mean diameter of YIG -MNPs prepared by MCP is 17 nm, \nwhich agrees with the values calculated from x-ray diffraction (XRD ), that we recently reported \nin ref [41]. 6 \n \nFigure 1 . a) TEM image of YIG -MNPs prepared by CN that particles are aggregated and exhibit irregular \nshapes without shaped borders and the size distribution of YIG -MNPs is 20 -50 nm , b) TEM and size \ndistribution from DLS of YIG -MNPs synthesized by MCP method showing mod erate clustering of particles \nand some small aggregations are observable . \nFigure 2 a shows XRD pattern of YIG for CN and MCP prepared samples at the room temperature. \nSample s Prepared by these methods completely contain YIG phase and n o trace of intermediated \nphases is found. The mean crystallite sizes of YIG synthesized using CN at 800 °C and MCP at \n700 °C were estimated to be about 38 and 17 nm , respectively . The details of phases have been \ndescribed in our previous work . [41] Figure 2b shows the vibrating -sample magnetometry (VSM) \nrecorded at room temperature for both samples. The saturation magnetization ( MS) of CN and MCP \nprepared samples is seen to be ~23.23 emu/g. The coercivity (Hc) and remanent magnetization \n(Mr) of CN sample are 30.23 O e and 9.94 emu/g and those of MCP prepared samples are 30.1 Oe \nand 4.52 emu/g, respectively. \n7 \n \nFigure 2 . a) the XRD pattern of the YIG samples prepared via CN and MCP methods and b) the VSM \nhysteresis loops of them (inset shows the coercivity of the samples). \n \n3.2. Characteristics of G NPs/ YIG composite \nFigure 3 a, b shows the TEM and HR -TEM of GNPs decorated with two different YIG -MNPs \nproducts. As can be seen, the flake -like shape s of GNPs are clearly observed to be embedded with \nuniformly YIG -MNPs. A well distribution of YIG -MNPs can be seen in contact to GNPs in the \nsamp le prepared by MCP method and there is significant portion of the YIG attached on the surface \nof GNPs contrary to that made by CN method. Such a fine YIG -MNPs can enhance interface \ncontact and facilitates the uniform attach ment of MNPs on the surface and t hus placed in close \nproximity to GNPs surface . \n10 20 30 40 50 60 70 80\n Intensity (a. u.)\n2 (degree) CN-YIG\n MCP-YIG211\n400\n420\n422\n521\n611\n444640\n642\n800840\n664842a)\n-8 -4 0 4 8-30-20-100102030\n-100 -50 0 50 100-4-2024\n \n CN-YIG\n MCP-YIGM (emu/g)\nH (kOe)b)\n M (emu/g)\nH (Oe)8 \n \nFigure 3 . TEM images of the GNPs/YIG -MNPs composite with YIG prepared via a) CN and b) MCP \nmethods . In both case s the flake -like shapes of GNPs are clearly observed to be embedded with uniformly \nYIG-MNPs. \nX-ray diffraction patterns of GNPs decorated by MCP YIG-MNPs are presented in Figure 4 a. The \nplanes (00 2) at 2θ= 25° attributed to GNPs and the main peaks of YIG -MNPs are observed too. In \naddition, GNPs /YIG profile ind icates the formation of composites hav ing main diffraction YIG \npeaks of (400), (420), (422), (44), (640) and (642) which can be indexed to JCPDS card no. 43 -\n0507 properly dispersed in GNPs matrix. The sharp peaks of YIG MNPs confirm that their good \ncrystallinity has not been destroyed during synthesis process. The other less noticeable peak of \nGNPs around 2θ= 42 ° interrelated to the (100)/(101) plane is hinder by the stronger (521) peak of \nYIG and thus not observe properly . \nFigure 4 b shows the hysteresis loops recorded at room temperature for both samples. The MS of \nGNPs decorated by CN and MCP prepared samples by the mass ratio of 1:0.5 for the GNPs/YIG \nMNPs are 2.25 and 7.26 emu/g , respectively. The magnetic properties of hybrid mat erials can be \ntuned by making changes in proportion of MNPs to GNPs owing to the fact that magnetization \nmay decrease by addition in GNPs composite, as non -magnetic portion. [30] Since ratio of GNPs \nto YIG is the same for both samples, the difference in saturation magnetization is due to \ncontribution of s ize and uniformity of YIG MNPs. As we can see, the MS of GNPs in proximity to \n9 \n smaller YIG -MNPs is significantly higher than thos e prepared by larger MNPs. Thus, the induced \nferromagnetism is observed in GNPs coupled to magnetic YIG -NPs via PIM of smaller MNPs . \n \nFigure 4 . a) the XRD pattern of the GNPs/YIG composites prepared via MCP method and b) the VSM \nhysteresis loops of GNPs/Y IG samples prepared via CN and MCP methods (inset shows the coercivity of \nthe samples). \n3.3. MI sensing of Gr/YIG composite \nIn order to understand the impact of GNPs/YIG on the MI response, MI ratio of the samples were \nmeasured at different frequencies of 2.5, 5, 7.5, 10, 12.5 and 15 MHz. The magnetic field was \napplied up to 120 O e during MI measurements. The MI ratio can be defined as \n𝑀𝐼%=𝑍(𝐻)−𝑍(𝐻𝑚𝑎𝑥)\n𝑍(𝐻𝑚𝑎𝑥)×100 (2) \nwhere Z refers to the impedance as a function of external field ( H) and Hmax is the maximum field \napplied to the samples in the MI measurement. MI response for the bare ribbon and the ribbons \ndrop coated by G NPs/CN-YIG and G NPs/MCP -YIG are presented in Figure 5 . Field dependent \nMI ratio of the bare ribbon at different frequencies can be seen in panel (a) of Figure 5. There is a \npeak at low external applied magnetic fields because of transverse alignment of magnetic \nanisotropy of the ribbon against applied magnetic field directio n.[43] In addition, this peak appears \nat the ribbon samples drop coated by GNPs/YIG composites. This means that the coating did not \nchange the transverse anisotropy of the ribbon . \n10 20 30 40 50 60 70 80\n 002Intensity (a. u.)\n2 (degree) GNPs\n GNPs/MCP-YIG400\n420\n422\n444640\n642a)\n-8 -4 0 4 8-10-50510\n-200 -100 0100 200-1.0-0.50.00.51.0\n \n GNPs/CN-YIG\n GNPs/MCP-YIGM (emu/g)\nH (kOe)b)\n M (emu/g)\nH (kOe)10 \n The maximum MI ratio occurs at the frequency of 10 MHz Relative contributions of domain wall \nmotion and magnetization rotation to the transverse permeability shou ld be considered in \ninterpreting this trend. [22] The MI ratio increases by increasing frequency up to 10 MHz and then \ndecreases by further incre asing the frequency. The reduction of MI ratio at high frequencies is due \nto presence of eddy currents that causes damping of domain wall displacements and only rotati on \nof magnetic moments takes place. In turn , the transverse magnetic permeability diminishes, and \nthe MI ratio decreases. [22, 44] Maximum of the MI ratio for bare ribbon and ribbon coated by \nGNPs/YIG composites at the frequency range of 2.5 -15 MH z are presented in Figure 5 d. We \nobserved that the increase of maximum MI ratio for the ribbons deposited by GNP/MCP -YIG is \nmore than that of GNPs/NC -YIG. \n \n \nFigure 5 . MI response of a) bare ribbon and ribbon drop coated by b) GNPs/MCP -YIG and c) GNPs/CN -\nYIG and d) the maximum of MI% for all three samples at all frequencies. \n2 4 6 8 10 12 14 16200240280320\n (MI%)Max\nf (GHz) Ribbon\n GNPs/CN-YIG\n GNPs/MCP-YIGa) b)\nc)d)RibbonGNPs/CN -YIG\nGNPs/MCP -YIG11 \n A comparison between the MI ratio of the samples at f= 10 MHz can be seen in Figure 6a. The \namounts of MI ratio for bare ribbon, GNPs/CN -YIG coated and Gr/MCP -YIG coated ribbons were \n271%, 298% and 334%, respectively. It is worth mentioning that the maximum MI% for Gr/MCP -\nYIG drop coated ribbon appears at 12.5 MHz . As discussed before, the reduction of MI% at high \nfrequencies is due to presence of eddy currents that causes damping of domain wall displacements \nand so reduction of μt. The impedance for the bare ribbon and the ribbon drop coated by GNPs/CN -\nYIG at H= 0 Oe and f =10 MHz is abo ut 14.5 Ω. While for the ribbon drop coated with GNPs/MCP -\nYIG this impedance is about 13.5 Ω. In turn, the reduction of MI ratio occurs at high frequencies \n(lower skin depth and higher current density). Reduction of the fringe fields of the s urface of the \nribbon by GNPs/YIG causes significant increase of MI%. We present a tunable sensitivity by \nchanging the strength of the magnetization of the GNPs/YIG composite. There are reports on the \nsurface modification of magnetic ribbons by coating [27, 28, 30, 31, 45] have related this \nphenomenon to the closure of magnetic flux path and reduction of surface roughness. The MI field \nsensitivity can be defined as η = d(ΔZ/Z(%))/d(H). As it can be seen in Figure 6b, the sensitivity \nis increased at the presence of composite layer on the ribbon. \n \nFigure 6 . a) MI ratio of the samples at f= 10 MHz versus frequency. b) Maximum of MI response and field \nsensitivity of the samples at f= 10 MHz. \n3.4. MI based detection of PIM in GNPs \nMI is a surface sensitive effect due to the low skin depth of the ribbon. This property is the key to \nhigh functionality of MI sensors. Figure 7 indicates the schematic of the structural conditions in \nMI sensor at presence of GNPs/YIG composites. Naturally , at the rough surface of the magnetic \n-30 -20 -10 0 10 20 30150200250300 a)\n \n Ribbon\n GNPs/CN-YIG\n GNPs /MCP-YIGMI%\nH (Oe)f= 10 MHz\n280300320340\n \nRibbon GNPs/MCP-YIGMI%f= 10 MHz\nGNPs/NC-YIGb)\n5.05.56.06.5\nOe-1)12 \n amorphous ribbons there are many fringe fields present at the surface. According to the similar \namounts of the YIG concentration presented at each sample and therefore similar surface coverage, \nthere is a similar cont ribution to the reduction of fringe fields from the YIG in both samples while \nthe MI is different. Thus, we speculate that there should be another source for different MI \nresponses between these two samples. As seen in VSM results , the proximity of G NPs to MCP -\nYIG yields a bigger magnetic moment in G NPs. On the other hand, the MI enhancement of ribbon \nfor the sample coated with GNPs/MCP -YIG is higher than the ribbon coated with GNPs/CN -YIG. \nTherefore, according to the differences between the GNPs/CN -YIG and GNPs/MCP -YIG samples, \nit is deduced that PIM in GNPs is caused such a difference in the MI response of the two samples. \nAs schematically presented in Figure 7 , the undesired surface magnetic flux is getting diminished \nmore in GNPs/MCP -YIG because their wh ole plane is being magnetized. The attenuation of the \nflux density on the surface of the ribbon results from a shielding effect of the ferromagnet \n(GNPs/YIG) , which acts as a magnetic short -circuit and drives the flux lines directly towards \nGNPs/YIG compos ite. The higher the saturation magnetization the GNPs/YIG , the higher the \ntrapped field on the top face of the ribbon and the larger the shielding effects of GNPs/YIG \ncomposite. Both of GNPs/YIG composites play this role and their MI enhanced compared to t he \nbare ribbon, while the planar magnetized sample has more pronounced impact. \nMoreover, in comparison to methods like X -ray magnetic circular dichroism (XMCD), magneto \noptical Kerr effect (MOKE), [46] anomalous Hall effect (AHE), [46] which provides direct proof \nof the magnetic proximity effect, our method presents a nearly comparative measurement tool \nwhich can be applied in a calibrated mode. \n 13 \n \nFigure 7 . Schematic of the structural conditions in MI sensor and the closure of magnetic flux path when \na) ribbon is coated with GNPs/MCP -YIG and b) coated with GNPs/CN -YIG. In the case of ribbon coated \nwith GNPs/MCP -YIG, the undesired surface magnetic flux is get ting diminished more , because their whole \nplane is being magnetized. Both of GNPs/YIG composites play this role and their MI enhanced compared \nto the bare ribbon, while the planar magnetized sample has more pronounced impact . \nHere, according to the MI resu lts and proximity discussions, we suggest MI sensor as a probe for \nmeasurement of PIM. According to the similarity between the VSM results of both sets of bare \nYIG-MNPs and large difference between their composite with GNPs, it is reasonable to derive such \na conclusion. Further researches on MI can help for fully concluding this claim. \n \n4. Conclusion \nIn summary, we have observed the PIM effect in GNPs/YIG composites. It is verified that the PIM \naffected by the size and clustering of YIG -MNPs, probed with microscopic observation and \nmagnetization measurements. T he higher surface area of the interface between GNPs and YIG -\nMNPs has result ed in the enhancement in magnetization mediated by PIM effect and also probed \nindirectly through the MI effect . The MI enh ancement of ribbon for the sample coated with \nGNPs/MCP -YIG is higher than the ribbon coated with GNPs/CN -YIG. Therefore, according to \nthe differences between the GNPs/CN -YIG and GNPs/MCP -YIG samples, it is conceived that \nplanar magnetized GNPs has higher i mpact on vanishing the magnetic flux at the surface of MI \nelement. The results of MI measurements reveal a great improvement of sensing performance and \n14 \n ability as a probe to detect the PIM. Our different results for PIM in GNPs/YIG composites \nmediated by p article size and clustering, and their effect on a proposed MI sensor can convey for \nfurther application in other magnetic systems and sensors with different mechanisms. \n \nAcknowledgments \nS.M. Mohseni acknowledges support from Iran Science Elites Federatio n (ISEF) and Iran \nNanotechnology Initiative Council and Iran’s National Elites Foundation (INEF) . \n[1] A. Dyrdał, J. Barnaś, Anomalous, spin, and valley Hall effects in graphene deposited on ferromagnetic \nsubstrates, 2D Materials 4(3) (2017) 034003. \n[2] J. Hauser, Magnetic proximity effect, Physical Review 187(2) (1969) 580. \n[3] E. Wohlfarth, The electronic properties of nickel -palladium alloys, Journal of Physics and Chemistry of \nSolids 1(1 -2) (1956) 35 -38. \n[4] J. Crangle, J. Crangle, Phil. Mag. 5, 335 (1960), Phil. Mag. 5 (1960) 335. \n[5] G. Schütz, H. Ebert, P. Fischer, S. Rüegg, W. Zeper, Spin Polarization of PT in Pt/Co Multilayers \nStudied by X -Ray Absorption, MRS Proceedings, Cambridge University Press, 1991, p. 77. \n[6] S.K. Saha, V .S. Stepanyuk, J. Kirschner, Ferromagnetism of Pd (001) substrate induced by \nantiferromagnetic CoO, Physics Letters A 378(48) (2014) 3642 -3644. \n[7] Z. Wang, C. Tang, R. Sachs, Y. Barlas, J. Shi, Proximity -induced ferromagnetism in graphene revealed \nby the anomalous Hall effect, Physical review letters 114(1) (2015) 016603. \n[8] C. Gong, L. Li, Z. Li, H. Ji, A. Stern, Y. Xia, T. Cao, W. Bao, C. Wang, Y. Wang, Discovery of intrinsic \nferromagnetism in two -dimensional van der Waals crystals, Nature 546(7657) (2017) 265. \n[9] P. Asshoff, J. Sambricio, A. Rooney, S. Slizovskiy, A. Mishchenko, A. Rakowski, E. Hill, A. Geim, S. \nHaigh, V. Fal’ko, Magnetoresistance of vertical Co -graphene -NiFe junctions controlled by charge transfer \nand proximity -induced spin splitti ng in graphene, 2D Materials 4(3) (2017) 031004. \n[10] V.T. Phong, N.R. Walet, F. Guinea, Effective interactions in a graphene layer induced by the proximity \nto a ferromagnet, 2D Materials 5(1) (2017) 014004. \n[11] P. Wei, S. Lee, F. Lemaitre, L. Pinel, D. C utaia, W. Cha, F. Katmis, Y. Zhu, D. Heiman, J. Hone, \nStrong interfacial exchange field in the graphene/EuS heterostructure, Nature materials 15(7) (2016) 711. \n[12] A. Avsar, D. Unuchek, J. Liu, O.L. Sanchez, K. Watanabe, T. Taniguchi, B. Özyilmaz, A. Kis , \nOptospintronics in graphene via proximity coupling, ACS nano 11(11) (2017) 11678 -11686. \n[13] L. Pietrobon, L. Fallarino, A. Berger, A. Chuvilin, F. Casanova, L.E. Hueso, Weak Delocalization in \nGraphene on a Ferromagnetic Insulating Film, Small 11(47) (20 15) 6295 -6301. \n[14] B. Zhou, S. Ji, Z. Tian, W. Cheng, X. Wang, W. Mi, Proximity effect induced spin filtering and gap \nopening in graphene by half -metallic monolayer Cr2C ferromagnet, Carbon 132 (2018) 25 -31. \n[15] A.L. Friedman, K.M. McCreary, J.T. Robinso n, O.M. Van't Erve, B.T. Jonker, Spin relaxation and \nproximity effect in WS 2/graphene/fluorographene non -local spin valves, Carbon 131 (2018) 18 -25. \n[16] S.Y. Huang, X. Fan, D. Qu, Y.P. Chen, W.G. Wang, J. Wu, T.Y. Chen, J.Q. Xiao, C.L. Chien, \nTransport M agnetic Proximity Effects in Platinum, Physical Review Letters 109(10) (2012) 107204. \n[17] Y. Lu, Y. Choi, C. Ortega, X. Cheng, J. Cai, S. Huang, L. Sun, C. Chien, Pt magnetic polarization on \nY 3 Fe 5 O 12 and magnetotransport characteristics, Physical rev iew letters 110(14) (2013) 147207. \n[18] S. Geprägs, S. Meyer, S. Altmannshofer, M. Opel, F. Wilhelm, A. Rogalev, R. Gross, S.T. \nGoennenwein, Investigation of induced Pt magnetic polarization in Pt/Y3Fe5O12 bilayers, Applied Physics \nLetters 101(26) (2012) 2 62407. \n[19] D. Seifu, S. Neupane, L. Giri, S.P. Karna, H. Hong, M. Seehra, Multilayered graphene acquires \nferromagnetism in proximity with magnetite particles, Applied Physics Letters 106(21) (2015) 212401. 15 \n [20] J. Beato -López, J. Pérez -Landazábal, C. Góme z-Polo, Magnetic nanoparticle detection method \nemploying non -linear magnetoimpedance effects, Journal of Applied Physics 121(16) (2017) 163901. \n[21] K. Wang, C. Cai, M. Yamamoto, T. Uchiyama, Real -time brain activity measurement and signal \nprocessing syste m using highly sensitive MI sensor, AIP Advances 7(5) (2017) 056635. \n[22] M. Knobel, M. Vázquez, L. Kraus, Giant magnetoimpedance, Handbook of magnetic materials 15 \n(2003) 497 -563. \n[23] A. Asfour, J. -P. Yonnet, M. Zidi, A high dynamic range GMI current sen sor, Journal of Sensor \nTechnology 2(04) (2012) 165. \n[24] A.A. Taysioglu, Y. Kaya, A. Peksoz, S.K. Akay, N. Derebasi, G. Irez, G. Kaynak, Giant magneto -\nimpedance effect in thin zinc oxide coated on Co -based (2705 X) amorphous ribbons, IEEE Transactions \non M agnetics 46(2) (2010) 405 -407. \n[25] I. -D. Kim, S. -J. Choi, H. -J. Cho, Graphene -Based Composite Materials for Chemical Sensor \nApplication, Electrospinning for High Performance Sensors, Springer2015, pp. 65 -101. \n[26] L.V. Panina, K. Mohri, T. Uchiyama, M. No da, K. Bushida, Giant magneto -impedance in Co -rich \namorphous wires and films, IEEE Transactions on Magnetics 31(2) (1995) 1249 -1260. \n[27] A.A. Taysioglu, A. Peksoz, Y. Kaya, N. Derebasi, G. Irez, G. Kaynak, GMI effect in CuO coated Co -\nbased amorphous ribbo ns, Journal of Alloys and Compounds 487(1 -2) (2009) 38 -41. \n[28] A. Peksoz, Y. Kaya, A.A. Taysioglu, N. Derebasi, G. Kaynak, Giant magneto -impedance effect in \ndiamagnetic organic thin film coated amorphous ribbons, Sensors and Actuators A: Physical 159(1) ( 2010) \n69-72. \n[29] N. Laurita, A. Chaturvedi, C. Bauer, P. Jayathilaka, A. Leary, C. Miller, M. -H. Phan, M.E. McHenry, \nH. Srikanth, Enhanced giant magnetoimpedance effect and field sensitivity in Co -coated soft ferromagnetic \namorphous ribbons, Journal of Ap plied Physics 109(7) (2011) 07C706. \n[30] A. Chaturvedi, K. Stojak, N. Laurita, P. Mukherjee, H. Srikanth, M. -H. Phan, Enhanced \nmagnetoimpedance effect in Co -based amorphous ribbons coated with carbon nanotubes, Journal of \nApplied Physics 111(7) (2012) 07E5 07. \n[31] A. Ruiz, D. Mukherjee, J. Devkota, M. Hordagoda, S. Witanachchi, P. Mukherjee, H. Srikanth, M. \nPhan, Enhanced giant magneto -impedance effect in soft ferromagnetic amorphous ribbons with pulsed laser \ndeposition of cobalt ferrite, Journal of Applied Physics 113(17) (2013) 17A323. \n[32] L. Jamilpanah, S. Azadian, J.S. e Gharehbagh, S.H. Jahromi, Z. Sheykhifard, S. Hosseinzadeh, S. \nErfanifam, M. Hajiali, M. Tehranchi, S. Mohseni, Electrophoretic deposition of graphene oxide on \nmagnetic ribbon: Toward hi gh sensitive and selectable magnetoimpedance response, Applied Surface \nScience 447 (2018) 423 -429. \n[33] M. Hajiali, S.M. Mohseni, L. Jamilpanah, M. Hamdi, S. Roozmeh, S.M. Mohseni, Spin -orbit -torque \ndriven magnetoimpedance in Pt -layer/magnetic -ribbon heter ostructures, Applied Physics Letters 111(19) \n(2017) 192405. \n[34] M. Hajiali, L. Jamilpanah, J. Gharehbagh, S. Azizmohseni, M. Hamdi, M. Mohseni, G. Jafari, S.M. \nMohseni, Independence of spin -orbit -torque from exchange -bias probed via training effect in IrM n-\nlayer/ferromagnetic -ribbon heterostructures, arXiv preprint arXiv:1811.01352 (2018). \n[35] A. Macagnano, E. Zampetti, E. Kny, Electrospinning for high performance sensors, Springer2015. \n[36] Q. He, S. Wu, Z. Yin, H. Zhang, Graphene -based electronic sensors, Chemical Science 3(6) (2012) \n1764 -1772. \n[37] S.H. Khezri, A. Yazdani, R. Khordad, Pure iron nanoparticles prepared by electric arc discharge \nmethod in ethylene glycol, The European Physical Journal -Applied Physics 59(3) (2012). \n[38] S.H. Khezri, A . Yazdani, R. Khordad, B.A. Ravan, Preparation of Pure Cobalt Nanoparticles by \nElectric Arc Discharge Method in Ethylene Glycol, Modern Physics Letters B 27(09) (2013) 1350057. \n[39] S.H. Khezri, A. Yazdani, R. Khordad, Effect of characteristics of media on cobalt and iron \nnanoparticles prepared by arc discharge method, Journal of Industrial and Engineering Chemistry 20(2) \n(2014) 521 -527. 16 \n [40] S. Hosseinzadeh, P. Elahi, M. Behboudni, M. Sheikhi, S. Mohseni, Structural and Magnetic Study of \nMetallo -Organic YI G Powder Using 2 -ethylhexanoate Carboxylate Based Precursors, arXiv preprint \narXiv:1811.12514 (2018). \n[41] S. Hosseinzadeh, M. Behboudnia, L. Jamilpanah, M. Sheikhi, E. Mohajerani, K. Tian, A. Tiwari, P. \nElahi, S. Mohseni, High Saturation Magnetization, L ow Coercivity and Fine YIG Nanoparticles Prepared \nby Modifying Co -Precipitation Method, arXiv preprint arXiv:1811.12511 (2018). \n[42] T. -Y. Kim, T. Hirano, Y. Kitamoto, Y. Yamazaki, Novel nanoparticle milling process for Bi -YIG \ndispersed transparent films, IEEE transactions on magnetics 39(4) (2003) 2078 -2080. \n[43] M. Knobel, K. Pirota, Giant magnetoimpedance: concepts and recent progress, Journal of magnetism \nand magnetic materials 242 (2002) 33 -40. \n[44] M. -H. Phan, H. -X. Peng, Giant magnetoimpedance mater ials: Fundamentals and applications, Progress \nin Materials Science 53(2) (2008) 323 -420. \n[45] L. Jamilpanah, M. Hajiali, S.M. Mohseni, S. Erfanifam, S.M. Mohseni, M. Houshiar, S.E. Roozmeh, \nMagnetoimpedance exchange coupling in different magnetic strength thin layers electrodeposited on Co -\nbased magnetic ribbons, Journal of Physics D: Applied Physics 50(15) (2017) 155001. \n[46] C. Lee, F. Katmis, P. Jarillo -Herrero, J.S. Moodera, N. Gedik, Direct measurement of proximity -\ninduced magnetism at the interface be tween a topological insulator and a ferromagnet, Nature \ncommunications 7 (2016) 12014. \n " }, { "title": "2206.15326v1.Second_order_nonlinearity_induced_multipartite_entanglement_in_a_hybrid_magnon_cavity_QED_system.pdf", "content": "arXiv:2206.15326v1 [quant-ph] 30 Jun 2022Second order nonlinearity induced multipartite entanglem ent in a hybrid magnon\ncavity QED system\nY. Zhou,1,2S. Y. Xie,1,∗C. J. Zhu,3,1,†and Y. P. Yang1,‡\n1MOE Key Laboratory of Advanced Micro-Structured Materials ,\nSchool of Physics Science and Engineering, Tongji Universi ty, Shanghai 200092, China\n2School of Electronics and Information Engineering, Taizho u University, Taizhou 318000, China\n3School of Physical Science and Technology, Soochow Univers ity, Suzhou 215006, China\nWe present a proposal to produce bipartite and tripartite en tanglement in a hybrid magnon-cavity\nQED system. Two macroscopic yttrium iron garnet (YIG) spher es are coupled to a single-mode\nmicrowave cavity via magnetic dipole interaction, while th e cavity photons are generated via the two\nphoton process induced by a pump field. Using the mean field the ory, we show that the second order\nnonlinearitycanresultinstrongbipartite entanglementb etweencavityphotonsandmagnonic modes\nunder two conditions, i.e., δcδm= 2g2andδc=−δm. For the later one, we also show the possibility\nfor producing the bipartite entanglement between two magno nic modes and tripartite entanglement\namong the cavity photons and two magnonic modes. Combining t hese two conditions, we further\nderive a third condition, i.e., δ2\nm−φ2+2g2= 0, where the tripartite entanglement can be achieved\nwhen two magnonic modes have different resonant frequencies .\nYttrium iron garnet (YIG) materials are good candi-\ndates for demonstrating interesting phenomena in quan-\ntum optics and condensed matter field of magnetism\ndue to its high Curie temperature, high spin density,\nlow dissipation rate and good tunability [ 1,2]. Partic-\nularly, the ferromagnetic resonance (FMR) induced col-\nlective spin dynamics gives rise to a new research field\nof magnonics by combining the meso- and nanoscale sci-\nence. With modern lithography and sensing techniques,\na great amount of fascinating phenomena have been re-\nported theoretically and experimentally, including dy-\nnamics of skyrmions [ 3], magnetic vortices [ 4,5], and\nspin pumping effect [ 6–9] and so on. All these proper-\nties would enable further investigation of quantum opti-\ncal phenomena in hybrid-quantum systems, integrating\nof magnonic systems with photons [ 10,11], qubits [ 12–\n14], optomechanics [ 15–17] and others.\nBesides, the interaction between an ensemble of spins\nand the cavity field plays an important role in the devel-\nopment of novel hybrid quantum system. In the field of\nmagnonics, photons confined to a cavity mode interact\nmore strongly with a matter polarization, producing the\ncavity magnon polariton as a new type of quasi parti-\ncle [18,19]. This is because magnon polariton have spin\ndensity many orders of magnitude higher than ensembles\nconsisting of atoms, molecules, nitrogen vacancy centers,\nion doped crystals and so on. Notably, strong coupling\nbetween a Kittel mode in YIG sphere and the photonic\nmode has been observed at the room temperature [ 20–\n23].\nRecently, this sub field of cavity electromagnonics in-\nvolving the interaction between magnon modes and the\n∗Corresponding author: xieshuangyuan@tongji.edu.cn\n†Corresponding author: cjzhu@suda.edu.cn\n‡Corresponding author: yapingyang@tongji.edu.cncavity light mode has developed rapidly. Many emergent\nphenomena have been found, such as cavity spintron-\nics [24–26], bistability [ 27–29], magnon dark modes [ 22,\n30], magnetically controllable slow light [ 31,32], and\nmagnon-induced transparency [ 33,34]. Particularly, the\npreparation of entangled states in ferromagnetic mate-\nrials, e.g., YIG spheres, has attracted great attention.\nSeveral methods have been proposed theoretically to re-\nalize bipartite and tripartite entanglements [ 15,35–38].\nOther applications have also been reported such as the\ngeneration of squeezed states of magnons and phonons in\ncavity magnomechanics [ 39], and the implementation of\nnonreciprocal transmission for a microwave field [ 40].\nIn this paper, we present a novel method to pro-\nduce strong bipartite and tripartite entanglements in a\ntwo YIG spheres cavity QED system via the second or-\nder nonlinearity of microwave field, which can be im-\nplemented by utilizing nonlinear materials [ 41–44], pho-\ntonic waveguide systems [ 45,46] as well as the dynam-\nical Casimir effect demonstrated in optomechanics sys-\ntem [47–50]. We first consider a special case where\ntwo YIG spheres have the same resonant frequencies of\nmagnon modes. We obtain two conditions for realiz-\ning strong photon-magnon entanglement, and magnon-\nmagnon entanglement. Moreover, the tripartite entan-\nglement among the cavity field and two magnon modes\ncan also be achieved under one of these two conditions.\nThen, we consider the case where two magnon modes\nhave different resonant frequencies. We show that a new\ncondition for implementation of tripartite entanglement\ncan be easily derived if we combine these two conditions.\nIn contrast to previous proposals, the second order non-\nlinearity results in a strong gain of cavity photon num-\nbers, and further enhances the interaction strength be-\ntween photons and magnons, yielding strong entangle-\nments under weak nonlinearity.\nModel.- As shown in Fig. 1, we consider a magnon-2\nB0\nY YB0\npump field\nprobe field\nFIG. 1. (a)Schematic of hybridmagnon-cavity QEDsystem.\nTwo YIG spheres with resonant frequencies ωm1andωm2are\nlocated inside a microwave cavity driven by a pump field and\na auxiliary probe field εp. The pump field photons are trans-\nferred to the probe field photons via two photon process with\nnonlinear interaction strength Ω. Here, γ1andγ2denote the\ndecay rates oftwo magnonic modes, while κdenotes the decay\nrate of the cavity mode. (b) Interactions among the subsys-\ntems. Two magnon modes linearly couple to the cavity mode\nwith coupling strengths g1andg2, respectively. The second-\norder nonlinear interaction result in bipartite entanglem ents\nbetween two magnon modes and the cavity mode, respec-\ntively. With some specific conditions, two magnon modes can\nbe entangled, which further leads to tripartite entangleme nts.\nphoton hybrid system where two YIG spheres are placed\nin a single mode microwave cavity with resonant fre-\nquencyωc. With currentexperimentaltechniques, strong\ncouplings between cavity photons and collective spin ex-\ncitations in YIG spheres can be achieved [ 10,20,24,51–\n53]. In our system, we only take into account the Kittel\nmodes which have spatially uniform profile and subject\nto giant magnetic moments, i.e., Mj=γeS(j)/V. Here,\nγe=e/mecis the gyromagnetic ratio for electron spin\nandS(j)(j= 1,2) denotes the collective spin operator of\nthej−th YIG sphere, which couples the external mag-\nnetic field and the magnetic field inside the cavity. Thus,\nthe frequency of the Kittel mode in j−th YIG sphere\nωmj=γH(j)\nz, which can be flexibly tuned by adjusting\nthe external magnetic field. By means of the Holstein-\nPrimakoff transform [ 54], the collective spin operators\ncan be approximately represented by the boson creation\nand annihilation operators (ˆ m†\njand ˆmj) with [ˆmj,ˆm†\nj] =\n1. Then, the raising and lowering operators of the spin\ncan be approximately expressed as ˆ mj≈ˆS(j)\n+//radicalbig\n(2S)\nand ˆm†\nj≈ˆS(j)\n−//radicalbig\n(2S) withˆS(j)\n±=/summationtextN\nj=1ˆσ(j,N)\n±and\nS=Nsbeing the totalspin number ofthe corresponding\ncollective spin operator, with the total number of spins\nN=ρVand the spin number s= 5/2. Here, we con-\nsider a typical yttrium iron garnet with high spin density\nρ= 4.22×1027m−3anddiameter d= 1mm[ 27,55]. The\ncavity is driven by a weak auxiliary field with resonant\nfrequency ωpand a pump field with resonant frequency\nωP= 2ωp. We must point out that the probe field is\njust used to obtain the conditions for implementation\nof entanglements, which is not essential in experiments.\nUnder the rotating wave approximation in the frame of\nthe probe field, the Hamiltonian of this magnon cavitysystem shown in Fig. 1is (setting /planckover2pi1= 1)\nˆH=δcˆa†ˆa+/summationdisplay\nj=1,2/bracketleftig\nδmjˆm†\njˆmj+gj(ˆaˆm†\nj+ˆa†ˆmj)/bracketrightig\n+Ω(ˆa2+ˆa†2)+εp(ˆa+ˆa†) (1)\nwhere ˆa(ˆa†) denotes the annihilation (creation) operator\nof cavity mode, δc=ωc−ωpandδmj=ωmj−ωp.gj=√\n5NγeBvacdenotesthemagnon-cavitycouplingwiththe\nmagnetic field of vacuum Bvac=/radicalbig\n2π/planckover2pi1ωc/Vvac.εpis\nthe driving strength of the probe field, and the cavity\nphotons interacts with the pump field via two photon\nprocess with nonlinear interaction strength Ω. Such kind\nofsecondordernonlinearinteractioncanbe implemented\nin various quantum systems [ 41–50].\nThe dynamics of this coupled system is described by\nthe quantum master equation, which reads\ndˆρ\ndt=−i[ˆH,ˆρ]+κ\n2ˆLκ[ˆρ]+/summationdisplay\nj=1,2γj\n2ˆL(j)\nγ[ˆρ],(2)\nwhere ˆρis the density matrix of the system. The decay\nterms are given by ˆLκ[ˆρ] = 2ˆaˆρˆa†−ˆa†ˆaˆρ−ˆρˆa†ˆaand\nˆL(j)\nγ[ˆρ] = 2ˆmjˆρˆm†\nj−ˆm†\njˆmjˆρ−ˆρˆm†\njˆmjwith the cavity\ndecay rate κand magnon decay rate γj, respectively.\nThen, the time evolution of the bosonic operators, in-\ncluding the thermal fluctuation over and above the mean\nvalues, can be described by the quantum Langevin equa-\ntions (QLEs), which reads\ndˆa\ndt=−i(δc−iκ)ˆa−ig1ˆm1−ig2ˆm2−iεp\n−2iΩˆa†+√\n2κˆain(3)\ndˆm1\ndt=−i(δm1−iγ1)ˆm1−ig1ˆa+/radicalbig\n2γ1ˆmin\n1(4)\ndˆm2\ndt=−i(δm2−iγ2)ˆm2−ig2ˆa+/radicalbig\n2γ2ˆmin\n2(5)\nwhere ˆainand ˆmin\nj(j= 1,2) denote input quan-\ntum noises of the cavity mode and the j−th magnon\nmode, respectively. They obey the following correla-\ntions[56]:/an}bracketle{tˆain(t)ˆain†(t′)/an}bracketri}ht=δ(t−t′),/an}bracketle{tˆain†(t)ˆain(t′)/an}bracketri}ht= 0,\n/an}bracketle{tˆmin\nj(t)ˆmin†\nj(t′)/an}bracketri}ht=δ(t−t′),/an}bracketle{tˆmin†\nj(t)ˆmin\nj(t′)/an}bracketri}ht= 0. In the\nfollowing, we set g1=g2≡g,γ1=γ2≡γfor mathe-\nmatical simplicity. Generally, equations (3)-(5) can be\nsolved by using the mean field approximation, i.e., set-\nting an arbitrary operator ˆ o=o+δˆo(o=a,m1,m2).\nHere,o≡ /an}bracketle{tˆo/an}bracketri}ht= Tr(ˆρˆo) denotes the average value of the\noperator ˆ o, whileδˆorepresents the quantum fluctuation\nabove the average value.\nTo show the physical mechanism of the entanglement\nmore clearly, we first set ωm1=ωm2≡ωm. Under\nthe steady-state approximation, Eqs. (3)-(5) can be lin-\nearized, yielding\n(δc−iκ)a+g(m1+m2)+2Ωa∗=−εp,(6)\n(δm−iγ)mj+ga= 0, (7)3\nwherej= 1−2 andδm=ωm−ωp. The solutions of the\nabove equations are given by\na=εp\n4Ω2−|D0|2(D∗\n0−2Ω), (8a)\nm1=m2=−ga/δm, (8b)\nwhereD0= ∆c−2g2/∆mwith complex detuning ∆ c=\nδc−iκand ∆ m=δm−iγ. Then, one can easily ob-\ntain the average photon number nc≡ /an}bracketle{ta†a/an}bracketri}ht ≈ |a|2and\nthe magnon excitation numbers of the j−th YIG sphere\nnmj≡ /an}bracketle{tm†\njmj/an}bracketri}ht ≈ |mj|2. In view of Eq. ( 8a) and drop-\nping all decay terms, it is noted that the cavity photons\ncanbe excited with itsmaximalefficiency ifthe condition\nδcδm= 2g2, (9)\nis satisfied. Simultaneously, the magnon excitation num-\nber will also reaches its maximum.\n(a) (b)\nFIG. 2. Average photon number nc[panel (a)] and magnon\nexcitation number nm1(nm2)[panel(b)]onalogarithmic scale\nas functions of normalized detunings δc/κandδm/κ. Here,\nthe white dash curves indicate the condition δcδm= 2g2\nwhere maximum value of average photonnumberand magnon\nexcitation number can be observed. System parameters are\ngiven in the text.\nInFig.2, weshowtheaveragephotonnumber nc[panel\n(a)] and the magnon excitation number nm1(nm2) in\nthe first (second) YIG sphere [panel (b)] on a logarith-\nmic scale as functions of the detunings δcandδm, re-\nspectively. Here, we choose εp=κand the nonlinear\ninteraction strength Ω /κ= 0.6,γ=κ,g/κ= 3.2 [17].\nIn Fig.2(a), it is clear to see that there exists two ex-\ncitation branches in the cavity excitation spectrum with\nthe condition δcδm= 2g2. As shown in panel (b), similar\ncharacteristics can be observed in the magnon excitation\nspectrum. We must point out that the maximal value of\nthe magnon excitation numbers is just about 103so that\nweak excitation assumption m1,m2≪2Nsis satisfied\nand the H-P approximation is valid. In the following, we\nwill show that how such a weak magnon excitation can\nlead to strong entanglement between cavity photons and\nmagnons in both YIG spheres.\nBipartite entanglement. - First, let’s consider the\nbipartite entanglement between cavity photons andmagnons by studying the properties of the quadrature\nfluctuations of the cavity field and the magnon modes,\nwhich are defined as δX= (δˆa+δˆa†)/√\n2,δY=i(δˆa†−\nδˆa)/√\n2,δx1= (δˆm1+δˆm†\n1)/√\n2,δy1=i(δˆm†\n1−δˆm1)/√\n2,\nδx2= (δˆm2+δˆm†\n2)/√\n2, andδy2=i(δˆm†\n2−δˆm2)/√\n2.\nNeglecting higher-orderfluctuations ofthe operators, the\nevolution of quadrature fluctuations can be described by\nthe linearized QLEs, which reads\n˙f(t) =Af(t)+η (10)\nwheref(t) = [δX(t),δY(t),δx1(t),δy1(t),δx2(t),δy2(t)]T,\nandη(t) =/bracketleftbig√\n2κXin,√\n2κYin,√2γxin\n1,√2γyin\n1,\n√2γxin\n2,√2γyin\n2/bracketrightbigTis a vector denoting the input noises.\nThe drift matrix is defined as\nA=\n−κ δ c−2Ω 0 g0g\n−δc−2Ω−κ−g0−g0\n0 g−γ δm0 0\n−g 0−δm−γ0 0\n0 g0 0 −γ δm\n−g 0 0 0 −δm−γ\n(11)\nFor such as a system, a 6 ×6 covariance matrix (CM)\nVcan be used to describe a continuous variable three-\nmode Gaussian state. The corresponding element of\nthis CM is defied as Vij=/an}bracketle{tfi(t)fj(t′) +fj(t′)fi(t)/an}bracketri}ht/2\n(i,j= 1,2,...,6). Generally, we can solve the Lyapunov\nequation to obtain the steady state CM V[57,58], i.e.,\nAV+VAT=−D, (12)\nwhere the diffusion matrix is defined as D= [κ,κ,γ,\nγ,γ,γ]TwithDijδ(t−t′) =/an}bracketle{tηi(t)ηj(t′)+ηj(t′)ηi(t)/an}bracketri}ht/2.\nThen, we calculate the logarithmic negativity [ 59,60]\nto quantitatively measure the bipartite entanglement\nEαβ(α,β=a,m1,m2) between any two different modes,\ni.e.,\nEαβ≡max{0,−ln2˜ν−} (13)\nwhere ˜ν−= min{eig(iΩ2˜V4)}with˜V4=P1|2V4P1|2.\nHere, Ω 2=⊕2\nj=1iσy,P1|2=σz⊕IandV4is a 4×4\nCM of arbitrary two subsystems in this three-mode sys-\ntem, whichcanbeobtainedbydeletingrowsandcolumns\nof irrelevant modes in CM V.σyandσzare the Pauli\nmatrices. As usual, Eαβ>0 denotes the existence of\nbipartite entanglement.\nFig.3(a) shows the bipartite entanglement Eam1\n(Eam2) between the magnon mode in the first (second)\nYIG sphere and the cavity mode as functions of the de-\ntuningsδcandδm, respectively. The system parameters\narethesameasthoseusedinFig. 2. Obviously,strongbi-\npartiteentanglementsbetweenthemagnonmodeandthe\ncavity mode occur under two different conditions. One\nisδcδm= 2g2(white dashed curves) as demonstrated in\nFig.2. The other (white solid line) is\nδc=−δm, (14)4\n0.050.15\n0.10.20.25\n0.040.080.120.160.2\n0.040.080.120.160.2(a) (b)\n(c) (d)\nFIG. 3. Density plot of bipartite entanglement Eam1=Eam2\n[panel (a)] and Em1m2[panel (b)] versus normalized detun-\ningsδc/κandδm/κ. White dash curves indicate the condition\nδcδm= 2g2, while white solid lines indicate the condition\nδc=−δm. Panels (c) and (d) show the density plot of bi-\npartite entanglements Eam1=Eam2andEm1m2against the\nnormalized nonlinear interaction strength Ω /κand detuning\nδm/κby fixing δc=−δm.\nwhich can be understood by exploring the system in bare\nstate picture. Considering two bare states labeled by\n|Nc,Nmj/an}bracketri}htand|Nc−1,Nmj+ 1/an}bracketri}ht, a bipartite entangle-\nment state such as ( |Nc,Nm1/an}bracketri}ht+|Nc−1,Nm1+1/an}bracketri}ht)/√\n2\nwill be produced if both states have the same excitation\nprobabilities. Thus, the probe field frequency must sat-\nisfyωp= (ωc+ωmj)/2, yielding δc=−δm. It is also\nnoted that, in a small regime near δc=δm= 0 (i.e.,\nωc=ωm1=ωm2), the photon mode and magnon mode\narenotentangledandthebipartiteentanglement Eam1=\nEam2= 0 since these two states can not be distinguished\n[see panel (a)]. In Fig. 3(b), we show the bipartite en-\ntanglement Em1m2betweentwomagnonicmodes. Incon-\ntrast to the bipartite entanglement Eamj, the bipartite\nentanglement Em1m2only appears in the regime near the\ncondition of δc=−δm1. In particular, the maximal bi-\npartite entanglement between two magnonic modes oc-\ncurs at center point with ωc=ωm1=ωm2. However, at\nthis point, the magnon mode and cavity mode are not\nentangled, which is coincided with the system driven by\na JPA process [ 37].\nHere, we must point out that the second order nonlin-\nearity is the key for generating bipartite entanglements.\nTo show this point, we fix δc=−δm. Fig.3(c) and\nFig.3(d) show the Eam1(Eam2) andEm1m2against\nthe normalized detuning δm/κand nonlinear interaction\nstrength Ω /κ, respectively. Obviously, Eam1=Eam2=\nEm1m2= 0 (non-entanglement) if the nonlinear inter-action strength Ω = 0. Bipartite entanglements Eam1\n(Eam2) andEm1m2aresignificantlyenhanced asthe non-\nlinear interaction strength Ω increases. It is noted that\nthis second order nonlinearity induced bipartite entan-\nglements reach up to 0 .1 even for a weak nonlinear in-\nteraction strength, e.g., Ω /κ= 0.5. It is as strong as\nthe phonon induced bipartite entanglements reported in\nRef. [61], where the averagemagnonexcitation number is\nabove 107to acquire strong nonlinear effect. Compared\nwith panels (c) and (d), we notice that it is possible to\nfind some regimes where mutual bipartite entanglements\n(i.e., tripartite entanglement with non-zero Eam1,Eam2\nandEm1m2) can be achieved when the driving field is\ndetuned.\nTripartite entanglement. - To verify this feature, we\nadopt the minimum residual contangle as a bona fide\nquantification of tripartite entanglement [ 62,63]. Here,\ncontangle is a CV analogue of tangle for discrete-variable\ntripartite entanglement, and the minimum residual con-\ntangle is given by\nRmin\nτ≡min{Ra|m1m2\nτ,Rm1|am2\nτ,Rm2|am1\nτ}(15)\nwhereRi|jk\nτ≡Ci|jk−Ci|j−Ci|k≥0 (i,j,k=a,m1,m2)\ndenotes the residual contangle with Cu|vbeing the con-\ntangle of subsystems uandv(vcan contain one or two\nmodes). Here, we consider that vcontains two modes,\nand the contangle Ci|jk= [max{0,−ln(2˜ν−)}]2, where\n˜ν−≡min{eig(iΩ3˜V6)}with Ω 3=⊕3\nj=1iσyand˜V6=\nPi|jkVPi|jk. Here,P1|23=σz⊕I⊕I,P2|13=I⊕σz⊕I\nandP3|12=I⊕I⊕σzdenote partial transposition matri-\nces. Thus, Rmin\nτ>0 represents the existence of genuine\ntripartite entanglement in the system.\n0.020.040.060.080.10.120.140.16\n(a) (b)\nFIG. 4. (a) The tripartite entanglement Rmin\nτverses normal-\nized detunings δc/κandδm/κ. Black solid line indicates the\ncondition δc=−δm. (b) The maximal Tripartite entangle-\nmentRmin\nτis plotted as functions of the normalized nonlinear\ninteraction strength Ω /κand coupling strength g/κby fix-\ningδc=−δmand scanning magnon detuning δmover a wide\nrange.\nNext, we will discuss the possibility for generating a\nstrong tripartite entanglement via the second-order non-\nlinearity. In Fig. 4(a), we show the tripartite entan-\nglement Rmin\nτversus detunings δcandδm, respectively.\nHere, the system parameters are the same as those used5\nin Fig.2, and the black solid line indicates the condition\nδc=−δm. As expected, strong tripartite entanglements\noccur near this condition with a non-zero cavity/magnon\ndetuning. Fig. 4(b) shows more clearly the presence of\ntripartite entanglement by setting δc=−δm. Here, we\nplotthemaximaltripartiteentanglementagainstthenor-\nmalized coupling strength g/κand the nonlinear interac-\ntion strength Ω /κby scanning the magnon detuning δm\nover a wide range. Obviously, strong tripartite entan-\nglement can be produced when a set of suitable photon-\nmagnon interaction strength gand nonlinear interaction\nstrengthΩischosen. It isnoted thatthetripartiteentan-\nglement is stronger than the OPA induced entanglement\nreported in Ref. [ 38].\n0.010.020.02\n0.02\n0.030.03\n0.040.04\n0.050.05\n0.060.06\n(b)\n (a)\nFIG. 5. (a) The tripartite entanglement Rmin\nτverses the fre-\nquency difference between two magnonic modes φ/κand the\ndetuning δm/κ. Here, we choose δc=−δmand white dash\ncurves indicate the condition δ2\nm−φ2+2g2= 0. (b) The max-\nimal tripartite entanglement Rmin\nτverses the nonlinear inter-\naction strength Ω /κand the frequency difference between two\nmagnon modes φ/κby setting δ2\nm−φ2+2g2= 0 and scanning\nthe detuning δmover a wide range.\nFinally, let’s study the presence of tripartite entan-\nglements when two magnon modes have different reso-\nnant frequencies. To show the properties of tripartite\nfeatures more clearly, we define the average magnon fre-\nquency ¯ωm≡(ωm1+ωm2)/2and the frequencydifference\nφ≡(ωm1−ωm2)/2. Then, the detunings are given by\nδm1=δm+φandδm2=δm−φwithδm= ¯ωm−ωp.\nBased on the above analysis, it is found that there exist\ntwo different conditions to realize strong bipartite entan-\nglement between photon mode and single magnon mode\n(see Eqs ( 8)). Therefore, the presence of tripartite en-\ntanglement with two different magnon modes can be pre-\ndicted if the frequency of the first magnon mode satisfies\nδc=−δm1, while the frequency of the second magnon\nmode satisfies δcδm2= 2g2simultaneously. Combining\nthese two conditions, one can easily obtain\nδ2\nm−φ2+2g2= 0 (16)\nfor achieving strong tripartite entanglement. To verify\nthisprediction, weplot Rmin\nτversusthedetunings δmand\nφin Fig.5(a). Here, we set δc=−δmand other system\nparameters are the same as those used in Fig. 2. It is\nclear to see that the maximal tripartite entanglementsappear at the condition δ2\nm−φ2+2g2= 0 indicated by\nwhite dashed curves. In Fig. 5(b), we show the influence\nof the nonlinear interaction strength Ω on the presence\nand quality of the tripartite entanglement. Here, we plot\nthe optimal tripartite entanglement versus φ/κand Ω/κ\nby scanning the detuning δmover a wide range. It is\nfound that the tripartite entanglement with two different\nmagnon modes can also be realized under weak nonlinear\ninteraction and it can be significantly enhanced as the\nnonlinear interaction strength Ω increases.\nIn conclusion, we have proposed a scheme to gener-\nate bipartite and tripartite entanglement in a hybrid\nmagnon-cavity QED system, where the cavity photons\nare generated via the two photon process. In the pres-\nence of the second order nonlinearity, we show that the\nstrong bipartite entanglement between the cavity mode\nand magnon mode can be achieved under two conditions.\nOne isδcδm= 2g2, the other is δc=−δm. Forthe second\ncondition, it is also possible to produce mutual entan-\nglement between two magnon modes near the resonance\nregion. Besides, we show that the optimal tripartite en-\ntanglement can be implemented if the second condition\nδc=−δmis fulfilled. Combining these two conditions,\nwe derive the third condition for realize the optimal tri-\npartite entanglement associated with two magnon modes\nwithdifferentresonantfrequencies,i.e., δ2\nm−φ2+2g2= 0.\nAll these conditions are helpful for experimentists to re-\nalize macroscopic bipartite and tripartite entanglements\nin hybrid magnon cavity QED systems.\nWe thank Dr. Jie Li at Zhejiang University for helpful\ndiscussion. This work has been supported by the Na-\ntional Natural Science Foundation of China (Grant No.\n61975154).\n[1] B. Bhoi and S. K. Kim. Roadmap for photon-magnon\ncoupling and its applications. In Solid State Phys. , vol-\nume 71, pages 39–71. Elsevier, 2020.\n[2] M. Harder and C. M. Hu. Cavity spintronics: an early\nreview of recent progress in the study of magnon–photon\nlevel repulsion. Solid State Phys. , 69:47–121, 2018.\n[3] X. Wang, L. Chotorlishvili, V. K. Dugaev, A. Ernst, I. V.\nMaznichenko, N. Arnold, C. Jia, J. Berakdar, I. Mertig,\nand J. Barna´ s. The optical tweezer of skyrmions. npj\nComput. Mater. , 6(1):140, 2020.\n[4] J. Graf, H. Pfeifer, F. Marquardt, and S. V. Kusminskiy.\nCavity optomagnonics with magnetic textures: Coupling\na magnetic vortex to light. Phys. Rev. B , 98(24):241406,\n2018.\n[5] A Osada, A Gloppe, R Hisatomi, A Noguchi, R Ya-\nmazaki, M Nomura, Y Nakamura, and K Usami. Bril-\nlouin light scattering by magnetic quasivortices in cavity\noptomagnonics. Phys. Rev. Lett. , 120(13):133602, 2018.\n[6] C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A.\nSerga, V. I. Vasyuchka, M. B. Jungfleisch, E. Saitoh, and\nB. Hillebrands. Spin pumping by parametrically excited\nexchange magnons. Phys. Rev. Lett. , 106:216601, 2011.6\n[7] F. D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler,\nM. Althammer, I.-M. Imort, G. Reiss, A. Thomas,\nW. Schoch, W. Limmer, H. Huebl, R. Gross, and S. T. B.\nGoennenwein. Scaling behavior of the spin pumping ef-\nfect in ferromagnet-platinum bilayers. Phys. Rev. Lett. ,\n107:046601, 2011.\n[8] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz,\nE. Girt, Y. Y. Song, Y. Y. Sun, and M. Z. Wu. Spin\npumping at the magnetic insulator (yig)/normal metal\n(au) interfaces. Phys. Rev. Lett. , 107(6):066604, 2011.\n[9] Y. Shiomi, J. Lustikova, S. Watanabe, D. Hirobe,\nS. Takahashi, and E. Saitoh. Spin pumping from nuclear\nspin waves. Nat. Phys. , 15(1):22–26, 2019.\n[10] X. F. Zhang, C. L. Zou, L. Jiang, and H. X. Tang.\nStrongly coupled magnons and cavity microwave pho-\ntons.Phys. Rev. Lett. , 113(15):156401, 2014.\n[11] M. Harder, Y. Yang, B. M. Yao, C. H. Yu, J. W. Rao,\nY. S. Gui, R. L. Stamps, and C. M. Hu. Level attraction\ndue to dissipative magnon-photon coupling. Phys. Rev.\nLett., 121(13):137203, 2018.\n[12] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura. Coherent coupling\nbetween a ferromagnetic magnon and a superconducting\nqubit.Science, 349(6246):405–408, 2015.\n[13] D. Lachance-Quirion, S. P. Wolski, Y. Tabuchi, S. Kono,\nK.Usami, andY. Nakamura. Entanglement-based single-\nshot detection of asingle magnon with asuperconducting\nqubit.Science, 367(6476):425–428, 2020.\n[14] W. Z. Cai, J. X. Han, F. Mei, Y. Xu, Y. W. Ma, X. G.\nLi, H. Y. Wang, Y. P. Song, Z. Y. Xue, and Z. Q. Yin.\nObservation of topological magnon insulator states in a\nsuperconducting circuit. Phys. Rev. Lett. , 123(8):080501,\n2019.\n[15] J. Li, S. Y. Zhu, and G. S. Agarwal. Magnon-photon-\nphonon entanglement in cavity magnomechanics. Phys.\nRev. Lett. , 121:203601, 2018.\n[16] C. A. Potts, Victor A. S. V. Bittencourt, S. V. Kusmin-\nskiy, and J. P. Davis. Magnon-phonon quantum correla-\ntion thermometry. Phys. Rev. Appl. , 13(6):064001, 2020.\n[17] X. F. Zhang, C. L. Zou, L. Jiang, and H. X. Tang. Cavity\nmagnomechanics. Sci. Adv. , 2(3):e1501286, 2016.\n[18] Y. S. Cao, P. Yan, H. Huebl, S. T. B. Goennenwein,\nand G. E. W. Bauer. Exchange magnon-polaritons in\nmicrowave cavities. Phys. Rev. B , 91(9):094423, 2015.\n[19] V. V. Kruglyak, S. O. Demokritov, and D. Grundler.\nMagnonics. J. Phys. D: Appl. Phys. , 43(26):264001, 2010.\n[20] D. K. Zhang, X. M. Wang, T. F. Li, X. Q. Luo, W. D.\nWu, F. Nori, and J. Q. You. Cavity quantum electrody-\nnamics with ferromagnetic magnons in a small yttrium-\niron-garnet sphere. npj Quan. Inf. , 1(1):15014, 2015.\n[21] I. Boventer, M. Pfirrmann, J. Krause, Y. Sch¨ on,\nM. Kl¨ aui, and M. Weides. Complex temperature de-\npendence of coupling and dissipation of cavity magnon\npolaritons from millikelvin to room temperature. Phys.\nRev. B, 97:184420, 2018.\n[22] X. F. Zhang, C. L. Zou, N. Zhu, F. Marquardt, L. Jiang,\nand H. X. Tang. Magnon dark modes and gradient mem-\nory.Nat. Commun. , 6(1):8914, 2015.\n[23] C. W. Zollitsch, K. Mueller, D. P. Franke, S. T. B. Goen-\nnenwein, M. S. Brandt, R. Gross, and H. Huebl. High\ncooperativity coupling between a phosphorus donor spin\nensemble and a superconducting microwave resonator.\nAppl. Phys. Lett. , 107(14):142105, 2015.\n[24] L. Bai, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao,and C. M. Hu. Spin pumping in electrodynamically\ncoupled magnon-photon systems. Phys. Rev. Lett. ,\n114(22):227201, 2015.\n[25] L. H. Bai, M. Harder, P. Hyde, Z.H. Zhang, C. M. Hu,\nY. P. Chen, and J. Q. Xiao. Cavity mediated manip-\nulation of distant spin currents using a cavity-magnon-\npolariton. Phys. Rev. Lett. , 118(21):217201, 2017.\n[26] H. Y. Yuan, Y. S. Cao, A. Kamra, R. A. Duine, and\nP. Yan. Quantum magnonics: when magnon spintronics\nmeets quantum information science. Phys. Rep. , 965:1–\n74, 2022.\n[27] Y. P. Wang, G. Q. Zhang, D. k. Zhang, T. F. Li, C. M.\nHu, and J. Q. You. Bistability of cavity magnon polari-\ntons.Phys. Rev. Lett. , 120(5):057202, 2018.\n[28] G. Q. Zhang, Y. P. Wang, and J. Q. You. Theory of the\nmagnon kerr effect in cavity magnonics. Sci. China Phys.\nMech., 62(8):1–11, 2019.\n[29] C. Z. Chai, X. X. Hu, C. L. Zou, G. C. Guo, and C. H.\nDong. Thermal bistability of magnon in yttrium iron\ngarnet microspheres. Appl. Phys. Lett. , 114(2):021101,\n2019.\n[30] Y. Xiao, X. H. Yan, Y. Zhang, V. L. Grigoryan, C. M.\nHu, H. Guo, and K. Xia. Magnon dark mode of an an-\ntiferromagnetic insulator in a microwave cavity. Phys.\nRev. B, 99(9):094407, 2019.\n[31] C. Kong, B. Wang, Z. X.Liu, H. Xiong, andY.Wu. Mag-\nnetically controllable slow light based on magnetostric-\ntive forces. Opt. Express , 27(4):5544, 2019.\n[32] J. Zhao, L. H. Wu, T. F. Li, Y. X. Liu, F. Nori, Y. L. Liu,\nand J. F. Du. Phase-controlled pathwayinterferences and\nswitchable fast-slow light in a cavity-magnon polariton\nsystem. Phys. Rev. Appl. , 15(2):024056, 2021.\n[33] B. Wang, Z. X. Liu, C. Kong, H. Xiong, and\nY. Wu. Magnon-induced transparency and amplification\nin pt-symmetric cavity-magnon system. Opt. Express ,\n26(16):20248, 2018.\n[34] K. Ullah, M. T. Naseem, and ¨O.M¨ ustecaplıo˘ glu. Tunable\nmultiwindow magnomechanically induced transparency,\nfano resonances, and slow-to-fast light conversion. Phys.\nRev. A, 102(3):033721, 2020.\n[35] Z. D. Zhang, M. O. Scully, and G. S. Agarwal. Quantum\nentanglement between two magnon modes via kerr non-\nlinearity driven far from equilibrium. Phys. Rev. Res. ,\n1:023021, 2019.\n[36] M. Yu, H. Shen, and J. Li. Magnetostrictively induced\nstationary entanglement between two microwave fields.\nPhys. Rev. Lett. , 124:213604, 2020.\n[37] Jayakrishnan M. P. Nair and G. S. Agarwal. Determin-\nistic quantum entanglement between macroscopic ferrite\nsamples. Appl. Phys. Lett. , 117(8):084001, 2020.\n[38] B. Hussain, S. Qamar, and M. Irfan. Entanglement en-\nhancement in cavity magnomechanics by an optical para-\nmetric amplifier. Phys. Rev. A , 105:063704, 2022.\n[39] J. Li, S. Y. Zhu, and G. S. Agarwal. Squeezed states of\nmagnons and phonons in cavity magnomechanics. Phys.\nRev. A, 99:021801, 2019.\n[40] A.L. Pankratov, K.G.Fedorov, M. Salerno, S.V.Shitov,\nand A. V. Ustinov. Nonreciprocal transmission of mi-\ncrowaves through a long josephson junction. Phys. Rev.\nB, 92:104501, 2015.\n[41] F. Troj´ anek, K. ˇZ´ ıdek, B. Dzurˇ n´ ak, M. Koz´ ak, and\nP. Mal` y. Nonlinear optical properties of nanocrystalline\ndiamond. Opt. Express , 18(2):1349–1357, 2010.7\n[42] C. Schriever, F. Bianco, M. Cazzanelli, M. Ghulinyan,\nC. Eisenschmidt, J. de Boor, A. Schmid, J. Heitmann,\nL. Pavesi, and J. Schilling. Second-order optical nonlin-\nearity in silicon waveguides: Inhomogeneous stress and\ninterfaces. Adv. Opt. Mater. , 3(1):129–136, 2015.\n[43] A. F. Borghesani, C. Braggio, and G. Carugno. Genera-\ntion of microwave radiation by nonlinear interaction of a\nhigh-power, high-repetition rate, 1064 nm laser in ktiopo\n4 crystals. Opt. Lett. , 38(21):4465–4468, 2013.\n[44] A. F. Borghesani, C. Braggio, and M. Guarise. Mi-\ncrowave emission by nonlinear crystals irradiated with a\nhigh-intensity, mode-locked laser. J. Opt., 18(6):065503,\n2016.\n[45] E. Nitiss, J. Q. Hu, A. Stroganov, and C. S. Br` es. Opti-\ncally reconfigurable quasi-phase-matching in silicon ni-\ntride microresonators. Nat. Photonics , 16(2):134–141,\n2022.\n[46] X. Guo, C. L. Zou, and H. X. Tang. Second-harmonic\ngeneration inaluminumnitridemicrorings with2500%/w\nconversion efficiency. Optica, 3(10):1126–1131, 2016.\n[47] C. K. Law. Effective hamiltonian for the radiation in a\ncavity with a movingmirror and a time-varyingdielectric\nmedium. Phys. Rev. A , 49(1):433, 1994.\n[48] V. Dodonov. Fifty years of the dynamical casimir effect.\nPhysics, 2(1):67–104, 2020.\n[49] S. Tanaka and K. Kanki. The dynamical casimir effect\nin a dissipative optomechanical cavity interacting with\nphotonic crystal. Physics, 2(1):34–48, 2020.\n[50] V. Macr` ı, A. Ridolfo, O. Di Stefano, A. F. Kockum,\nF. Nori, and S. Savasta. Nonperturbative dynami-\ncal casimir effect in optomechanical systems: vacuum\ncasimir-rabi splittings. Phys. Rev. X , 8(1):011031, 2018.\n[51] H.Huebl, C. W.Zollitsch, J. Lotze, F.Hocke, M. Greifen -\nstein, A. Marx, R. Gross, and S. T. B. Goennen-\nwein. High cooperativity in coupled microwave res-\nonator ferrimagnetic insulator hybrids. Phys. Rev. Lett. ,\n111(12):127003, 2013.\n[52] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-ami, and Y. Nakamura. Hybridizing ferromagnetic\nmagnons and microwave photons in the quantum limit.\nPhys. Rev. Lett. , 113(8):083603, 2014.\n[53] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar. High-cooperativity cavity\nqed with magnons at microwave frequencies. Phys. Rev.\nAppl., 2(5):054002, 2014.\n[54] T. Holstein and H. Primakoff. Field dependence of the\nintrinsic domain magnetization of a ferromagnet. Phys.\nRev., 58(12):1098, 1940.\n[55] Y.P. Wang, G. Q. Zhang, D. K Zhang, X. Q. Luo,\nW. Xiong, S. P. Wang, T. F. Li, C. M. Hu, and J. Q.\nYou. Magnon kerr effect in a strongly coupled cavity-\nmagnon system. Phys. Rev. B , 94:224410, 2016.\n[56] C. W. Gardiner and P. Zoller. Quantum Noise . Springer-\nVerlag Berlin, 2000.\n[57] D. Vitali, P. Tombesi, M. J. Woolley, A. C. Doherty, and\nG. J. Milburn. Entangling a nanomechanical resonator\nand a superconducting microwave cavity. Phys. Rev. A ,\n76(4):042336, 2007.\n[58] P. C. Parks and V. Hahn. Stability theory . Springer New\nYork, 1993.\n[59] G. Vidal and R. F. Werner. Computable measure of en-\ntanglement. Phys. Rev. A , 65(3A):032314, 2002.\n[60] M. B. Plenio. Logarithmic negativity: a full entangle-\nment monotone that is not convex. Phys. Rev. Lett. ,\n95(9):090503, 2005.\n[61] J. Li and S. Y. Zhu. Entangling two magnon modes via\nmagnetostrictive interaction. New J. Phys. , 21(8):85001,\n2019.\n[62] G. Adesso and F. Illuminati. Continuous variable tan-\ngle, monogamy inequality, and entanglement sharing in\ngaussian states of continuous variable systems. New J.\nPhys., 8:15, 2006.\n[63] G. Adesso and F. Illuminati. Entanglement in continuou s\nvariable systems: recent advances and current perspec-\ntives.J. Phys. A-Math. Theo. , 40(28):7821, 2007." }, { "title": "1605.00212v1.Magnetoelectric_fields_for_microwave_chirality_discrimination_in_enantiomeric_liquids.pdf", "content": "Magnetoelectric fields for microwave chirality \ndiscrimination in enantiomeric liquids \n \nE. Hollander, E. O. Kamen etskii, and R. Shavit \n \nMicrowave Magnetic Laboratory, \nDepartment of Electrical a nd Computer Engineering, \nBen Gurion University of the Negev, Beer Sheva, Israel \n \nMay 1, 2016 \n \nChirality discrimination is of a fundamental interest in biolog y, chemistry, and \nmetamaterial studies. In optics, near-field plasmon-resonance s pectroscopy with \nsuperchiral probing fields is effectively applicable for analys es of large biomolecules with \nchiral properties. We show possibility for microwave near-field chirality discrimination \nanalysis based on magnon-resonance spectroscopy. Newly develope d capabilities in \nmicrowave sensing using magnetoelectric (ME) probing fields ori ginated from \nmultiresonance magnetic-dipolar-m ode (MDM) oscillations in quas i-2D yttrium-iron-\ngarnet (YIG) disks, provide a potential for unprecedented measu rements of chemical and \nbiological objects. We report on microwave near-field chirality discrimination for aqueous \nD- and L-glucose solutions. The shown ME-field sensing is addressed to microwave \nbiomedical diagnostics and pathogen detection and to deepening our understanding of \nmicrowave-biosystem interactions. It can be also important for an analysis and design of \nmicrowave chiral metamaterials. \n\nMany molecules in chemistry and biology are chiral. Biologicall y active molecules in amino \nacids (the building blocks of prot eins) and sugars are chiral m olecules. Chiral discrimination in a \nmixture of chiral molecules is among the most important and dif ficult tasks in biophysics and \nchemistry. In this connection, development of a technique that offers improved chiral analysis \nand better understanding of int eractions of electromagnetic fie lds with chiral materials remains \nan important goal. Traditional chiroptical spectroscopy arises from the effect of interference \nbetween the electric-dipole transition moment and the weak magn etic-dipole transition moment \nthat is detected when a chiral molecule is irradiated with alte rnating right- or left-circularly \npolarized light [1 – 3]. For loca lized (subwavelength) chiropti cal biosensing, special plasmonic \nstructures with left- and right-h anded superchiral probing fiel ds are effectively used [4 – 6]. \n The measured forms of chiroptical intensity are inversely proportional to the wavelength of \nthe probing radiation. That is w hy use of microwave radiation t o detect chirality was considered \nas a non-solvable problem. Surprisingly, a new microwave techni que based on chirality-sensitive \nthree-wave mixing to identify the enantiomers of chiral molecul es, was demonstrated in Ref. [7]. \nThis technique departs from traditional (optical) electromagnet ic methods for detecting and \nidentifying the handedness of molecules. Because it does not de pend on a weak magnetic-dipole \ntransition moment, the chiral signal is nearly as large as that of the applied microwaves. This \nconceptually new method to detect chirality is applicable, howe ver, to cold gas-phase molecules. \nBased on the three-wave technique for molecules sampled in the gas phase [7], one cannot study \nhandedness properties of liquid structures in microwaves, attra ctive for biological applications. \nMicrowaves are attractive for biological applications because o f their sensitivity to water and \ndielectric contrast. As a problem of great importance for bioph ysics and chemistry, this may \nconcern, in particular, an analy sis of the molecular mechanisms of nonthermal microwave effects \n[8, 9]. While microwave absorption is considered as an effectiv e tool for observing and 2measuring different kinetic processes in biological structures, the existing standard microwave \ntechniques are not applicable f or sensing and monitoring enanti omeric liquids. \n In this letter we report the first experimental observatio n of microwave chirality \ndiscrimination in liquid samples. In the room-temperature exper iments, we use the aqueous D- \nand L- glucose solutions of different concentrations. Our microwave- spectroscopy technique \ndetermines the rotational energy levels of chiral molecules wit h the aim to be applied for \nlocalized measuring of different biological liquids and biologi cal tissue. In literature, rotational \nspectroscopy for enantiomer-speci fic detection and separation i s presented in many aspects. The \nRabi frequency describing an el ectric dipole transition between rotational states of a chiral \nmolecule differs in sign for opposite enantiomers [10, 11]. In the method used in Ref. [7], for \nidentifying molecular chirality, the measured quantity depends on the handedness of three \nmutually orthogonal electric-dipol e rotational transition momen ts, which are associated with the \nthree rotational degrees of freedom of a molecule. When microwa ve radiation interacts with \nthese moments, energy transfer changes the rotational state of the molecule, generating a \nspectroscopic signal. The sign of a scalar triple product depen ds on the order of the electric-\ndipole-moment vectors. This sign (being changed under spatial i nversion and unchanged under \ntime reversal) is a measure of molecule chirality. In 1978 Bara nova and Zel’dovich [12] \npredicted the “propeller effect” in which a racemic mixture of chiral molecules is separated into \nleft and right fractions when subjected to a radio frequency el ectric field of rota ting polarization. \nThe sense of rotation is given by the circularity of the electr ic field. Opposite enantiomers will \n‘screw’ in opposite directions and thus separate along the dire ction about which the electric field \nrotates. In Ref. [13], it was shown that when exposed to a rota ting electric field (at the frequency \nof 900 kHz), the left- and right-handed chiral molecules rotate with the field and act as \nmicroscopic propellers. Owing to their opposite handedness, the y propel along the axis of field \nrotation in opposite directions. Th e results of chiral separati o n a r e d e t e c t e d b y t h e \nchromatographic absorption profiles. \n In our technique of microwave rotational spectroscopy, nei ther molecule rotational \nresonances nor “propeller effect ” are used for enantiomer-speci fic detection. The main effect of \nchirality discrimination in our case is due to dependence of in teraction between MDM \nresonances and microwave radia tion on handedness properties of a sample loading a YIG disk. \nSimilar to Ref. [7], a chiral pa rticle is modeled as three mutu ally orthogonal electric-dipole \nmoments and the handedness is identified by the sign of the tri ple product of these dipole \nmoments. When a probe ME field originated from a MDM spectrum i n a quasi-2D YIG disk is \napplied to a biological sample, e lectric dipoles of chiral mole cules will have bot h spin and orbital \nangular momentums about a ferri te disk axis. The direction of m olecule rotation is determined by \nthe direction of a bias magnetic f ield. For a given direction o f a bias field, transitions between \nrotational states of a chiral molecule are different for opposi te enantiomers. \n Recently, collective spins in YIG sub-millimeter spheres were explored to achieve their \nstrong couplings to microwave ra diation [14 – 16]. In such sphe res, the couplings of the \nmicrowave photons to collective spin modes with non-uniform pre cession of magnetization – the \nMDMs [17, 18] – have also been observed. The spectrum of MDM os cillations in a quasi-2D \nYIG disk, however, is much strong er and richer than in a YIG sp here [19, 20]. Quantization of \nthe microwave fields due to quasi -2D YIG disks with multi-reson ance MDM oscillations appears \nas аn interesting and unique effect [21, 22]. It was shown that a small quasi-2D ferrite disk with a \nMDM spectrum behaves as a source of specific quantized fields i n vacuum termed \nmagnetoelectric (ME) fields [23, 24]. The electric and magnetic f i e l d s o f t h e M E f i e l d s c a r r y \nboth spin and orbital angular mome ntums. The ME fields originat ed from a MDM ferrite disk are \nstrongly localized (subwavelength) fields with quantized topolo gical characteristics, such as \npower-flow vortices and field hel icities. Dependence of a sign of these topological parameters on 3a direction of a bias magnetic field allows distinguishing the “right” and “left” enantiomeric \nstructures placed in close proxi mity to a MDM ferrite disk [24, 25]. \n The experimental set-up is sketched in Fig. 1. This is a m icrostrip structure with an embedded \nthin-film ferrite disk. For experimental studies, we use a ferr ite sample with the following \nparameters. The YIG disk has a diameter of D = 3 mm and a thickness of t = 0.05 mm. The \nsaturation magnetization of a ferrite is 4π Ms = 1880 G. The linewidth of a ferrite is Δ H = 0.8 Oe. \nThe disk is normally magnetized by a bias magnetic field H0 = 4210 Oe. The microstrip structure \nis realized on a dielectric substrate (Taconic RF-35 with the p ermittivity is r= 3.52 and \nthickness of 1.52 mm). The characteristic impedance of a micros trip line is 50 Ohm. The S-\nmatrix parameters were measured by a microwave network analyzer . W i t h u s e o f a c u r r e n t \nsupply we established a quantity of a normal bias magnetic fiel d H0, necessary to get the MDM \nspectrum in a required frequency range. The reflection and tran smission spectra of a microstrip \nstructure with an unloaded MDM ferrite disk are the same as the spectra shown in Ref. [25]. At \nthe MDM resonances, a ferrite disk becomes slightly entangled i n the reflected microwave \nradiation, while becomes strongly entangled in the transmitted microwave radiation. For this \nreason, one observes Lorentz-type resonances in the reflection spectrum and Fano interference in \nthe transmission response. In the present experiment, a ferrite disk is loaded by a cy lindrical capsule with 15 µl \ncapacitance of aqueous D- or L-glucose solutions. To avoid s trong damping of MDM resonances \nby a load, the capsule is placed with a gap of 300 um above a f errite disk. Figure 2 shows \nexperimental results of the MDM transmission spectra in a micro strip structure for the D- or L-\nglucose solutions at two opposite directions of a bias magnetic field. In both cases of the \nsolutions, the glucose concentration is 538 mg/ml . For better illustration of the effect, the spectra \nare normalized to the background (when a bias magnetic field is zero) level of the microwave \nstructure. The results give evidence for a specific chiral symm etry: simultaneous change of the \nglucose handedness and direction of bias of the magnetic field keeps the system symmetry \nunbroken. \n For a quantitative analysis of chirality discrimination in glucose solutions, we use the MDM \nreflection spectra in a microstrip structure. The spectra are n ormalized to the background level of \nthe microwave structure similar to the normalization used for t he transmission spectra. The \nreflection spectra for the D- or L-solutions at two opposite directions of a bias magnetic field are \nshown in Fig. 3 for the concentration of 538 mg/ml for the two types of glucose solutions. Both \nin Fig. 2 and in Fig. 3 the resonance peaks are classified as t he radial and azimuthal modes [21]. \nFor the quantitative analysis, we put the first radial resonanc e peaks of all the spectra at the same \nfrequency. For two types of the glucose solutions (right- and l eft-handed) and two opposite \ndirections of the first peak, this frequency was 7.726 GHz. Bas ed on the results in Fig. 3, we \ncalculated for every type of a glucose solution the differences b e t w e e n t h e s c a t t e r e d - m a t r i x \nparameters obtained at two oppos ite directions of a bias magnet ic field: \n \n \n() ()\n21 0 21 0 SSH SH , (1) \n \nwhere signs () indicate the bias magnetic field directions along a disk axis. The enantiomer-\ndependent results are shown in Fig. 4. It is evident that for a ll the resonant peaks the quantities of \nShave definite antisymmetric forms with respect to frequency. Th ese antisymmetric forms are \noppositely oriented for the D- and L-glucose. A quantitative characte ristic of the sample chirality \nis made by estimation of the frequency differences f between the peaks of parameters S. We \ncan see that for different types of MDMs such frequency differe nces are different. In the present \nstudy, we made a relative estimation of the liquid chirality vi a variation of con centration of the 4glucose solution. Fig. 5 shows th e results of enantiomer-depend ent parameters f f o r t w o \nconcentrations, 420 mg/ml and 538 mg/ml, of the glucose solutio ns. \n To explain the observed experimental results of microwave chirality discrimination in \nenantiomeric liquids we analyze a theoretical model. This model , based on ME properties of \nMDM oscillations and on interaction of the ME fields with matte r , a r i s e s f r o m t h e s y m m e t r y \nanalysis. Symmetry principles pla y an important role with respe ct to the laws of nature. Maxwell \nadded an electric displacement current to put into a symmetrica l shape the equations coupling \ntogether the electric and magnetic fields. The dual symmetry be tween electric and magnetic \nfields underlies the conservation of energy and momentum for el ectromagnetic fields. This \nsymmetry underlies also the conser vation of optical (electromag netic) helicity [26, 27]. In a small \nferrimagnetic sample [with sizes much less than the free-space electromagnetic (EM) \nwavelength], one has negligibly small variation of electric ene rgy and microwave dynamical \nprocesses are described by “inc omplete” Maxwell equations with neglect of an electrical \ndisplacement current [18, 28]. However, in spite of breaking of Maxwell’s symmetry, specific \nunified-field (with coupled elect ric- and magnetic-field compon ents) retardation effects exist in \ns u c h a s a m p l e . T h e r e a r e M D M o s c i l l a t i o n s . A t t h e M D M r e s o n a n c e s, both the electric and \nmagnetic fields inside and in close proximity outside a ferrite disk have spin and orbital angular \nmomentums. These rotating fields are not mutually perpendicular . The fields originated from \nMDM oscillations – the ME fields – are different from electroma gnetic fields. \n The quadratic forms characterizing ME fields are power-flo w and helicity densities. The ME-\nfield helicity density is defined as [24] 0\n2F EE. Formally, this parameter can be \nconsidered as a particular case of the EM-field chirality [26] 0\n01\n22EE BB , when \nan electrical displacement current is negligibly small. At the MDM resonances (MDM ), one \nobserves active and reactive power flows [29]. The active power flow has vortex topology. The \nhelicity parameter is calculated as \n \n ** 0\n2Re Re44MDM MDMEBE H Fc , (2) \n \nwhere c is the light velocity in vacuum. A sign of the helicity parame ter depends on a direction of \na bias magnetic field: 00HHFF\n. An integral of the ME-field helicity over the entire near-\nfield vacuum region of volume V should be equal to zero: \n \n *\n20 Re4MDM\nVVFdV E H dVc \n . (3) \n \nThe helicity parameter F is a pseudoscalar: to come back at the initial stage, one has to combine a \nreflection in a ferrite-disk plane and an opposite (time-revers al) rotation about an axis \nperpendicular to that plane. Thi s property is illustrated in Fi g. 6. \n The MDM spectral solutions [23, 24] show topologically rob ust field structures which rotate \naround a disk axis. We have both sinusoidal electric and magnet ic fields propagating in the \nazimuthal direction. At the MDM resonance, the frequency of the orbital rotation of the fields is \nthe same as the frequency of the magnetization precession in YI G. In a laboratory frame, one \nobserves not only magnetization, b ut also electric-polarization properties of a ferrite disk. The \nspin-orbit interaction, resulting in electric polarization for magnetic-dipole dynamics, produces a \nrotating electric field which is not perpendicular to a rotatin g magnetic field. Since the electric \ndipoles rotate at the same freque ncy as the magnetic dipoles, a n electric displacement current is 5still negligibly small. At the MDM resonances, the rotating ele ctric fields cause rotation of \nelectric dipoles of chiral molecules. It was shown in Ref. [30] that there can be not one, but an \ninfinite number of chiral parameters that characterize a chiral object and each of these chiral \nparameters is a pseudoscalar. The vector triple product of elec tric dipoles, modeling a chiral \nmolecule 123ddd\n, is a simple case of such a pseudoscalar. This pseudocalar has opposite \ns i g n s f o r t h e r i g h t a n d l e f t c h i r a l m o l e c u l e s . T h e h e l i c i t y p a r ameter of a ME field is a \npseudoscalar as well. So the fie ld interacting with chiral mole cules is distinguished by the same \ntopological property (see Fig. 6). When a dielectric sample is placed above a ferrite disk, every \nelectric dipole in a sample will rotate. The direction of rotat ion is determined by the direction of \na bias magnetic field. The field chirality results in unidirect ional transfer of angular momenta \nthrough a subwavelength vacuum region. For a given direction of a bias field, transitions \nbetween rotational states of a chiral molecule are different fo r opposite enatiomers. One can \neffectively distinguish “right” and “left” enantiomeric propert ies of samples. \n In this letter we demonstrated a conceptually new form of s pectroscopy of enantiomeric \nliquids based on a microwave technique. The technique determine s chiral properties of \nmolecules with the aim to be applied for localized measuring of different biological liquids and \nbiological tissue at room temperature. \nReferences \n[1] L. D. Barron, Molecular Light Scattering and Optical Activity , 2nd ed. (Cambridge \nUniversity Press, Cambridge, 2004). \n[2] G. D. Fasman, Ed., Circular dichroism and the conforma tional analysis of biomolecules . \n(Plenum, New York, 1996). \n[3] S. M. Kelly, T. J. Jess, and N. C. Price, “How to study pro teins by circular dichroism”, \nBiochim. Biophys. Acta 1751 , 119 (2005). \n[4] K. A Willets and R. P. Van Duyne, \"Localized surface plasmo n resonance spectroscopy and \nsensing\", Annual Rev. Phys. Chem. 58, 267 (2007). \n[5] V. V. Klimov, D. V. Guzatov, and M. Ducloy, \"Engineering of radiation of optically active \nmolecules with chiral nano-me ta-particles\", Europhys. Lett. 97, 47004 (2012). \n[6] E. Hendry, T. Carpy, J. Johnston et al , \"Ultrasensitive detection and characterization of \nbiomolecules using superchira l fields\", Nature Nanotechnol. 5, 783 (2010). \n[7] D. Patterson, M. Schnell, a nd J. M. Doyle, “Enantiomer-spec ific detection of chiral molecules \nvia microwave spectroscopy”, Nature 497, 475 (2013). \n[8] H. Bohr and J. Bohr, “Microwave-enhanced folding and denatu ration of globular proteins”, \nPhys. Rev. E 61, 4310 (2000). \n[9] I. V. Belyaev et al , \"Microwaves from UMTS/GSM m obile phones induce long-lasting \ninhibition of 53BP1/g-H2AX DNA r epair foci in human lymphocytes \", Bioelectromagnetics \n30, 129 (2009). \n[10] A. Jacob and K. Hornberger, “Effect of molecular rotation on enantioseparation” J. Chem. \nPhys. 137, 044313 (2012). \n[11] P. Král and M. Shapiro, “Cy clic population transfer in qua n t u m s y s t e m s w i t h b r o k e n \nsymmetry”, Phys. Rev. Lett. 87, 183002 (2001). \n[12] N. B. Baranova, B. Y. Zel’dovich, “Separation of mirror is omeric molecules by radio-\nfrequency electric field of rot ating polarization”, Chem. Phys. Lett. 57, 435 (1978). \n[13] J. B. Clements, O. Kibar, and M. Chachisvilis, “A molecula r propeller effect for chiral \nseparation and analys is”, Nat. Commun. 6, 7868 (2015). \n[14] H. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, “Strongly c oupled magnons and cavity \nmicrowave photons”, Phys. Rev. Lett. 113, 156401 (2014). 6[15] M. Goryachev, W. G. Farr, D. L. Creedon et al , “High-cooperativity cavity QED with \nmagnons at microwave frequencies”, Phys. Rev. Applied 2, 054002 (2014). \n[16] J. A. Haigh, N. J. Lambert, A. C. Doherty, and A. J. Fergu son, “Dispersive readout of \nferromagnetic resonance for strongly coupled magnons and microw ave photons”, Phys. Rev. \nB 91, 104410 (2015). \n[17] R. L. White and I. H. Solt Jr., “Multiple ferromagnetic re sonance in ferrite spheres”, Phys. \nRev. 104, 56 (1956). \n[18] A. Gurevich and G. Melkov, Magnetic Oscillations and Waves (New York: CRC Press, \n1996.) \n[19] J. F. Dillon Jr., “Magnetost atic modes in disks and rods”, J. Appl. Phys. 31, 1605 (1960). \n[20] T. Yukawa and K. Abe, “FMR spectrum of magnetostatic waves in a normally magnetized \nYIG disk”, J. Appl. Phys. 45, 3146 (1974). \n[21] E. O. Kamenetskii, M. Sigalov, and R. Shavit, “Quantum con finement of magnetic-dipolar \noscillations in ferrite discs”, J. Phys.: Condens. Matter 17, 2211 (2005). \n[22] E. O. Kamenetskii, G. Vaisman, and R. Shavit, “Fano resona nces in microwave structures \nwith embedded magneto-dipolar quantum dots,” J. Appl. Phys. 114, 173902 (2013). \n[23] E. O. Kamenetskii, \"Vortices and chirality of magnetostati c modes in quasi-2D ferrite disc \nparticles\", J. Phys. A: Math. Theor. 40, 6539 (2007). \n[24] E. O. Kamenetskii, R. Joffe, and R. Shavit, \"Microwave mag netoelectric fields and their role \nin the matter-field interaction,\" Phys. Rev. E 87, 023201 (2013). \n[25] E. O. Kamenetskii, E. Hollander, R. Joffe, and R. Shavit, \"Unidirectional magnetoelectric-\nfield multiresonant tunneling\", J. Opt. 17, 025601 (2015). \n[26] Y. Tang and A. E. Cohen, “Optical chirality and its intera ction with matter”, Phys. Rev. \nLett. 104, 163901 (2010). \n[27] K. Y. Bliokh, A. Y. Bekshaev, and F. Nori, “Dual electroma gnetism: helicity, spin, \nmomentum and angular momentum”, New J. Phys. 15, 033026 (2013). \n[28] L. D. Landau and E. M. Lifshitz, Electrodynamics of Continuous Media , 2nd ed. (Pergamon, \nOxford, 1984). \n[29] E. O. Kamenetskii, M. Berezin, R. Shavit, “Microwave magne toelectric fields: helicities and \nreactive power flows”, Appl. Phys. B: Lasers Opt. 121, 31 (2015). \n[30] A. B. Harris, R. D. Kamien, and T. C. Lubensky, “Molecular chirality and chiral \nparameters”, Rev. Mod. Phys. 71, 1745 (1999). \n \n \n \n \n ( a) (b) \nFig. 1. A microstrip structure with an embedded thin-film ferri te disk. A ferrite disk is loaded by \na cylindrical capsule with aqueous D- or L-glucose solutions. To avoid strong damping of MDM \nresonances by a load, the capsule is placed with a gap of 300 u m above a ferrite disk. \n 7 \n \n \n ( a) ( b) \nFig. 2. Experimental results of the MDM transmission spectra fo r the D- or L-glucose solutions \nat two opposite directions of a bias magnetic field. In both ca ses of the solutions, the glucose \nconcentration is 538 mg/ml . The spectra are normalized to the background (when a bias \nmagnetic field is zero) level of the microwave structure. The r esults give evidence for a specific \nchiral symmetry: simultaneous change of the glucose handedness and direction of bias of the \nmagnetic field keeps the system symmetry unbroken. The resonanc e peaks are classified as the \nradial and azimuthal modes [21]. The frequency f = 7.726 GHz is the resonance frequency of the \n1st radial MDM. \n \n \n \n (a) ( b) \nFig. 3. The MDM reflection spectra for the D- or L-solutions at two opposite directions of a bias \nmagnetic field for the concentration of 538 mg/ml for the two t ypes of glucose solutions. The \nspectra are normalized to the background level of the microwave structure similar to the \nnormalization used for the transmission spectra. The resonance peaks are classified as the radial \nand azimuthal modes [21]. The frequency f = 7.726 GHz is the resonance frequency of the 1st \nradial MDM. \n \nFig. 4. The enantiomer-dependent parameter () ()\n11 0 11 0 SSH SH obtained at two \nopposite directions of a bias magnetic field for the D- and L-glucose solutions. For all the MDM \nresonant peaks the quantities of Shave definite antisymmetric forms with respect to frequency. \nThese antisymmetric forms are oppositely oriented for the D- and L-glucose. A quantitative 8characteristic of the sample chirality is made by estimation of the frequency differences f \nbetween the peaks of parameters S for the D- and L-glucose solutions. For different MDMs the \nfrequency differences f are different. \n \n \nFig. 5. Enantiomer-dependent parameters f for two concentrations, 420 mg/ml and 538 mg/ml, \nof the D- and L- glucose solutions. \n \n(a) \n \n (b) \nFig. 6. The field topology near a ferrite disk at the MDM reson ance frequency and at two \nopposite directions of a bias magnetic field. The electric and magnetic fields outside a ferrite disk \nare rotating fields which are not mutually perpendicular. The h elicity parameter F i s a \npseudoscalar: to come back at the initial stage, one has to com bine a reflection in a ferrite-disk \nplane and an opposite (time-reversal) rotation about an axis pe rpendicular to that plane. \n 9 \nMETHODS AND SUPPLEMENTARY INFORMATION \n \nThe magnetic insulator yttrium iron garnet Y 3Fe5O12 (YIG) provides an ideal platform for the \nstudy of spin waves. Long range di pole-dipole correlation in a ferrimagnetic sample can be \ntreated in terms of collective excitations of the system as a w hole. Ferrite samples with linear \ndimensions smaller than the depha sing length, but still much la rger than the exchange-interaction \nscales, are mesoscopic structures with magneto-dipolar-mode (MD M) oscillations. Recently, it \nwas shown that mesoscopic quasi- 2D ferrite disks, distinguishin g by multiresonance MDM \nspectra, demonstrate unique properties of artificial atomic str uctures: energy eigenstates, eigen \npower-flow vortices, and eigen he licity parameters. Because of these properties, MDMs in a \nferrite disk enable the confinement of microwave radiation to s ubwavelength scales. In \nmicrowave structures with embedded MDM ferrite samples, one can observe quantized fields \nwith topologically distinctive ch aracteristics. These fields ar e termed magnetoelectric (ME) \nfields [1 – 7]. At the MDM resonance, both the electric and magnetic fiel ds inside and in close proximity \noutside a ferrite disk are the fi elds sinusoidal propagating in t h e a z i m u t h a l d i r e c t i o n . F i g . 1 \nshows the field structures of the 1\nst radial MDM at the upper surface of a ferrite disk. The fields \nhave spin and orbital angular momentums. The spin-orbit interac tion results in electric \npolarization for magnetic-dipole dynamics and magnetization for electric-dipole dynamics. \nWhen a ferrite disk is loaded by a capsule with glucose solutio n, interaction of the MDM rotating \nelectric field with chiral molecules causes changes in magnetiz ation dynamics in a ferrite disk, \nwhich, in its turn, causes changes in interaction of MDM oscill ations with electromagnetic fields \nof a microwave structure. This results in transformation of the transmission/reflection \ncharacteristics of a microwave structure. The transmission/refl ection coefficients were measured \nwith use of a microwave network analyzer. With the use of a cur rent supply we established a \nquantity of a normal bias magne tic field, necessary to get the MDM spectrum in a required \nfrequency range. By switching the current direction, we changed a direction of a bias magnetic \nfield. Since we put the first rad ial resonance peaks of all the spectra at the same frequency, the \nhysteresis effects in a magnetic system at switching the curren t direction were eliminated. In our \nexperiments, we assume that electric field rotation is much slo wer than the rotational relaxation \ntime of the molecules in low-vis cosity solvents (typically in t he 100-500 ps timescale [8, 9]). \n To illustrate the effect of in teraction of MDM oscillation s with chiral structures, we use \nnumerical simulations for microwave near-field chirality discri mination of a planar chiral \nstructure. Recently, the effect of interaction of microwave rad iation with a planar chiral structure \npatterned on a subwavelength scale was studied experimentally f or two enantiomeric forms [10]. \nIt was found that this is a polar ization sensitive transmission effect asymmetric with respect to \nthe direction of wave propagation. Our technique to recognize t he handedness of a planar chiral \nstructure is completely different . Based on our n ear-field tech nique, we study numerically chiral \nmetamaterials realized as a composition of the “right” and “lef t” planar metallic stars. Fig. 2 \nshows a rectangular waveguide ( cross-section sizes are 22.86 mm 10.16 mm) with an \nembedded MDM ferrite disk. A two-dimensional metamaterial compo sed by the “right” and \n“left” planar metallic elements is placed above a ferrite disk. Fig. 3 shows numerical results of \nthe MDM transmission spectra for t he right- and left-handed chi ral metamaterials at two opposite \ndirections of a bias magnetic fie ld. The results give evidence for a specific chiral symmetry: \nsimultaneous change of the metamaterial handedness and directio n of bias of the magnetic field \nkeeps the system sy mmetry unbroken. Quantita tively, chirality d iscrimination in metamaterials is \nanalyzed based on the MDM reflection spectra for the right- and left-handed metamaterials and \nat two opposite directions of a bias magnetic field. These spec tra are shown in Fig. 4. For the \nquantitative analysis, we put the first radial resonance peaks of all the spectra at the same 10frequency. For two types of the metamaterials and two opposite directions of the first peak, this \nfrequency was 8.522 GHz. The enantiomer-dependent parameter () ()\n21 0 21 0 SSH SH \nobtained at two opposite directions of a bias magnetic field fo r the right- and left-handed \nmetamaterials is shown in Fig. 5. For all the MDM resonant peak s the quantities of Shave \ndefinite antisymmetric forms with respect to frequency. These a ntisymmetric forms are \noppositely oriented for the right- and left-handed metamaterial s. A quantitative characteristic of \nthe sample chirality is made by estimation of the frequency dif ferences f between the peaks of \nparameters S. For different MDMs the frequency differences f are different. \n In the metamaterial structures, the azimuthally rotating e lectric and magnetic fields of a \nMDM ferrite disk induce electric charges and currents on metal stars. The direction of the field \nrotation is determined by the direction of a bias magnetic fiel d . F o r a g i v e n e n a n t i o m e r i c \nstructure, the electric charges and currents induced on metal s t a r s a r e d i f f e r e n t f o r d i f f e r e n t \ndirections of a bias magnetic field. Fig. 6 shows the field top ologies in a metamaterial with given \nchirality at two opposite directi ons of a bias magnetic field. There are the power-flow density \ndistributions and distributions o f the helicity parameter. One can see that for given chirality of a \nmetamaterial, the handed metal stars are faced with different p ower flows at opposite directions \nof a bias magnetic field. The opposite (time-reversal) rotation s about a disk axis give evidence \nfor the pseudoscalar properties of the helicity parameter in a plane of metamaterial structure. \n \nReferences \n[1] J. F. Dillon Jr., “Magnetostatic modes in disks and rods”, J. Appl. Phys. 31, 1605 (1960). \n[2] T. Yukawa and K. Abe, “FMR spectrum of magnetostatic waves in a normally magnetized \nYIG disk”, J. Appl. Phys. 45, 3146 (1974). \n[3] E. O. Kamenetskii, M. Sigalov, and R. Shavit, “Quantum conf inement of magnetic-dipolar \noscillations in ferrite discs”, J. Phys.: Condens. Matter 17, 2211 (2005). \n[4] E. O. Kamenetskii, G. Vaisman, and R. Shavit, “Fano resonan ces in microwave structures \nwith embedded magneto-dipolar quantum dots,” J. Appl. Phys. 114, 173902 (2013). \n[5] E. O. Kamenetskii, \"Vortices and chirality of magnetostatic modes in quasi-2D ferrite disc \nparticles\", J. Phys. A: Math. Theor. 40, 6539 (2007). \n[6] E. O. Kamenetskii, R. Joffe, and R. Shavit, \"Microwave magn etoelectric fields and their role \nin the matter-field interaction,\" Phys. Rev. E 87, 023201 (2013). \n[7] E. O. Kamenetskii, E. Hollander, R. Joffe, and R. Shavit, \" Unidirectional magnetoelectric-\nfield multiresonant tunneling\", J. Opt. 17, 025601 (2015). \n[8] J. B. Clements, O. Kibar, and M. Chachisvilis, “A molecular p r o p e l l e r e f f e c t f o r c h i r a l \nseparation and analys is”, Nat. Commun. 6, 7868 (2015). \n[9] J. S. Baskin, M. Chachisvilis, M. Gupta, and A. H. Zewail, “Femtosecond dynamics of \nsolvation: microscopic friction and coherent motion in dense f luids”, J. Phys. Chem. A 102, \n4158 (1998). \n[10] V. A. Fedotov, P. L. Mladyonov, S. L. Prosvirnin, A. V. Ro gacheva, Y. Chen and N. I. \nZheludev, “Asymmetric propagation of electromagnetic waves thro ugh a planar chiral \nstructure,” Phys. Rev. Lett. 97, 167401 (2006). \n \n 11 \n \n \n \n ( a) ( b) ( c) \nFig. 1. A top view of the azimuthally traveling fields of the 1st radial mode above a ferrite disk, at \na given direction of a bias magnetic field. ( a) Electric field; ( b) magnetic field. ( c) The power-\nflow density vortex. \n \nFig. 2. A rectangular waveguide with an embedded MDM ferrite di sk. A two-dimensional \nmetamaterial composed by the “right” and “left” planar metallic elements is placed above a \nferrite disk. \n 12\n \n \n ( a) (b) \nFig. 3. Numerical results of the MDM transmission spectra for t he right- and left-handed chiral \nmetamaterials at two opposite directions of a bias magnetic fie ld. The resonance peaks are \nclassified as the radial and azimuthal modes. The frequency f = 8.522 GHz is the resonance \nfrequency of the 1st radial MDM. The results give evidence for a specific chiral sy mmetry: \nsimultaneous change of the metamaterial handedness and directio n of bias of the magnetic field \nkeeps the system symmetry unbroken. \n \n \n ( a) ( b) \nFig. 4. The MDM reflection spectra for the right- and left-hand ed metamaterials at two opposite \ndirections of a bias magnetic field. The resonance peaks are cl assified as the radial and azimuthal \nmodes. The frequency f = 8.522 GHz is the reson ance frequency of the 1st radial MDM. \n \n 13Fig. 5. The enantiomer-dependent parameter () ()\n21 0 21 0 SSH SH obtained at two \nopposite directions of a bias magnetic field for the right- and left-handed metamaterials. For all \nthe MDM resonant peaks the quantities of Shave definite antisymmetric forms with respect to \nfrequency. These antisymmetric forms are oppositely oriented fo r the right- and left-handed \nmetamaterials. A quantitative characteristic of the sample chir ality is made by estimation of the \nfrequency differences f between the peaks of parameters S. For different MDMs the \nfrequency differences f are different. \n \n \n \n \n ( a) (b) \n \n \n \n ( c) (d) \nFig. 6. The field topologies in a metamaterial with given chira lity at two opposite directions of a \nbias magnetic field. ( a), (b) the power-flow density distribution; ( c), (d) distributions of the \nhelicity parameter F in a plane of metamaterial structure. \n " }, { "title": "1910.14470v2.Coherent_spin_pumping_in_a_strongly_coupled_magnon_magnon_hybrid_system.pdf", "content": "arXiv:1910.14470v2 [cond-mat.mes-hall] 21 Mar 2020Coherent spin pumping in a strongly coupled magnon-magnon h ybrid system\nYi Li,1,2Wei Cao,3Vivek P. Amin,4,5Zhizhi Zhang,2,6Jonathan Gibbons,2Joseph Sklenar,7John Pearson,2Paul\nM. Haney,5Mark D. Stiles,5William E. Bailey,3,∗Valentine Novosad,2Axel Hoffmann,2,†and Wei Zhang1,2,‡\n1Department of Physics, Oakland University, Rochester, MI 4 8309, USA\n2Materials Science Division, Argonne National Laboratory, Argonne, IL 60439, USA\n3Materials Science and Engineering, Department of Applied P hysics and Applied Mathematics,\nColumbia University, New York, New York 10027, USA\n4Maryland Nanocenter, University of Maryland, College Park , MD 20742, USA\n5Physical Measurement Laboratory, National Institute of St andards and Technology, Gaithersburg, Maryland 20899, USA\n6School of Optical and Electronic Information, Huazhong Uni versity of Science and Technology, Wuhan 430074, China\n7Department of Physics and Astronomy, Wayne State Universit y, Detroit, MI 48202, USA\n(Dated: March 24, 2020)\nWe experimentally identify coherent spin pumping in the mag non-magnon hybrid modes of yt-\ntriumirongarnet/permalloy (YIG/Py)bilayers. Byreducin gtheYIGandPythicknesses, thestrong\ninterfacial exchange coupling leads to large avoided cross ings between the uniform mode of Py and\nthe spin wave modes of YIG enabling accurate determination o f modification of the linewidths\ndue to the dampinglike torque. We identify additional linew idth suppression and enhancement for\nthe in-phase and out-of-phase hybrid modes, respectively, which can be interpreted as concerted\ndampinglike torque from spin pumping. Furthermore, varyin g the Py thickness shows that both\nthe fieldlike and dampinglike couplings vary like 1 /√tPy, verifying the prediction by the coupled\nLandau-Lifshitz equations.\nCoherent phenomena have recently become an emerg-\ning topic for information processing with their success\nin quantum computing [1, 2]. In spintronics, exchange-\ninduced magnetic excitations, called spin waves, or\nmagnons [3, 4], are good candidates for coherent infor-\nmation processing because information can be encoded\nby both the amplitude and the phase of spin waves. For\nexample, the interference of coherent spin waves can be\nengineeredforspinwavelogicoperations[5–7]; the coher-\nent interaction of spin-torque oscillators leads to mutual\nsynchronization [8–13], which can be applied in artificial\nneural networks [14, 15]; and the coherent coupling be-\ntween magnons and microwave cavities [16–23] opens up\nnew opportunities for magnon-based quantum informa-\ntion science [24, 25].\nRecently, strong coupling between two magnonic sys-\ntems has enabled excitations of forbidden spin wave\nmodes [26–28] and high group velocity of propagating\nspin waves [29, 30]. The coupling is dominated by the\nexchange interaction at the interface of the magnetic bi-\nlayers, providing a new pathway to coherently transfer\nmagnon excitations between two magnetic systems pos-\nsessing distinctive properties: from conductor to insula-\ntor, from uniform to nonuniform mode and from high-\ndamping to low-damping systems. However, the under-\nlying physical mechanisms of the coupling are still not\nfully understood. First, what are the key parameters\nthat dictate the coupling efficiency and enable one to\nreach the strong-coupling regime? Second, with the in-\nterfacial exchange coupling acting as a fieldlike torque, is\nthere a dampinglike torque associated with spin pump-\ning [31–34]? To resolve both questions, large separations\nof the two hybrid modes are required in order to quan-titatively analyze the coupling mechanism. The second\nquestionisalsoimportantforoptimizing the coherenceof\nspin wave transfer in hybrid systems. Furthermore, the\nparasitic effect on the incoherent spin current from the\nconduction band is absent [35–37] when using magnetic\ninsulators such as yttrium iron garnet (Y 3Fe5O12, YIG)\n[30, 38, 39], which facilitates the study of spin pumping\ncoherency.\nIn this work, we study YIG/permalloy (Ni 80Fe20, Py)\nbilayers. By using much thinner YIG and Py films\nthan studied in previous works [26, 28], we achieve an\nexchange-induced separation of the two hybrid modes\nmuch larger than their linewidths, allowing us to study\nthe evolution of their linewidths in the strong coupling\nregime. We find a pronounced suppression of the total\nlinewidth for the in-phase hybrid modes and a linewidth\nenhancement for the out-of-phase hybrid modes. The\nlinewidths can be understood from the Landau-Lifshitz-\nGilbert (LLG) equation with interfacial exchange cou-\npling and mutual spin pumping, which provide the field-\nlike and dampinglike interlayer coupling torques, respec-\ntively. Furthermore, the thickness dependence of the two\ncoupling strengths agrees with the modeling of coupled\nLLG equations with mutual spin pumping. The sign of\nthe fieldlike torque also reconfirms that the YIG and\nPy are coupled antiferromagnetically [26]. Our results\nprovide important insights for improving the coupling\nstrength and coherence in magnon-magnon hybrid sys-\ntems and pave the way for coherent information process-\ning with exchange coupled magnetic heterostructures.\nThe samples consist of YIG(100 nm)/Py( tPy) bilayers\nwheretPyvaries from 5 nm to 60 nm. YIG(100 nm) films\nwere deposited by magnetron sputtering from a YIG tar-2\nYIG(n=0) \nYIG(n=1) \nYIG(n=2) \nhybrid 1 \nhybrid 2 HB\nhrfPy(n=0) YIG(n=2) (x100 μV) \n(a) (b) \nPy \nPy \nYIG(n=1) \nPy \nPy YIG(n=2) YIG(n=0) \nYIG(n=2) (c)\nFIG. 1. (a)Illustration of themagnetization excitations i n the\nYIG/Py bilayers with a coplanar waveguide. (b) Lineshapes\nof the YIG(100 nm)/Py(9 nm) sample for the first three res-\nonance modes of YIG and the uniform mode of Py. The field\naxis is shifted so that the resonance field of the YIG(n=0)\nmode is zero. (c) Unshifted evolution of the four modes in\n(b). Curves show the fits as uncoupled modes. The vertical\ndashed line denotes where the YIG( n= 2) and Py( n= 0)\nmodes cross on the frequency axis at ωc/2π= 9.4 GHz.\nget onto Gd 3Ga5O12(111) substrates and annealed in air\nat 850◦C for 3 hrs to reach low-damping characteristics\n[40]. Before the deposition of Py films on top of YIG, the\nYIG surfaces were ion milled in-situfor one minute in\norder to enable good exchange coupling between Py and\nYIG [41]. For each Py thickness, one additional reference\nPy film was deposited on a Si/SiO 2substrate during the\nsame deposition.\nThe hybrid magnon dynamics were characterized by\nbroad-band ferromagnetic resonance with field modula-\ntion on a coplanar waveguide (Fig. 1a). An in-plane\nmagnetic field HBsaturates both the YIG and Py mag-\nnetizations. Their Kittel modes, which describe spa-\ntially uniform magnetization precession, are formulated\nasω2/γ2=µ2\n0Hr(Hr+Ms), where ωis the mode fre-\nquency, γ/2π= (geff/2)×27.99 GHz/T is the gyro-\nmagnetic ratio, Hris the resonance field and Msis the\nmagnetization [42]. For YIG, the spatially nonuniform\nperpendicular standing spin wave (PSSW) modes can be\nalso measured. An effective exchange field Hexwill lower\nthe resonance field by µ0Hex(k) = (2Aex/Ms)k2, whereAexistheexchangestiffness, k=nπ/t,nlabelstheindex\nof PSSW modes, and tis the film thickness [43].\nFig. 1(b) shows the line shapes of the resonance fields\nfor the first three resonance modes of YIG ( n= 0, 1,\n2) and the Py uniform mode ( n= 0) measured for\ntPy= 9 nm. For illustration, the YIG ( n= 0) res-\nonance is shifted to zero field. An avoided crossing is\nclearly observed when the Py uniform mode is degen-\nerate with the YIG ( n= 2) mode. This is due to the\nexchange coupling at the YIG/Py interface [26–28] pro-\nviding a fieldlike coupling torque. Both in-phase and\nout-of-phase YIG/Py hybrid modes are strongly excited\nbecause the energy of the Py uniform mode is coherently\ntransferred to the YIG PSSW modes through the inter-\nface [26]. The full-range frequency dependencies of the\nextracted resonance fields are plotted in Fig. 1(c). To\nanalyze the two hybrid modes, we analyze our results\nwith two independent Lorentzians because it facilitates a\ntransparent physical picture and the fit lineshapes agree\nwell with our measurements. The mode crossinghappens\natωc/2π= 9.4 GHz (black dashed line), which corre-\nsponds to the minimal resonance separation of the two\nhybrid modes. Fitting to the Kittel equation, we extract\nµ0MYIG\ns= 0.21 T,µ0MPy\ns= 0.86 T. From the exchange\nfield offset as shown in Fig. 1(b), an exchange stiffness\nAex= 2.6 pJ/m is calculated for YIG, which is similar\nto previous reports [44].\nThe avoided crossing can be fitted to a phenomenolog-\nical model of two coupled harmonic oscillators, as previ-\nously shown in magnon polaritons [16–18, 20]:\nµ0H±\nc=µ0HYIG\nr+HPy\nr\n2±/radicaltp/radicalvertex/radicalvertex/radicalbt/parenleftBigg\nµ0HYIGr−HPy\nr\n2/parenrightBigg2\n+g2c\n(1)\nwhereHYIG(Py)\nristheresonancefieldofYIG (Py), and gc\nis the interfacial exchange coupling strength.HYIG\nrand\nHPy\nrare both functions of frequency and are equal at ωc.\nNote that for in-plane biasing field the resonance field is\nnonlinear to the excitation frequency. This nonlinearity\nwill be accounted for the analytical reproduction of Eq.\n1. The fitting yields gc= 8.4 mT for tPy= 9 nm.\nNext, we focus on the linewidths of the YIG-Py hybrid\nmodes. Fig. 2(a) shows the line shape of the two hybrid\nmodes for tPy= 7.5 nm atωc/2π= 9.4 GHz (same value\nasfor9-nmPy). Thesetwoeigenmodescorrespondtothe\nin-phaseandout-of-phasemagnetizationprecessionofPy\nand YIG with the same weight, so they should yield the\nsame total intrinsic damping. Nevertheless, a significant\nlinewidth difference is observed, with the extracted full-\nwidth-half-maximum linewidth µ0∆H1/2varying from\n3.5 mT for the lower field resonance to 8.0 mT for the\nhigher field resonance. Fig. 2(b) shows the full-range\nevolution of linewidth. Compared with the dotted lines\nwhich are the linear extrapolations of the YIG ( n= 2)\nand Py linewidths, the linewidth of the higher-field hy-3(x100 μV) (a)\n(b) YIG Py YIG Py \nFIG. 2. (a) The lineshape of the YIG(100 nm)/Py(7.5 nm)\nsample at ωc/2π= 9.4 GHz, showing different linewidths be-\ntween the two hybrid modes of YIG( n= 2) and Py( n= 0)\nresonances. (b) Linewidths of the two hybrid modes as a\nfunction of frequency. Dotted lines show the linear fit of the\nlinewidths for the two uncoupled modes. Dashed curves show\nthe theoretical values with κc= 0. Solid curves show the fits\nwith finite κc.\nbrid mode (blue circles)exceedsthe Pylinewidth and the\nlinewidth of the lower-field hybrid mode (green circles)\nreduces below the YIG linewidth when the frequency is\nnearωc. This is the central result of the paper. It sug-\ngests a coherent dampinglike torque which acts along or\nagainst the intrinsic damping torque depending on the\nphase difference of the coupled dynamics of YIG and Py,\nsame as the fieldlike torque acting along or against the\nLarmor precession. The dominant mechanism for the\ndampinglike torque is the spin pumping from the con-\ncerted dynamics of YIG and Py [31, 32]\nBecause spin pumping is dissipative, we determine the\nmode with a broader (narrower) linewidth as the out-\nof-phase (in-phase) precession mode. In Fig. 2(a) the\nbroader-linewidth mode exhibit a higher resonance field\nthan the narrower-linewidth mode. This is a signature\nof antiferromagnetic exchange coupling at the YIG/Py\ninterface [26]. From the resonance analysis we also find\nthat all the SiO 2/Py samples show lower resonance fields\nthan the Py samples grown on YIG [45], which agrees\nwith the antiferromagnetic nature of the YIG/Py inter-\nfacial coupling.\nTo reproduce the data in Fig. 2(b), we introduce the\nlinewidths as the imaginary parts of the resonance fieldsin Eq. (1):\nµ0(H±\nc+i∆H±\n1/2) =µ0HYIG\nr+HPy\nr\n2+iµ0κYIG+κPy\n2\n±/radicaltp/radicalvertex/radicalvertex/radicalbt/parenleftBigg\nµ0HYIGr−HPy\nr\n2+iµ0κYIG−κPy\n2/parenrightBigg2\n+ ˜g2c(2)\nwhereκYIG(Py)is the uncoupled linewidth of YIG (Py)\nfrom the linear extraction (dotted lines) in Fig. 2(b), and\n˜gc=gc+iκcis the complex interfacial coupling strength\nwith an additional dampinglike component κcfrom spin\npumping.\nIn order to show the relationship between the spin\npumping from the coherent YIG-Py dynamics and the\nincoherent spin pumping from the individual Py dynam-\nics, we identify the latter as the linewidth enhancement\nof Py(7.5 nm), ∆ HPy\nsp, between the linearly extrapolated\nYIG/Py[reddots inFig. 2(b)] andSi/SiO 2/Py[redstars\nin Fig. 2(b)]. Then, we quantify the coherent damping-\nlikecouplingstrength κcasκc(ω) =βµ0∆HPy\nsp(ω), where\nβis a unitless and frequency-independent value measur-\ning the ratio between the coherent and incoherent spin\npumping. For the best fit value, β= 0.82, Eq. (2) nicely\nreproduces the data in Fig. 2(b). For comparison, if we\nsetκc(ω) = 0 in Eq. (2), we obtain the blue and green\ndashed curves, which result in identical linewidth at ωc\nas opposed to the data in Fig. 2(a).\nIn order to understand the physical meaning of ˜ gc,\nwe consider the coupled Landau-Lifshitz-Gilbert (LLG)\nequationsof YIG/Py bilayer[26, 32, 34] in the macrospin\nlimit:\ndmi\ndt=−µ0γimi×Heff+αimi×dmi\ndt\n−γimi×J\nMitimj+∆αi(mi×dmi\ndt−mj×dmj\ndt) (3)\nwheremi,jis the unit magnetization vector, Heffis the\neffective field including HB,Hexand the demagnetiz-\ning field, αiis the intrinsic Gilbert damping. The index\nis defined as ( i,j) = (1, 2) or (2, 1). In the last two\ncoupling terms, Jis the interfacial exchange energy and\n∆αi=γi¯hg↑↓/(4πMiti) isthe spin pumpingdampingen-\nhancement with g↑↓the spin mixing conductance. The\ntwo terms provide the fieldlike and dampinglike coupling\ntorques, respectively, between miandmj. To view the\ndampinglike coupling on a similar footage, we define its\ncoupling energy J′as:\nJ′(ω) =g↑↓\n4π¯hω (4)\nHereJ′describes the number of quantum channels per\nunit area ( g↑↓) for magnons (¯ hω) to pass through [31,\n34]; similarly, Jdescribes the number and strength of\nexchangebondsbetweenYIGandPyperunitarea. From\nthedefinition, wecanexpressthe spinpumpinglinewidth4\nenhancement as µ0∆Hi\nsp(ω) =J′(ω)/Miti, in pair with\nthe exchange field term in Eq. (3). By solving Eq. (3) we\nfind:\nκi(ω) =αiω\nγi+J′(ω)\nMiti(5a)\ngc=f(ωc)·/radicalbigg\nJ\nM1t1·J\nM2t2(5b)\nκc(ωc) =f(ωc)·/radicalBigg\nJ′(ωc)\nM1t1·J′(ωc)\nM2t2(5c)\nwiththedimensionlessfactor f(ω)accountingforthepre-\ncession elliptical asymmetry. f(ω) = 1 for identical ellip-\nticity (M1=M2) andf(ωc) = 0.9 in the case of YIG and\nPy; See the Supplmental Information for details [45].\nEq. (5) showsthat both gcandκc(ωc) are proportional\nto 1/√ti, which comes from the geometric averaging of\nthe coupled magnetization dynamics. This is in con-\ntrast to the 1 /tidependence of the uncoupled exchange\nfieldandspinpumpingdampingenhancementforasingle\nlayer, as shown in Eq. (5a). In Fig. 3(a), a good fitting of\ngcto 1/√tPyrather than 1 /tPyvalidates the model. In\nthelimit ofzeroPythickness, themodelbreaksdowndue\nto the significance of boundary pinning and the assump-\ntion of macrospin dynamics, as reflected in the reduction\nofgcattPy= 5 nm.\nFor the dampinglike coupling, we plot βinstead as\na function of tPyin order to minimize the variation in\nthe quality of interfacial coupling and the frequency de-\npendence of κc(ωc). By taking the ratio between κc(ωc)\nandµ0∆HPy\nsp(ωc) from the analytical model, we obtain\nthemacrospinexpression β=f(ωc)/radicalbig\nMPytPy/MYIGtYIG\nwithf(ωc) = 0.9. Fig. 3(b) shows that the extracted β2\nvaries linearlywith tPy, rather than being independent of\nitaswouldbeexpectedforincoherentspinpumping. The\nfit is not perfect, which may be caused by i) the variation\nof inhomogeneous broadening of Py in YIG/Py bilayers,\nor ii) the multi-peak lineshapes in YIG (see YIG n= 0\nlineshapes in Fig. 1b) due to possible damage during the\nion milling process.\nIf we calculate βfrom the macrospin approxima-\ntion, the prediction, shown in the red dashed arrow in\nFig.3(b), differssignificantlyfromtheexperimentaldata.\nTo account for the difference, we consider a spin wave\nmodel for the YIG/Py bilayer, where finite wavenum-\nbers exist in both layers and are determined from the\nboundary condition [46]. For simplicity, we consider free\npinning at the two exterior surfaces of YIG and Py and\nHoffmann exchange boundary conditions for the interior\ninterface of YIG/Py [47]. From the spin wave model, we\nfind an additional factor of√\n2 in Eqs. (5b) and (5c);\nsee the Supplemental Information for details [45]. This\nfactor arises because the nonuniform profile of the PSSW\nmode in YIG reduces the effective mode volume by a fac-\ntor of two compared with the uniform mode. A similar(a) (b) \n~\n~Macrospin Spin wave ~\n~const. \nFIG. 3. (a) Extracted gcas a function of tPy. (b) Extracted\nβ2as a function of tPy. In both figures, the solid and dashed\ncurves are the fits of data to the coherent and incoherent\nmodels, respectively. In (b), the red and cyan dotted arrows\nshowthetheoretical predictions for thecoherentmodels ba sed\non the macrospin and spin wave approximations, respectivel y.\nError bars indicate single standard deviations found from t he\nfits to the lineshape.\neffecthasbeenpreviouslydiscussedinspinpumpingfrom\nPSSW modes [48, 49]. In Fig. 3(b) the theoretical cal-\nculation from the spin wave model (cyan dashed arrow)\nis close to the experimental values. This is an additional\nevidence of the coherent spin pumping in YIG/Py bilay-\ners.\nJ\nJ’ J, J’(ωc )\nFIG. 4. Thickness dependence of J(circles) and J′(trian-\ngles), which are calculated from gcandκc(ωc), respectively.\nBlue points denote the results for YIG(100 nm)/Py( tPy) and\nred points for YIG(50 nm)/Py( tPy). The blue stars denote\nJ′\nsp, in which ∆ HPy\nsp(ωc) is calculated from the Py linewidth\nenhancement from Py( tPy) to YIG(100 nm)/Py( tPy). Error\nbars indicate single standard deviations found from the fits to\nthe lineshape.\nFig. 4 compares the values of JandJ′obtained from\nthe hybrid dynamics. For convenience we estimate the\nvalue of J′from Eq. (5c), as J′(ωc) =κc(ωc)/f(ωc)·5\n/radicalbig\nMYIGtYIGMPytPy/2. Noting the frequency depen-\ndence of J(ω), all the values of J(ω) in this work are\nobtained around ωc/2π= 9 GHz. We can also cal-\nculateJ′(ωc) from uncoupled spin pumping effect, as\nJ′\nsp(ωc) =µ0∆HPy\nsp(ωc)·MPytPy.For the YIG/Py in-\nterface, the value of Jstays at the same level; the value\nofJ′(ωc) fluctuates with samples but is well aligned with\nJ′\nsp(ωc), which again supports that the dampinglike in-\nterfacial coupling comes from spin pumping. Further-\nmore, we have also repeated the experiments for a thin-\nnerYIG(50nm)/Py(t)sampleseriesandobtainedsimilar\nvalues of JandJ′(ωc), as shown in Fig. 4.\nTable I summarizes the values of J,J′andg↑↓for\nYIG/Py interface, where Jis taken from the vicinity of\nωc/2π= 9 GHz and g↑↓is calculated from J′(ωc) by\nEq. (4). The value of Jis much smaller than a per-\nfect exchange coupled interface, which is not surprising\ngiven the complicated and uncharacterized nature of the\nYIG/Py interface. For Py, the interfacial exchange en-\nergycanbeestimated[46]by2 Aex/a,whereforPy Aex=\n12 pJ/m [49] and the lattice parameter a= 0.36 nm.\nWe find 2 Aex/a= 68 mJ/m2, three orders of magnitude\nlarger than J. Comparing with similar interfaces, our\nreported Jis similar to YIG/Ni (0.03 mJ/m2[27]) and\nsmallerthanYIG/Co(0.4mJ/m2[26]). Adifferentinter-\nlayer exchange coupling from Ruderman-Kittel-Kasuya-\nYosida interaction may generate a larger J[50–52] but a\nsmallerg↑↓[53]. There could also be a fieldlike contribu-\ntion ofJfromg↑↓[26, 54–57]. But since the exchange\nJdominates in the coupled dynamics, it is difficult to\ndistinguish the spin mixing conductance contribution in\nour experiments.\nJ(mJ/m2)J′(mJ/m2)g↑↓(nm−2)\nYIG/Py 0 .060±0.011 0.019±0.009 42 ±21\nTABLE I. Fieldlike, dampinglike coupling energy and spin\nmixing conductance for the YIG/Py interface. The value of\nJis calculated around ωc/2π= 9 GHz\nIn conclusion, we have characterized the dampinglike\ncouplingtorquebetween twoexchange-coupledferromag-\nnetic thin films. By exciting the hybrid dynamics in the\nstrong coupling regime, this dampinglike torque can ei-\nther increase or suppress the total damping in the out-of-\nphase or in-phase mode, respectively. The origin of the\ndampinglike torque is the coherent spin pumping from\nthe coupling magnetization dynamics. Our results reveal\nnew insight for tuning the coherence in magnon-magnon\nhybrid dynamics and are important for magnon-based\ncoherent information processing.\nWorkatArgonneonsamplepreparationwassupported\nbytheU.S.DOE,OfficeofScience,OfficeofBasicEnergy\nSciences, Materials Science and Engineering Division un-\nder Contract No. DE-AC02-06CH11357, while work at\nArgonne and National Institute of Standards and Tech-nology (NIST) on data analysis and theoretical modeling\nwas supported as part of Quantum Materials for Energy\nEfficient Neuromorphic Computing, an Energy Frontier\nResearch Center funded by the U.S. DOE, Office of Sci-\nence. Work on experimental design at Oakland Univer-\nsity was supported by AFOSR under grant no. FA9550-\n19-1-0254 and the NIST Center for Nanoscale Science\nand Technology, Award No. 70NANB14H209, through\nthe University of Maryland. Work on microwave spec-\ntroscopy at Columbia University was supported by NSF\nunder grant nsf-dmr1411160.\n∗web54@columbia.edu\n†Current address: Department of Materials Science and Engin eering, University of Illinois at Urbana-Champaign Urbana , IL 61801 Email: axelh@illinois.edu\n‡weizhang@oakland.edu\n[1] M. H. Devoret and R. J. Schoelkopf,\nScience339, 1169 (2013).\n[2] D. D. Awschalom, L. C. Bassett, A. S. Dzurak, E. L. Hu,\nand J. R. Petta, Science 339, 1174 (2013).\n[3] F. J. Dyson, Phys. Rev. 102, 1217 (1956).\n[4] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and\nB. Hillebrands, Nature Physics 11, 453 (2015).\n[5] A. Khitun, M. Bao, and K. L. Wang,\nIEEE Trans. Magn. 44, 2141 (2008).\n[6] T. Schneider, A. A. Serga, B. Leven, B. Hille-\nbrands, R. L. Stamps, and M. P. Kostylev,\nAppl. Phys. Lett. 92, 022505 (2008).\n[7] V. V. Kruglyak, S. O. Demokritov, and D. Grundler,\nJ Phys. D: Appl. Phys. 43, 264001 (2010).\n[8] S. Kaka, M. R. Pufall, W. H. Rippard, T. J. Silva, S. E.\nRussek, and J. A. Katine, Nature 437, 389 (2005).\n[9] F. B. Mancoff, N. D. Rizzo, B. N. Engel, and S. Tehrani,\nNature437, 393 (2005).\n[10] N. Locatelli, A. Hamadeh, F. Abreu Araujo, A. D. Be-\nlanovsky, P.N.Skirdkov,R.Lebrun, V.V.Naletov, K.A.\nZvezdin, M. Mu˜ noz, J. Grollier, O. Klein, V. Cros, and\nG. de Loubens, Sci. Rep. 5, 17039 (2015).\n[11] Y. Li, X. de Milly, F. Abreu Araujo, O. Klein,\nV. Cros, J. Grollier, and G. de Loubens,\nPhys. Rev. Lett. 118, 247202 (2017).\n[12] R. Lebrun, S. Tsunegi, P. Bortolotti, H. Kubota, A. S.\nJenkins, M. Romera, K. Yakushiji, A. Fukushima,\nJ. Grollier, S. Yuasa, and V. Cros, Nat. Commun. 8,\n15825 (2017).\n[13] A. Awad, P. Durrenfeld, A. Houshang, M. Dvornik,\nE. Iacocca, R. K. Dumas, and J. ˚Akerman, Nature Phys.\n13, 292 (2017).\n[14] D. Vodenicarevic, N. Locatelli, F. Abreu Araujo, J. Gro l-\nlier, and D. Querlioz, Sci. Rep. 7, 44772 (2017).\n[15] M. Romera, P. Talatchian, S. Tsunegi, F. Abreu Araujo,\nV. Cros, P. Bortolotti, J. Trastoy, K. Yakushiji,\nA. Fukushima, H. Kubota, S. . Yuasa, M. Ernoult, D. Vo-\ndenicarevic, T. Hirtzlin, N. Locatelli, D. Querlioz, and\nJ. Grollier, Nature 563, 230 (2018).\n[16] H.Huebl, C.W.Zollitsch, J. Lotze, F.Hocke, M.Greifen -\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nPhys. Rev. Lett. 111, 127003 (2013).\n[17] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura,6\nPhys. Rev. Lett. 113, 083603 (2014).\n[18] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang,\nPhys. Rev. Lett. 113, 156401 (2014).\n[19] M. Goryachev, W. G. Farr, D. L. Creedon,\nY. Fan, M. Kostylev, and M. E. Tobar,\nPhys. Rev. Applied 2, 054002 (2014).\n[20] L. Bai, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao, and\nC.-M. Hu, Phys. Rev. Lett. 114, 227201 (2015).\n[21] Y. Li, T. Polakovic, Y.-L. Wang, J. Xu, S. Lendinez,\nZ. Zhang, J. Ding, T. Khaire, H. Saglam,\nR. Divan, J. Pearson, W.-K. Kwok, Z. Xiao,\nV. Novosad, A. Hoffmann, and W. Zhang,\nPhys. Rev. Lett. 123, 107701 (2019).\n[22] J. T. Hou and L. Liu,\nPhys. Rev. Lett. 123, 107702 (2019).\n[23] L. McKenzie-Sell, J. Xie, C.-M. Lee, J. W. A.\nRobinson, C. Ciccarelli, and J. A. Haigh,\nPhys. Rev. B 99, 140414 (2019).\n[24] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa,\nR. Yamazaki, K. Usami, and Y. Nakamura,\nScience349, 405 (2015).\n[25] D. Lachance-Quirion, Y. Tabuchi, S. Ishino, A. Noguchi ,\nT. Ishikawa, R. Yamazaki, and Y. Nakamura,\nScience Advances 3(2017), 10.1126/sciadv.1603150.\n[26] S. Klingler, V. Amin, S. Gepr¨ ags, K. Ganzhorn,\nH. Maier-Flaig, M. Althammer, H. Huebl, R. Gross,\nR. D. McMichael, M. D. Stiles, S. T. B. Goennenwein,\nand M. Weiler, Phys. Rev. Lett. 120, 127201 (2018).\n[27] J. Chen, C. Liu, T. Liu, Y. Xiao, K. Xia,\nG. E. W. Bauer, M. Wu, and H. Yu,\nPhys. Rev. Lett. 120, 217202 (2018).\n[28] H. Qin, S. J. H¨ am¨ al¨ ainen, and S. van Dijken, Sci. Rep.\n8, 5755 (2018).\n[29] C. Liu, J. Chen, T. Liu, F. Heimbach, H. Yu, Y. Xiao,\nJ. Hu, M. Liu, H. Chang, T. Stueckler, S. Tu, Y. Zhang,\nY. Zhang, P. Gao, Z. Liao, D. Yu, K. Xia, N. Lei,\nW. Zhao, and M. Wu, Nature Commun. 9, 738 (2018).\n[30] K. An, V. Bhat, M. Mruczkiewicz, C. Dubs, and\nD. Grundler, Phys. Rev. Applied 11, 034065 (2019).\n[31] Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys.\nRev. Lett. 88, 117601 (2002).\n[32] B. Heinrich, Y. Tserkovnyak, G. Woltersdorf,\nA. Brataas, R. Urban, and G. E. W. Bauer,\nPhys. Rev. Lett. 90, 187601 (2003).\n[33] K. Lenz, T. Toli´ nski, J. Lindner, E. Kosubek, and\nK. Baberschke, Phys. Rev. B 69, 144422 (2004).\n[34] Y. Tserkovnyak, A. Brataas, G. E. W. Bauer, and B. I.\nHalperin, Rev. Mod. Phys. 77, 1375 (2005).\n[35] S. S.-L. Zhang and S. Zhang,Phys. Rev. B 86, 214424 (2012).\n[36] V. P. Amin, J. Zemen, and M. D. Stiles, Phys. Rev. Lett.\n121, 136805 (2018).\n[37] Y. S. Chen, J. G. Lin, S. Y. Huang, and C. L. Chien,\nPhys. Rev. B 99, 220402 (2019).\n[38] B. F. Miao, S. Y. Huang, D. Qu, and C. L. Chien,\nPhys. Rev. Lett. 111, 066602 (2013).\n[39] P. Hyde, L. Bai, D. M. J. Kumar, B. W. Southern, C.-\nM. Hu, S. Y. Huang, B. F. Miao, and C. L. Chien,\nPhys. Rev. B 89, 180404 (2014).\n[40] S. Li, W. Zhang, J. Ding, J. E. Pearson, V. Novosad,\nand A. Hoffmann, Nanoscale 8, 388 (2016).\n[41] M. B. Jungfleisch, V. Lauer, R. Neb, A. V. Chumak, and\nB. Hillebrands, Appl. Phys. Lett. 103, 022411 (2013).\n[42] C. Kittel, Phys. Rev. 73, 155 (1948).\n[43] C. Herring and C. Kittel, Phys. Rev. 81, 869 (1951).\n[44] S. Klingler, A. V. Chumak, T. Mewes, B. Khodadadi,\nC. Mewes, C. Dubs, O. Surzhenko, B. Hillebrands, and\nA. Conca, J. Phys. D: Appl. Phys. 48, 015001 (2014).\n[45] See the Supplemental Information for details.\n[46] B. Hillebrands, Phys. Rev. B 41, 530 (1990).\n[47] F. Hoffmann, A. Stankoff, and H. Pascard,\nJ. Appl. Phys. 41, 1022 (1970).\n[48] A. Kapelrud and A. Brataas,\nPhys. Rev. Lett. 111, 097602 (2013).\n[49] Y. Li and W. E. Bailey,\nPhys. Rev. Lett. 116, 117602 (2016).\n[50] S. S. P. Parkin, N. More, and K. P. Roche,\nPhys. Rev. Lett. 64, 2304 (1990).\n[51] M. Belmeguenai, T. Martin, G. Woltersdorf, M. Maier,\nand G. Bayreuther, Phys. Rev. B 76, 104414 (2007).\n[52] L. Fallarino, V. Sluka, B. Kardasz, M. Pinar-\nbasi, A. Berger, and A. D. Kent,\nAppl. Phys. Lett. 109, 082401 (2016).\n[53] H. Yang, Y. Li, and W. E. Bailey,\nAppl. Phys. Lett. 108, 242404 (2016).\n[54] W. Zhang, M. B. Jungfleisch, F. Freimuth, W. Jiang,\nJ. Sklenar, J. E. Pearson, J. B. Ketterson, Y. Mokrousov,\nand A. Hoffmann, Phys. Rev. B 92, 144405 (2015).\n[55] J. Sklenar, W. Zhang, M. B. Jungfleisch, W. Jiang,\nH. Chang, J. E. Pearson, M. Wu, J. B. Ketterson, and\nA. Hoffmann, Phys. Rev. B 92, 174406 (2015).\n[56] T. Nan, S. Emori, C. T. Boone, X. Wang, T. M. Oxholm,\nJ. G. Jones, B. M. Howe, G. J. Brown, and N. X. Sun,\nPhys. Rev. B 91, 214416 (2015).\n[57] L. Zhu, D. C. Ralph, and R. A. Buhrman,\nPhys. Rev. Lett. 123, 057203 (2019)." }, { "title": "1710.06601v1.Strong_Coupling_of_3D_Cavity_Photons_to_Travelling_Magnons_At_Low_Temperatures.pdf", "content": "Strong Coupling of 3D Cavity Photons to Travelling Magnons At Low\nTemperatures\nMaxim Goryachev,1Mikhail Kostylev,2and Michael E. Tobar1,a)\n1)ARC Centre of Excellence for Engineered Quantum Systems, School of Physics, University of Western Australia,\n35 Stirling Highway, Crawley WA 6009, Australia\n2)Magnetisation Dynamics and Spintronics Group, School of Physics, University of Western Australia,\n35 Stirling Highway, Crawley WA 6009, Australia\n(Dated: 9 June 2021)\nWe demonstrate strong coupling between travelling magnons in an Yttrium Iron Garnet \flm and 3D mi-\ncrowave cavity photons at milli-Kelvin temperatures. The coupling strength of 350MHz or 7 :3% of resonance\nfrequency is observed. The magnonic subsystem is represented by the Damon-Eshbach magnetostatic surface\nwave with a distribution of wave numbers giving the linewidth of 15MHz. The ways to improve this parameter\nare discussed. The energy gap in the spectrum given by the Zeeman energy and the shape-anisotropy energy\nin the \flm geometry give rise to a signi\fcant asymmetry of the double peak structure of the photon-magnon\navoided level crossing. A structure of two parallel YIG \flms is investigated using the same re-entrant magne-\ntostatic surface wave transducer revealing a higher order magnon modes existing in both \flms. Combination\nof a multi-post re-entrant cavity and multiple \flms is a potential base for engineering both magnon and\nphoton spectra.\nIn recent years, ferromagnetic materials in cryogenic\nconditions have become a subject of intensive study with\nvarious potential applications. Firstly, magnon modes in\nhighly regular ferrimagnetic crystals such as Yttrium Iron\nGarnet (YIG) has been widely considered as a candidate\nfor matter part in Quantum Electrodynamics (QED) ex-\nperiments and its applications1{5as well as some related\nsubjects such as optomechanics6,7. These proposals are\nmotivated by unique properties of magnons such as high\nregularity of the crystal and high spin density in this\nmaterial resulting in very narrow magnon and photon\nlinewidths and extremely strong coupling strengths to\nphotons. Secondly, in addition to applications in quan-\ntum science, YIG is considered as a detector material for\nspin-coupled dark matter searches, particularly galactic\naxions8, where large number of spins and low decoher-\nence increase the overall detector sensitivity9. In addi-\ntion to that, low photon losses, strong nonlinear e\u000bects\nand broken time reversal symmetry may result in a num-\nber of other interesting applications in low temperature\nand condensed matter physics.\nDictated by the widespread room temperature applica-\ntions of YIG in microwave tunable \flters and oscillators,\nthe most common form of this material is a sphere. This\nform together with the regularity of the crystals them-\nselves guarantee not only narrow linewidths but also sup-\npression of higher order magnon modes. These modes are\ntypically unwanted e\u000bects suppressing the overall system\nperformance. Indeed, imperfect crystals exhibit asymme-\ntries that result in coupling of uniform magnetic \felds to\nnonuniform modes. At room temperature, the problem is\nsolved by improving symmetry of the crystals with state-\nof-the-art manufacturing technologies. At cryogenic tem-\na)Electronic mail: michael.tobar@uwa.edu.auperatures these techniques do not work, as cooling in-\nduces signi\fcant strains and stresses resulting in addi-\ntional asymmetries that cannot be taken into account\nduring manufacturing. For this reason, all cryogenic tests\nof YIG spheres demonstrate signi\fcant coupling to spu-\nrious modes3,10{14often within an avoided level crossing\nwith the uniform magnon resonance. In real applica-\ntions, this e\u000bect will decrease overall coherence through\ncoupling to extra degrees of freedom.\nTo solve the problem, one might consider other geo-\nmetrical forms of YIG crystals. Particularly, rectangular\nblocks and spheroids with \rat surfaces have been consid-\nered to decrease the degeneracy of the modes. These\nattempts did not result in signi\fcant improvement in\nthe magnon mode structure. Additionally the associ-\nated fabrication techniques are based on hand polish-\ning of YIG spheres or immature crystal cutting. In\nthis work, we consider epitaxial YIG \flms, a well es-\ntablished crystal growth technology, as a building block\nfor spectrally pure magnonic parts of QED systems and\nassociated perspectives in applications of this material\nin low temperature physics. Utilisation of YIG ma-\nterial in the form of \flms is also motivated by the\nfact that it has been employed as a platform to study\nnonlinear e\u000bects in magnetic systems15,16, magneto-\noptical phenomena17{20, light/microwave interaction21,\nBose-Einstein condensates of magnons22, transport\nphenomena23as well as magnon-photon coupling proper-\nties of YIG \flms have been studied in microwave regime\nat room temperature24{26. Moreover, it has been pro-\nposed theoretically that magnetic \flms in 3D cavities and\ngratings may result in some interesting e\u000bects27,28.\nTo demonstrate the possibilities of YIG \flm, we em-\nploy a multi-post re-entrant cavity29that allows precise\nspectrum and magnetic \feld pattern engineering3,30. The\nnumber of posts in this work is limited to four, and the\ncavity is oriented in such a way that external DC mag-arXiv:1710.06601v1 [cond-mat.mtrl-sci] 18 Oct 20172\nnetic \feld is applied along the direction of the posts, such\nthat the resonant microwave magnetic \feld is normal for\nall the cavity re-entrant modes (see Fig. 1 (A)). The cav-\nity is 5mm heigh and 15mm in diameter with 4 mm dis-\ntance between the posts in both directions. The YIG \flm\nis positioned between two pairs of the microwave posts\nalong them and the external DC \feld. Thus, the mi-\ncrowaveB\feld components could be either normal or\nparallel to the plane depending on the mode structure.\nFour re-entrant post result in four microwave modes in\nthe cavity that can be classi\fed by the direction of cur-\nrents through the posts at the same instance of time.\nFirstly, the mode with the uniform current distribution\n(\"\"\"\") corresponds to the lowest frequency resonance.\nThis mode expels the magnetic \feld outside of the space\nbetween the posts as shown in Fig. 1 (B). Secondly, two\ndipole type modes with pair-wise distribution of currents\nhave to be degenerate in frequency in a perfectly symmet-\nric (under 90 degrees rotation) cavity but exhibit large\nfrequency splitting in presence of large disturbance such\nas the YIG crystal. The \frst dipole mode ( \"##\") concen-\ntrates the magnetic \feld in the YIG crystal as the cur-\nrents in the posts on both sides of the \flm point in oppo-\nsite directions. The situation is reversed for the second\ndipole mode (\"\"##) where oppositely oriented currents\nare on the same side of the \flm. Thirdly, the quadrupole\nmode (\"#\"#) is characterised by alternating directions of\ndisplacement currents under each posts along both di-\nrections in the cavity plane giving the highest frequency\nmode and some magnetic \feld concentrated in the \flm.\nTo observe photon-magnon interaction in the described\nabove system at low temperatures, the four post cavity\nmade of Oxygen Free Copper was attached to the 20mK\nstage of a dilution refrigerator inside a superconducting\nmagnet. The sample used in the experiment is (111) YIG\ncrystal of 4\u0016m thickness deposited on a GGG square sub-\nstrate with 10mm side and 0.5mm overall thickness. The\ncrystal inserted in a slot such that only 5mm of its height\nis situated inside the cavity. Thus, the total volume of\nthe YIG material inside the cavity is 2 \u000210\u000010m3that\nis more than order of magnitude less than a sphere of\nradius 1mm. With the given dimensions, the distance\nbetween the surface of the posts and the crystals is on\nthe order 0.75mm.\nThe system is characterised using the transmission\nmethod with the incident signal cryogenically attenuated\nby 40dB at 4K and 20mK stages. The transmitted signal\nis ampli\fed by a low noise cryogenic and room temper-\nature ampli\fers. The transmission through the system\nis measured as a function of the DC magnetic \feld and\nis shown in Fig 2 (A). The spectrum demonstrates four\ncavity modes described above together with a single YIG\n\flm magnon that is tuned over the whole frequency range\nwith the external DC magnetic \feld. For reference, the\ndashed line in Fig 2 (A) demonstrates the tuning de-\npendence of a spin system with Land\u0013 e g factor of 2 (or\ngyromagnetic ratio\r\n2\u0019of approximately 28GHz/T) and\nvanishing zero \feld splitting. The observed magnon line\nPosts\nYIG on \nGGG\n(B)(A)FIG. 1: (A) Four post re-entrant cavity with an YIG\n\flm deposited on a GGG crystal. (B) Magnetic \feld in\nthe cavity plane for four re-entrant modes.\n\fts the Kittel formula31:\nf=\r\n2\u0019p\nBDC(BDC+\u00160Ms); (1)\nof an in-plane magnetised \flm where the saturation mag-\nnetisation\u00160Ms= 0:236T and gyromagnetic ratio is\n28:3GHz/T.\nWhen resonance frequencies of cavity modes and\nmagnon resonance interact, the system exhibits avoided\nlevel crossing. The corresponding mode splitting for this\ninteraction is shown in Fig. 2 (B). The strongest interac-\ntion between subsystems is observed for the dipole res-\nonance antisymmetric around the \flm and estimated to\nbe on the order of 350MHz or 7 :3%. This value is less\nthan that observed for the \feld focusing re-entrant cav-\nities and YIG spheres3but exceeds some other sphere\nexperiments4,10,13,14.\nThe magnon mode frequency response outside of any\navoided level crossing is shown in Fig. 2 (C). Based on\nthis response the magnon linewidth may be estimated to\nbe on the order of 15-20MHz. The observed linewidth is\ninferior to that for state-of-the-art YIG spheres at mK\ntemperatures where linewidths are smaller than 1MHz3,43\n(A)(B)\n(C)\nFIG. 2: (A) Transmission through the four post cavity with the YIG/GGG crystal as a function of external\nmagnetic \feld. (B) Mode splitting between the \"##\" cavity resonance and the YIG \flm mode. (C) Magnon line\nshape outside of the observed avoided level crossings.\nbut usually measured values above 1MHz10. It is on the\nsame order as that for highly doped paramagnetic crys-\ntals, which however typically have smaller spin density,\nlarger volumes and smaller couplings. Understanding of\nthe increased linewidth for the magnon resonance for the\n\flm may be provided based on the theory in Bhoi et al24.\nIn fact, the resonance linewidth for high-quality epitaxial\nYIG \flms is just slightly worse than for YIG spheres -\nusually on the order of 0 :5Oe or 1:4MHz for the observed\ngyromagnetic ratio.\nIn order to observe the intrinsic FMR linewidth for a\n\flm, one needs to localise magnetisation dynamics within\nan area with in-plane sizes signi\fcantly smaller than the\nfree-propagation path for travelling spin waves in the\n\flm, thus creating conditions for a well-resolved discrete\nspectrum of standing wave oscillations across the area of\nlocalisation of the dynamics. This can be achieved, for\ninstance, by using a small rectangular or circular piece of\nthe \flm (typically 1 to 2mm in in-plane size)32. Other-\nwise spin waves excited by a localised microwave source,\nsuch as the four posts in the present work or a planar\nsplit-ring resonator, as in Bhoi et al24, are not bound by\nthe area of excitation and escape the area as traveling\nwaves carrying energy away from the area. Eventually,\nsuch magnons decay due to losses in the \flm within sev-\neral free-propagation path of spin waves lf. For a 4\u0016m\nYIG \flm, such as one used in the present experiment its\nvalue islf= 3mm.\nThe magnon waves excited in the present experiment\nare plane waves that propagate in the \flm in both direc-\ntions perpendicular to the posts. As the static magnetic\feld is applied along the posts, the type of wave which is\nexcited in this con\fguration is the Damon-Eshbach (DE)\nMagnetostatic Surface Wave (MSSW)33. As for any trav-\neling wave, the dispersion relation, or energy spectrum,\nis continuous. This continuity gives rise to asymmetry\nin the double peak structure as observed by the 4-post\nMSSW transducer and depicted in Fig. 2(B): their lower-\nfrequency slopes are signi\fcantly steeper than the higher-\nfrequency ones. The explanation of this phenomenon lies\nin their di\u000berent physical origins24. The steeper slope is\nformed because of the energy gap in the spectrum given\nby the Zeeman energy and the shape-anisotropy energy\nin the \flm geometry. There are no travelling waves exci-\ntations in the energy gap, therefore the microwave power\nabsorbed by the system drops abruptly at the edge of the\ngap giving rise to this steep slope. The edge of the gap\ncorresponds to the zero spin-wave wave number kand\nits frequency fgis given in Eq. (1). With an increase in\nthe frequency f,kincreases, following the DE dispersion\nlaw. With an increase in k, the e\u000eciency of excitation\nof MSSW by the microwave current in the posts grad-\nually drops forming the more gradual higher-frequency\nslopes of the peaks in Fig.2(B), the maximum excited\nwavenumber kmaxbeing dependent on the post diameter\nand their distance to the \flm surface. Another important\nfeature of the observed interaction is a week oscillatory\npattern at the top of this slope. The origins of this pat-\ntern is due to the presence of two pairs of the posts which\nare equivalent to two opposite sides of the square split-\nring24. These sides independently excite pairs of partial\nspin waves in two opposite directions. Because of coher-4\nence of the partial waves, the waves interfere in the \flm\nspace between the posts which leads to the oscillatory\ninterference pattern seen on top of the slopes.\nThe observed phenomena suggest possible ways to\ndecrease the peak widths and, hence, to increase the\nmagnon photon coupling. Firstly, one may use a thin-\nner \flm. Indeed, for small wave numbers the MSSW\nfrequency scales as df=f\u0000fg\u0018kt, wheretis the\n\flm thickness. Form this relation, it follows that for the\nsamekmax, the band of excited waves dfbecomes smaller\ndue to a decrease in t. Unfortunately, the decrease in t\nalso decreases the volume of the magnetic material in the\ncavity, hence, the coupling to the cavity microwave \feld.\nOne possible way to get around this problem is by plac-\ning multiple (highly identical) \flms parallel to each other\nbetween the posts, separated by a distance on the order\nof 1 mm from each other in order to ensure that the \flm\ndo not couple by their dipole \felds. Secondly, one can\ncan pattern the \flm into an array of dipole uncoupled\nsquares with edge sizes on the order of 1 mm32.\nTo demonstrate versatility of the 3D cavity coupled to\nan YIG \flm, we investigate a system with two parallel\n\flms in the same cavity. Both \flms are nominally iden-\ntical and deposited on di\u000berent GGG substrates of the\nsame size. The crystals are stuck together in a \frm me-\nchanical contact and put in the same slot of the same\nre-entrant cavity. The frequency response of this system\nis shown in Fig. 3 where two distinct parallel magnon\nresonance lines are visible. Fitting these lines to the\nsame the Kittel formula reveals that parameters for the\nright resonance are exactly the same as for the single\n\flm case, there as the best \ft for the left resonance gives\n\u00160Ms= 0:36T and gyromagnetic ratio of 24 :5GHz/T\nand considerable deviation form the data trace at lower\nmagnetic \felds. Such signi\fcant di\u000berence in parameters\ncannot be accounted for the nonuniformity of the \flm\nthat is known to have a larger volume of the uniform\n\feld or discrepancies in the \flm parameters. Thus, it\nsuggests that the left resonance manifests higher order\nmagnon waves existing in both \flms. Such solution with\nmultiple \flms allows to engineer not only photon cavity\nspectra as demonstrated with re-entrant cavities3,30, but\nalso magnon frequency distributions. For instance, this\nfeature may be used to lower the required magnetic \feld\nin the applications requiring superconducting parts4,5.\nAdditionally such hybrid structures might be used for\napplications where a number of spins is of primary im-\nportance such as dark matter detection8,9.\nIn conclusion, we demonstrated the strong coupling\nregime between 3D cavity photons and YIG \flm magnons\nat 20mK. The demonstrated coupling exceeds that of\nmany YIG sphere experiments with a room for further\nimprovement. Although, the magnon linewidth in a \flm\nis larger than in a typical sphere, it is less than that\nfor highly doped paramagnetic system with an additional\nadvantage of much stronger coupling. Also, it is demon-\nstrated that by employing multiple \flms, one can make\na more complicated magnon frequency response.\nFIG. 3: Transmission through the four post cavity with\nthe two YIG/GGG crystals stacked together as a\nfunction of external magnetic \feld.\nThis work was supported by the Australian Research\nCouncil grant number CE110001013.\nREFERENCES\n1O. O. Soykal and M. E. Flatt\u0013 e (2010) pp. 77600G{77600G{12.\n2Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and\nY. Nakamura, Phys. Rev. Lett. 113, 083603 (2014).\n3M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan, M. Kostylev,\nand M. E. Tobar, Physical Review Applied 2, 054002 (2014).\n4Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and\nY. Nakamura, Physical Review Letters 113, 083603 (2014).\n5R. G. E. Morris, A. F. van Loo, S. Kosen, and A. D. Karenowska,\nScienti\fc Reports 7, 11511 (2017).\n6X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Science Advances\n2(2016).\n7T. Liu, X. Zhang, H. X. Tang, and M. E. Flatt\u0013 e, Physical Review\nB94, 060405 (2016).\n8P. Sikivie, arXiv arXiv:1409.2806 (2014).\n9R. Barbieri, C. Braggio, G. Carugno, C. S. Gallo, A. Lombardi,\nA. Ortolan, R. Pengo, G. Ruoso, and C. C. Speake, Physics of\nthe Dark Universe 15, 135 (2017).\n10Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki,\nK. Usami, and Y. Nakamura, Science (2015).\n11Y.-P. Wang, G.-Q. Zhang, D. Zhang, X.-Q. Luo, W. Xiong, S.-P.\nWang, T.-F. Li, C. M. Hu, and J. Q. You, Physical Review B\n94, 224410 (2016).\n12N. J. Lambert, J. A. Haigh, and A. J. Ferguson, Journal of\nApplied Physics , Journal of Applied Physics 117, 053910 (2015).\n13X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Physical Review\nLetters 113, 156401 (2014).\n14D. Zhang, W. Song, and G. Chai, Journal of Physics D: Applied\nPhysics 50, 205003 (2017).\n15M. Wu, B. A. Kalinikos, and C. E. Patton, Physical Review\nLetters 95, 237202 (2005).\n16B. A. Kalinikos, N. G. Kovshikov, and A. N. Slavin, Soviet\nJournal of Experimental and Theoretical Physics Letters 38, 413\n(1983).\n17W. Wettling, B. Andlauer, P. Koidl, J. Schneider, and W. Tolks-\ndorf, physica status solidi (b) 59, 63 (1973).\n18A. V. Anshakov, V. V. Matyushev, and A. A. Stashkevich, IEEE\nTransactions on Magnetics , IEEE Transactions on Magnetics 26,\n2798 (1990).5\n19C. S. Tsai, D. Young, W. Chen, L. Adkins, C. C. Lee, and\nH. Glass, Applied Physics Letters , Applied Physics Letters 47,\n651 (1985).\n20V. V. Matyushev, M. P. Kostylev, A. A. Stashkevich, and\nJ. M. Desvignes, Journal of Applied Physics , Journal of Applied\nPhysics 77, 2087 (1995).\n21S. Klingler, H. Maier-Flaig, R. Gross, C. M. Hu, H. Huebl,\nS. T. B. Goennenwein, and M. Weiler, Applied Physics Letters ,\nApplied Physics Letters 109, 072402 (2016).\n22S. O. Demokritov, V. E. Demidov, O. Dzyapko, G. A. Melkov,\nA. A. Serga, B. Hillebrands, and A. N. Slavin, Nature 443, 430\n(2006).\n23L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and B. J.\nvan Wees, Nat Phys 11, 1022 (2015).\n24B. Bhoi, T. Cli\u000b, I. S. Maksymov, M. Kostylev, R. Aiyar,\nN. Venkataramani, S. Prasad, and R. L. Stamps, Journal of\nApplied Physics , Journal of Applied Physics 116, 243906 (2014).\n25G. B. G. Stenning, G. J. Bowden, L. C. Maple, S. A. Gregory,A. Sposito, R. W. Eason, N. I. Zheludev, and P. A. J. de Groot,\nOptics Express , Optics Express 21, 1456 (2013).\n26X. Zhang, C. Zou, L. Jiang, and H. X. Tang, Journal of Applied\nPhysics , Journal of Applied Physics 119, 023905 (2016).\n27V. V. Dodonov and A. V. Dodonov, Physical Review B 96,\n054419 (2017).\n28I. S. Maksymov, J. Hutomo, and M. Kostylev, Optics Express ,\nOptics Express 22, 8720 (2014).\n29M. Goryachev and M. E. Tobar, New Journal of Physics 17,\n023003 (2015).\n30M. Goryachev and M. E. Tobar, Physical Review Applied 6,\n064006 (2016).\n31D. Stancil and A. Prabhakar, Spin Waves: Theory and Applica-\ntions (Springer, Berlin, 2009).\n32R. Gieniusz, Journal of Magnetism and Magnetic Materials 119,\n187 (1993).\n33R. W. Damon and J. R. Eshbach, Journal of Physics and Chem-\nistry of Solids 19, 308 (1961)." }, { "title": "2109.04538v1.A_low_power_integrated_magneto_optic_modulator_on_silicon_for_cryogenic_applications.pdf", "content": "1 Title: A low-power integrated magneto -optic modulator on silicon for cryogenic application s \nAuthors: Paolo Pintus*,1, Leonardo Ranzani2, Sergio Pinna1, Duanni Huang1,3, Martin V. \nGustafsson2, Fotini Karinou4, Giovanni Andrea Casula5, Yuya Shoji6, Yota Takamura6, Tetsuya \nMizumoto6, Mohammad Soltani2, John E. Bowers1 \n \n*Corresponding author: ppintus@ece.ucsb.edu \n \nAffiliations: \n \n1Electrical and Computer Engineering Department, University of California Santa Barbara, \nCalifornia 93106, USA \n \n2Raytheon BBN Technologies, 10 Moulton Street, Cambridge, Massachusetts 02138, USA \n \n3Intel Corporation, 2200 Mission College Blvd, Santa Clara, California 95054, USA \n \n4Microsoft Research Ltd, 21 Station Road, Cambridge CB1 2FB, United Kingdom \n \n5Department of Electrical and Electronic Engineering, University of Cagliari, Via Castelfidardo, \nCagliari 93100, Italy \n \n6Department of Electrical and Electronic Engineering, Tokyo Institute of Technology, 2 -12-1 \nOokayama, Meguro -ku, Tokyo, 152 -8552, Japan \n 2 Abstract : \nA fundamental challenge of the quantum revolution is to efficiently interface the quantum computing \nsystem s operat ing at cryogenic temperature s with room temperature electronics and media for high \ndata -rate communication . Current approaches to control and readout of such cryogenic computing \nsystems use electrical cables , which prevent scalability due to their large size, heat conduction , and \nlimited bandwidth1. A more viable approach is to use optical fibers which allow hi gh-capacity \ntransmission and thermal isolation2,3. A key component in implementing photonic datalink s is a \ncryogenic optical modulator for convert ing data from the electrical to the optical domain at high speed \nand with low power consumption, while operating at temperatures of 4 K or lower . Cryogenic \nmodulators based on the electro -optic effect have been demonstrated in a variety of material \nplatforms3–8, however they are voltage driven components while superconducting circuits are current \nbased , resulting in a large impedance mismatch . Here , we present the first demonstration of a n \nintegrated current -driven modulator based on the magneto -optic effect operating o ver a wide \ntemperature range that extends down to less than 4 K. The modulator works at data rate s up to 2 Gbps \nwith energy consumption below 4 pJ/bit, and we show that this figure can be reduced to less than \n40 fJ/bit with optimiz ed design and fabrication . This modu lator is a hybrid device, where a current -\ndriven magneto -optically active crystal ( cerium substituted yttrium iron garnet , or Ce:YIG) is bonded to a \nhigh -quality silicon microring resonator. Because of its potential for extremely low power consumption \nunde r cryogenic conditions, the class of magneto -optical modulators demonstrated here has the \npotential to enable efficient data links in large -scale systems for quantum information processing. 3 Manuscript : \nIn emerging cryogenic classical and quantum computing systems, there is a need for transferring \nmassive amounts of information from cryogenic circuitry to room temperature , while avoiding \nsignificant hardware complexity and heat load. This becomes particular ly important as the systems scale \nup, considering the limited physical space and cooling power available in cryogenic systems . The \nrealization of photonic integrated circuits (PICs) operating at low temperature s and the use of optical \nfiber s to connect dif ferent temperature stages can successfully overcome those limitations , thus \nenabling scalable, low -cost, and power -efficient optical interconnections for large data transfer rate s1–3. \nSilicon photonic s is perhaps the most promising technology platform for the development of \nsuch cryogenic PIC components , due to its promising scalability , compatibility with CMOS and \nsuperconducting electronic manufacturing9, and excellent optical performance . Despite many \nadvantages of silicon photonics , low temperatures (e.g. , ~< 4 K) pose significant limitations on \nconventional modulators and switches . Thermo -optic switches become non -functional due to a \nsignificant d rop of the thermo -optic coefficient10, and modulator s based on plasma dispersion suffer \nfrom free -carrier freeze out which makes them inefficient at such low temperatures11. The carrier freeze \nout effect can be compensated by augmenting the doping concentration , but only at the cost of \nsignificantly increas ed optical absorption8. As a result, novel approaches for realizing energy efficient \nmodulators and switches for cryogenic systems must be explored. \nSo far, only electro -optic modulator s have been shown to work at low temperature3–8, and the \nuse of m agneto -optic effect s in integrated optics has been limited to optical isolators and circulators12,13, \nwith a few theoretical proposals existing for magneto -optical modulation14–16. This is largely due to t he \ndifficulties in manufacturing integrated magnet o-optic devices , the challenges in applying fast time -\nvariant magnetic field s, and the generally slower response of the magneto -optic effect compared to the 4 electro -optic effect . In this work , we demonstrate the first high -speed magneto -optic modulator \noperating at temperatures as low as 4 K. This device is made by combining a magneto -optic garnet \ncrystal with a silicon waveguide resonator and integrat ing an electromagnet to modulate the refractive \nindex of the garnet . The propose d solution is particularly efficient at cryogenic temperatures, where the \nmagneto -optic effect becomes stronger17 and the power consumption in the electromag net decreases \ndrastically compared to room temperature due to reduced ohmic loss . The fabricated modulator shows \nan exces s loss of only 2.3 dB, a modulation bandwidth up to 2 GHz with an energy consumption as low \nas 4 pJ per bit of transferred information , which can be reduced below 40 fJ/bit by optimizing the device \ndesign and fabrication process. This first demonstration of a current -driven magneto -optical modulator \nopen s the path to further investigations on novel magneto -optic materials operating at low temperature \nto address the need for power -efficient data -link for the next generation of superconducting \nsupercomputers and quantum computers18–20. \nThe modulator demonstrated here is based on an all -pass silicon micro ring reso nator with a \ncerium -substituted yttrium iron garnet (Ce:YIG) bonded on top , Fig. 1(a) . The light that circulates in the \nmicro ring resonator interacts evanescently with the garnet, and is affected by its magneto -optic \nproperties . A gold micro -strip , aligned with the silicon microring , serve s as an integrated electro magnet \nand is used to control the magneto -optical (MO) properties of the Ce:YIG , while a silicon optical \nwaveguide is used to couple light in and out of the resonator. \nThe main idea of our work is to exploit the refractive index variation induced by an applied \nmagnetic field to control the resonant wavelength of the microring , and hence to modulate the light \npassing through the waveguide. When an electrical current passe s through the micro -strip, the Ce:YIG is \nmagnetized by a transverse in -plane magnetic field , Fig. 1(b), changing the effective refractive index of \nthe optical mode21 (see the supplementary section for details). For the light in the microring, a variation \nof the effective index , Δn eff, causes a proportional shift of the optical spectrum of the resonator 5 ∆𝜆𝑀𝑂=𝜆∆𝑛𝑒𝑓𝑓\n𝑛𝑔 (1) \nwhere λ is the optical wavelength and ng is the group index of the optical mode22. If the resonant \nwavelength of the microring is aligned with the wavelength of the input signal, the light is fully \nattenuated and dissipate s in the microring. When the direction of the current is reversed , the microring \nresonance tunes away from the incoming light which can then propagate through the waveguide \nunperturbed. Based on this concept, we designed and fabricated the device shown in Fig. 1(c). \nEquation (1) is crucial for understanding the operating principle of this device, so we highlight a \nfew important features : (i) reversing the current (i.e., the in-plane magnetic field in the Ce:YIG ) changes \nthe sign of Δn eff; (ii) the effective index change differs for the clockwise and counter -clockwise \npropagating mode s, having the same amplitude but opposite signs (nonreciprocal effect) ; (iii) the \neffective index change is largest when the optical mode is polarized along the y -axis (transverse \nmagnetic polarized mode) ; (iv) the value o f the effective inde x is proportional to the Faraday rotation \nconstant (see the supplementary section for details) that is expected to increase with decreasing \ntemperature17,23. \nThe results of the m odelling and device design are summarized in Fig. 2. The magnetic field \ndistribution shown Fig. 2(a) is obtained after optimizing the cross -section s of the gold micro -strip \n(Fig. 2b) and the optical waveguide (Fig. 2c ) to produce the largest shift in resonance wavelengt h for a \ngiven current . For the realization of this first prototype, we chose Ce:YIG as a magneto -optic material \nbecause it is optically transparent to telecom wavelength s and has one of the largest Faraday rotation \nconstant s in this wavelength range at room temperature. The optimized cross -section of the silicon \nwaveguide is 600 nm wide and 220 nm tall, with a 400 nm thick Ce:YIG layer bonded on top24. To avoid \nbending loss, the diameter of the microring is chosen to be 70 µm. At room temperature, t his cross -\nsection guarantees ΔλMO = ±350 pm when the magnetization of the material is saturated in the two 6 directions24, i.e. |𝐻𝑥|≥5 mT. A layer of 5 µm-thick substituted g adolinium gallium garnet (SGGG) \ncovers the Ce:YIG and is a remnant of the substrate onto which the Ce:YIG was grown. On top of this \nlayer, directly above the silicon microring, a gold electrode with a cross section of 3 µm × 1.5 µm. This is \nthe elect romagne t that modulat es current that serves as a signal input port by generat ing a magnetic \nfield through the Ce:YIG. \nAt 4K, the thermal shift of the microring resonance is negligible10,25, and the current flow ing \nthrough the electromagnet can be used to red-shift or blue -shift the resonance of the microring , \ndepending on its direction . To perform amplitude modulation, t he input laser is tuned a few tens of \npicometer s away from the zero -current microring resonance wavelength , Fig. 2 (d). The current in the \nelectromagnet is used to bring the resonance of the microring closer to or further away from the input \nwavelength. With an input current alternat ing between -110 mA and +110 mA and a microring with a \nquality factor of Q=25 000, we expect an extinction ratio (ER) of the modulated output signal larger than \n10 dB. \nThe ER of the modulated signal depends on both the quality factor of the resonator and the \namplitude of the current in the electromagnet. When the resonator is critical ly coupl ed to the \nwaveguide24 (that is, when the power coupling ratio between the microring and the waveguide, K, \nequal s the intrinsic cavity loss during one roundtrip in the microring , γ), the ER can in principle be \nchosen to be arbitrarily large , limited only by fabrication variabilities . In Fig. 2( e), the ER of the \nmodulated signal is computed a s a function of the current amplitude for devices with different coupling \nconditions. The highest ER can be achieved at the critical coupling condition and when the current in the \nelectromagnet is about 80 mA. Comparing over -coupled (K> γ) and under -coupled (K < γ) resonators with \nthe same maximum ER, we notic e that the under -coupled condition is more favorable f or minimizing the \ndriving current and hence the energy consumption. 7 A notable feature of this device architecture is the dramatic reduction in energy consumption \nfor modulation at low temperature compared to room temperature . The average energy -per-bit (EPB) \nconsumption is \n 𝐸𝑏̅̅̅=𝑅𝐼2\n𝑟𝑏+1\n2𝐿𝐼2 (2) \nwhere R and L are the effective resistance and inductance of the MO modulator , respectively, I \nis the modulation amplitude , and rb is the bit rate ( see derivation in the s upplementary material). \nAt low temperature, the resistance of the electromagnet drops , and can be made to vanish if \nthe normal metal is replaced with a superconductor . In addition, we also observed that the magnetic \ndissipation is negligible in the Ce :YIG (see supplementary materials) . As a result, the EPB depends only \non the modulating current and the inductance of the device for a signal source with a suitable \nimpedance . Assuming gold electrode s, the resistance drops by more than two orders of magnit ude26, \ngoing from 1.22 Ω at 300 K dow n to 12 mΩ at 4 K. On the other hand, the inductance does not change \nsignificantly with temperature and equal s 0.25 nH for a 70 µm diameter coil. The average EPB for the \nproposed device is computed as a function of the modulating current amplitude and reported in Fig. 2( f) \nfor T=300 K, 77 K and 4 K with rb = 1 Gbps . \nCombining the calculated values of the EPB (Fig. 2(g)) and the ER (Fig. 2(f)) , we find that an ER as \nhigh as 10 dB can be achieved with less than 400 fJ/bit of dissipation for rb = 1 Gbps . By thinning the \nSGGG layer further, the distance between the electromagnet and the optical waveguide can be reduced \nfrom 5 µm down to 1 µm, thus reducing the modulating current requirements by more than 50% and \nlowering the EPB to less than 100 fJ. \nThe magneto -optic modulator is fabricated using a fully silicon compatible process. The silicon \nmicroring resonator and the waveguide are patterned on a standard silicon -on-insulator (SOI) wafer \nwith 220 nm of silicon on top of 2 µm of silicon di oxide (SiO 2). The Ce:YIG layer is grown on a separate 8 SGGG substrate in a process that yields high -quality crystals . Subsequently , Ce:YIG is bonded to the \nsilicon wafer in a flip -chip process based on plasma activated SiO 2-SiO 2 covalent bonding at l ow-\ntemperature27. After the bo nding, the SGGG is mechanically polished in order to reduce the distance \nbetween the electromagnet , deposited on top, and the Ce:YIG/silicon interface (more details on the \ndevice fabrication can be found under “M ethods ” below ). Using a straight silicon waveguide with out \nCe:YIG as a reference and comparing it to the modulator device when far removed from resonance, we \nestimate the excess loss due to the bonded layers to be no more t han 2.3 dB at 1550 nm. \nThe performance of the device was tested at cryogenic temperatures in a closed -cycle cryostat \n(Montana Cryostation s200 ) at temperatures ranging between 4 K and 77 K. The thermo -optic (TO) \nresonance shift is determined from measurements of t he microring spectra l response when no current \nis applied to the electromagnet. Tracking the shift of the resonance with respect to the temperature for \nboth the clockwise (CW) and counter -clockwise (CCW) resonant mode s, as shown in Fig.3 (a) , we extract \nthe thermo -optic coefficient (see the supplementary section for more details) \n 𝑑𝑛𝑒𝑓𝑓\n𝑑𝑇=𝜆\n𝑛𝑔𝑑𝜆\n𝑑𝑇 (3) \nThis is plotted in Fig. 3 b together with computed TO contribution s from silicon5 and silica25 for \nreference . At 4 K, a temperature increment of ΔT=1 K cause s the resonance to shift by less than \n0.05 pm, making the TO effect negligible for our applications . At higher temperatures , the TO shift \nincreases, reach ing 16.8 pm/K at 77 K and 80.0 pm /K at 300 K, respectively . In the temperature range \n4 K to 77 K, we observe that the resonance s in the two directions are not perfectly aligned, but their \noffset is a mere 3.7±1.9 pm. This suggest s the presence of a very small residual in-plane magnetization in \nthe Ce:YIG (see supplementary materials for more details). 9 When a current is injected in the electromagnet , the Ce:YIG is locally magnetized and the optical \nresponse is no longer reciprocal. That is to say , the effective indices for the CW and CCW propagation \ndirections are different , resulting in the splitting of the resonant wavelength for the two directions (“MO \nsplit ”). As shown in Fig. 3(c), the MO split changes linearly with the current amplitude at all \ntemperatures under investigation : 300 K, 77 K and 4 K. Although the Faraday rotation of Ce:YIG is \nexpected to increase for decreasing temperature17,23, we find that the MO split at 4 K and 77 K is about \nsix times lower than the corresponding value measured at 300 K for the same current ( i.e., the same \nmagn etic field ). As reported in the supplementary material, the Ce:YIG results magnetically harder at \nlower temperature, and t he weaker MO split is owing to the stronger coercive force measured at 77 K \nand 4 K compared to the room temperature one. \nThe spectral response of the modulator is insensitive to the thermal fluctuation s produced by \nthe gold electromagnet , as shown in Fig. 3( d). The large red-shift of the spectrum observed at room \ntemperature drops significantly at 77K and become s negligible at 4K . This is due to the enhanced \nconductivity of gold at cryogenic temperature, leading to lower dissipation , long with the previously \ndiscussed redu ction in TO with decreasing temperature. \nWe evaluate the performance of the modulator at cryo genic temperature by measuring its \nbandwidth and its modulation fidelity when driven with high -speed data. For the first measurement, we \nuse a tunable laser to generate an optical carrier at a wavelength near 1550 nm, which couples in and \nout of the device via lensed fibers and is collected after modulation by a high -speed photodetector at \nroom temperature . The RF input signal is generated by a vector network analyzer and swept from 100 \nMHz to 20 GHz (more details on the experimental set-up are provid ed in the s upplementary material). \nThe measured frequency response of the magneto -optic modulator is plotted in Fig.4( a), showing a \nbandwidth as large as 6 GHz at a temperature of 4 K. The maximum modulation bandwidth is limited by \nthe magnetic response of the Ce:YIG, which is on the order of hundreds of picoseconds14 10 To further characterize the bandwidth of the device , we performed data modulation \nmeasurements by sending a pseudo -random non-return -to-zero (NRZ) bit sequence of length 29-1 to the \nmodulator with a maximum modulation amplitude of 110 mA. To achieve a better signal -to-noise ratio, \nthe modulated optical output signal is amplified by an e rbium -doped fiber amplifier (EDFA) and filtered \nbefore reaching the photodete ctor, which is connected to a digital oscilloscope . For th is measurement , \nthe carrier wavelength is set close to 1550 nm. Although the ER around 1550 nm is low compared to \nshorter wavelength s (Fig. 4(b) and Fig. 4(c)), and the resonator is slightly over -coupled at 1550 nm \n(K = 0.11 and γ = 0.063) , this wavelength offers the benefit of a high optical gain in the EDFA . The \nrecorded m odulation eye diagram s for 1 Gbps and 2 Gbps are shown in Fig. 4(d) at both 77 K and 4 K. \nRecalling the results shown in Fig. 3(c), we need six times higher current at these temperatures than at \nroom temperature to achieve the same MO split . On the other hand, t he smaller MO split in the Ce:YIG \nis compensated by the lower electrical resistivity in metals at cryogenic temperature s, which means that \na larger current can be injected in the device without increasing the power consumption. In the \nfabricated device, we measure a n input resistance of 1.43 Ω at 300 K, which drop s to ~350 mΩ around \n77 K, where it satu rates . The low-temperature resistance is limited by the quality of the gold film. \nImprov ing the gold deposition conditions can lead to a resistance of ~250 mΩ at 77 K, and a reduction by \nup to another factor 20 at 4 K, with an expected value as low as 12 mΩ (see supplementary material) . \nThe low energy consumption is one of the major benefit s of the cryogenic magneto -optic \nmodulator . As shown in Fig. 4(d), we experimentally measured an eye diagram up to 2 Gbps with an \nenergy consumption as low as 3.9 pJ/bit at 4 K. Nonetheless , there is vast room for improvement by \noptimizing the modulator design and fabrication . In the device under test ( DUT ), the value of E b is \nlimited by the resistance of the electromagnet , as shown in Fig . 5(a). The amount of the dissipated \npower can be reduced by improving the quality of the electromagnet or replacing it with a \nsuperconducting magnet , leading to Eb ≈ LI2/2 at 4 K, as shown in Fig. 5(b). At this point, t he EPB can be 11 further lower ed by diminishing the inductance of the electromagnet and decreasing the modulating \ncurrent. In the tested device , the measured value of L is 0.3 nH, which can be reduced to 0.2 nH in \nconsidering a micro ring with a diameter of 4 0 µm. Additionally , the current required for modulation can \nbe reduced in two ways: (i) by considering an under -couple d resonator with the same ER , which yields a \nreduction in current of 50 % as shown in Fig.2(g) ; (ii) by decreasing the distance between the \nelectromagnet and the silicon/Ce:YIG interface from 5.5 μm to 1.5 μm, which results in a reduction in \nrequired current amplitude by up to another factor 2.25 (see supplementary material). With such \nfeasible design improvements , the energy per bit of the proposed device can be reduced by more than \n30 times, as shown in Fig. 5(c), thus achieving an energy consumption as low as 40 fJ/bit. \nIn this work, we have experimentally demonstrated a new class of high -speed optical modulator \nbase d on the magneto -optic effect for cryogenic applications. The device is fully compatible with the \nsilicon photonics platform, has a compact footprint of 70 × 70 μm2, and an excess loss of 2.3 dB. The \nmagnetic field that provides the optical modulation can be effectively controlled by an integrated \nelectromagnet. At temperature s as low as 4 K, the energy consumption is below 4 pJ/bit for r b = 2Gbps , \nand has the potential to be greatly reduced by reducing the device footprint, thinning the SGGG \nsubstrate, and using under -coupled resonators. Such improvements will allow us to reduce the \nmodulating current by a factor of 30, leading the E b below 40 fJ/bit. \nThe propo sed cryogenic device is the first demonstration of a n integrated current -driven optical \nmodulator , which makes it seamlessly integrable with superconducting circuitry for quantum and \ncryogenic applications. The stronger coercive force measured at low tempe ratures and the maximum \ntime response of the YIG, which is about 100 ps14, are two limiting factors for the minimum power \nconsumption and the maximum modulation bandwidth. Nevertheless , the promising results achieved in \nthis early realization ought to lead the research towards magneto -optic materials with improved \nperformance at cryogenic temperatures , which is still an unexplored area of investigation. 12 Methods: \nDevice Fabrication \nA 220 nm silicon -on-insulator (SOI) wafer with 1 m of buried oxide w as patterned using a 248 nm ASML \n5500 DUV stepper, and dry etched using a Bosch process (Plasma -Therm 770) to form the waveguides \nand resonators. In preparation for wafer bondin g, both the SOI and the Ce:YIG/ SGGG sample are \nrigorously cleaned, and activate d with O 2 plasma (EVG 810). The Ce:YIG is directly bonded onto the SOI \npatterns using a flip -chip bonder (Finetech), and then annealed at 200°C for 6 hours under 3MPa of \npressure to strengthen the bond. The required alignment accuracy is fairly tolerant (~ 200 m). After the \nbond ing, a 1 m layer of SiO2 is sputtered everywhere on the chip a s an upper cladding. Next, the SGGG \nsubstrate is thinned by mounting the sample against a flat chuck, and polishing (Allied Technologies) \nusing a series of increasingly fine lappi ng films. The thickness of the SGGG is monitored using a \nmicrometer and confirmed to be ~5 m with a separate Dektak profilomety measurement. Variation of \nthe thickness across the sample is roughly ±1.5 m due to imperfect leveling o f the chuck. The patterns \nfor the metal microstrips and contacts are defined on the backside of the SGGG with a 365nm GCA i -line \nwafer stepper. Then, 22 nm of Ti is deposited as an underlayer, followed by 1.5 m of Au using electron -\nbeam evaporation, and th e metal microstrips and contacts are released with a lift -off procedure. Finally, \nthe sample is diced and the facets are polished. \nAcknowledgements \nThis material is based upon work supported by the Air Force Office of Scientific Research under award \nnumbe r FA9550 -21-1-0042. Any opinions, findings, and conclusions or recommendations expressed in \nthis material are those of the authors and do not necessarily reflect the views of the United States Air \nForce . The authors also acknowledge Microsoft Research for supporting this research. The authors \nwould like thank Paul Morton and Jon Peters for the useful discussions . 13 Author Contributions \nP.P conceived and designed the device, performed the modelling, and analyzed the performance. P.P., \nL.R., S.P., and M.G. performed the DC and RF measurements at cryogenic temperature s. D.H. performed \nthe mask layout and fabricated the device. P.P. and A.C. performed the RF simulations. P.P., L.R., S.P., \nand F.K. performed the analysis of the energy consumption. Y.S., Y.T., and T.M. grew t he Ce:YIG samples \nand provide the magneto -optic material characterization, P.P., M.S. and J.E.B. supervised and \ncoordinated the project. All authors contributed to the preparation of the manuscript. \nAdditional Information \nThe authors declar e no competing financial interests. All data generated and analyzed during this study \nare inc luded in this article and its supplementary information files . Data are available from the \ncorresponding author upon request. \nReferences \n1. Reilly, D. J. Challenges in Scaling -up the Control Interface of a Quantum Computer. 2019 IEEE , 31.7.1 -31.7.6 \n(2019). \n2. Lecocq, F. et al. Control and readout of a superconducting qubit using a photonic link. Nature 591, 575 –579 \n(2021). \n3. Youssefi, A. et al. A cryogenic electro -optic interconnect for superconducting devices. Nat. Electron. 4, \n326–332 (2021). \n4. Pintus, P. et al. Characteriz ation of heterogeneous InP -on-Si optical modulators operating between 77 K \nand room temperature. APL Photonics 4, (2019). \n5. Eltes, A. F., Villarreal -garcia, G. E., Caimi, D., Siegwart, H. & Gentile, A. A. An integrated optical modulator \noperating at cryog enic temperatures. Nat. Mater. 2–7 (2020) doi:doi.org/10.1038/s41563 -020-0725 -5. \n6. Lee, B. S. et al. High performance integrated graphene electro -optic modulator at cryogenic temperature. \n(2020). \n7. Chakraborty, U. et al. Cryogenic operation of silicon ph otonic modulators based on DC Kerr effect. 1 –9 \n(2020). \n8. Gehl, M. et al. Operation of high -speed silicon photonic micro -disk modulators at cryogenic temperatures. \nOptica 4, 374 (2017). \n9. Silverstone, J. W., Bonneau, D., O’Brien, J. L. & Thompson, M. G. S ilicon quantum photonics. IEEE J. Sel. \nTop. Quantum Electron. 22, 390 –402 (2016). \n10. Komma, J., Schwarz, C., Hofmann, G., Heinert, D. & Nawrodt, R. Thermo -optic coefficient of silicon at 1550 \nnm and cryogenic temperatures. Appl. Phys. Lett. 101, 041905 (2 012). \n11. Sze, S. M. & Ng, K. K. Physics of semiconductor devices . (John wiley & sons, 2006). \n12. Dötsch, H. et al. Applications of magneto -optical waveguides in integrated optics: review. J. Opt. Soc. Am. B \n22, 240 (2005). \n13. Stadler, B. J. H. & Mizumoto, T. Integrated magneto -optical materials and isolators: A review. IEEE \nPhotonics J. 6, (2014). 14 14. Chau, K. J., Ir vine, S. E. & Elezzabi, A. Y. A gigahertz surface magneto -plasmon optical modulator. IEEE J. \nQuantum Electron. 40, 571 –579 (2004). \n15. Firby, C. J. & Elezzabi, A. Y. High -speed nonreciprocal magnetoplasmonic waveguide phase shifter. Optica \n2, 598 –606 (2015 ). \n16. Sobolewski, R. & Park, J. R. Magneto -optical modulator for superconducting digital output interface. IEEE \nTrans. Appl. Supercond. 11, 727 –730 (2001). \n17. Lage, E. et al. Temperature -dependent faraday rotation and magnetization reorientation in ceriu m-\nsubstituted yttrium iron garnet thin films. APL Mater. 5, (2017). \n18. OIDA. OIDA Quantum Photonics Roadmap: Every Photon Counts. OIDA Rep. 3, (2020). \n19. Mukhanov, O. A. Energy -Efficient single flux quantum technology. IEEE Trans. Appl. Supercond. 21, 760–\n769 (2011). \n20. Likharev, Konstantin K., and V. K. S. RSFQ logic/memory family: a new Josephson -junction technology for \nsub-terahertz -clock -frequency digital systems. IEEE Trans. Appl. Supercond. 1, 3–28 (1991). \n21. Gabriel, G. J. & Brodwin, M. E. The S olution of Guided Waves in Inhomogeneous Anisotropic Media by \nPerturbation and Variational Methods. IEEE Trans. Microw. Theory Tech. 13, 364 –370 (1965). \n22. Bogaerts, W. et al. Silicon microring resonators. Laser Photon. Rev. 6, 47–73 (2012). \n23. Ostoréro, J., Escorne, M., Gouzerh, J. & Le Gall, H. Magnetooptical Properties of Ce -Doped YIG Single \nCrystals. J. Phys. IV Proc. 07, C1-719-C1-720 (1997). \n24. Pintus, P., Tien, M. C. & Bowers, J. E. Design of magneto -optical ring isolator on SOI based on the finite -\nelement method. IEEE Photonics Technol. Lett. 23, 1670 –1672 (2011). \n25. Elshaari, A. W., Zadeh, I. E., Jöns, K. D. & Zwiller, V. Thermo -Optic Charact erization of Silicon Nitride \nResonators for Cryogenic Photonic Circuits. IEEE Photonics J. 8, 2701009 (2016). \n26. Haynes, W. M., Lide, D. R. & Bruno, T. J. CRC Handbook of Chemistry and Physics (2016 -2017) . (CRC Press, \n2016). \n27. Liang, D. et al. Low-tempe rature, strong SiO2 -SiO2 covalent wafer bonding for III -V compound \nsemiconductors -to-silicon photonic integrated circuits. J. Electron. Mater. 37, 1552 –1559 (2008). \n 15 \n \nFigure 1: Integrated magneto -optic modulator . (a) Perspective view of the device (not to scale) . The top \ngold coil is used to apply a radial magnetic field that magnetize s the Ce:YIG underneath. The silicon \nmicroring and the silicon waveguide, in the all -pass filter configuration, are visible through the \ntransparent top -cladding . (b) Cross -section of the micro ring and electromagnet (not to scale) where the \ndirection of the electrical current and the magnetic field are highlighted . (c) Optical micrograph of the \nfabricated sample (top view). \n \n16 \nFigure 2: Cryogenic magneto -optic m odulator, design and optimization . (a) Three -dimensional \nsimulation of the modulating magnetic field generated by the electric current in the metal microstrip. The \nstreamline s of the current are highlighted in red while the intensity of the in-plane radial magnetic field is \nshown in the Ce:YIG plane. On the same plane, the arrows indicate the direction of the magnetic field. \n(b) Magnetic field distribution in the device cross -section when a current of 10 mA is flowing through the \nelectromagnet. (c) Cross-section mode profile, where a silica layer of 10 nm is assumed between the \nsilicon microring and the bonded Ce:YIG layer. (d) The red -shifted and the blue -shifted spectral respo nse \nof the device are shown when the current in the electromagnet is +110 mA (dashed pointed red curve) \nand -110 mA (continuous blue curve), respectively. As a reference, the spectral response of the microring \nmodulator when no current is injected in the e lectromagnet (dashed gray curve) is also plotted . These \ncurves refer to a resonator with Q = 25 000. The input laser wavelength is shown (λtbm, green dashed line) \nalong with the extinction ratio (ER) and the MO split (Δλ MO). (e) ER as a function of the mod ulating current \nfor several microring -based modulators. The dot refers to the device we measured , where the coupling \ncoefficient (K=0.11 ) and the round -trip loss (γ=0.063 ) have been computed from the measured ER and \nfull-width at half -maximum of the spectr al response. (f) Energy consumption per bit as a function of the \nmodulating current at different temperature s. The increasing conductivity of gold with decreasing \ntemperature results in a net improvement under cryogenic operation . In case of superconductor magnet, \nthe power dissipated in the resistance vanishes . (g) Energy per bit as a function of ER for microring -based \nmodulator in under -coupled, critically coupled and over -coupled conditions. The dot refers to the device \nunder t est. \n17 \nFigure 3 . Characterization of the thermo -optic (TO) and magneto -optic (MO) response of the modulator \nat cryogenic temperature . (a) Thermo -optic shift of the spectral response of the microring between 4 K \nand 77 K when no current is injected in the electromagnet. The spectra for both clockwise (CW , solid lines ) \nand counter -clockwise (CCW , dashed lines ) propagation spectra are shown. (b) Thermo -optic coefficient \nof the device extracted from the thermal shift of the spectra . As a reference, the thermo -optic \ncontribution of the silica and silicon are also shown. (c) The MO split of the microring resonance when a \ncurrent is injected in the electromagnet. The CW and the CCW resonance split increases linearly as a \nfunction of the current magnitude at all t emperatures. (d) TO shift of the microring resonance when a \ncurrent is injected in the electromagnet because of Joule local heating . As a guid e for the eye, the \nexperimental results have been fit ted with a sub -quadratic curve (~Ib where b<2) since the cond uctivity \nof gold decreases when a current is injected in the electromagnet (increasing temperature) and the \nthermo -optic coefficient is not constant (Fig.2(b)) . \n \n18 \n \nFigure 4 . High -speed characterization of magneto -optic modulator at cryogenic temperature s. \n(a) Frequency response (Bode diagram) of the magneto -optic modulator at 4 K measured with a vector \nnetwork analyzer . (b) Spectral response of the microring resonator around 1500 nm (c) Spectral response \nof the microring resonator around 1550 nm. (d) Eye diagram at bit rates of 1 Gbps (first column) and \n2 Gbps (second column) measured at temperature s of 77 K (first row) and 4 K (second row ). The eye \ndiagram at 4 K shows a smaller ER compared to 77 K, which is mainly due t o the difficulties in finely control \nthe fiber -to-chip alignment. At temperatures around 4K, XYZ piezoelectric nano -positioners tend to \n“freeze ” such that higher voltage is required , diminishing the alignment resolution (see supplementary \nmaterial for more details on the measurement set -up). In the inset, we report the energy consumption \nper bit extracted from the measurements. The consumption per bit drops at low temperature due to the \nhigher conductance of the electromagnet . \n \n19 \n \nFigure 5. Energy -per-bit of the magneto -optic modulator as a function of temperature for a bit rate of \n2 Gbps . (a) Measured energy -per-bit of the device -under -test (DUT). The measured value of E b is as low \nas 3.9 pJ/bit at 4 K and it is limited by the resistance of the electromagnet. (b) Simulated energy -per-bit \nof the device -under -test (DUT). A comparison between simulations and measurements show s strong \nagreement for the term LI2/2. On the other hand, the theoretical value of RI2/rb show s room for \nimprovement by reducing the cryogenic resistance of the electromagnet . (c) Energy -per-bit that is \nachievable after o ptimi zing the device by r educing the footprint, thinning the SGGG substrate, and \nconsidering under -coupled resonators. \nSupplementary material of \nA low -power integrated magneto -optic modulator on silicon for cryogenic \napplication s \nPaolo Pintus1, Leonardo Ranzani2, Sergio Pinna1, Duanni Huang1,3, Martin V. Gustafsson2, \nFotini Karinou4, Andrea Casula5, Yuya Shoji6, Yota Takamura6, Tetsuya Mizumoto6, \nMohammad Soltani2, John E. Bowers1 \n \nAffiliations: \n1Electrical and Computer Engineering Department, University of California Santa Barbara, California 93106, USA \n2Raytheon BBN Technologies, 10 Moulton Street, Cambridge, Massachusetts 02138, USA \n3Intel Corporation, 2200 Mission College Blvd, Santa Clara, California 95054, USA \n4Microsoft Research Ltd, 21 Station Road, Cambridge CB1 2FB, United Kingdom \n5Department of Electrical and Electronic Engineering, University of Cagliari, Via Castelfidardo, Cagliari 93100, Italy \n6Department of Electrical and Electronic Engineering, Tokyo Institute of Technology, 2 -12-1 Ookayama, Meguro -ku, \nTokyo, 152 -8552, Japan \nS1. Mathematical m odel of the micro ring-based magneto -optic modulator \nA central aspect of our work consists in evaluat ing the optical phase shift generated by the \nelectrical current in the magneto -optic modulator under investigation . In our device, the electrical \ncurrent in the micro -strip (the electromagnet) is used to generate a magnetic field that transversely \nmagnetize s the Ce:YIG (Voigt configuration1). The presence of this external magnetic field turns on the \noff-diagonal entries of the Ce:YIG permittivity tensor2, caus ing the change of the effective index ( as well \nas the phase) of the optical mode in the waveguide. In the reference frame shown in Fig. 1(b) of the \nmanuscript , the permittivity tensor of the Ce:YIG is \n 𝜀=(𝑛20 0\n0 𝑛2−𝑖𝑛𝜃𝐹𝜆/𝜋\n0 𝑖𝑛𝜃𝐹𝜆/𝜋 𝑛2) (1) \nwhere n is the optical refractive index, λ is the optical wavelength , and θF is the Faraday rotation \nconstant. The value of θF depends on the transverse magnetic field, Hx, as \n 𝜃𝐹=𝜃𝐹0𝑡𝑎𝑛ℎ(𝐻𝑥\n𝐻𝑥0) (2) where 𝜃𝐹0=−4500 /𝑐𝑚 and 𝐻𝑥0=2.4 mT at room temperature3. The Faraday rotation constant \nvaries linearly for small values of Hx, and saturates to 𝜃𝐹0 when 𝐻𝑥≥2𝐻𝑥0. \nThe forward (clockwise) and the backward (counter -clockwise) propagating waves exhibit \ndifferent phase velocities due to the magnetic -induced anisotropy of the Ce:YIG (magneto -optic effect) . \nThe variation of the mode effective index compared to the no -current case can be computed using the \nperturbative appro ach4 \n Δ𝑛𝑒𝑓𝑓=1\n𝑃𝑧𝜀0𝑐 𝑛 𝜆\n2𝜋ℝe{∬𝑖 𝜃𝐹(𝑥,𝑦)𝐸𝑦𝐸𝑧∗ 𝑑𝑥𝑑𝑦 } (3) \nwhere 𝜀0 is the vacuum permittivity, c is the speed of light, ( Ex,Ey,Ez) are the electric field \ncomponents of the optical mode, and Pz is the active power of the optical mode in the propagating \ndirection. In the integral we highlight the dependence of θ F on the position, because its value is non -zero \nonly inside the Ce:YIG and it depends on the local magnetic field , as described by Eq. (2) \nTo evaluate the integral in Eq. (3), we simulate the magnetic field distribution generated by the \nelectrical current in the electromagnet using COMSOL Multiphysics , and we calculate the optical mode \nprofile in the silicon/Ce:YIG waveguide using an electromagnetic mode solver developed in -house5. \nCombin ing those result s, the effective index variation with respect to the electrical current is shown in \nFig. 1S. For the sake of completeness, the phase variation per unit length is also shown in the same plot \n(right -hand y -axis). Figure 1S indicate s that controlling the direction and the amplitude of the current in \nthe electromagnet can be effectively used to modulate the effective refractive index of the optical mode \nunderneath , and therefore the resonance of the microring resonator. \nFigure 1 S. The effective index variation (left-hand y -axis) with respect to the current in the electromagnet (x -axis). The \ncorresponding phase variation per unit left is also shown (righ t-hand y -axis). \nS2. Energy per bit \nThe aim of this section is to evaluate the average energy per bit consumed in the magneto -optic \nmodulator. The equivalent circuit of the modulator is shown in Figure 2S, where Rg is the resistance of \nthe modulating circuit, ig is the current of the generator, i is the current in the coil, R and L are the \nresistance and the inductance of the coil, respectively. Here, t he inductor L describes the \nelectromagnetic energy stored in the circuit, and the resistance R is used to model all the loss in the \ndevice, includ ing both d irect current (DC) and radio f requency (RF) contributions . The value of R is set \nmainly by the resistance of the gold film, but it also includes the magnetic loss in the Ce:YIG when the \ncurrent is alternating . \n \nFigure 2S. Equivalent electrical circ uit of the proposed modulator. \n \nTo determine the energy consumption per bit, the electrical current in the modulator must be \ncomputed. Since the modulation is a time varying signal, the equivalent electrical circuit is analyzed in \nthe time domain. The differential equation that relates the current in the electromagnet, i(t), to the \ncurrent of the generator, ig(t), is the following \n 𝐿𝑑\n𝑑𝑡𝑖+(𝑅+𝑅𝑔)𝑖=𝑅𝑔𝑖𝑔 (4) \nwhere ig(t) is the input stimulus and i(t) is the output variable. When the current of the generator \nswitches instantaneously from 0 to Ig and the initial current in the electromagnet is 0, the current i n the \nelectromagnet changes as \n 𝑖(𝑡)=𝐼(1−𝑒−𝑡\n𝜏)[𝐻(𝑡)−𝐻(𝑡−𝑇𝑏)] (5) \nwhere H(t) is the Heaviside function, and \n 𝜏=𝐿\n𝑅+𝑅𝑔 and 𝐼=𝑅𝑔𝐼𝑔\n𝑅+𝑅𝑔 (6) \nAfter the bit interval T b, the current of the generator is switched off, and the current in the \nelectromagnet decay exponentially \n 𝑖(𝑡)=𝐼0𝑒−(𝑡−𝑇𝑏)/𝜏 𝐻(𝑡−𝑇𝑏) (7) \nwhere \n 𝐼0=𝐼(1−𝑒−𝑇𝑏\n𝜏) (8) \nThe normalized current in the generator and in the modulator are shown in Figure 3S, in the case \nof Tb = 10 τ. \n \nFigure 3S. Current in the generator and in the modulator. The current values are normalized by the maximum current amplitude \nof the generator, Ig. \n \nComputing the energy is now straightforward , by integrating the dissipated power over time \n 𝐸𝑏=∫𝑅𝑖2(𝑡)𝑑𝑡∞\n0+∫𝑅𝑔[𝑖𝑔(𝑡)−𝑖(𝑡)]2𝑑𝑡∞\n0 (9) \nThe first term is the energy dissipated in the device , while the second term is the energy \ndissipated in the resistor of the driving circuit . In the interval [ 0, T b], the two integrals are \n ∫ 𝑅𝑖2(𝑡)𝑑𝑡𝑇𝑏\n0=𝑅𝐼2[𝑇𝑏+2𝜏(𝑒−𝑇𝑏\n𝜏−1)+𝜏\n2(1−𝑒−2𝑇𝑏\n𝜏)] (10) \n ∫ 𝑅𝑔[𝑖𝑔(𝑡)−𝑖(𝑡)]2𝑑𝑡𝑇𝑏\n0=𝑅𝑔[𝑇𝑏(𝐼−𝐼𝑔)2+2𝜏(𝑒−𝑇𝑏\n𝜏−1)𝐼(𝐼−𝐼𝑔)+𝜏\n2(1−𝑒−2𝑇𝑏\n𝜏)𝐼2] (11) \nAfter T b, the current i g=0 and the dissipated energy in the interval [ Tb, ∞] is \n ∫(𝑅+𝑅𝑔)𝑖2(𝑡)𝑑𝑡∞\n𝑇𝑏=1\n2(𝑅+𝑅𝑔)𝐼02𝜏=(𝑅+𝑅𝑔)𝐼2𝜏\n2(1−𝑒−𝑇𝑏\n𝜏)2\n (12) \nThe previous terms can be simplified when Tb>>τ and R<0.1\nfor USC. The fit confirms that the influence of the dia-\nmagnetic term is almost negligible leading us to conclude\nthat the system couples to the resonator mainly through\nthe spins. Notice that its value is more than two orders of\nmagnitude smaller than the standard diamagnetic term\nfor electric dipolar interactions (Appendix D).\nVII. DISCUSSION\nThe dispersion characteristics of the spin wave spec-\ntrum in an infinite ferromagnetic film have been re-\nported by Kalinikos and Slavin [57]. Taking into account\nboth dipole-dipole and exchange interactions, theory pre-\ndicts the excitation of perpendicular standing spin waves\n(PSSW) modes even in the long wavenumber ( kyd≪1)\nlimit. These modes are due to the broken translational\ninvariance along the film thickness. Remarkably, in these\nconditions, the lowest mode shows a quasi-uniform pro-\nfile with a dispersion equation very similar to the Damon-\nEshbach dipolar surface mode (Eq. 2). Conversely, higher\norder PSSW modes display a nonuniform magnetization\nprofile along z[57, 58].\nBroadband spectroscopy data in Fig. 3 evidence that\nthe spin wave spectrum is influenced by two main fac-\ntors: (i) the distribution of the exciting electromagnetic\nfield and (ii) the boundary conditions at the ferrimagnet-\nsuperconductor interface. The effect of (i) emerges from\nthe comparison between Fig. 3(a) and (b). In the case\nof the wide microstrip line, the modes calculated with6\nEq. 1 and Eq. 2, the latter by considering k= 2π/w′with\nw′= 500 µm, are very near. They both follow the mea-\nsured dispersion of lowest resonance mode in Fig. 3(a),\nshowing that the magnetostatic approximation is valid in\nthis case. Conversely, in the case of the narrow CPW line,\nthe modes calculated with Eq. 1 and Eq. 2 ( k= 2π/w)\nare different. Since the main absorption line is found for\nω(H0)≈ωDE(H0) in Fig. 3(b), according to the dipole-\nexchange theory [57, 58], we expect this mode shows a\nhomogeneous magnetization profile along the film thick-\nness.\nThe effect of (ii) is clearly evident from the direct com-\nparison between Fig. 3(b) and (c), which shows the ap-\npearance of additional modes at ω > ω DEfor supercon-\nductor and ferrimagnet in direct contact. The interplay\nbetween a magnetically ordered film in the vicinity of a\nsuperconductor is an interesting and open issue. In the\nfirst instance, we can assume that the Meissner effect\n(perfect diamagnetism) imposes the expulsion of the os-\ncillating field at the interface. Intuitively this can be visu-\nalized as a superconducting plane reflecting the image of\nthe magnetic excitations in YIG [59, 60]. Analysis of the\nportion of the spectrum can be attempted by simulations\nas suggested in [60], yet the dispersion law may depend to\na large extent on the specific materials and geometry of\nthe problem. We cannot exclude other mechanisms, like\nthose related to vortex configuration and dynamics [39],\nanisotropy-induced surface pinning and other interfacial\neffects [61], although these were reported for thin ferro-\nmagnetic films. Particular effects can also be induced on\nthe superconductor and these may depend on the type\nof superconducting wave function and on its coherence\nlength. Whilst our experiments represent a case study in-\nvolving insulating YIG and the high critical temperature\nYBCO superconductor that merits further attention, this\nissue goes beyond the scope of our research that is fo-\ncused on the ultrastrong coupling regime which, instead,\nis achieved also in different conditions and geometries.\nIn the experiments with YIG film and resonators\n(Fig. 4) the profile of the resonator field (Fig. 2) may in-\ntroduce additional quantization of the spin wave modes\n[62]. Consistently with Fig. 3(c)), we note that the fit-\nted value of the frequency shift ∆ /2π= 2.05 GHz is\nωDE<∆< ωM+ωH, thus within the band of spin wave\nresonance modes observed for YIG and YBCO CPW\nline in direct contact. This frequency shift can be cap-\ntured by finite-element electromagnetic simulations [25],\nin which YIG film and resonator are respectively mod-\nelled as gyrotropic medium and perfect electric conductor\n(Appendix A).\nThe collective coupling strength λ/2π= 2.002 GHz ob-\ntained by fitting the experimental data with Eq. 7 (Fig. 5)\ncan be spelled out as λ=gs√2sFeN, where sFe= 5/2 is\nthe ground state spin of YIG and N= 1.8×1015is the to-\ntal number of spins. The latter resulted much higher than\nthe mean number of photons in the resonator [42, 63].\nThe spin-photon coupling is gs= 21 Hz as derived in\nSect. III. Considering the spin density ρ= 2×1028m−3\n0.05 0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50\nMagnetic Field (T)681012Frequency (GHz)\n−0.20.00.2∂S21/∂H 0FIG. 5. Best fit of the transmission spectrum obtained in case\n#C with the YIG film pressed on top of the superconducting\nYBCO CPW. The obtained parameters are: ωc/2π= 8.65\nGHz, ∆ /2π= 2.05 GHz, λ/2π= 2.002 GHz, α/√\n2π= 3×\n10−3GHz3\n2.\n[3] and the effective area of 4 mm ×45µm in which the mi-\ncrowave field overlaps the YIG film (Fig. 2(a,b)), we can\nestimate an upper bound d′≈500 nm ≪dfor the thick-\nness of the portion of YIG film coupled with the CPW\nresonator. This suggests that the magnon modes that are\neffectively coupled to the cavity one are located in close\nvicinity with the superconducting resonator. This obser-\nvation is supported by similar experiments we performed\nwith 20 µm thick YIG film, which provide results quite\nsimilar to those obtained with the 5 µm YIG film, thus\nconfirming that the coupling is confined within few µm\n(Appendix B). The ratio between the collective couplings\nin configuration #C and #B is gs,C/gs,Bp\nNC/NB≈2\n(Fig. 4(b,c)). From finite-element simulations, we esti-\nmated gs,C/gs,B≈2 due to the exponential decay of\nbvacwith zdistance (Fig. 2(c)). We therefore expect\nNC≈NB. These observations indicate that in our ex-\nperiments the achievement of the USC regime takes place\nmainly as a consequence of the optimized magnon-photon\ncoupling strength.\nThe Hopfield model we used to analyze our spectra al-\nlows us to overcome the RWA approximation generally\nused in previous works with magnetic systems, thus pro-\nviding a quantum description of the problem that can be\napplied to safely explore the USC regime. We stress that\nthe analysis of the spectrum reported in Sect. VI is quite\nrobust since it relies on a minimal set of free parame-\nters. Obviously, by introducing more degrees of freedom\nin the Hamiltonian the spectrum can also be fitted well.\nFor instance, one may wonder whether more magnetic\nmodes couple to cavity photons simultaneously. Results\nof simulations with three (and more) modes are reported\nin Appendix C. It always results that the best fit is ob-\ntained with one dominant mode, ultra-strongly coupled\nto the resonator’s one, plus additional modes coupled\nmore weakly. As a matter of fact, on the basis of the\nsole fitting of the polaritonic branches, one cannot ex-\nclude that additional magnetic excitations are involved\nin our and in similar experiments reported in the litera-\nture. However, the representation with only one effective\nmode allows putting stringent bounds to the other terms7\nof the Hamiltonian. Specifically, this is the case for the\ndiamagnetic term, that in our analysis results vanishingly\nsmall. This result is consistent to what is expected for a\npure spin interaction, although the issue is still debated\nin the literature. For instance, we just mention that con-\nclusions reported in Ref. [35] lead to a finite diamagnetic\ncontribution yet, as those authors concluded, this may\narise from surface plasmonic modes or by the different\nnature of magnetic material (permalloy). In our case,\nthe absence of this diamagnetic term may be relevant\nfor the observation of superradiant phase transition ex-\npected for λ/ωc>0.5 (see discussion in Appendix D),\nmaking pure spin systems interesting and unique in this\nperspective.\nAs concerns a possible route for applications, the coex-\nistence of superconductivity and magnetism is not trivial\nsince the presence of a magnetic field can be detrimen-\ntal to the superconductor. In our experiments, the use\nof high critical temperature YBCO resonators, resilient\nto high magnetic field [38], indicates a good option for\nthe realization of this kind of hybrid device. Our ex-\nperiments also show that the contact between supercon-\nductor and magnet, and in particular the vanishing gap\nbetween the two, is critical to enhancing the coupling be-\ntween magnetic and microwave modes (Fig. 4(b,c)). The\ndirect growth of YIG on top of superconducting oxide\nis not straightforward but there can be different options\nto overcome this technical issue [64, 65]. The availabil-\nity of commercial YIG films of excellent quality allows\nthe easy implementation of our experiment in different\ngeometries.\nVIII. CONCLUSIONS\nIn summary, our experimental and theoretical results\nshow the achievement of the ultrastrong coupling with a\nYIG film positioned in direct contact with a supercon-\nducting CPW resonator. The obtained collective cou-\npling strength λ/2π= 2.002 GHz is improved by at least\none order of magnitude with respect to previous reports\ninvolving YIG films in 3D cavities [66] or bulk YIG crys-\ntals in planar resonators [30, 31]. The estimated λ/ωc\nratio of 0.23 and the cooperativity C= 5×104are among\nthe largest reported so far for magnetic systems yet we\nbelieve that there are still margins to further increase\nthem for instance by using planar resonators with more\nconfined mode volumes and higher quality factors, or by\nmeans of YIG films detached from the GGG substrate\n[64, 65] to reduce magnetic losses [67, 68]. The very\nsmall diamagnetic coefficient (compared to standard elec-\ntric dipole interactions) observed in these systems makes\nthem suitable for exploring superradiant phase transi-\ntions [17–20].ACKNOWLEDGMENTS\nWe thank Prof. Bulat Rameev for useful discus-\nsions. This work was partially supported by European\nCommunity through FET Open SUPERGALAX project\n(grant agreement No. 863313) and by NATO Science\nfor Peace and Security Programme (NATO SPS Project\nNo. G5859). MM acknowledges TUBITAK-BIDEB for\nthe support under the 2219 scholarship program. SS ac-\nknowledges the Army Research Office (ARO) (Grant No.\nW911NF1910065).\nAppendix A: Additional finite-element\nelectromagnetic simulations\nFIG. A.1. Transmission spectral maps obtained by finite-\nelement electromagnetic simulations. (a) The YIG film is\nlifted of 10 µm with respect to the surface of the CPW res-\nonator. (b) The YIG film is in contact with the conduct-\ning surfaces of the resonator. Blue dashed lines indicate\nωFMR(H0) while the dotted lines show ωcin the two cases.\nWe carried out electromagnetic simulations with a\ncommercial software (CST Microwave Studio) to eval-\nuate the scattering parameters of the coupled system\ncomposed by CPW resonator and YIG film. The super-\nconductor was modelled as a perfect electric conductor,\nwhilst the magnetic film was included on the lower face\nof the GGG substrate [69] with a thickness d= 5µm.\nFinite-element simulations were carried out by assum-\ning that the precession of the magnetization in the ferrite\ncan be described by the gyrotropic model, in which the\npermeability is modelled as a nonsymmetric Polder ten-\nsor with characteristic frequency dependence [12]. The\nmagnetic dispersion of YIG was defined by directly in-\ntroducing the Larmor frequency, ωH/2π=γµ0H0, and\nthe gyrotropic frequency, ωM/2π=γµ0Ms, as input pa-\nrameters of the simulator, with γ=28.02 GHz/T, µ0=\n4π×10−7H/m and µ0Ms= 0.245 T.\nThe simulation of frequency spectra was repeated for\nincreasing values of the external magnetic field ( H0=\nH0ˆx) to obtain the the spectral maps shown in Fig. A.1.\nThe evolution of the coupled YIG-resonator modes dis-\nplays the appearance of polaritonic branches. The sim-\nulations carried out with the YIG film lifted of 10 µm\n(panel (a)) or in contact (panel (b)) with the CPW8\nresonator, essentially reproduce the experimental trend\nshown in Fig. 4(b,c), showing a good correspondence with\nthe measured splittings of the polaritonic branches. In\nagreement with the measured spectra, the spectral maps\nin Fig. A.1 show that in both cases the lower polariton\nbranch converge towards ωFMR(H0) at low frequency.\nThe increase of the spltting from panel (a) to (b) deter-\nmines a shift of the anticrossing towards lower magnetic\nfield, which is compatible with the frequency shift ∆ in-\ntroduced in Sect. VI.\nFor the sake of completeness, we mention that finite el-\nement simulations fail to mimic the polariton lineshapes\nshown in [42]. A more detailed model is probably re-\nquired to reproduce broadening effects, this however goes\nbeyond the scope of our work.\nAppendix B: Effects of YIG films with different\nthickness\nFigure B.2 shows a direct comparison between trans-\nmission data taken with the same CPW resonator and\nfilms of different thickness and size. In these experiments,\nthe sample was held on the resonator with a copper\nspring, this resulted in a lower coupling with respect to\ndata reported in the main body of the article. Panel (a)\nshows the spectral map taken with the YIG/GGG film\nhaving a thickness of 5 µm and an area of ≈4×3 mm2.\nPanel (b) shows data acquired on a YIG/GGG film hav-\ning a thickness of 20 µm and area of ≈5×1 mm2. The\nsplitting indicated by the blue arrow, corresponding to\n2λ/2π≈3.6 GHz, is comparable in the two cases.\nFIG. B.2. Comparison between data obtained with\nYIG/GGG films of different thickness ( T= 30 K): (a) 5 µm,\n(b) 20 µm. The red arrows indicate the splitting 2 λ/2π≈\n3.6 GHz. The blue dashed lines display ωFMR(H0). Both\nmeasurements were carried out by means of the same YBCO\nCPW resonator, horizontal dash-dot lines show the frequency\nof the fundamental mode in the two cases.\nAppendix C: Multimode fit\nDespite the fitting analysis presented in the main body\nof the article involving one magnonic mode is statisti-\ncally significant on its own, we extend the model by\n0.1 0.2 0.3 0.4 0.5\nMagnetic Field (T)6789101112Frequency (GHz)\n−0.2−0.10.00.10.2∂S21/∂H 0FIG. C.3. Best fit of the transmission spectrum obtained in\ncase #C with the YIG film pressed on top of the supercon-\nducting YBCO CPW by considering three magnonic modes\ninstead of only one, are ωc/2π= 8.64 GHz, ∆ 0/2π= 0.23\nGHz, ∆ 1/2π= 1.92 GHz, ∆ 2/2π= 3.17 GHz, λ0/2π= 0.192\nGHz, λ1/2π= 1.93 GHz, λ2/2π= 0.2 GHz, α/√\n2π=\n0.035 GHz3\n2. Among the three modes, only one is ultra-\nstrongly coupled with the cavity field. Again, the factor α\nof the diamagnetic term is very small.\nintroducing more magnonic modes. In addition to the\nresults shown in the main text, we present here the\nfit results considering three magnonic modes (Fig. C.3).\nThe method can be straightforwardly extended from the\ncase of single mode, and the parameters obtained from\nthe fit are ωc/2π= 8.64 GHz, ∆ 0/2π= 0.23 GHz,\n∆1/2π= 1.92 GHz, ∆ 2/2π= 3.17 GHz, λ0/2π= 0.192\nGHz, λ1/2π= 1.93 GHz, λ2/2π= 0.2 GHz, α/√\n2π=\n0.035 GHz3\n2. It is worth noting that, among the three\nmodes, only one results to be ultrastrongly coupled with\nthe field of the resonator, corroborating the validity of\nconsidering only one magnonic mode. The obtained dia-\nmagnetic factor αis slightly larger than the single mode\ncase.\nAppendix D: On the diamagnetic term\nIt is useful to compare the obtained diamagnetic terms\nwith the standard oneβstd=λ2/ωb, which comes from\nthe minimal coupling replacement [17, 70] for electric\ndipolar interactions. Notice that the expression for βstd\nis fixed by gauge-invariance requirements [70]. This con-\nstraint, preventing superradiance phase transitions, does\nnot hold in the presence of magnetic interactions [17–\n20]. We calculate the ratio B ≡ β/β std=α√ωb/λ2,\nwhich is B ≈ 0.002 and B ≈ 0.027 for the single mode\nand three modes cases, respectively. These results pave\nthe way for the transition to a superradiant phase [17],\nas the obtained values are extremely low. To clarify the\nrole of the diamagnetic term, Fig. D.4 shows the polari-\nton eigenfrequencies in three different conditions. The\nleft panel shows the eigenfrequencies using the same pa-9\n0.0 0.1 0.2 0.3 0.4 0.5\nMagnetic Field (T)05101520ω(GHz)\nλ/2π= 2 GHz\n0.0 0.1 0.2 0.3 0.4 0.5\nMagnetic Field (T)λ/2π= 3 GHzβ= 0\nβ=λ2\nωb\nFIG. D.4. Left: polariton eigenfrequencies considering only\none magnonic mode and using the same parameters of the fit\nin the main text, that are: ωc/2π= 8.65 GHz, ∆ /2π= 2.05\nGHz, λ/2π= 2.002 GHz, α/√\n2π= 3×10−3GHz3\n2. Here the\nfitted term of the diamagnetic term is relatively small. How-\never, the coupling λis still too small to show the superradiant\nphase transition. Right: Polariton eigenfrequencies with the\nsame parameters as before, except for λ/2π= 3 GHz, which\nis large enough to achieve the superradiant phase transition.\nThe continuous blue lines correspond to the case of β= 0 (no\ndiamagnetic term), clearly showing a critical point in the re-\ngion of small magnetic fields. The red dashed lines correspond\ntoβ=λ2/ωbwhich avoids the superradiant phase transition.rameters of the fit obtained in the main text, that are:\nωc/2π= 8.65 GHz, ∆ /2π= 2.05 GHz, λ/2π= 2.002\nGHz, α/√\n2π= 3×10−3GHz3\n2. The coupling strength\nis still too small to achieve a superradiant phase tran-\nsition, but a slightly higher value would be enough to\nachieve it. Indeed, the continuous blue lines of the right\npanel show the polariton eigenfrequencies in the absence\nof the diamagnetic term and a larger coupling ( β= 0\nandλ/2π= 3 GHz). With these parameters, we can\nsee a critical point in the region of small magnetic fields.\nOn the other hand, as shown by the red dashed lines,\nthe superradiant phase transition is forbidden by includ-\ning the standard diamagnetic term of the Hopfield model\n(β=λ2/ωb).\n[1] P. Pirro, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands, Advances in coherent magnonics, Nature Re-\nviews Materials 6, 1114 (2021).\n[2] D. Lachance-Quirion, Y. Tabuchi, A. Gloppe, K. Usami,\nand Y. Nakamura, Hybrid quantum systems based on\nmagnonics, Applied Physics Express 12, 070101 (2019).\n[3] B. Zare Rameshti, S. Viola Kusminskiy, J. A. Haigh,\nK. Usami, D. Lachance-Quirion, Y. Nakamura, C.-M.\nHu, H. X. Tang, G. E. Bauer, and Y. M. Blanter, Cavity\nmagnonics, Physics Reports 979, 1 (2022).\n[4] Y. Li, W. Zhang, V. Tyberkevych, W.-K. Kwok, A. Hoff-\nmann, and V. Novosad, Hybrid magnonics: Physics, cir-\ncuits, and applications for coherent information process-\ning, Journal of Applied Physics 128, 130902 (2020).\n[5] X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang, and\nH. X. Tang, Magnon dark modes and gradient memory,\nNature Communications 6, 8914 (2015).\n[6] L. Bai, M. Harder, P. Hyde, Z. Zhang, C.-M. Hu, Y. P.\nChen, and J. Q. Xiao, Cavity mediated manipulation of\ndistant spin currents using a cavity-magnon-polariton,\nPhys. Rev. Lett. 118, 217201 (2017).\n[7] N. Crescini, D. Alesini, C. Braggio, G. Carugno,\nD. Di Gioacchino, C. S. Gallo, U. Gambardella, C. Gatti,\nG. Iannone, G. Lamanna, C. Ligi, A. Lombardi, A. Or-\ntolan, S. Pagano, R. Pengo, G. Ruoso, C. C. Speake, and\nL. Taffarello, Operation of a ferromagnetic axion halo-\nscope at $$ma=58\\,\\upmu \\mathrm {ev}$$, The Euro-\npean Physical Journal C 78, 703 (2018).\n[8] R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa,\nA. Noguchi, R. Yamazaki, K. Usami, and Y. Nakamura,\nBidirectional conversion between microwave and light via\nferromagnetic magnons, Phys. Rev. B 93, 174427 (2016).\n[9] B. Yao, Y. S. Gui, J. W. Rao, Y. H. Zhang, W. Lu, and\nC.-M. Hu, Coherent microwave emission of gain-driven\npolaritons, Phys. Rev. Lett. 130, 146702 (2023).[10] R. W. Roberts, B. A. Auld, and R. R. Schell, Magne-\ntodynamic Mode Ferrite Amplifier, Journal of Applied\nPhysics 33, 1267 (2004).\n[11] B. A. Auld, Coupling of electromagnetic and magneto-\nstatic modes in ferrite loaded cavity resonators, Journal\nof Applied Physics 34, 1629 (1963).\n[12] A. Gurevich and G. Melkov, Magnetization Oscillations\nand Waves (1st ed.) (CRC Press., 1996).\n[13] H. Maier-Flaig, S. Klingler, C. Dubs, O. Surzhenko,\nR. Gross, M. Weiler, H. Huebl, and S. T. B. Goen-\nnenwein, Temperature-dependent magnetic damping of\nyttrium iron garnet spheres, Phys. Rev. B 95, 214423\n(2017).\n[14] A. Imamo˘ glu, Cavity qed based on collective magnetic\ndipole coupling: Spin ensembles as hybrid two-level sys-\ntems, Phys. Rev. Lett. 102, 083602 (2009).\n[15] O. O. Soykal and M. E. Flatt´ e, Strong field interactions\nbetween a nanomagnet and a photonic cavity, Phys. Rev.\nLett. 104, 077202 (2010).\n[16] A. Frisk Kockum, A. Miranowicz, S. De Liberato,\nS. Savasta, and F. Nori, Ultrastrong coupling between\nlight and matter, Nature Reviews Physics 1, 19 (2019).\n[17] P. Nataf and C. Ciuti, No-go theorem for superradiant\nquantum phase transitions in cavity qed and counter-\nexample in circuit qed, Nature Communications 1, 72\n(2010).\n[18] G. Mazza and A. Georges, Superradiant quantum mate-\nrials, Phys. Rev. Lett. 122, 017401 (2019).\n[19] G. M. Andolina, F. M. D. Pellegrino, V. Giovannetti,\nA. H. MacDonald, and M. Polini, Theory of photon con-\ndensation in a spatially varying electromagnetic field,\nPhys. Rev. B 102, 125137 (2020).\n[20] J. Rom´ an-Roche, F. Luis, and D. Zueco, Photon conden-\nsation and enhanced magnetism in cavity qed, Phys. Rev.\nLett. 127, 167201 (2021).10\n[21] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, High-cooperativity cavity\nqed with magnons at microwave frequencies, Phys. Rev.\nApplied 2, 054002 (2014).\n[22] J. Bourhill, N. Kostylev, M. Goryachev, D. L. Creedon,\nand M. E. Tobar, Ultrahigh cooperativity interactions\nbetween magnons and resonant photons in a yig sphere,\nPhys. Rev. B 93, 144420 (2016).\n[23] N. Kostylev, M. Goryachev, and M. E. Tobar, Super-\nstrong coupling of a microwave cavity to yttrium iron\ngarnet magnons, Applied Physics Letters 108, 062402\n(2016).\n[24] B. Zare Rameshti, Y. Cao, and G. E. W. Bauer, Magnetic\nspheres in microwave cavities, Phys. Rev. B 91, 214430\n(2015).\n[25] G. Bourcin, J. Bourhill, V. Vlaminck, and V. Castel,\nStrong to ultrastrong coherent coupling measurements\nin a yig/cavity system at room temperature, Phys. Rev.\nB107, 214423 (2023).\n[26] G. Flower, M. Goryachev, J. Bourhill, and M. E. Tobar,\nExperimental implementations of cavity-magnon sys-\ntems: from ultra strong coupling to applications in pre-\ncision measurement, New Journal of Physics 21, 095004\n(2019).\n[27] L. Liensberger, A. Kamra, H. Maier-Flaig, S. Gepr¨ ags,\nA. Erb, S. T. B. Goennenwein, R. Gross, W. Belzig,\nH. Huebl, and M. Weiler, Exchange-enhanced ultrastrong\nmagnon-magnon coupling in a compensated ferrimagnet,\nPhys. Rev. Lett. 123, 117204 (2019).\n[28] M. Bia lek, J. Zhang, H. Yu, and J.-P. Ansermet, Strong\ncoupling of antiferromagnetic resonance with subtera-\nhertz cavity fields, Phys. Rev. Applied 15, 044018 (2021).\n[29] J. R. Everts, G. G. G. King, N. J. Lambert, S. Kocsis,\nS. Rogge, and J. J. Longdell, Ultrastrong coupling be-\ntween a microwave resonator and antiferromagnetic res-\nonances of rare-earth ion spins, Phys. Rev. B 101, 214414\n(2020).\n[30] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen-\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nHigh cooperativity in coupled microwave resonator ferri-\nmagnetic insulator hybrids, Phys. Rev. Lett. 111, 127003\n(2013).\n[31] R. G. E. Morris, A. F. van Loo, S. Kosen, and A. D.\nKarenowska, Strong coupling of magnons in a YIG sphere\nto photons in a planar superconducting resonator in the\nquantum limit, Scientific Reports 7, 11511 (2017).\n[32] J. T. Hou and L. Liu, Strong coupling between microwave\nphotons and nanomagnet magnons, Phys. Rev. Lett. 123,\n107702 (2019).\n[33] I. A. Golovchanskiy, N. N. Abramov, V. S. Stolyarov,\nM. Weides, V. V. Ryazanov, A. A. Golubov, A. V.\nUstinov, and M. Y. Kupriyanov, Ultrastrong photon-to-\nmagnon coupling in multilayered heterostructures involv-\ning superconducting coherence via ferromagnetic layers,\nScience Advances 7, eabe8638 (2021).\n[34] Y. Li, V. G. Yefremenko, M. Lisovenko, C. Trevillian,\nT. Polakovic, T. W. Cecil, P. S. Barry, J. Pearson, R. Di-\nvan, V. Tyberkevych, C. L. Chang, U. Welp, W.-K.\nKwok, and V. Novosad, Coherent coupling of two remote\nmagnonic resonators mediated by superconducting cir-\ncuits, Phys. Rev. Lett. 128, 047701 (2022).\n[35] I. A. Golovchanskiy, N. N. Abramov, V. S. Stolyarov,\nA. A. Golubov, M. Y. Kupriyanov, V. V. Ryazanov, and\nA. V. Ustinov, Approaching deep-strong on-chip photon-to-magnon coupling, Phys. Rev. Applied 16, 034029\n(2021).\n[36] R. Macˆ edo, R. C. Holland, P. G. Baity, L. J. McLellan,\nK. L. Livesey, R. L. Stamps, M. P. Weides, and D. A.\nBozhko, Electromagnetic approach to cavity spintronics,\nPhys. Rev. Appl. 15, 024065 (2021).\n[37] S. Mart´ ınez-Losa del Rinc´ on, I. Gimeno, J. P´ erez-Bail´ on,\nV. Rollano, F. Luis, D. Zueco, and M. J. Mart´ ınez-P´ erez,\nMeasuring the magnon-photon coupling in shaped ferro-\nmagnets: Tuning of the resonance frequency, Phys. Rev.\nAppl. 19, 014002 (2023).\n[38] A. Ghirri, C. Bonizzoni, D. Gerace, S. Sanna, A. Cassi-\nnese, and M. Affronte, Yba2cu3o7 microwave resonators\nfor strong collective coupling with spin ensembles, Ap-\nplied Physics Letters 106, 184101 (2015).\n[39] B. Niedzielski, C. L. Jia, and J. Berakdar, Magnon-fluxon\ninteraction in coupled superconductor/ferromagnet hy-\nbrid periodic structures, Phys. Rev. Appl. 19, 024073\n(2023).\n[40] C. Bonizzoni, M. Maksutoglu, A. Ghirri, J. van Tol,\nB. Rameev, and M. Affronte, Coupling Sub-nanoliter\nBDPA Organic Radical Spin Ensembles with YBCO In-\nverse Anapole Resonators, Applied Magnetic Resonance\n(2022).\n[41] A. Ghirri, C. Herrero, S. Mazerat, T. Mallah, O. Moze,\nand M. Affronte, Coupling nanostructured csnicr prus-\nsian blue analogue to resonant microwave fields, Ad-\nvanced Quantum Technologies 3, 1900101 (2020).\n[42] See Supplemental Material at [URL will be inserted by\npublisher] for experimental details, additional transmis-\nsion spectroscopy data and simulations.\n[43] G. Tosi, F. A. Mohiyaddin, H. Huebl, and A. Morello,\nCircuit-quantum electrodynamics with direct magnetic\ncoupling to single-atom spin qubits in isotopically en-\nriched 28si, AIP Advances 4, 087122 (2014).\n[44] C. Kittel, On the theory of ferromagnetic resonance ab-\nsorption, Phys. Rev. 73, 155 (1948).\n[45] C. Kittel, Introduction to Solid State Physics , 8th ed.\n(Wiley, 2004).\n[46] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, S. Maekawa, and E. Saitoh, Transmis-\nsion of electrical signals by spin-wave interconversion in\na magnetic insulator, Nature 464, 262 (2010).\n[47] I. S. Maksymov and M. Kostylev, Broadband stripline\nferromagnetic resonance spectroscopy of ferromagnetic\nfilms, multilayers and nanostructures, Physica E: Low-\ndimensional Systems and Nanostructures 69, 253 (2015).\n[48] K. J. Kennewell, M. Kostylev, and R. L. Stamps, Calcu-\nlation of spin wave mode response induced by a coplanar\nmicrowave line, Journal of Applied Physics 101, 09D107\n(2007).\n[49] L. Wang, Z. Lu, X. Zhao, W. Zhang, Y. Chen, Y. Tian,\nS. Yan, L. Bai, and M. Harder, Magnetization coupling\nin a yig/ggg structure, Phys. Rev. B 102, 144428 (2020).\n[50] M. Tsutsumi, T. Fukusako, and S. Yoshida, Propaga-\ntion characteristics of the magnetostatic surface wave in\nthe ybco-yig film-layered structure, IEEE Transactions\non Microwave Theory and Techniques 44, 1410 (1996).\n[51] D. Oates, A. Pique, K. Harshavardhan, J. Moses,\nF. Yang, and G. Dionne, Tunable ybco resonators on yig\nsubstrates, IEEE Transactions on Applied Superconduc-\ntivity 7, 2338 (1997).11\n[52] I. Diniz, S. Portolan, R. Ferreira, J. M. G´ erard, P. Bertet,\nand A. Auff` eves, Strongly coupling a cavity to inhomo-\ngeneous ensembles of emitters: Potential for long-lived\nsolid-state quantum memories, Phys. Rev. A 84, 063810\n(2011).\n[53] A. Ghirri, C. Bonizzoni, F. Troiani, N. Buccheri, L. Beve-\nrina, A. Cassinese, and M. Affronte, Coherently coupling\ndistinct spin ensembles through a high- Tcsuperconduct-\ning resonator, Phys. Rev. A 93, 063855 (2016).\n[54] P. Forn-D´ ıaz, L. Lamata, E. Rico, J. Kono, and\nE. Solano, Ultrastrong coupling regimes of light-matter\ninteraction, Rev. Mod. Phys. 91, 025005 (2019).\n[55] T. Holstein and H. Primakoff, Field dependence of the\nintrinsic domain magnetization of a ferromagnet, Phys.\nRev.58, 1098 (1940).\n[56] J. J. Hopfield, Theory of the contribution of excitons to\nthe complex dielectric constant of crystals, Phys. Rev.\n112, 1555 (1958).\n[57] B. A. Kalinikos and A. N. Slavin, Theory of dipole-\nexchange spin wave spectrum for ferromagnetic films\nwith mixed exchange boundary conditions, Journal of\nPhysics C: Solid State Physics 19, 7013 (1986).\n[58] S. O. Demokritov and A. N. Slavin, Spin waves, in Hand-\nbook of Magnetism and Magnetic Materials , edited by\nJ. M. D. Coey and S. S. Parkin (Springer International\nPublishing, Cham, 2021) pp. 281–346.\n[59] I. A. Golovchanskiy, N. N. Abramov, V. S. Stolyarov,\nV. V. Bolginov, V. V. Ryazanov, A. A. Golubov, and\nA. V. Ustinov, Ferromagnet/superconductor hybridiza-\ntion for magnonic applications, Advanced Functional Ma-\nterials 28, 1802375 (2018).\n[60] I. A. Golovchanskiy, N. N. Abramov, V. S. Stolyarov,\nV. V. Ryazanov, A. A. Golubov, and A. V. Ustinov,\nModified dispersion law for spin waves coupled to a su-\nperconductor, Journal of Applied Physics 124, 233903\n(2018).\n[61] B. H. Lee, T. Fakhrul, C. A. Ross, and G. S. D. Beach,\nLarge anomalous frequency shift in perpendicular stand-\ning spin wave modes in biyig films induced by thin metal-\nlic overlayers, Phys. Rev. Lett. 130, 126703 (2023).\n[62] C. Bayer, J. Jorzick, S. O. Demokritov, A. N. Slavin,\nK. Y. Guslienko, D. V. Berkov, N. L. Gorn, M. P.\nKostylev, and B. Hillebrands, Spin-wave excitations in\nfinite rectangular elements, in Spin Dynamics in Con-\nfined Magnetic Structures III , edited by B. Hillebrands\nand A. Thiaville (Springer Berlin Heidelberg, Berlin, Hei-\ndelberg, 2006) pp. 57–103.\n[63] J. M. Sage, V. Bolkhovsky, W. D. Oliver, B. Turek, and\nP. B. Welander, Study of loss in superconducting copla-\nnar waveguide resonators, Journal of Applied Physics\n109, 063915 (2011).\n[64] P. Trempler, R. Dreyer, P. Geyer, C. Hauser, G. Wolters-\ndorf, and G. Schmidt, Integration and characterization of\nmicron-sized yig structures with very low gilbert damp-\ning on arbitrary substrates, Applied Physics Letters 117,\n232401 (2020).\n[65] S. Kosen, A. F. van Loo, D. A. Bozhko, L. Mihalceanu,\nand A. D. Karenowska, Microwave magnon damping in\nyig films at millikelvin temperatures, APL Materials 7,\n101120 (2019).\n[66] X. Zhang, C. Zou, L. Jiang, and H. X. Tang, Superstrong\ncoupling of thin film magnetostatic waves with microwave\ncavity, Journal of Applied Physics 119, 023905 (2016).[67] V. V. Danilov, D. L. Lyfar’, Y. V. Lyubon’ko, A. Y.\nNechiporuk, and S. M. Ryabchenko, Low-temperature\nferromagnetic resonance in epitaxial garnet films on para-\nmagnetic substrates, Soviet Physics Journal 32, 276\n(1989).\n[68] L. Mihalceanu, V. I. Vasyuchka, D. A. Bozhko,\nT. Langner, A. Y. Nechiporuk, V. F. Romanyuk, B. Hille-\nbrands, and A. A. Serga, Temperature-dependent relax-\nation of dipole-exchange magnons in yttrium iron garnet\nfilms, Phys. Rev. B 97, 214405 (2018).\n[69] D. A. Connelly, H. R. O. Aquino, M. Robbins, G. H.\nBernstein, A. Orlov, W. Porod, and J. Chisum, Complex\npermittivity of gadolinium gallium garnet from 8.2 to\n12.4 ghz, IEEE Magnetics Letters 12, 1 (2021).\n[70] L. Garziano, A. Settineri, O. Di Stefano, S. Savasta, and\nF. Nori, Gauge invariance of the dicke and hopfield mod-\nels, Phys. Rev. A 102, 023718 (2020).12\nV. SUPPLEMENTAL MATERIAL\nA. Experimental details\nFIG. S1. Schematic representation of (a) microstrip resonator and (b) CPW resonator. In (a) the regions covered with Ag are\nblack while the uncovered alumina substrate is white. In (b) YBCO regions are black while sapphire is white. The images on\nthe right show blow-ups of launcher and central line of the CPW resonator as indicated by the different colors.\nMicrostrip lines were fabricated by optical lithography and wet etching of Ag films (thickness ≈3µm), which were\nthermally evaporated onto alumina 14 ×10×0.63 mm3substrates [41]. The central strip is w′= 500 µm wide,\nwhile two δ′= 300 µm coupling gaps were made to define the corresponding resonator (Fig. S1(a)). Superconducting\ncoplanar waveguide (CPW) broadband lines and resonators (Fig. S1(b)) were fabricated from commercial YBa 2Cu3O7\n(YBCO) films (thickness 330 nm) deposited on a sapphire substrate and diced into 8 ×5×0.43 mm3blocks. Etching\nwas carried out by Ar plasma in a reactive ion etching (RIE) chamber. The central conductor has typical width\nw= (17 ±1)µm and is separated by s= (14 ±1)µm from the lateral ground planes (Fig. S2(c)). In the CPW\nresonator, the coupling gaps have width δ= 140 µm.\nFIG. S2. Photographs of (a) Ag/alumina microstrip resonator and (b) YBCO/sapphire CPW transmission line, both with the\nYIG/GGG film positioned on top. (c) Blow-up of the central region of the CPW line. The lateral widths are w= (17±1)µm\nands= (14 ±1)µm.\nWe studied 5 µm thick yttrium iron garnet (YIG) films which were grown by liquid-phase epitaxy on a gadolin-\nium gallium garnet (GGG) substrate with (111) crystallographic orientation (Matesy GmbH). Reflection ( S11) and\ntransmission ( S21) spectra were acquired in the 0.1-18 GHz range by means of a Vector Network Analyzer (VNA).\nThe experiments were carried out in the 10-50 K temperature ( T) range. Planar transmission lines and resonators\nwere installed in a cryomagnetic set-up (Quantum Design PPMS), having variable temperature control and external\nmagnetic field up to 7 T, by means of a cryogenic insert wired with a pair of silver-plated stainless steel coaxial\ncables connecting the low temperature stage to the external circuitry. The microstrip transmission line and resonator\n(Fig. S2(a)) were mounted in a brass box and connected to the coaxial line by means of two metallic pins positioned\non the microstrip. Coplanar waveguide (CPW) transmission line and resonator (Fig. S2(b)) were installed in a copper\nbox and wire bonded (Al wire) to a printed-circuit board. Gold (thickness 200 nm) pads were patterned on the edges\nof the YBCO resonator to facilitate the bonding.\nIn all the measurements, the incident power supplied to the planar transmission line is Pinc≈ −8 dBm. Spectra\ntaken with Pincin the range between -28 dBm and 2 dBm showed no variations with the microwave power. The\nnumber of photons can be calculated as [63]\nn=PincQL10−IL/20\nπhf2\n0, (1)13\nFIG. S3. Transmission spectra of the YIG film acquired by the microstrip broadband line at different temperatures. (a)\nComparison between fixed-frequency field-swept spectra taken at 10 K and 50 K. (b,c) Spectral maps measured by sweeping\nthe frequency at progressively increasing magnetic field. The temperature is (b) 10 K and (c) 50 K.\nwhere f0=ω0/2πis the fundamental mode frequency, QLis the loaded quality factor, ILis the insertion loss and his\nthe Planck constant. Depending on the resonator’s parameters (see below), the estimated number of photons results\nnmic≈7×108for the microstrip resonator and nCPW≈3×1010for the CPW resonators. In all the experiments\nthe number of photons resulted much smaller than the estimated number of spins.\nB. Additional transmission spectroscopy data\nThe YIG film has been initially characterized by means of the broadband microstrip line, which allowed the\nacquisition of ferromagnetic resonance spectra at different excitation frequencies in the 4.5-16.5 GHz range (Fig. S3).\nFixed-frequency field-swept transmission spectra taken at 10 and 50 K show similar features below ≈13.5 GHz while\nlittle differences in absorption intensity and line position can be observed for higher frequencies (Fig. S3(a)). Spectra\ntaken with the microstrip line are characterized by maximum absorption amplitude in correspondence to the Kittel\nmode, while additional higher modes have lower amplitude. The measured spectral maps (Fig. S3(b,c)) show that\nthe dependence on the lowest mode can be fitted with the Kittel formula (Eq. 1 in the main text) by considering the\nsaturation magnetization µ0Ms= 0.245 T both for 10 and 50 K.\nFIG. S4. Transmission spectra of the YIG film acquired by the CPW broadband line. (a) Comparison between frequency\nspectra taken for cases # Band # C. (b) Direct comparison between the spectra at 0.21 T after background subtraction.\nDashed lines show the integral calculated between 8 and 12 GHz.\nFig. S4(a) shows broadband transmission-vs-frequency spectra acquired by YBCO CPW lines (case # Band # C,\nas defined in the main text) for zero and 0.21 T applied magnetic field. In panel (b) the spectra taken at 0.21 T\nare directly compared to evidence the increased depth and width of the S21spectrum when YIG and YBCO CPW\nline are put in direct contact. To quantify this point, we calculate the total absorption related to the transitions in14\nFig. 3(b) and (c) in the main text, which can be obtained by integrating S21(ω) with respect to the frequency. For\nH0= 0.21 T, the ratio of the integrals calculated between 8 and 12 GHz (Fig. S4) gives IC/IB= 3.49. The total\nnumber of magnon excitations in this frequency range thus results much larger when the YIG film is positioned in\ndirect contact with the YBCO resonator.\nFIG. S5. Transmission spectral maps obtained with the same YIG film and different resonator. The background transmission\ncurve has been subtracted from the data. (a) Metallic microstrip resonator ( T= 50 K, case #A). Inset: transmission-vs-\nfrequency spectrum taken at H0= 0.45 T. (b) Superconducting CPW resonator ( T= 30 K, case #B). (c) CPW resonator\nwith the YIG film pressed against the superconductor ( T= 30 K, case #C). In (b) and (c) the insets show the transmission-\nvs-frequency spectrum taken at H0= 2 T.\nFIG. S6. Evolution of the derivative spectra (case #C) plotted as a function of frequency for fixed applied magnetic fields.\nGreen squares and blue circles indicate respectively the position of the lower and upper polaritonic branches, yellow triangles\nshows the evolution of the FMR mode.\nFigure S5 shows S21(ω, H 0) transmission spectral maps acquired with microstrip and CPW resonators corresponding\nto the same dataset reported in Fig. 4 in the main text. Since resonator and YIG are already coupled in zero magnetic\nfield, we exploited the resilience of YBCO in high magnetic field [38] to characterize the uncoupled CPW resonators.\nFrom transmission-vs-frequency spectra acquired at H0= 2 T, we obtain the resonator frequencies ω0,B/2π= 9.1 GHz\nandω0,C/2π= 8.5 GHz, respectively for case #B and #C (Fig. S5(b) and (c)). The discrepancy between the latter\nandωc/2π= 8.65 GHz obtained from the fit (Fig. 5 in the main text), indicates that at 2 T resonator and magnon\nmodes are still weakly coupled, due to their large collective coupling strength. Since CPW resonators are fabricated\nfrom the same lithographic mask, the differences between ω0,Bandω0,Coriginate from specific experimental details,\nincluding sample installation in diverse ways. The insertion loss is ILB= 32 dB and ILC= 30 dB. In both cases,\nthe loaded quality factor is QL≈1000, which is dominated by losses introduced by the GGG substrate (permittivity\nϵr= 11 .99 and tan δ= 5.2×10−3at room temperature [69]). The corresponding decay rate of the resonator\n(κ0=ω0/QL) amounts to κ0,B/(2π) = 9 MHz and κ0,C/(2π) = 8 MHz. For comparison, the microstrip resonator\n(case #A) displays ω0,A/2π= 9.5 GHz, ILA= 42 dB and QL≈120 (Inset in Fig. S5(a)), resulting in the decay rate15\nκ0,A/(2π) = 79 MHz.\nFIG. S7. Transmission spectral data taken with the YIG film in contact with the CPW resonator. S21(a) and ∂S21/∂H 0(b)\nspectra plotted as a function of the magnetic field for different frequencies, as indicated. (c) Spectral map acquired for H0\nspanning between 0.38 to -0.38 T.\nFigure S6 shows the evolution of derivative spectra corresponding to the dataset in Fig. 4(c) of the main text and\nplotted as a function of the frequency for fixed fields. The lower polaritonic branch typically shows narrower and\nmore marked derivative peaks with respect to the upper one. This behavior is evident also in the spectra plotted in\nFig. S7(a,b) as a function of the magnetic field. Transmission S21spectra taken at positive and negative field shows\na quite similar behavior (panel (c)).\nThe coupling between YIG film and superconducting CPW resonator was studied by means of spectral maps\nacquired at T= 10,30 and 50 K (Fig. S8). In this range of temperatures, the coupling strength remains essentially\nconstant, while it is possible to observe a broadening of the polaritonic modes for decreasing temperatures. Since\nthe quality factor of the YBCO resonator typically increases from 50 to 10 K [38], this behavior can be ascribed to\nthe effect of the GGG substrate, which is known to exhibit a paramagnetic behavior below 70 K that is reported to\nincrease the damping of magnetization precession in the YIG film grown on its surface [65, 67, 68]. We just mention\nhere that narrow linewidths, lower than those measured at 300 K, were reported for temperatures as low as mK with\nsubstrate-free YIG films obtained by detaching the GGG in different manners [64, 65].\nFIG. S8. Comparison between derivative maps taken at different temperatures, as indicated. The YIG/GGG film had an area\nof 4×2 mm2and a thickness of 5 µm. The red dashed lines show the Kittel equation ( µ0Ms= 0.245 T) while the white\ndash-dot lines indicate the frequency of the resonator determined at H0= 2 T. Cyan arrows have the same length and indicate\nthe splitting of the polaritonic branches.\nAdditional effects reported for YIG films grown on paramagnetic GGG are the deviation of the resonance field from\nthat of a free thin YIG plate [67] and the coupling between the magnetizations of ferrimagnetic YIG and paramagnetic\nGGG [49]. Fig. S9 shows the transmission map of a YIG/GGG film measured with the GGG side in contact with the\nCPW resonator. In contrast with Fig. S8(b), only a weak absorption line is observed in this case. From such spectra,\nas well as from data in Figs. S3 and S9 or in Fig. 3 of the main text, the presence of additional coupled modes [49]\nwas not observed. This likely follows from the different conditions in our experiment, particularly lower microwave\npower and narrower (and superconducting) CPW line with respect to Ref. [49]. Thus, the magnetization coupling\nbetween YIG and GGG cannot be inferred from our data. However, the presence of the paramagnetic GGG substrate\nprobably influences the value of the saturation magnetization µ0Ms= 0.245 T entering in the Kittel equation (Eq. 116\nFIG. S9. Transmission spectral map measured with the GGG substrate (volume 4 ×2×0.5 mm3) positioned in contact with\nthe CPW resonator. The red dashed line shows the Kittel equation calculated with µ0Ms= 0.245 T. The upper panel shows\nthe∂S21/∂H 0-vs-H0spectrum taken at 9 GHz.\nin the main text), that well reproduces the field dependence of the FMR line for temperatures between 50 and 10 K\n(Fig. S3). We stress that little changes in the choice of µ0Msby no means affect the interpretation of the results\nreported in our work.\nC. Additional finite-element electromagnetic simulations\nFIG. S10. Electromagnetic simulation of the bare CPW resonator. (a) Comparison between experimental ( T= 30 K) and\nsimulated spectra. (b) Spectral map showing the normalized value of hacaround the central conductor. (c) x-dependence of\nthey-averaged value of haccalculated for zheights of 0 and 10 µm. (d) Calculated dependence of the microwave magnetic\nfield along the z-axis. The solid line show the fit with Eq. 2.\nPreliminary simulations of the electromagnetic field profile with commercial codes (CST Microwave Studio) have\nbeen used as a guide to evaluate and the distribution of the microwave field and to optimize the effective volume of\ninteraction. The CPW resonator was modelled with realistic geometry, dimensions and materials parameters while\nthe superconducting film was approximated as a perfect electric conductor (PEC).\nWe initially calculated the transmission spectrum of the bare resonator . To obtain a substantial correspondence\nwith the measured spectrum (Fig. S10(a)), we modelled the sapphire substrate (volume 8 ×5×0.43 mm3) with\nthe permittivity ϵr= 10 .74, while losses where included by forcing tan δto values higher than those expected for\nsapphire. The frequency of the fundamental mode results in good agreement with the value obtained from ωc,bare /2π=\nc/2√ϵefflbeing l= 6.02 mm the length of the central strip (Fig. S1(b)) and ϵeff= 5.77 the effective permittivity\ncalculated for the CPW line.\nThe simulated distribution of the magnetic component of the fundamental mode of the resonator ( hac) shows that\nthe field is localized along yin a region of approximate width w+ 2s= 45 µm around the central conductor (panel\n(b)). The value of hacshows a pronounced decay with increasing zdistance from the upper surface of the resonator\n(z= 0), as it can be seen in the profiles calculated for z= 0 and 10 µm and plotted as a function of x(Fig. S10(c)).17\nTo quantify this trend, we calculated the average of hacin regions centered in the middle of the CPW resonator\n(area 4 mm ×45µm) with progressively increasing zheight. The obtained points ( ¯hac(z)) show a quasi exponential\ndependence from z(Fig. S10(d)) that roughly follows\n¯hac(z) =hmaxexp(−z/η) (2)\nbeing hmax=¯hac(z= 0) and η= 13.5µm. Since ¯hac(10µm)/hmax= 0.45 and by assuming that the normalized\nspin-photon coupling gs(z)/gs(z= 0) scales as ¯hac(z)/hmax, we obtain the ratio gs(z= 0)/gs(z = 10 µm) = 2 .2. This\nvalue is in good agreement with the ratio of the anticrossing splittings in configurations #C and #B derived from\nFig. 4 in the main text.\nThe absolute value of hmaxobtained from the simulation can be used to estimate the amplitude of the zero-\npoint vacuum fluctuation, bvac. Being bmax=µ0hmax= 26 mT the value obtained for Pinc= 0.5 W, we obtain\nbvac=bmaxp\nPvac/Pinc≈3 nT by considering the threshold power Pvac≈5 fW for single-photon operation (Eq. 1).\nOn the other hand, the vacuum magnetic fluctuation can be calculated from [43]\nbvac≈µ0ωc\n4wr\nh\nZ0(3)\nwith h= 6.626×10−34J s and Z0= 58 Ω. From Eq. 3 we get bvac≈3 nT in excellent agreement with the value\nresulting from finite-element simulations.\nAs a next step we modeled the effect of a GGG substrate having volume of 4 ×3×0.5 mm3(Fig. S10). By using\nas permittivity ϵr= 11.99 and dielectric loss tangent tan δ= 5.2×10−3for GGG [69], the simulated fundamental\nmode frequency ωc,sim/2π= 8.62 GHz results in good agreement with ωc/2π= 8.65 GHz obtained from the fit of the\nexperimental data (Fig. 5 in the main text). With respect to the bare resonator, the presence of the GGG substrate\ndetermines the shift of the fundamental mode towards lower frequency and the broadening of resonant peaks. The\nlatter gives rise to a higher decay rate κc. The distribution of hac=hxˆx+hyˆy+hzˆzsimulated with the GGG substrate\nabove the resonator is in line with that of the bare resonator (Fig. 2 in the main text): the magnetic antinode is\nlocated in the middle of the resonator, the microwave field is localized around the central conductor (panel (c)) and\nhxis negligible as expected for a quasi-transverse electromagnetic (TEM) mode (panel (d)).\nFIG. S11. Electromagnetic simulations of the CPW resonator with the GGG substrate positioned on top. (a) Representation\nof the model used for the simulations. Colors indicate different materials: PEC, grey; Au, yellow; sapphire and GGG, cyan.\n(b) Simulated reflection S11and transmission S21spectra showing the comparison between bare resonator and GGG cases. (c)\nVertical section of the simulated hacfield in the middle of the resonator (phase ϕ= 0). (d) Blow-up of the CPW line showing\nthe simulated root-mean square cartesian components of hac. Letters in (a,c,d) correspond to δ= 140 µm,w= 17 µm and\ns= 14 µm.\nD. Modelling of spin systems in a cavity\nMagnetic excitations, i.e. spin waves in magnetically ordered materials, can be described by considering the pre-\ncession of the macroscopic magnetic vector Maround the effective local magnetic field Heffthrough the Landau-\nLifshizt-Gilbert (LLG) equation, that also accounts for damping by a phenomenological term characterised by the18\nGilbert parameter. Exchange and dipolar interactions between different local values of Mcan be included in the LLG\nequation as effective local fields, HexcandHdiprespectively, that contribute to Heffalong with the externally applied\nstatic field H0[12]. Both exchange and dipolar interactions are naturally included in a microscopic description of a\nregular lattice of interacting spins, the relative spectrum of excitation shows spin wave modes having characteristic\ndispersion between energy (¯ hω) and propagation wavevector ( k) [3]. For an isotropic infinite lattice, the low lying\nstates assume parabolic dispersion law ω≈k2with a characteristic stationary ( k=0) ground state (Kittel mode). Yet,\nfor a real specimen with a specific shape, the finite size and the boundary conditions, as well as the way the antenna\nexcites them, can make the spectrum of magnetic excitations much richer with the appearance of characteristic bands.\nThe collective coupling λbetween magnetic and microwave modes is the key parameter that needs to be compared,\nin the first instance, with the damping of both the magnetic system (i.e. the dissipation rate κm) and the photon\nlosses ( κc) of the cavity. Since λdetermines the rate at which the two systems exchange energy and information at\nresonance, when λ≥κm, κcwe have coherent dynamics of the two systems, and the so-called strong coupling regime .\nThe dipolar coupling of single spins to the oscillating magnetic component of radiation bvachas the relative coupling\nstrength gs=1\n4γbvacwhich turns out to be very weak, typically on the order of 0.1 to 50 Hz for bvac∼nT. For\nspin ensembles, either paramagnets or magnetically ordered systems, the collective coupling strength λ=gs√Nsis\nenhanced by a factor scaling as√Ns[14], being Nsthe number of spin transitions in the ensemble interacting with\nthe electromagnetic radiation. For instance, with Ns∼1012−1014we can get λ=gs√Ns= 1 to 10 MHz. For YIG,\nNs= 2sFeN, where Nis the number of spins and sFe= 5/2 is the ground state spin of Fe3+. In a YIG sphere, κm\nis of the order of MHz, thus for experiments with cavities having high quality factor, that is small κc, the strong\ncoupling regime is achieved (see references in the main text)." }, { "title": "1802.03865v3.Spin_orbit_torque_and_spin_pumping_in_YIG_Pt_with_interfacial_insertion_layers.pdf", "content": "Spin-orbit torque and spin pumping in YIG/Pt with interfacial insertion layers\nSatoru Emori,1, 2,a)Alexei Matyushov,1, 3Hyung-Min Jeon,4, 5Christopher J. Babroski,1Tianxiang Nan,1, 6Amine\nM. Belkessam,1John G. Jones,4Michael E. McConney,4Gail J. Brown,4Brandon M. Howe,4and Nian X. Sun1\n1)Department of Electrical and Computer Engineering, Northeastern University, Boston, MA 02115,\nUSA\n2)Department of Physics, Virginia Tech, Blacksburg, VA 24061, USA\n3)Department of Physics, Northeastern University, Boston, MA 02115, USA\n4)Materials and Manufacturing Directorate, Air Force Research Laboratory, Wright-Patterson AFB, OH 45433,\nUSA\n5)Department of Electrical Engineering, Wright State University, Dayton, OH 45435,\nUSA\n6)Department of Materials Science and Engineering, University of Wisconsin-Madison, WI 53706,\nUSA\n(Dated: April 27, 2018)\nWe experimentally investigate spin-orbit torque and spin pumping in Y 3Fe5O12(YIG)/Pt bilayers with ul-\ntrathin insertion layers at the interface. An insertion layer of Cu suppresses both spin-orbit torque and spin\npumping, whereas an insertion layer of Ni 80Fe20(permalloy, Py) enhances them, in a quantitatively consistent\nmanner with the reciprocity of the two spin transmission processes. However, we observe a large enhance-\nment of Gilbert damping with the insertion of Py that cannot be accounted for solely by spin pumping,\nsuggesting signi\fcant spin-memory loss due to the interfacial magnetic layer. Our \fndings indicate that the\nmagnetization at the YIG-metal interface strongly in\ruences the transmission and depolarization of pure spin\ncurrent.\nThe transmission of pure spin current between a mag-\nnetic insulator and a normal metal is a crucial aspect\nof emerging insulator spintronic devices1,2. Yttrium iron\ngarnet (Y 3Fe5O12, YIG) is an especially promising mag-\nnetic insulator because of its exceptionally low Gilbert\ndamping that allows for e\u000ecient excitation of magne-\ntization dynamics3{5. This magnetic damping can be\nmodi\fed by spin-orbit torque6,7in thin-\flm YIG due\nto absorption of pure spin current8{12, which is gen-\nerated from an electric current in the adjacent metal\n(e.g., Pt) through the spin-Hall e\u000bect13. In the recip-\nrocal process of spin pumping14,15, coherent magnetiza-\ntion dynamics in YIG injects a pure spin current into\nthe metal layer, which can be detected through an en-\nhancement in Gilbert damping16{18or a voltage peak due\nto the inverse spin-Hall e\u000bect19{25. The reciprocity of\nspin-orbit torque and spin pumping is theoretically well\nestablished26. However, while prior reports have shown\nthat various modi\fcations at the YIG-metal interface im-\npact spin pumping (or, more generally, spin transmission\nfrom the YIG to metal layer)17,18,21,22,27{29, how spin-\norbit torque (i.e., spin transmission from the metal to\nthe YIG layer) is a\u000bected by such interfacial modi\fca-\ntions has yet to be reported.\nIn this Letter, we investigate spin-orbit torque and\nspin pumping in the same set of YIG/Pt samples { with\nand without an ultrathin interfacial insertion layer { by\nferromagnetic resonance (FMR) in a microwave cavity.\nThe two spin transmission processes are suppressed with\na nonmagnetic Cu insertion layer and enhanced with a\nmagnetic Ni 80Fe20(permalloy, Py) insertion layer. We\na)Electronic mail: semori@vt.edualso \fnd evidence for substantial spin-memory loss30with\nthe insertion of ultrathin Py. Our \fndings are consistent\nwith the reciprocity of spin-orbit torque and spin pump-\ning, while revealing that the magnetization at the YIG-\nmetal interface has a signi\fcant impact on the transmis-\nsion and scattering of spin current.\nEpitaxial 20-nm thick YIG \flms were grown on\nGd3Ga5O12(111) substrates by pulsed laser deposition\nas reported in Ref. 3. The YIG \flms were transferred\nthrough ambient atmosphere to a separate deposition\nsystem for the growth of the metal overlayers. The YIG\nsamples were sonicated in acetone and ethanol and, after\nintroduction into the deposition chamber, maintained at\n250\u000eC at 50 mTorr O 2for 30 minutes to remove water\nand organics on the surface. The metal overlayers (either\nPt(5 nm), Cu(0.5 nm)/Pt(5 nm), or Py(0.5 nm)/Pt(5\nnm)) were deposited by dc magnetron sputtering at room\ntemperature, base pressure of <\u00182\u000210\u00007Torr, and Ar\nsputtering pressure of 3 mTorr. While the RMS surface\nroughness of the epitaxial YIG \flms is only <\u00180.15 nm\n(consistent with Ref. 3), the nominally 0.5-nm thick Cu\nand Py \\dusting\" layers may not be continuous. Each\nYIG/X/Pt sample (with X = none, Cu, or Py) was pat-\nterned into a 100- \u0016m wide, 1.5-mm long strip by pho-\ntolithography and ion milling. The strip was contacted\nby Cr/Au pads on either end by photolithography, sput-\nter deposition, and lifto\u000b. This sub-mm wide strip ge-\nometry31allows for the use of a cavity electron paramag-\nnetic resonance spectrometer to measure both spin-orbit\ntorque and spin pumping.\nWe \frst demonstrate the transmission of spin current\nfrom the metal layer to the YIG layer through the mea-\nsurement of the damping-like32spin-orbit torque. We\nused a method similar to Refs. 6, 31 where the change inarXiv:1802.03865v3 [cond-mat.mtrl-sci] 29 Apr 20182\n-1.0 0.0 1.00.450.500.55\nJdc (1010 A/m2)\n W (mT) H||+y\n H||-y\n-1.0 -0.5 0.0 0.5 1.0-0.8-0.40.00.40.8\nYIG/Pt\n eff (10-4)\nJdc (1010 A/m2)\n-1.0 -0.5 0.0 0.5 1.0-0.8-0.40.00.40.8\nYIG/Cu/Pt\n eff (10-4)\nJdc (1010 A/m2)\n-1.0 -0.5 0.0 0.5 1.0-0.8-0.40.00.40.8\nYIG/Py/Pt\n eff (10-4)\nJdc (1010 A/m2)\n238.0 238.5 239.0 239.5H||+y\n dIFMR/dH (a.u.)\n0H (mT)Jdc= +3 mA\nJdc= -3 mA(a) (b) (c)\n(d) (e) (f)JdchrfH\nSOTPt\nX\nYIGy x\nFigure 1. (a) Schematic of spin-orbit torque (SOT) generated by a dc current Jdcin YIG/(X/)Pt. (b) Jdc-induced modulation\nof FMR spectra in YIG/Pt. The direction of Jdcis as de\fned in (a). (c) Jdc-induced change in FMR linewidth Wwith bias\nmagnetic \feld applied along the + yand -ydirections as de\fned in (a). (d-f) Change in the e\u000bective Gilbert damping parameter\n\u000be\u000bwithJdcfor (d) YIG/Pt, (e) YIG/Cu/Pt, and (f) YIG/Py/Pt. The lines indicate linear \fts to the data.\ndamping is monitored as a function of dc bias current,\nIdc. FMR spectra were measured in a rectangular TE 102\nmicrowave cavity with a nominal excitation power of 10\nmW and several values of Idcin the metallic layer as il-\nlustrated in Fig. 1(a). Each spectrum was \ft with the\nderivative of the sum of symmetric and antisymmetric\nLorentzians (e.g., Fig. 1(b)) to extract the half-width-at-\nhalf-maximum linewidth, W.\nFigure 1(c) shows the variation of WwithIdcunder\nopposite transverse external magnetic \felds, H. The\ndata contain components that are odd and even with\nrespect to Idc, which are due to the spin-orbit torque\nand Joule heating, respectively6,31. The symmetry of the\nspin-Hall spin-orbit torque also gives rise to a component\nofWversusIdcthat is odd with respect to H(Refs. 6{\n8, 31), extracted through \u0001 Wodd(Idc) =fW(Idc;+jHj)\u0000\nW(Idc;\u0000jHj)g=2. We can then obtain the linear change\nin the e\u000bective Gilbert damping parameter due to the\ndc spin-orbit torque, \u0001 \u000be\u000b=j\rj\u0001Wodd=(2\u0019f), where\nj\rj=(2\u0019) = 28 GHz/T and f= 9:55 GHz.\nFrom the linear slope of \u0001 \u000be\u000bover the dc current den-\nsityJdc=Idc=(wtPt) (Fig. 1(d)-(f)), with w= 100\u0016m\nandtPt= 5 nm33, the e\u000bective spin-Hall angle, \u0012e\u000b, can\nbe quanti\fed from7\n\u0012e\u000b=2jej\n~\u0012\nH+Meff\n2\u0013\n\u00160MstYIG\f\f\f\f\u0001\u000be\u000b\nJdc\f\f\f\f;(1)\nwhereMs= 130 kA/m is the saturation magnetization,\nMe\u000b= 190 kA/m is the e\u000bective magnetization including\nthe out-of-plane uniaxial anistropy \feld3, andtYIG= 20\nnm is the thickness of the YIG layer. By \ftting the data\nin Fig. 1(d) with Eq. 1, we arrive at \u0012e\u000b= 0:76\u00060:05%for YIG/Pt.\nWe note that \u0012e\u000bis the product of the intrinsic spin-\nHall angle of Pt, \u0012Pt, and the interfacial spin current\ntransmissivity, T. Assuming that tPtis su\u000eciently larger\nthan the spin di\u000busion length, \u0015Pt, the expression for \u0012e\u000b\nis34{36\n\u0012e\u000b=T\u0012Pt\u00192Ge\u000b\n\"#\u0015Pt\u001aPt\u0012Pt; (2)\nwhereGe\u000b\n\"#is the e\u000bective spin-mixing conductance\n(which includes the spin back\row factor) and \u001aPt\u0019\n4:0\u000210\u00007\n m is the measured resistivity of the Pt layer.\nWith\u0015Pt\u001aPt\u0019(0:6\u00000:8)\u000210\u000015\nm2(Refs. 30, 37, 38),\nwe estimate \u0015Ptto be\u00191.5-2 nm.\nAccording to Eq. 2, the small \u0012e\u000bin our YIG/Pt can\nbe attributed to a reduced T(i.e.,Ge\u000b\n\"#) at the YIG-Pt\ninterface, which may be due to a residual carbon agglom-\neration on the YIG surface39that was not removed by our\ncleaning protocol. In particular, by taking \u0012Pt\u001915\u000030%\nreported from prior spin-orbit torque studies34{36, we ob-\ntain for our YIG/Pt bilayer T\u00190:03\u00000:05, orGe\u000b\n\"#\u0019\n(2\u00005)\u00021013\n\u00001m\u00002, which is an order of magnitude\nlower than the typical values reported for ferromagnetic-\nmetal/normal-metal heterostructures15,34{36, although it\nis comparable to prior reports on YIG/Pt16,20.\nFor YIG/Cu/Pt (Fig. 1(e)), we do not detect a spin-\norbit torque within our experimental resolution, i.e.,\n\u0012e\u000b= 0:01\u00060:10%. Evidently, the Cu dusting layer\nat the YIG-Pt interface suppresses the transmission of\nspin current. By contrast, the Py dusting layer en-\nhances spin transmission from Pt to YIG by \u001940%, with\n\u0012e\u000b= 1:08\u00060:06% derived from the data in Fig. 1(f).\nThe spin-orbit torque experiment thus suggests that the3\ny x\n-3-2-10123-20-1001020\nm0(H-HFMR) (mT)\n VISH (mV)\n-6-4-20246\nH||-yH||+y YIG/Pt\nVISHW2 (mV mT2)\n-3-2-10123-6-3036\nm0(H-HFMR) (mT)H||-yH||+y YIG/Cu/Pt\n VISH (mV)\n-0.4-0.20.00.20.4\nVISHW2 (mV mT2)\n-3-2-10123-8-4048\nH||-yH||+y YIG/Py/Pt\n VISH (mV)\nm0(H-HFMR) (mT)-8-6-4-202468\nVISHW2 (mV mT2)(a) (b) (c) (d)\nhrfH\nFigure 2. (a) Schematic of electrically detected spin pumping in YIG/(X/)Pt. (b-d) Inverse spin-Hall voltage VISHspectra\nmeasured for (b) YIG/Pt, (c) YIG/Cu/Pt, and (d) YIG/Py/Pt. The right vertical axis show VISHscaled by the square of the\nFMR linewidth W, which is proportional to the transmission e\u000eciency of spin current from YIG to Pt.\nnonmagnetic and magnetic insertion layers have opposite\ne\u000bects on spin current transmissivity (Eq. 2).\nIn addition to spin-orbit torque, we show that the mod-\ni\fcation of the YIG-Pt interface equally impacts the re-\nciprocal process of spin pumping. The same sub-mm\nwide YIG/X/Pt strips are measured in the setup identi-\ncal to the spin-orbit torque experiment, except that the\ndc wire leads were connected to a nanovoltmeter, instead\nof a dc current source. As illustrated in Fig. 2(a), FMR\nin the YIG layer pumps a spin current into the Pt layer,\nin which the inverse spin-Hall e\u000bect converts the spin\ncurrent to a charge current that is detected through a\nvoltage peak, VISH, coinciding with FMR. Figure 2(b)-\n(d) shows the VISHspectra obtained at 10 mW of rf ex-\ncitation. The reversal of the voltage polarity with the H\ndirection is consistent with the symmetry of the inverse\nspin-Hall e\u000bect.\nIn the limit of tPtsu\u000eciently larger than \u0015Pt, the re-\nlationship between the peak magnitude of VISHand\u0012e\u000b\nis given by40\njVISHj\u0019h\n2jej\u0012e\u000bfPL\ntPt\u00022; (3)\nwhereL= 1500\u0016m is the length of the sample, P= 1:26\nis the precession ellipticity factor, and \u0002 is the preces-\nsion cone angle. It should be noted that these three\nYIG/X/Pt samples undergo precession at di\u000berent cone\nangles, given by \u0002 = \u00160hrf=W(Refs. 19, 41), since their\nlinewidths Ware di\u000berent. Due to the lack of direct\ncalibration for the microwave \feld amplitude hrfin our\nsetup, the absolute magnitudes of \u0012e\u000bcannot be de-\ntermined accurately from the spin pumping experiment\n(Eq. 3)42.\nNevertheless, we can compare the relative magnitudes\nof\u0012e\u000bamong the three samples. Speci\fcally, we scale\nVISHbyW2(/\u0002\u00002), as shown on the right vertical axis\nof Fig. 2(b)-(d), to quantify the e\u000eciency of spin-current\ntransmission from YIG to Pt. Comparing Fig. 2(c) with\nFig. 2(b), the Cu dusting layer reduces the spin trans-\nmission e\u000eciency ( /VISHW2) by an order of magnitude.\nBy contrast, comparing Fig. 2(d) with Fig. 2(b), the\nPy dusting layer enhnaces the transmission e\u000eciency by\u001940%. This suppression (enhancement) of spin transmis-\nsion with the Cu (Py) insertion layer in the spin pump-\ning experiment quantitatively agrees with the spin-orbit\ntorque experiment, as summarized in Table I. These re-\nsults thus corroborate the reciprocity of the two spin-\ncurrent transmission processes between YIG and Pt.\nWe have revealed that the ultrathin dusting layer of\nnonmagnetic Cu at the YIG-Pt interface suppresses spin\ntransmission, whereas the ferromagnetic Py dusting layer\nenhances it. Our experimental results are qualitatively\nconsistent with the \frst-principles calculations by Jia et\nal.43, which report that the spin-mixing conductance at\nthe YIG-metal interface depends on the interfacial mag-\nnetic moment density. With the ultrathin insertion layer\nof Cu (Py) decreasing (increasing) the interfacial mag-\nnetization, Ge\u000b\n\"#and hence\u0012e\u000bdecrease (increase) as de-\nscribed by Eq. 2. Moreover, the enhancement of spin\ntransmission between YIG and Pt with an ultrathin fer-\nromagnetic insertion layer, quantitatively similar to our\nresults, has been observed in a spin-Seebeck e\u000bect ex-\nperiment by Kikuchi et al.29. We further note that al-\nthough bulk Pt is paramagnetic, it is close to ful\flling the\nStoner criterion such that the direct interface of YIG/Pt\nmay accommodate a higher interfacial magnetic moment\ndensity44,45than YIG/Cu/Pt.\nThe large reduction of spin-orbit torque and spin\npumping with the ultrathin Cu insertion layer may seem\nunexpected, considering that this insertion layer is much\nthinner than the typical spin di\u000busion length of Cu\n(\u0015Cu>100 nm)46. Indeed, prior spin pumping exper-\niments report only a modest decrease (by \u001810%) in spin-\ncurrent transmission between YIG and Pt when the Cu\nspacer thickness is \u00191 nm18,22. However, spin pump-\ning22and spin-Hall magnetoresistance47studies have\nshown that spin transmission decreases by an order-of-\nmagnitude with the insertion of a Cu spacer layer, even\nwhen its thickness (e.g., \u00195 nm) is much smaller than\n\u0015Cu. Other studies also indicate large spin-memory loss\nat the Cu-Pt interface48,49, although we do not observe a\nsigni\fcant increase in spin dissipation (Gilbert damping)\nin YIG/Cu/Pt compared to uncapped YIG, as shown be-\nlow. While further studies are required to understand the\nroles of the Cu spacer layer, one possibility is that spin4\ntransmission is highly sensitive to the nature of the YIG-\nmetal interface, such as the morphology of the ultrathin\nCu layer and the presence of carbon agglomeration39.\nTo gain complementary insight into interfacial spin-\ncurrent transmission, we have examined the enhancement\nof Gilbert damping in YIG/X/Pt strips compared to un-\ncapped YIG \flms. Fig. 3 summarizes the frequency de-\npendence of W, acquired with a broadband FMR setup,\nfrom which the Gilbert damping parameter, \u000b, is quanti-\n\fed. The averaged Gilbert damping parameter for three\nuncapped YIG \flms is \u000b= (4:4\u00060:6)\u000210\u00004, which is\nwithin the range reported by our earlier work3.\nWe observe an increase in \u000bfor each YIG/X/Pt com-\npared to uncapped YIG. Assuming that the damping in-\ncrease is exclusively due to spin pumping, the spin-mixing\nconductance is given by14,15,\nGe\u000b\n\"#=2e2MstYIG\n~2j\rj\u0001\u000b; (4)\nwhere \u0001\u000b(summarized in Table I) is the di\u000berence be-\ntween\u000bof YIG/X/Pt and uncapped YIG. From Eq. 4, we\n\fndGe\u000b\n\"#= (3:3\u00060:5)\u00021013\n\u00001m\u00002for YIG/Pt, which\nis in quantitative agreement with the estimated Ge\u000b\n\"#from\nEq. 2. We also obtain Ge\u000b\n\"#= (0:6\u00060:5)\u00021013\n\u00001m\u00002\nfor YIG/Cu/Pt, which again corroborates the one-order-\nof-magnitude reduction in spin transmission with the ul-\ntrathin Cu insertion layer. Therefore, our experimental\nresults of spin-orbit torque (Fig. 1), electrically detected\nspin pumping (Fig. 2), and Gilbert damping enhance-\nment (Fig. 3) are consistent with each other for YIG/Pt\nand YIG/Cu/Pt.\nThe Gilbert damping enhancement, \u0001 \u000bfor\nYIG/Py/Pt is\u00194 times greater than that for YIG/Pt.\nThis observation is at odds with our \fndings from the\nspin-orbit torque and spin pumping experiments, which\nshow thatGe\u000b\n\"#(i.e., \u0001\u000baccording to Eq. 4) should be\nonly a factor of\u00191.4 greater for YIG/Py/Pt compared\nto YIG/Pt. We thus estimate that only \u001930% of the\ntotal \u0001\u000bis due to spin pumping in YIG/Py/Pt, such\nthat the adjusted value of Ge\u000b\n\"#is\u00195\u00021013\n\u00001m\u00002. The\nremaining\u001970% of \u0001\u000bis likely due to spin-memory loss,\ni.e., spin depolarization by the ultrathin Py layer that\nincreases the Gilbert damping but does not contribute\nto spin-current transmission from YIG to Pt. This large\nspin-memory loss in YIG/Py/Pt is comparable to reports\non ferromagnetic-metal/Pt heterostructures30,49,50.\nIn summary, we have measured the transmission of\nspin current between YIG and Pt thin \flms, separated by\nan interfacial dusting layer of nonmagnetic Cu or mag-\nnetic Py, through FMR-based spin-orbit torque and spin\npumping experiments. Spin transmission decreases by an\norder of magnitude when ultrathin Cu is inserted at the\nYIG-Pt interface and increases by \u001940 % with the inser-\ntion of ultrathin Py. The quantitatively consistent results\nfrom the spin-orbit torque and spin pumping experiments\ncon\frm the reciprocity of these two processes. However,\nwith the Py insertion layer, the Gilbert damping param-\n0 5 10 15051015YIG/Py/Pt\nYIG/Pt\nYIG/Cu/Pt\nYIGW (mT)\nf (GHz)Figure 3. Frequency dependence of half-width-at-half-\nmaximum FMR linewidth, W.\nTable I. Essential extracted parameters - \u0012SOT\ne\u000b: e\u000bective spin-\nHall angle from the spin-orbit torque experiment; VISHW2:\ne\u000eciency of spin transmission from the electrically detected\nspin pumping experiment; Ge\u000b\n\"#: e\u000bective spin-mixing conduc-\ntance from the enhancement in Gilbert damping (YIG/Py/Pt\nadjusted to account for spin-memory loss); \u0001 \u000b: total en-\nhancement of the Gilbert damping parameter.\nYIG/Pt YIG/Cu/Pt YIG/Py/Pt\n\u0012SOT\ne\u000b(%) 0 :76\u00060:05 0:01\u00060:10 1:08\u00060:06\nVISHW2(\u0016V mT2) 4:0\u00060:2 0:35\u00060:02 5:6\u00060:4\nGe\u000b\n\"#(1013\n\u00001m\u00002) 3:3\u00060:5 0:6\u00060:5 \u00195\n\u0001\u000b(10\u00004) 4 :8\u00060:7 0:9\u00060:7 21 \u00061\neter is much larger than expected from spin pumping,\nsuggesting substantial spin-memory loss in YIG/Py/Pt.\nOur \fndings shed light on the roles of interfacial magneti-\nzation in the transmission and depolarization of spin cur-\nrent between a magnetic insulator and a normal metal.\nAcknowledgments: This work is funded by NSF\nERC TANMS 1160504, AFRL through contract FA8650-\n14-C-5706, and by the W.M. Keck Foundation. Lithog-\nraphy was performed in the George J. Kostas Nanoscale\nTechnology and Manufacturing Research Center. We\nthank Peng Wei, Jean Anne Incorvia, and Jagadeesh\nMoodera for assistance in ion milling; and Carl Boone,\nJack Brangham, Fengyuan Yang, and Branislav Nikoli\u0013 c\nfor helpful discussions.\nREFERENCES\n1A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands,\nNat. Phys. 11, 453 (2015).\n2A. Ho\u000bmann and S. D. Bader, Phys. Rev. Appl. 4, 047001 (2015).\n3B. M. Howe, S. Emori, H.-M. Jeon, T. M. Oxholm, J. G. Jones,\nK. Mahalingam, Y. Zhuang, N. X. Sun, and G. J. Brown, IEEE\nMagn. Lett. 6, 3500504 (2015).\n4H. Chang, P. Li, W. Zhang, T. Liu, A. Ho\u000bmann, L. Deng, and\nM. Wu, IEEE Magn. Lett. 5, 1 (2014).\n5O. d'Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef,\nC. Hahn, A. H. Molpeceres, C. Carretero, E. Jacquet, C. Der-\nanlot, P. Bortolotti, R. Lebourgeois, J.-C. Mage, G. de Loubens,\nO. Klein, V. Cros, and A. Fert, Appl. Phys. Lett. 103, 082408\n(2013).5\n6K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda, S. Maekawa,\nand E. Saitoh, Phys. Rev. Lett. 101, 036601 (2008).\n7L. Liu, T. Moriyama, D. C. Ralph, and R. A. Buhrman, Phys.\nRev. Lett. 106, 036601 (2011).\n8Z. Wang, Y. Sun, Y.-Y. Song, M. Wu, H. Schulthei\u0019, J. E. Pear-\nson, and A. Ho\u000bmann, Appl. Phys. Lett. 99, 162511 (2011).\n9M. Schreier, T. Chiba, A. Niedermayr, J. Lotze, H. Huebl,\nS. Gepr ags, S. Takahashi, G. E. W. Bauer, R. Gross, and S. T. B.\nGoennenwein, Phys. Rev. B 92, 144411 (2015).\n10J. Sklenar, W. Zhang, M. B. Jung\reisch, W. Jiang, H. Chang,\nJ. E. Pearson, M. Wu, J. B. Ketterson, and A. Ho\u000bmann, Phys.\nRev. B 92, 174406 (2015).\n11M. Collet, X. de Milly, O. d'Allivy Kelly, V. V. Naletov,\nR. Bernard, P. Bortolotti, J. Ben Youssef, V. E. Demidov,\nS. O. Demokritov, J. L. Prieto, M. Mu~ noz, V. Cros, A. Anane,\nG. de Loubens, and O. Klein, Nat. Commun. 7, 10377 (2016).\n12C. Safranski, I. Barsukov, H. K. Lee, T. Schneider, A. A. Jara,\nA. Smith, H. Chang, K. Lenz, J. Lindner, Y. Tserkovnyak,\nM. Wu, and I. N. Krivorotov, Nat. Commun. 8, 117 (2017).\n13J. Sinova, S. O. Valenzuela, J. Wunderlich, C. H. Back, and\nT. Jungwirth, Rev. Mod. Phys. 87, 1213 (2015).\n14Y. Tserkovnyak, A. Brataas, and G. Bauer, Phys. Rev. B 66,\n224403 (2002).\n15C. T. Boone, H. T. Nembach, J. M. Shaw, and T. J. Silva, J.\nAppl. Phys. 113, 153906 (2013).\n16B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Y.-\nY. Song, Y. Sun, and M. Wu, Phys. Rev. Lett. 107, 066604\n(2011).\n17C. Burrowes, B. Heinrich, B. Kardasz, E. A. Montoya, E. Girt,\nY. Sun, Y.-Y. Song, and M. Wu, Appl. Phys. Lett. 100, 092403\n(2012).\n18Y. Sun, H. Chang, M. Kabatek, Y.-Y. Song, Z. Wang, M. Jantz,\nW. Schneider, M. Wu, E. Montoya, B. Kardasz, B. Heinrich,\nS. G. E. te Velthuis, H. Schultheiss, and A. Ho\u000bmann, Phys.\nRev. Lett. 111, 106601 (2013).\n19M. Weiler, M. Althammer, M. Schreier, J. Lotze, M. Pernpeint-\nner, S. Meyer, H. Huebl, R. Gross, A. Kamra, J. Xiao, Y.-T.\nChen, H. Jiao, G. E. W. Bauer, and S. T. B. Goennenwein, Phys.\nRev. Lett. 111, 176601 (2013).\n20C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Naletov, and\nJ. Ben Youssef, Phys. Rev. B 87, 174417 (2013).\n21M. B. Jung\reisch, V. Lauer, R. Neb, A. V. Chumak, and B. Hille-\nbrands, Appl. Phys. Lett. 103, 022411 (2013).\n22C. Du, H. Wang, F. Yang, and P. C. Hammel, Phys. Rev. Appl.\n1, 044004 (2014).\n23M. Haertinger, C. H. Back, J. Lotze, M. Weiler, S. Gepr ags,\nH. Huebl, S. T. B. Goennenwein, and G. Woltersdorf, Phys.\nRev. B 92, 054437 (2015).\n24H. Zhou, X. Fan, L. Ma, L. Cui, C. Jia, S. Zhou, Y. S. Gui, C.-M.\nHu, and D. Xue, Appl. Phys. Lett. 108, 192408 (2016).\n25J. Holanda, O. Alves Santos, R. L. Rodr\u0013 \u0010guez-Su\u0013 arez,\nA. Azevedo, and S. M. Rezende, Phys. Rev. B 95, 134432 (2017).\n26A. Brataas, Y. Tserkovnyak, G. E. W. Bauer, and P. J. Kelly,\nSpin pumping and spin transfer, in Spin Current , (Oxford Uni-\nversity Press, 2012), chap. 8, pp. 87{135.\n27B. F. Miao, S. Y. Huang, D. Qu, and C. L. Chien, Phys. Rev.\nLett. 111, 066602 (2013).\n28Z. Qiu, D. Hou, K. Uchida, and E. Saitoh, J. Phys. D. Appl.\nPhys. 48, 164013 (2015).\n29D. Kikuchi, M. Ishida, K. Uchida, Z. Qiu, T. Murakami, andE. Saitoh, Appl. Phys. Lett. 106, 082401 (2015).\n30J.-C. Rojas-S\u0013 anchez, N. Reyren, P. Laczkowski, W. Savero, J.-\nP. Attan\u0013 e, C. Deranlot, M. Jamet, J.-M. George, L. Vila, and\nH. Ja\u000br\u0012 es, Phys. Rev. Lett. 112, 106602 (2014).\n31S. Emori, T. Nan, T. M. Oxholm, C. T. Boone, J. G. Jones,\nB. M. Howe, G. J. Brown, D. E. Budil, and N. X. Sun, Appl.\nPhys. Lett. 106, 022406 (2015).\n32In principle, it is possible to quantify the \feld-like spin-orbit\ntorque (combined with the Oersted \feld) from the linear shift\nof the resonance \feld HFMR withIdc. However, because of the\nlarge quadratic change of HFMR withIdc(\u00190.3 mT/mA2) due\nto thermal e\u000bects, it is di\u000ecult to reliably extract the linear Idc-\ninduced shift of HFMR. The upper bound of the linear HFMR\nshift is 0.01 mT per mA of Idc, about a factor of \u00191.5 greater\nthan the expected Oersted \feld of \u00160jIdcj=(2w) = 0:0063 mT per\nmA, in the direction of the Oersted \feld for all samples.\n33For simplicity, we assume that all of the dc bias current \rows\nthrough the Pt layer. This simplifying assumption is supported\nby the resistance ( R\u00191200 \n) of YIG/Pt, YIG/Cu/Pt, and\nYIG/Py/Pt being within a few % of each other.\n34T. Nan, S. Emori, C. T. Boone, X. Wang, T. M. Oxholm, J. G.\nJones, B. M. Howe, G. J. Brown, and N. X. Sun, Phys. Rev. B\n91, 214416 (2015).\n35C.-F. Pai, Y. Ou, L. H. Vilela-Le~ ao, D. C. Ralph, and R. A.\nBuhrman, Phys. Rev. B 92, 064426 (2015).\n36W. Zhang, W. Han, X. Jiang, S.-H. Yang, and S. S. P. Parkin,\nNat. Phys. (2015).\n37M. Isasa, E. Villamor, L. E. Hueso, M. Gradhand, and\nF. Casanova, Phys. Rev. B 91, 024402 (2015).\n38M.-H. Nguyen, D. C. Ralph, and R. A. Buhrman, Phys. Rev.\nLett. 116, 126601 (2016).\n39S. P utter, S. Gepr ags, R. Schlitz, M. Althammer, A. Erb,\nR. Gross, and S. T. B. Goennenwein, Appl. Phys. Lett. 110,\n012403 (2017).\n40O. Mosendz, V. Vlaminck, J. E. Pearson, F. Y. Fradin, G. E. W.\nBauer, S. D. Bader, and A. Ho\u000bmann, Phys. Rev. B 82, 214403\n(2010).\n41Y. Guan, W. Bailey, E. Vescovo, C.-C. Kao, and D. Arena, J.\nMagn. Magn. Mater. 312, 374 (2007).\n42For the absolute magnitudes of \u0012e\u000bto be quantitatively con-\nsistent between the spin-orbit torque and spin pumping experi-\nments, the microwave \feld amplitude would be \u00160hrf\u00198\u0016T.\n43X. Jia, K. Liu, K. Xia, and G. E. W. Bauer, Europhys. Lett. 96,\n17005 (2011).\n44Y. M. Lu, Y. Choi, C. M. Ortega, X. M. Cheng, J. W. Cai, S. Y.\nHuang, L. Sun, and C. L. Chien, Phys. Rev. Lett. 110, 147207\n(2013).\n45T. Kikkawa, M. Suzuki, J. Okabayashi, K.-i. Uchida, D. Kikuchi,\nZ. Qiu, and E. Saitoh, Phys. Rev. B 95, 214416 (2017).\n46T. Kimura, J. Hamrle, and Y. Otani, Phys. Rev. B 72, 014461\n(2005).\n47H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida, Y. Kaji-\nwara, D. Kikuchi, T. Ohtani, S. Gepr ags, M. Opel, S. Takahashi,\nR. Gross, G. E. W. Bauer, S. T. B. Goennenwein, and E. Saitoh,\nPhys. Rev. Lett. 110, 206601 (2013).\n48J. Bass and W. P. Pratt, J. Phys. Condens. Matter 19, 183201\n(2007).\n49K. Dolui and B. K. Nikoli\u0013 c, Phys. Rev. B 96, 220403 (2017).\n50A. J. Berger, E. R. J. Edwards, H. T. Nembach, O. Karis,\nM. Weiler, and T. J. Silva, (2017), arXiv:1711.07654." }, { "title": "1508.04967v3.Superstrong_Coupling_of_a_Microwave_Cavity_to_YIG_Magnons.pdf", "content": "Superstrong Coupling of a Microwave Cavity to YIG Magnons\nNikita Kostylev,1Maxim Goryachev,1and Michael E. Tobar1,\u0003\n1ARC Centre of Excellence for Engineered Quantum Systems,\nSchool of Physics, University of Western Australia,\n35 Stirling Highway, Crawley WA 6009, Australia\n(Dated: February 2, 2016)\nMultiple-post reentrant 3D lumped cavity modes have been realized to design the concept of dis-\ncrete Whispering Gallery and Fabry-P\u0013 erot-like Modes for multimode microwave Quantum Electro-\ndynamics experiments. Using a magnon spin-wave resonance of a submillimeter-sized Yttrium-Iron-\nGarnet sphere at milliKelvin temperatures and a four-post cavity, we demonstrate the ultra-strong\ncoupling regime between discrete Whispering Gallery Modes and a magnon resonance with strength\nof 1.84 GHz. By increasing the number of posts to eight and arranging them in a D 4symmetry\npattern, we expand the mode structure to that of a discrete Fabry-P\u0013 erot cavity and modify the\nFree Spectral Range (FSR). We reach the superstrong coupling regime, where spin-photon coupling\nstrength is larger than FSR, with coupling strength in the 1.1 to 1.5 GHz range.\nCavity Quantum Electrodynamics (QED) is a concep-\ntual paradigm dealing with light-matter interaction at\nthe quantum level that has been investigated in a num-\nber of various systems. There is a broad range of var-\nious problems that have to be solved by cavity QED\nincluding generation of nonclassical states[1], quantum\nmemory[2], quantum frequency conversion[3, 4], etc. For\nmany of these applications, it is important to combine\nadvantages of di\u000berent approaches to QED in a Hybrid\nQuantum System (HQS)[5, 6]. For example, combination\nof nonlinear properties of superconducting circuits based\non Josephson Junction and large electron[7] or nuclear-\nspin[8] ensembles can be used for new quantum protocols\nwithout single spin manipulation and is investigated in\nmany physical implementations[9{13].\nIn the process of HQS design, it is vital to be able to\nengineer photon modes by continuous adjustment of sys-\ntem parameters without reinventing a new cavity. It is\nimportant to have a single platform that can provide a\nbroad range of spectra required for each particular pur-\npose. Moreover, in order to achieve strong coupling with\nother elements of HQS, such a platform should guaran-\ntee recon\fgurable high space localisation of both electri-\ncal and magnetic \felds to achieve su\u000ecient \flling factors.\nFinally, such cavities are required to be adjustable in-situ\nin the wide range preferably at high speed rate. These\nfeatures are lacking for traditional 3D cavities such as\nbox resonators and microwave Whispering Gallery Mode\nresonators. Having only one or two free parameters to\ncontrol, these platforms can be hard to modify for a\nparticular set of requirements in terms of \feld patterns,\nspectra and tunability without signi\fcant change of their\nstructure.\nAll the described requirements are met by constructing\ndesigns based on the recently proposed multi-post reen-\ntrant cavity[14, 15] that is based on a known 3D closed\nresonator with a central post gap[16, 17]. For this plat-\nform, it has been demonstrated that by an a priori re-\narrangement of the post, one can easily engineer the de-vice resonance frequencies and \feld patterns to achieve\nhigh frequency and space localisation[14] that guarantees\nstrong coupling regimes[18, 19]. On the other hand, due\nto high localisation of electric \feld in the post gaps, such\ncavities appear to be highly tunable by mechanical actu-\nators that outperform any kind of magnetic \feld tuning\nin terms of speed[20].\nIn this work, we use some of the discussed capabili-\nties of the reentrant cavity platform in order to reach\na new cavity QED interaction regime: superstrong cou-\npling. This name refers to a regime for which the cou-\npling strength gexceeds not only the spin ensemble \u0000\nand cavity \u000eloss rates, but also the free spectral range\n!FSR[21, 43, 44]. It has to be noted that a so-called\nultrastrong coupling regime, characterised by coupling\nstrengths being comparable to mode frequency[40{42],\nhas been reached in other works [18, 22]. However to\nachieve superstrong coupling in a QED cavity at mi-\ncrowave frequency, it must not only provide the high\n\flling factor to maximise the coupling strength but al-\nlow one to arrange the cavity microwave modes with the\ndesired frequency separation. Obviously, these goals are\nhardly achievable with traditional cavities since they usu-\nally do not have enough degrees of freedom to control\nthese parameters. On the other hand, the multi-post\nreentrant cavity gives the option to arrange the post in\nany suitable way that provides su\u000ecient control over the\ncavity spectra and \feld patterns simultaneously. As for\nthe spin ensemble, we choose a magnon resonance of an\nYttrium iron garnet (Y 3Fe5O12or YIG)[23]. These fer-\nrimagnetic systems recently became a popular subject of\nstudy[24{28], as they provide high coupling strengths and\nlow spin losses due to high concentration and ordering of\nFe ions and low coupling to phonon modes. In this work,\nwe use single crystal YIG spheres, which have also drawn\nconsiderable attention [18, 29{32].\nIn order to achieve the superstrong coupling regime,\nwe design cavities exhibiting at least two resonances sep-\narated by!FSR. Because each post represents a har-arXiv:1508.04967v3 [quant-ph] 30 Jan 20162\nmonic oscillator, the total system exhibits the number\nof resonances equal to the number of posts N. Each\ncavity mode is characterised by a unique combination of\ncurrents at the same instance of time and, as a result,\nthe magnetic \feld pattern. So, to couple the cavity to\nspin modes in a crystal, posts may be arranged to max-\nimise the \feld in a small volume. Using this property,\nit has been demonstrated [18, 19] how a two-post cav-\nity exhibits dark ( \"\"currents) and bright ( \"#currents)\nmodes with maximum and minimum magnetic \feld in\nsmall crystal samples between two posts. Although, in\norder to achieve the superstrong coupling, it is required\nto have more cavity modes with large spin-photon cou-\npling. This may be achieved by increasing the number\nof posts arranged in patterns of certain symmetries to\ncontrol the free spectral range.\nThe experimental setup used in this work is similar\nto previous experiments[18, 19]: Reentrant cavities with\nstraight excitation antennas are thermalised to a 20mK\nstage of a dilution refrigerator inside a superconducting\nmagnet[33, 34]. The excitation signal is attenuated by\n40dB at various stages of the cryocooler, whereas the\noutput signal is ampli\fed by a cold low noise ampli\fer.\nThe cavities are fabricated of Oxygen Free Copper.\nThey are 10mm in diameter and contain posts 3.4mm\ntall. The dielectric gap between the posts and the lid is\n0.1mm. The spherical YIG sample is positioned between\nposts at the centre of the cavity and is held in place by a\nte\ron mount. As a non-superconducting, relatively high-\nloss material has been used, quality factors Qof modes\nare not expected to be large. High Qs are not required in\nthis experiment due to the very high coupling strength.\nThey can be improved by using silver or niobium cavi-\nties, optimising the geometric factor Gcof the system or\nadjusting positions and dimensions of the posts[18].\n↑↓↑↓↑↓↑↓↑↓↑↓\n ↑↑↑↑↑↑↑↑↑↑↑↑\n 0↓0↑ 0↓0↑ 0↓0↑ ↑0↓0 ↑0↓0 ↑0↓0\n(A)\n(B)WGM 1L1L1L WGM 1R1R1R WGM 000 WGM 222\nα↑↑↓↓β0000 α↑↑↓↓β0000 α↑↑↓↓β0000 α0000β↑↑↓↓ α0000β↑↑↓↓ α0000β↑↑↓↓ α↓↓↓↓β↑↑↑↑ α↓↓↓↓β↑↑↑↑ α↓↓↓↓β↑↑↑↑ α↓↑↑↓β↓↑↑↓ α↓↑↑↓β↓↑↑↓ α↓↑↑↓β↓↑↑↓ααα\nβββ\nFIG. 1: Magnetic \feld distribution at the equator of\nYIG sphere inside the N= 4 (A) and N= 8 (B) post\ncavities. The modes are shown as a function of\nincreasing frequency (from left to right). Only four\nmodes of interest out of eight are shown for the (B)\ngraph.The \frst cavity of N= 4 with D 4symmetry demon-\nstrates four modes with the following combination of cur-\nrents at the same moment: \"\"\"\"-\"0#0-0#0\"-\"#\"# where\n0 denotes the post with no current. Fig. 1, (A), obtained\nby \fnite-element modelling, demonstrates the strength\nof magnetic \feld at the equator of YIG sphere, perpen-\ndicular to cavity posts. In an ideal case, the second and\nthe third modes are degenerate in frequency because one\nis\u0019=2 rotation of another. They represent a degenerate\nmode pair, similar to so-called Whispering Gallery Mode\ndoublet, a pair of sine and cosine waves, since the mode\nstructure may be understood as a discrete WGM sys-\ntem. This particular doublet represents a WGM of the\nordern= 2, since it has two nodes. It has to be pointed\nout that for each resonance of the doublet all four posts\nare involved in oscillation even though two of them are\nnot illuminated at some instance of time. In an actual\nexperiment, the D 4symmetry is broken leading to lift-\ning of the mode degeneracy with the frequency splitting\ndepending on the cavity imperfections. This type of an\navoided crossing is typical to spin-photon interaction in\nthe cavity with time-reversal symmetry breaking[35, 36]\nwhere WGM doublets are formed by travelling waves.\nIn such a situation the cavity doublet pair is coupled\ntogether. However, the coupling to the magnon modes\nis asymmetric with one of the cavity modes hybridiz-\ning with the magnon mode in the ultra-strong coupling\nregime, while the other cavity mode is nearly uncoupled\nfrom the magnon mode.\nThe second cavity with N= 8 with D 4symmetry may\nbe regarded as two perpendicular discrete Fabry-P\u0013 erot\nsystems made of four posts each. It is important to un-\nderline that the \frst and the second modes of this struc-\nture,\u000b\"\"##\f0000and\u000b0000\f\"\"## respectively (shown in\nFig. 1, (B)) are modes which have a \feld structure similar\nto that of two linear Fabry-P\u0013 erot resonators \u000band\fand\nare formed by two chains of four posts[14]. The indeces\ndenote the direction of currents in the posts. These two\nmodes may be classi\fed as one dimensional modes of or-\nder one. The simulated magnetic \feld pro\fle for this cav-\nity is shown in Fig. 1, (B). In this regards, the next mode\n\u000b####\f\"\"\"\"can be understood as a combination of zero-\norder modes for each of the linear resonators. Similar\nto the case of N= 4, in an actual experiment, resonance\nfrequencies of these two cavities are split as the symmetry\nis unavoidably broken. It has to be noted that there exist\nadditional 3 higher- and 1 lower-frequency modes, which\nare not of interest for this experiment. A more detailed\ndiscussion on modes of discrete Fabry-P\u0013 erot cavities is\navailable in another work[38].\nThe experimental results of magnon-photon interac-\ntion for both cavities are shown in Fig. 2. Fig. 2(A) corre-\nsponds to the measurement of N= 4 cavity, loaded with\na 0.8 mm diameter YIG sphere, and demonstrates an\nAvoided-Level Crossing (ALC) between one of the cav-\nity doublet modes and a magnon resonance. The other3\nα↓↑↑↓β↓↑↑↓ α↓↑↑↓β↓↑↑↓ α↓↑↑↓β↓↑↑↓\nα0000β↑↑↓↓ α0000β↑↑↓↓ α0000β↑↑↓↓ \nα↑↑↓↓β0000 α↑↑↓↓β0000 α↑↑↓↓β0000α↓↓↓↓β↑↑↑↑ α↓↓↓↓β↑↑↑↑ α↓↓↓↓β↑↑↑↑ WGM 1L1L1L\nWGM 1R1R1R(A)\n(B)\nFIG. 2: Transmission through N= 4 (A) and N= 8\n(B) post cavities as function of the driving frequency\nand the external magnetic \feld. The dashed curves are\ntheoretical predictions for the system eigenfrequencies.\ndoublet mode does not interact with the YIG sphere\nfor symmetry reasons [18]. For this cavity, the system\nHamiltonian relates annihilation (creation) operators aR\n(ay\nR) andaL(ay\nL) of photon modes WGM 1Rand WGM 1L\n(shown in Fig. 1, (A)) to b(by), that is, annihilation (cre-\nation) operators of the uncoupled magnon mode, in units\nwhere ~= 1:\nHN=4=!c(ay\nRaR+ay\nLaL) +GRL(ay\nR+aR)(ay\nL+aL)\n+!mbyb+g(ay\nR+aR)(by+b):(1)\nHere!cis the cavity angular frequency, GRLis the\nasymmetry induced coupling between photon doublet\nmodes,!mis the \feld controllable angular frequency\nof the magnon mode, and gis the photon-magnon cou-\nCavity Transmission, dBa\nFrequency, GHz2δWGM1L−gWGM/π\n2δWGM1L2δWGM1L+\n2δWGM1R(A) B0=0.24T\nB0=0.44T\n(B) B0=0.43T≈31MHz ≈24MHz≈25MHz≈19MHz\n≈45MHz≈17MHz\n≈15MHz\n≈9MHzFIG. 3: Transmission through N= 4 (A) and N= 8\n(B) post cavities as function of the driving frequency for\na chosen external magnetic \feld. Plot (A) shows the\nfrequency response of the interaction between the\nWGM 1Land WGM 1Rcavity modes and the magnon\nmode. Plot (B) shows the resonant frequency response\nof the 8-post cavity, demonstrating superstrong\ncoupling. Dashed curves represent Lorentzian \fts to the\ndata. Linewidths are given for the case when the\nmagnon resonance is tuned onto the cavity mode.\npling strength. Note that here we ignore all higher or-\nder magnon modes. Fig. 2, (A) demonstrates \ftting of\nthe experimentally measured resonance frequencies to the\nthree mode model (1). The \ft reveals the following val-\nues for the model: !c=(2\u0019) = 13.65 GHz, GRL=(2\u0019) =\n155 MHz and g= 1.84 GHz. With the spin density in\nYIG of 2\u00021022cm\u00003[39], the \flling factor \u0018is estimated\nas 1:5\u000210\u00002, which is very high and in good agreement\nwith \fnite-element modelling. The pro\fle of the modes\n(in transmission) measured as a function of frequency for\nthe \feldsB0= 0.24 T and 0.44 T is shown in Fig. 3, (A).\nThe cavity linewidths away from the magnon resonance\nfor the WGM 1Rand WGM 1Lmodes have been measured\nas 14 MHz and 22 MHz, corresponding to Qfactors of\n969 and 643 respectively. Magnon linewidth has been\nfound to be on the order of 1 MHz, in agreement with4\nprevious work [18].\nFig. 2, (B) shows the magnetic \feld response for the\ncase ofN= 8, where the magnon resonance line exhibits\na number of ALCs with cavity modes. A 1.0 mm di-\nameter optically polished YIG sphere was used for this\nexperiment. The Hamiltonian for this system, ignoring\nhigher order cavity and magnon modes, is written as fol-\nlows:\nHN=8=!c1ay\n\u000ba\u000b+!c2ay\n\fa\f+!c3ay\n\u000b\fa\u000b\f\n+!mbyb+X\nigi(ai+ay\ni)(b+by);(2)\nwherei2f\u000b;\f;\u000b\fg,ay\n\u000b(a\u000b) anday\n\f(a\f) are creation\n(annihilation) operators for cavity modes \u000b\"\"##\f0000and\n\u000b0000\f\"\"##, with angular frequencies !c1and!c2.ay\n\u000b\f\n(a\u000b\f) are creation (annihilation) operators for the mode\n\u000b####\f\"\"\"\"with angular frequency !c3. As in (1), by(b)\ndescribe the creation (annihilation) of the magnon mode\nwith \feld-dependent frequency of precession !m. The pa-\nrametergidetermines the strength of the photon-magnon\ncoupling for the i-th mode. The \ft of this N= 8 model\nto experimental data (Fig. 2, (B)) gives the following val-\nues for the couplings: !c1=(2\u0019) = 11.20 GHz, !c2=(2\u0019) =\n12.20 GHz, !c3=(2\u0019) = 13.65 GHz, and gi=\u0019= (1.18\nGHz, 1.46 GHz, 1.37 GHz). These values correspond to\n\u0018\u00191\u000210\u00002. Such a large \flling factor is expected for\nthis type of cavity and agrees well with numerical simula-\ntions. The FSR between !c1=(2\u0019) and!c2=(2\u0019) is 1 Ghz,\nwhich is smaller than the corresponding couplings of 1.18\nGHz, 1.46 GHz respectively, indicating that the system\nhas reached the superstrong coupling regime. The pro\fle\nof the modes (in transmission) measured as a function of\nfrequency for the \feld B0= 0.43 T is shown in Fig. 3,\n(B). Away from the magnon resonance, the linewidths of\n36 MHz (Q= 314), 15 MHz ( Q= 818), 16 MHz ( Q=\n859) and 63 MHz ( Q= 195) have been measured for the\ncavity modes \u000b\"\"##\f0000 ,\u000b0000\f\"\"##,\u000b####\f\"\"\"\" and\n\u000b#\"\"#\f#\"\"#respectively.\nIn conclusion, based on a multi-post reentrant cavity\nplatform, we have developed a technique for engineer-\ning the cavity frequency response, as well as spacial \feld\ndistribution, achieving high frequency and space localisa-\ntion of modes. Such resonators can be made mechanically\ntuneable due to high degree of localisation of electric \feld.\nWe have demonstrated how the reentrant cavity platform\ncan be used to generate a desired mode pattern, includ-\ning WGM doublet modes, and reached a superstrong cou-\npling regime in YIG crystal, where spin-photon coupling\nstrength is larger than !FSR.\nThis work was supported by the Australian Research\nCouncil Grant No. CE110001013.\u0003michael.tobar@uwa.edu.au\n[1] S. Brattke, B. T. H. Varcoe, and H. Walther, Physical\nReview Letters 86, 3534 (2001).\n[2] X. Ma^ \u0010tre, E. Hagley, G. Nogues, C. Wunderlich, P. Goy,\nM. Brune, J. M. Raimond, and S. Haroche, Physical\nReview Letters 79, 769 (1997).\n[3] L. Tian, P. Rabl, R. Blatt, and P. Zoller, Phys. Rev.\nLett. 92, 247902 (2004).\n[4] S. Barzanjeh, M. Abdi, G. J. Milburn, P. Tombesi, and\nD. Vitali, Physical Review Letters 109, 130503 (2012).\n[5] Z.-L. Xiang, S. Ashhab, J. Q. You, and F. Nori, Reviews\nof Modern Physics 85, 623 (2013).\n[6] G. Kurizki, P. Bertet, Y. Kubo, K. Mlmer, D. Pet-\nrosyan, P. Rabl, and J. Schmiedmayer, Proceedings\nof the National Academy of Sciences 112, 3866 (2015),\nhttp://www.pnas.org/content/112/13/3866.full.pdf.\n[7] A. Imamo\u0015 glu, Phys. Rev. Lett. 102, 083602 (2009).\n[8] L. V. Abdurakhimov, Y. M. Bunkov, and D. Konstanti-\nnov, Phys. Rev. Lett. 114, 226402 (2015).\n[9] H. Wu, R. E. George, J. H. Wesenberg, K. M\u001clmer,\nD. I. Schuster, R. J. Schoelkopf, K. M. Itoh, A. Arda-\nvan, J. J. L. Morton, and G. A. D. Briggs, Phys. Rev.\nLett. 105, 140503 (2010).\n[10] R. Ams uss, C. Koller, T. N obauer, S. Putz, S. Rot-\nter, K. Sandner, S. Schneider, M. Schramb ock, G. Stein-\nhauser, H. Ritsch, J. Schmiedmayer, and J. Majer, Phys.\nRev. Lett. 107, 060502 (2011).\n[11] Y. Kubo, F. R. Ong, P. Bertet, D. Vion, V. Jacques,\nD. Zheng, A. Dr\u0013 eau, J.-F. Roch, A. Au\u000beves, F. Jelezko,\nJ. Wrachtrup, M. F. Barthe, P. Bergonzo, and D. Esteve,\nPhys. Rev. Lett. 105, 140502 (2010).\n[12] X. Zhu, S. Saito, A. Kemp, K. Kakuyanagi, S.-i. Kari-\nmoto, H. Nakano, W. J. Munro, Y. Tokura, M. S. Everitt,\nK. Nemoto, M. Kasu, N. Mizuochi, and K. Semba, Na-\nture478, 221 (2011).\n[13] S. Probst, H. Rotzinger, S. W unsch, P. Jung, M. Jerger,\nM. Siegel, A. V. Ustinov, and P. A. Bushev, Physical\nReview Letters 110, 157001 (2013).\n[14] M. Goryachev and M. E. Tobar, New Journal of Physics\n17, 023003 (2015).\n[15] M. Goryachev and M. Tobar, \\Microwave frequency mag-\nnetic \feld manipulation systems and methods and asso-\nciated application instruments, apparatus and system,\"\nPatent: AU2014903143 (12 August 2014).\n[16] W. Hansen, Journal of Applied Physics 9, 654 (1938).\n[17] K. Fujisawa, IRE Trans. On Microwave Theory and Tech-\nniques 6, 344 (1958).\n[18] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, Physical Review Applied\n2, 054002 (2014).\n[19] D. L. Creedon, J.-M. Le Floch, M. Goryachev, W. G.\nFarr, S. Castelletto, and M. E. Tobar, Phys. Rev. B 91,\n140408 (2015).\n[20] J.-M. L. Floch, Y. Fan, M. Aubourg, D. Cros, N. Car-\nvalho, Q. Shan, J. Bourhill, E. Ivanov, G. Humbert,\nV. Madrangeas, and M. Tobar, Review of Scienti\fc In-\nstruments 84, 125114 (2013).\n[21] D. Meiser and P. Meystre, Physical Review A 74, 065801\n(2006).\n[22] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Phys.\nRev. Lett. 113, 156401 (2014).5\n[23] V. Cherepanov, I. Kolokolov, and V. Lvov, Physics Re-\nports 229, 81 (1993).\n[24] B. Bhoi, T. Cli\u000b, I. S. Maksymov, M. Kostylev, R. Aiyar,\nN. Venkataramani, S. Prasad, and R. L. Stamps, Journal\nof Applied Physics 116, 243906 (2014).\n[25] I. S. Maksymov, J. Hutomo, D. Nam, and M. Kostylev,\nJournal of Applied Physics 117, 193909 (2015).\n[26] I. S. Maksymov and M. Kostylev, Physica E: Low-\ndimensional Systems and Nanostructures 69, 253 (2015).\n[27] Y. Cao, P. Yan, H. Huebl, S. T. B. Goennenwein, and\nG. E. W. Bauer, Phys. Rev. B 91, 094423 (2015).\n[28] L. Bai, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao, and\nC.-M. Hu, Phys. Rev. Lett. 114, 227201 (2015).\n[29] O. O. Soykal and M. E. Flatt\u0013 e, Phys. Rev. Lett. 104,\n077202 (2010).\n[30] O. O. Soykal and M. E. Flatt\u0013 e, Phys. Rev. B 82, 104413\n(2010).\n[31] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y. Nakamura, Phys. Rev. Lett. 113, 083603\n(2014).\n[32] N. J. Lambert, J. A. Haigh, and A. J. Ferguson, Journal\nof Applied Physics 117, 053910 (2015).\n[33] W. G. Farr, D. L. Creedon, M. Goryachev, K. Benmessai,\nand M. E. Tobar, Phys. Rev. B 88, 224426 (2013).\n[34] M. Goryachev, W. G. Farr, and M. E. Tobar, AppliedPhysics Letters 103, 262404 (2013).\n[35] M. Goryachev, W. G. Farr, D. L. Creedon, and M. E.\nTobar, Phys. Rev. A 89, 013810 (2014).\n[36] M. Goryachev, W. G. Farr, D. L. Creedon, and M. E.\nTobar, Physical Review B 89, 224407 (2014).\n[37] D. Zhang, X. M. Wang, T. F. Li, X. Q. Luo, W. Wu, F.\nNori, and J. Q. You, Npj Quantum Information 1, 15014\n(2015).\n[38] M. Goryachev, and M. E. Tobar, Journal of Applied\nPhysics 118, 204504 (2015).\n[39] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen-\nstein,A. Marx, R. Gross, and S. T.B. Goennenwein,\nPhys. Rev. Lett. 111, 127003 (2013).\n[40] C. Ciuti, and I. Carusotto, Phys. Rev. A 74, 033811\n(2006).\n[41] T. Niemczyk, F. Deppe, H. Huebl, E. P. Menzel,\nF. Hocke, M. J. Schwarz, J. J. Garcia-Ripoll, D. Zueco,\nT. Hummer, E. Solano, A. Marx, and R. Gross, Nat\nPhys 6, 772 (2010).\n[42] B. Askenazi, A. Vasanelli, A. Delteil, Y. Todorov,\nL. C. Andreani, G. Beaudoin, I. Sagnes, and C. Sirtori,\nNew J. Phys. 16, 043029 (2014).\n[43] X. Yu, D. Xiong, H. Chen, P. Wang, M. Xiao, and J.\nZhang, Physical Review A 79, 061803 (2009).\n[44] H. Wu, J. Gea-Banacloche, M. Xiao, Physical Review A\n80, 033806 (2009)." }, { "title": "1505.07791v1.Driving_and_detecting_ferromagnetic_resonance_in_insulators_with_the_spin_Hall_effect.pdf", "content": "APS/123-QED\nDriving and detecting ferromagnetic resonance in insulators with the spin\nHall e \u000bect\nJoseph Sklenar,1, 2Wei Zhang,1Matthias B. Jungfleisch,1Wanjun Jiang,1Houchen\nChang,3John E. Pearson,1Mingzhong Wu,3John B. Ketterson,2and Axel Ho \u000bmann1\n1Materials Science Division, Argonne National Laboratory, Argonne IL 60439, USA\n2Department of Physics and Astronomy,\nNorthwestern University, Evanston IL 60208, USA\n3Department of Physics, Colorado State University, Fort Collins CO 80523, USA\n(Dated: November 12, 2021)\nAbstract\nWe demonstrate the generation and detection of spin-torque ferromagnetic resonance in Pt /Y3Fe5O12\n(YIG) bilayers. A unique attribute of this system is that the spin Hall e \u000bect lies at the heart of both the\ngeneration and detection processes and no charge current is passing through the insulating magnetic layer.\nWhen the YIG undergoes resonance, a dc voltage is detected longitudinally along the Pt that can be de-\nscribed by two components. One is the mixing of the spin Hall magnetoresistance with the microwave\ncurrent. The other results from spin pumping into the Pt being converted to a dc current through the inverse\nspin Hall e \u000bect. The voltage is measured with applied magnetic field directions that range in-plane to nearly\nperpendicular. We find that for magnetic fields that are mostly out-of-plane, an imaginary component of the\nspin mixing conductance is required to model our data.\n1arXiv:1505.07791v1 [cond-mat.mes-hall] 28 May 2015Magnetic insulators such as Y 3Fe5O12(YIG) with extremely low magnetic damping serve as\npromising platforms for low power data transmission [1–4]. In YIG /Pt bilayers the groundbreak-\ning discovery of magnetization dynamics generated by spin orbit torques of Pt contacts [5] opens\nup new opportunities for device concepts combining electronic, spintronic, and magnonic ap-\nproaches. The spin orbit torques in heavy metals arise from the spin Hall e \u000bect (SHE) [6, 7],\nwhich converts a charge current, Jc, to a spin current, Js, with a conversion e \u000eciency dictated by\na materials specific parameter, i.e., the spin Hall angle, \u0002S H[8]. The resultant spin current can\ndrive spin-torque ferromagnetic resonance (ST-FMR) in bilayer thin films made from metallic fer-\nromagnets and nonmagnetic metals [9]. In such experiments, FMR is driven by the simultaneous\nOersted field and oscillating transverse spin current (spin-torque) transformed by SHE from the\nalternating charge current. Electrical detection is made possible via the spin-torque diode e \u000bect\n[11], i.e., the rectification of the time dependent bilayer resistance arising from the anisotropic\nmagnetoresistance (AMR) of the ferromagnet [13, 14]. However, such a detection scenario is not\npossible in magnetic insulators due to missing free electrons coupling to magnetic moments and,\nthus, the absence of AMR.\nIn this Letter, we show experimentally that the SHE of a paramagnetic metal can be used for\nboth excitation and detection of ST-FMR for magnetic insulators. We demonstrate magnetiza-\ntion dynamics of a thin YIG layer induced by spin-torque from an adjacent Pt layer, as well as\nsubsequent detection of a dc voltage via the spin-torque diode e \u000bect generated by the anisotropic\nspin Hall magnetoresistance (SMR) of the Pt [12, 14–17]. It bears mentioning that the anisotropic\nresistance of metal films on top of ferromagnetic insulators, and interface e \u000bects in general [18],\nare a very active topic and other mechanisms independent of the SHE such as interface proximity\ne\u000bects [19] and interfacial Rashba e \u000bects [20] are being explored as contributors. In this work,\nSMR refers to the dependence of the electrical resistance of the metal on the magnetization direc-\ntion of an adjacent magnetic insulator and is a result of a simultaneous operation of the SHE and\nits inverse (ISHE) as a nonequilibrium phenomenon. Microscopically, this anisotropic behavior\norginates from the dependence of the spin accumulations of conduction electrons at the YIG /Pt\ninterface on the static YIG magnetization. For example, if the static magnetization is aligned with\nthe spin current’s polarization at the interface there is a large backflow [21] spin current; on the\nother hand, if the magnetization is orthogonal to the polarization a spin current is absorbed at\ninterface, and consequently the interfacial spin accumulation is reduced.\nModels of spin transport at the YIG /Pt interface that exclude proximity e \u000bects introduce the\n2spin mixing conductance, G\"#, to describe both the magnitude and phase of the interface spin\ncurrent [22]. This concept has been probed in a comprehensive study [23] involving a suite exper-\niments such as spin pumping [24, 25], spin Seebeck detection [26], and SMR measurements. It has\nalso been shown that the value of G\"#for a YIG /Pt interface is heavily dependent on sample fab-\nrication and processing [27]. In these works the spin mixing conductance is typically described as\nbeing purely real. However, for YIG /Pt bilayers it has been theoretically suggested that a non-zero\nvalue of Im( G\"#) should be considered [28]. Furthermore, very recent experiments investigating\nan anamolous spin Hall e \u000bect in Pt have provided evidence for a non-zero Im( G\"#) at the YIG /Pt\ninterface [29]. Here, we will present evidence that for ST-FMR experiments where the magnetic\nfield is tipped out-of-plane (OOP) a non-zero Im( G\"#) is required and evolves as a function of the\nOOP angle.\nWe fabricated YIG(40 nm) /Pt(6 nm) bilayers by in-situ magnetron sputtering on single crystal\ngadolinium gallium garnet (GGG, Gd 3Ga5O12) substrates of 500 \u0016m thickness with [111] orien-\ntation under high-purity argon atomsphere [3, 30]. The bilayers were subsequently patterned into\nmicrostripes in the shape of 500 \u0016m\u0002100\u0016m by photolithography and liquid nitrogen cooled ion\nmilling to remove all the YIG /Pt materials except for the bar structure. In a last fabrication step,\nsquare contact pads made of Ti /Au (3 nm /120 nm) are patterned on top each end of the YIG /Pt\nstripe via photolithography and lift-o \u000b. We configured our set-up into a ST-FMR scheme that is\nillustrated in Fig. 1 (a). A bias-tee is utilized to allow for simultaneous transmission of microwaves\nas well as dc voltage detection across the Pt. We modulate the amplitude of the microwave current\nat 4 kHz so that the ST-FMR dc signal is detected via a lock-in amplifier to improve signal to\nnoise.\nThe coordinate system that we will reference throughout this work is shown in Fig. 1 (a). The\nangle\u001eis in-plane and lies between the x and y axis. For our experiments \u001ewas always set to 45\u000e.\nThe polar angle \u0012describes the applied magnetic field direction OOP, while the polar angle is\nthe calculated OOP component of the magnetization. Due to geometrical demagnetization fields\n >\u0012 ; for a given \u0012and applied magnetic field is determined from the following expression:\n2\u0019Me f fsin 2 csc( \u0000\u0012)\u0000Hex=0; (1)\nwhere Me f fis the e \u000bective magnetization of the YIG and Hexis the externally applied magnetic\nfield.\nTo induce ST-FMR in the YIG we passed a fixed 5.5 GHz signal through the Pt while sweeping\n3θ\nΨ\nΦ\nxyz\nH\nM\nV\nYIGPt\n11.52.02.53.03.54.0Field (kG)\nθ (deg.) 10 30 50 70 90\n0 -15 15\nΔH (Oe)4πMeff= 1633 G(a)\n(c)(b)\nθ = 90o\nθ = 5oFIG. 1. A schematic of the bilayer and ST-FMR set-up is shown in (a). In the diagram Hindicates an\nexperimentally applied field, and Mindicates the magnetization vector. \u0012describes the tipping of Hfrom\nthe z-axis (thickness direction) and describes the tipping of Min the same manner. \u001eis an in-plane angle\nbetween the x and y axis; in all our experiments \u001e=45\u000e. (b) ST-FMR traces measured over a range of \u0012\nthat spans from 90\u000e- 5\u000ein 5\u000esteps. In order to show every resonance we plot each resonance centered on\nzero field. (c) shows the \u0012dependence of the ST-FMR experiments fit to Eq. (4). 4 \u0019Me f fis extracted from\nthis data set to be 1633 G.\nHexat a fixed\u001eand\u0012. The nominal microwave power level was set to be 10 dBm. The dynamic\nresponse of the system is governed by a modifed LLG equation of motion [28]:\ndˆM\ndt=\u0000j\rjˆM\u0002He f f+\u000b\u000eˆM\u0002dˆM\ndt+j\rj~Js\n2eM sdF; (2)\nwhere He f fincludes the Oersted field, Hac, demagnetization fields, and the applied external dc\nfield Hex. Additional quantities of importance are the intrinsic damping, \u000b\u000eand the spin current at\nthe interface,\nJs=Re(G\"#)\neˆM\u0002(ˆM\u0002\u0016s)+Im(G\"#)\neˆM\u0002\u0016s (3)\nthat originates from the SHE in Pt. Here G\"#is the spin mixing conductance and \u0016sis the spin\naccumulation at the YIG /Pt interface. The oscillatory torque terms that drive the magnetization\nare the field from the microwave current in H e f fand the spin torque term that includes Js. The\nangular range that \u0012covered over the course of our experiment was 5\u000e- 90\u000ein steps of 5\u000e. Figure 1\n4(b) plots every trace that was observed over the measureable angular range of \u0012. The OOP field\ndependence of the resonances shown in (b) is plotted in Fig. 1 (c). In order to extract the e \u000bective\nsaturation magnetization of our YIG we fit (Fig. 1 (c)) the out-of-plane angular dependence to the\ngeneralized Kittel equation that is given by:\nf=j\rj\n2\u0019q\nH2+4\u0019Me f f(H(sin\u0012sin \u00002 cos\u0012cos )+4\u0019Me f fcos2 ); (4)\nwhere\ris the gyromagnetic ratio taken as 2.8 GHz /kOe. The extracted e \u000bective magnetization is\n4\u0019Me f f=1633 G. We note that this Kittel-like analysis does not account for magnetocrystalline\nanisotropy or exchange energy. For comparison, in a separate work involving the study of spin\nwaves in other thin YIG films we measured 4 \u0019Me f f=1553 G [31].\nTo explain our experimental observations, we employ a theory developed by Chiba et. al.\n[28, 32]. Qualitatively, this model desribes a dc voltage that develops longitudinally along the Pt\nfilm when a microwave charge current flowing through the Pt induces ferromagnetic resonance in\nthe YIG. There are two di \u000berent contributions to the observed voltage: first, there is an analog to\nwhat is observed for Py /Pt bilayers where AMR of the Py mixes with the microwaves to generate\na dc voltage at and near the FMR condition [9]. For YIG /Pt the magnetoresistance resides in the\nPt and is the SMR [12, 15, 16]. Additionally, spin pumping at the YIG /Pt interface can inject a\nspin current into the Pt that can be converted to a dc charge current via the ISHE.\nThe theoretical model [28, 32] predicts that the voltage generated by spin pumping has a purely\nsymmetric lineshape about the resonance condition, and that the voltage induced by SMR also has\na symmetric contribution. Furthermore, the SMR contribution has an antisymmetric contribution\nto the lineshape as well. This model [32] was recently expanded to include a non-zero imaginary\npart of G\"#, a phase shift parameter, \u000e, between the charge current JcandHac, and an OOP applied\ndc Oersted field [28]. \u000eshould be considered to be a property of a given device and, for a fixed\nexcitation frequency, should be constant. The addition of the non-zero imaginary part of G\"#along\nwith the phase shift parameter \u000eallows for additional tunability in the net amplitude of both the\nantisymmetric as well as the symmetric contribution to the lineshape.\nAccording to theory, the lineshapes of a ST-FMR experiment for a YIG /Pt bilayer have the\n5following functional forms [28]:\nVS MR=[S1FS(Hex)+A1FA(Hex)] cos\u001esin 2\u001esin\u0012\n\u0000[S2FS(Hex)+A2FA(Hex)] sin3\u001ecos\u0012sin 2\u0012\n+A3sin\u001esin 2\u001esin 2\u0012(5)\nVS P=S3cos\u001esin 2\u001esin\u0012+S4sin3\u001ecos\u0012sin 2\u0012\n+S5sin\u001esin 2\u001esin 2\u0012;(6)\nwhere VS MRarises from SMR and VS Pis from spin pumping. FS(Hex) is the field dependent sym-\nmetric lineshape that is given by \u00012=[(Hex\u0000HFMR)2cos2(\u0012\u0000 )+\u00012].FA(Hex) is an antisymmetric\nlineshape that is given by FS(Hex) cos(\u0012\u0000 )(Hex\u0000HFMR)=\u0001. In these equations \u0001is the linewidth\nof the lineshape and HFMRis the field under which FMR occurs, which can be obtained from in-\nverting Eq. (3). S1\u0000S2, and A1\u0000A3are coe \u000ecients that rely on the mixing of the oscillatory SMR\nwith the charge current, and all end up being proportional to J2\nc; the other relevant parameters such\nas\u0002S H, G\"#,\u000e,Me f f,dN, and dF, are imbedded within these coe \u000eencients [28]. Two other param-\neters not yet mentioned are contained within these coe \u000ecients; they are the Pt resistivity \u001a, and\nthe spin di \u000busion length \u0015. In our analysis we use \u0015=1.2 nm; this value was determined for Pt by\nspin pumping experiments in Py /Pt bilayers [34]. S3\u0000S5are spin pumping coe \u000ecients that are\nsimilarily proportional to J2\ncand depend on the same quantities listed above for the SMR terms.\nComplete expressions for these coe \u000ecients can be found elsewhere [28].\nIn our analysis there are three fitting parameters assumed to be independent of \u0012:\u0002S H,Jc, and\n\u000e. We did not directly assume that the magnitude or complex composition of G\"#was independent\nof\u0012. Because we have previously measured the \u0002S Hof Pt to be 0.09 we analyze our data with this\nvalue in mind [34]. In other ST-FMR experiments the paramater \u000ehas been assumed to be zero,\ntherefore we will begin our discussion by following this example [9, 10]. This leaves us with fixing\nthe magnitude of Jc. Because the magnitude of G\"#is free we found various values of Jccould be\nused with reasonable G\"#counterparts. In fact, these two parameters are strongly anti-correlated.\nHowever, we found that a given Jcdoes not ensure that the magnitude of G\"#remains constant\nover all\u0012. We typically see an increase in the magnitude of G\"#as the field is tipped OOP. The\nvalue of Jc(9\u0002108A=m2) chosen here minimized the variation of G\"#over\u0012which then stays\nwithin 10% of a mean value of 2.44 \u00021014\n\u00001m2.\n610203040506070809011.522.533.5|G |\nδ = 0o\nδ = 52o\n(I) (II)\nRe(G )\nIm(G )\n3\n10 20 30 40 50 60 70 80 90\n10 20 30 40 50 60 70 80 9000.71.42.12.83.5\n00.71.42.12.83.5\nRe(G )\nIm(G )θ (deg.) θ (deg.)\nθ (deg.)\nG G (a) (b)\n(c)x1014x1014\nx1014(Ω-1m2)\n(Ω-1m2)(Ω-1m2)FIG. 2. The results of the \u0012dependence on both the real and imaginary components of the spin mixing\nconductance are shown above. In (a) jG\"#jis plotted as a function of \u0012for two di \u000berent assumed values of\n\u000e. The circles represent \u000e=0\u000eand the squares represent \u000e=52\u000e. In (b) the real and imaginary components\nofG\"#are plotted as a function of \u0012for\u000e=0\u000e. In (c) the real and imaginary components are plotted for \u000e=\n52\u000e.\nWith \u0002S H,Jc, and\u000efixed we proceeded to investigate the magnitude and complex behavior\nofG\"#as a function of \u0012. Fig. 2 (a) shows the \u0012dependence for our first set of assumptions as\ncircles. The complex behavior of G\"#is plotted in Fig 2 (b) where the Re( G\"#) is indicated as\nsquares and the Im( G\"#) is shown as circles. Here, one sees that the composition of G\"#is purely\nimaginary from \u0012=35\u000e- 90\u000e. This region is indicated as IIin the plot. For small values of \u0012(\n<35\u000e) the composition begins to flucuate. This region is indicated with a Iand is shaded blue in\nFig. 2. As seen in Fig. 2 (b), for the smallest values of \u0012,G\"#settles on having real and imaginary\ncomponents with similar magnitude.\nPreviously reported experiments, where the applied magnetic field is in-plane, report that G\"#\nis mainly real, which is not consistent with our analysis. A possible explanation may involve the\nparameter\u000e. In fact,\u000ehas been used in a similar ST-FMR experiment where the in-plane field\nconfiguration and a near out-of-plane measurement was performed while G\"#was assumed to be\nreal [33]. If we allow \u000eto vary we find that for a value of \u000e=52\u000ewe had a local maximum in\n7the ratio of Re( G\"#)/jG\"#j, at\u0012=90\u000e, as a function of \u000e. Therefore, we believe that a large phase\nshift between the microwave current and the microwave field exists making the analysis with a\nnon-zero\u000emore appropriate. With this new value of \u000e, and with the same value of Jcand\u0002S Has\nbefore, we performed again the \u0012dependent analysis. The dependence that G\"#has on\u0012with this\nnon-zero\u000eis shown in fig. 2 (b) plotted as squares. Fig. 2 (c) shows the complex composition of\nG\"#for this non-zero \u000e. In contrast to before, for region II,G\"#is mostly real with little flucuation\nin the angular range \u0012=35\u000e- 90\u000e. However this behavior does not persist; we again we see that in\nregion I, where the field approaches a OOP configuration, both the real and imaginary part of G\"#\nbecome appreciably non-zero.\nOne conclusion from the above discussion is that the parameter space used in fitting ST-FMR\nlineshapes in a YIG-Pt bilayer is not well enough constrained. To illustrate this point we show the\nmodel’s flexibility in Fig. 3. Here, we have plotted the model predictions directly on top of the\ndata for both the zero and non-zero \u000eanalysis and we have also chosen representative traces from\nboth region Iand region II. What does emerge is that independent of the assumptions used, for\u0012\n<35\u000eboth a real and imaginary component of G\"#are needed to fit that data. Before summarizing\nwe note that we analyzed our data under di \u000berent assumed values of \u0002S H(not shown). Smaller\nassumed values of \u0002S Hrequire smaller values of \u000eto make G\"#mainly real at \u0012=90\u000e. Near \u0002S H=\n0.06 no\u000eis required. Regardless, we see the same flucuating behavior of the complex composition\nofG\"#for small values of \u0012.\nThe ST-FMR paradigm has been studied with great intensity for spin Hall metal /ferromagnetic\nbilayers where the ferromagnet is a conductor. The present work shows that it can be successfully\nextended to insulating FM materials. Furthermore, it is clear that in addition to an Oersted mi-\ncrowave field torque from the Pt strip line, an additional spin torque from spin accumulation at the\nPt/YIG drives the dynamics as well. This particular conclusion is bolstered by a good agreement\nwith theory that includes such spin torques. A very interesting property of bilayers with ferromag-\nnetic insulators such as YIG is that the longitudinal voltage generated along the Pt when ST-FMR\nis taking place is created by e \u000bects that all trace their origin back to the SHE. These detection\nmechanisms set this work apart from metallic ferromagnets where mixing of the microwave cur-\nrent with the AMR of the ferromagnet itself leads to a measurable voltage. In this work we have\nalso have realized a recently proposed model [28] that describes ST-FMR voltages in YIG /Pt bi-\nlayers. We highlight that in order to adequately model our data over the full angular range, the\nvalue of Im( G\"#) was found to be an appreciable quantity for applied magnetic fields where the\n81.29 1.3 1.31 1.32 1.33\n2.79 2.8 2.81 2.82 2.83 2.84 2.85 2.86\n1.29 1.3 1.31 1.32 1.33\n2.79 2.8 2.81 2.82 2.83 2.84 2.85 2.86Field (kOe) Field (kOe)\nField (kOe) Field (kOe)Signal (nV)\nSP\nSMR\nSP+SMR\nExperiment\nθ = 90o\nδ = 0oθ = 90o\nδ = 52o\nθ = 20o\nδ = 0oθ = 20o\nδ = 52o(a) (b)\n(c) (d)020406080100120\n-20\nSignal (nV)\n-80-4004080120160\n-20020406080100\n020406080100\n-20120\n-40Signal (nV)Signal (nV)\n1.29 1.3 1.31 1.32 1.33\n2.79 2.8 2.81 2.82 2.83 2.84 2.85 2.86\n1.29 1.3 1.31 1.32 1.33\n2.79 2.8 2.81 2.82 2.83 2.84 2.85 2.86Field (kOe) Field (kOe)\nField (kOe) Field (kOe)Signal (nV)\nSP\nSMR\nSP+SMR\nExperiment\nθ = 90o\nδ = 0oθ = 90o\nδ = 52o\nθ = 20o\nδ = 0oθ = 20o\nδ = 52o(a) (b)\n(c) (d)020406080100120\n-20\nSignal (nV)\n-80-4004080120160\n-20020406080100\n020406080100\n-20120\n-40Signal (nV)Signal (nV)\nFIG. 3. Representative fits of the ST-FMR data for both zero and non-zero values of \u000e. Additionally, we\nshow fits to the data for two di \u000berent angles, \u0012=90\u000eand\u0012=20\u000e. These two angles each represent data\nacquired from regions IandIIin fig. 2. The black data points are densely packed together. The total\ntheoretical fit is plotted in red, while the two contributions to the total, spin pumping and SMR, are plotted\nin blue and green respectively.\nmagnetization is sizably tipped OOP.\nWe acknowledge Stephen Wu for assistance with ion-milling used for sample prepartation. The\nwork at Argonne was supported by the U.S. Department of Energy, O \u000ece of Science, Materials\nScience and Engineering Division. Lithography was carried out at the Center for Nanoscale Ma-\nterials, which is supported by DOE, O \u000ece of Science, Basic Energy Science under Contract No.\nDE-AC02-06CH11357. Work at Northwestern utilized facilities maintained by the NSF supported\nNorthwestern Materials Research Center under contract number DMR-1121262. The work at Col-\norado State University was supported by the U. S. Army Research O \u000ece (W911NF-14-1-0501),\nthe U. S. National Science Foundation (ECCS-1231598), C-SPIN (one of the SRC STARnet Cen-\nters sponsored by MARCO and DARPA), and the U. S. Department of Energy (DE-SC0012670).\n[1]Recent Advances in Magnetic Insulators - From Spintronics to Microwave Applications , edited by M.\nWu and A. Ho \u000bmann, Solid State Physics 64, (Academic Press, 2013).\n9[2] H. L. Wang, C. H. Du, P. C. Hammel, and F. Y . Yang, Phys. Rev. B 89, 134404 (2014).\n[3] H. Chang, P. Li, W. Zhang, T. Liu, A. Ho \u000bmann, A. Deng, and M. Wu, IEEE Magn. Lett. 5, 6700104\n(2014).\n[4] P. Pirro, T. Br ¨acher, A.V . Chumak, B. L ¨agel, C. Dubs, O. Surzhenko, P. G ¨ornert, B. Leven and B.\nHillebrands, Appl. Phys. Lett. 104, 012402 (2014).\n[5] Y . Kajiwara, et al., Nature 464, 262 (2010).\n[6] M. I. D‘yakonov and V . I. Perel, Sov. Phys. JETP Lett. 13, 467 (1971).\n[7] J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999).\n[8] A. Ho \u000bmann, IEEE Trans. Magn. 49, 5172 (2013).\n[9] L. Liu, T. Moriyama, D. C. Ralph, and R. A. Buhrman, Phys. Rev. Lett. 106, 036601 (2011).\n[10] A. R. Mellnik et al., Nature 511, 449 (2014).\n[11] J. C. Sankey, P. M. Braganca, A. G. F. Garcia, I. N. Krivorotov, R. A. Buhrman, and D. C. Ralph,\nPhys. Rev. Lett. 96, 227601 (2006).\n[12] H. Nakayama, et al., Phys. Rev. Lett. 110, 206601 (2013).\n[13] H. J. Juretschke, J. Appl. Phys. 31, 1401 (1960).\n[14] M. I. Dyakonov, Phys. Rev. Lett. 99, 126601 (2007).\n[15] Y . -T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T. B. Goennenwein, E. Saitoh, and G. E.\nW. Bauer, Phys. Rev. B 87, 144411 (2013).\n[16] M. Althammer, et al., Phys. Rev. B 87, 224401 (2013).\n[17] C. Hahn, G. de Loubens, O. Klein, M. Viret, V . V . Naletov, and J. Ben Youssef, Phys. Rev. B 87,\n174417 (2013).\n[18] J. C. Rojas-Sanchez, et al., Phys Rev. Lett. 112, 106602 (2014).\n[19] B. F. Miao, S. Y . Huang, D. Qu, and C. L. Chien, Phys. Rev. Lett. 112, 236601 (2014).\n[20] V . L. Grigoryan, W. Guo, G. E. W. Bauer, and J. Xiao, Phys. Rev. B 90, 161412 (2014).\n[21] H. Jiao and G. E. W. Bauer, Rev. Lett. 110, 217602 (2013).\n[22] Y . Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev. Lett. 88, 117601 (2002).\n[23] M. Weiler, M. Althammer, M. Schreier, J. Lotze, M. Pernpeintner, S. Meyer, H. Huebl, R. Gross, A.\nKamra, J. Xiao, Y . T. Chen, H. J. Jiao, G. E. W. Bauer, and S.T.B. Goennenwein, Phys. Rev. Lett. 111,\n176601 (2013).\n[24] O. Mosendz, V . Vlaminck, J. E. Pearson, F. Y . Fradin, G. E. W. Bauer, S. D. Bader, and A. Ho \u000bmann,\nPhys. Rev. B 82, 214403 (2010).\n10[25] L. Bai, P. Hyde, Y . S. Gui, C.-M. Hu, V . Vlaminck, J. E. Pearson, S. D. Bader, and A. Ho \u000bmann, Phys.\nRev. Lett. 111, 217602 (2013).\n[26] T. Kikkawa, et al., Phys. Rev. Lett. 110, 067207 (2013).\n[27] M. B. Jungfleisch, V . Lauer, R. Neb, A. V . Chumak, and B. Hillebrands, Appl. Phys. Lett. 103, 022411\n(2013).\n[28] T. Chiba, M. Schreier, G. E. W. Bauer, and S. Takahashi, ArXiv e-prints (2014), arXiv:1409.8406\n[cond-mat.meshall].\n[29] S. Meyer, R. Schlitz, S. Gepr ¨ags, M. Opel, H. Huebl, R. Gross, and S. T. B. Goennenwein, Appl. Phys.\nLett.106, 132402 (2015).\n[30] T. Liu, H. Chang, V . Vlaminck, Y . Sun, M. Kabatek, A. Ho \u000bmann, L. Deng, and M. Wu, J. Appl.\nPhys. 115, 17A501 (2014).\n[31] M. B. Jungfleisch, et al., J. Appl. Phys. 117, 17D128 (2015).\n[32] T. Chiba, G. E. W. Bauer, and S. Takahashi, Phys. Rev. Applied 2, 034003 (2014).\n[33] M. Schreier, T. Chiba, A. Niedermayr, J. Lotze, H. Huebl, S. Gepr ¨ags, S. Takahashi, G. E. W. Bauer,\nR. Gross, and S. T. B. Goennenwein, ArXiv e-prints (2014), arXiv:1412.7460 [cond-mat.mes-hall].\n[34] W. Zhang, V . Vlaminck, J. E. Pearson, R. Divan, S. D. Bader and A. Ho \u000bmann, Appl. Phys. Lett. 103,\n242414 (2013).\n11" }, { "title": "2402.12112v1.Magnetic_anisotropy_and_GGG_substrate_stray_field_in_YIG_films_down_to_millikelvin_temperatures.pdf", "content": "1\nMagnetic anisotropy and GGG substrate stray field\nin YIG films down to millikelvin temperatures\nRostyslav O. Serha1,2∗, Andrey A. V oronov1,2, David Schmoll1,2, Roman Verba3, Khrystyna O. Levchenko1,\nSabri Koraltan1,2,4, Kristýna Davídková1,2, Barbora Budinska1,2, Qi Wang5, Oleksandr V . Dobrovolskiy1,\nMichal Urbánek6, Morris Lindner7, Timmy Reimann7, Carsten Dubs7, Carlos Gonzalez-Ballestero8,\nClaas Abert1,4, Dieter Suess1,4, Dmytro A. Bozhko9, Sebastian Knauer1, and Andrii V . Chumak1\n1Faculty of Physics, University of Vienna, 1090 Vienna, Austria.\n2Vienna Doctoral School in Physics, University of Vienna, 1090 Vienna, Austria.\n3Institute of Magnetism, Kyiv 03142, Ukraine.\n4Research Platform MMM Mathematics – Magnetism – Materials, University of Vienna, Vienna, Austria.\n5Huazhong University of Science and Technology, Wuhan, China.\n6CEITEC BUT, Brno University of Technology, 61200 Brno, Czech Republic.\n7INNOVENT e.V . Technologieentwicklung, 07745 Jena, Germany.\n8Institute for Theoretical Physics, Vienna University of Technology, 1040 Vienna, Austria.\n9Department of Physics and Energy Science, University of Colorado Colorado Springs, Colorado Springs,\nColorado 80918, USA.\nEmail:∗rostyslav.serha@univie.ac.at\nAbstract —Quantum magnonics investigates the quantum-\nmechanical properties of magnons such as quantum coherence or\nentanglement for solid-state quantum information technologies\nat the nanoscale. The most promising material for quantum\nmagnonics is the ferrimagnetic yttrium iron garnet (YIG),\nwhich hosts magnons with the longest lifetimes. YIG films of\nthe highest quality are grown on a paramagnetic gadolinium\ngallium garnet (GGG) substrate. The literature has reported\nthat ferromagnetic resonance (FMR) frequencies of YIG/GGG\ndecrease at temperatures below 50 K despite the increase in YIG\nmagnetization. We investigated a 97 nm-thick YIG film grown\non 500 µm-thick GGG substrate through a series of experiments\nconducted at temperatures as low as 30 mK, and using both\nanalytical and numerical methods. Our findings suggest that the\nprimary factor contributing to the FMR frequency shift is the\nstray magnetic field created by the partially magnetized GGG\nsubstrate. This stray field is antiparallel to the applied external\nfield and is highly inhomogeneous, reaching up to 40 mT in\nthe center of the sample. At temperatures below 500 mK, the\nGGG field exhibits a saturation that cannot be described by\nthe standard Brillouin function for a paramagnet. Including the\ncalculated GGG field in the analysis of the FMR frequency\nversus temperature dependence allowed the determination of\nthe cubic and uniaxial anisotropies. We find that the total\nanisotropy increases more than three times with the decrease\nin temperature down to 2 K. Our findings enable accurate\npredictions of the YIG/GGG magnetic systems behavior at low\nand ultra-low millikelvin temperatures, crucial for developing\nquantum magnonic devices.\nI. I NTRODUCTION\nMagnonics is the field of science that deals with data carried\nand processed by spin waves and their quanta, magnons, in\nmagnetically ordered media [1]. The ferrimagnet yttrium iron\ngarnet (YIG) Y 3Fe5O12is the material with the lowest known\nmagnetic damping as bulk material [2], [3], [4] and in formof thin films [5], [6], [7], [8], [9], [10], [11]. Thus, YIG is the\nmedium with the longest lifetimes of magnons up to one mi-\ncrosecond [12]. Therefore, YIG has emerged as a preeminent\nmaterial in RF technologies and magnonic experiments, show-\ning promise for quantum magnonic applications. The field of\nquantum magnonics is a rapidly growing and highly promising\nresearch area that operates with quantum magnonic states, e.g.\nsingle magnons, and hybrid structures [13], [14], [15], [16],\n[17], [18], [19], [20], [21], [22]. These investigations have to\nbe performed at millikelvin temperatures to ensure that there is\nminimal thermal noise, allowing for precise observation and\nmanipulation of the quantum states of magnons, which are\nextremely fragile to any kind of distortion.\nNote, YIG was already the material of choice in experiments\nat low kelvin and ultralow millikelvin temperature magnonics\nfor coupling to superconducting resonators [13], [23], [24],\n[19] and propagating spin-wave spectroscopy [25], [26], [20].\nFurthermore, the first demonstration of magnon control and\ndetection at the single magnon level [17] and the first measure-\nments of the Wigner function of a single magnon [18] were\nperformed also using a YIG sphere as a magnetic medium.\nProposals for applications in quantum computing have also\nemerged, in particular the use of YIG spheres as magnonic\ntransducers for qubits [19]. A pressing question in the quantum\nmagnonics community is how to bring the more complex,\nflexible, and property-rich structures employed in classical\nmagnonic nanodevices to the quantum regime. Two dimen-\nsional geometries are a paramount example, for instance YIG\nfilms down to tens of nanometers thick grown on gadolinium\ngallium garnet (GGG) Gd 3Ga5O12substrates [6], [7], [8], [5],\n[10], [11] enables the development of nanoscale magnetic\ndevices and circuits [14]. As the temperature decreases, thearXiv:2402.12112v1 [cond-mat.mes-hall] 19 Feb 20242\nspin-wave damping in YIG/GGG increases up to tenfold due to\nvarious effects associated with impurities in YIG and parasitic\ninfluence of the paramagnetic GGG substrate [27], [28], [29],\n[30], [20], [31]. Our experimental investigations of spin-wave\ndamping agree well with the results reported in the literature\n(but are out of the scope of this article).\nSeveral experimental reports have demonstrated that low-\nering the temperature below about 50 K in YIG/GGG shifts\nthe ferromagnetic resonance (FMR) frequency or the fre-\nquency of propagating spin waves [32], [26], [33], [34],\n[30]. Our experimental results show the same behavior. The\ninterpretation given by the authors in [32], agrees with our\nanalysis. However, at the time of the study, the measurements\nand calculations were not performed below 4.2 K, which is\nparticularly interesting for quantum magnonics and is the\ntemperature regime in which GGG shows a complex magnetic\nphase behavior [35], [36]. Additionally, the role of the strong\nnon-uniformity of the field induced by the partially magnetized\nGGG was not explored as well as the change of crystallo-\ngraphic anisotropy in YIG with decreasing temperature.\nHere, through experiments, theory, and numerical simula-\ntions, we studied the stray field induced by the GGG substrate\nat temperatures as low as 30 mK. Using vibrating-sample mag-\nnetometry (VSM), we measured the magnetization of GGG as\na function of the applied field and temperature down to 2 K.\nTo extrapolate values for lower temperatures, we utilized the\nBrillouin function. We utilized analytical theory and numerical\nsimulations to determine the GGG stray field and the cubic\nand uniaxial crystallographic anisotropies of YIG. Our findings\nrevealed that the GGG field is strongly non-uniform, ranging\nfrom 10 mT to 70 mT for the YIG/GGG sample of (5x5) mm2,\nat a temperature of 2 K, and an applied magnetic field of\n600 mT when the magnetization of GGG was 238 kA/m. It has\nbeen experimentally confirmed by FMR measurements that\nthe magnetization of the GGG substrate does not change with\ntemperature below 500 mK [36] in contrast to the Brillouin\nmodel of a paramagnet.\nII. M ETHODOLOGY\nA. Experimental methods\nIn our research, we studied a (5x5) mm2and 97 nm-thick\nYIG film grown on a 500 µm-thick GGG substrate, using liquid\nphase epitaxy [5], [6]. We conducted stripline ferromagnetic-\nresonance (FMR) spectroscopy using a vector network ana-\nlyzer (VNA), within a Physical Property Measurement System\n(PPMS), at temperatures ranging from 2 K to 300 K. FMR\nspectroscopy was conducted up to 40 GHz. The experiments at\nultralow temperatures were conducted in a dilution refrigerator\nthat can reach a base temperatures of 10 mK.\nThe following measurements were taken with the external\nmagnetic field in the in-plane orientation and applied along\nthe FMR stripline antenna. To determine the FMR spectrum\nfor a specific field, S12and S21parameters were measured\nusing a VNA not only at the target field but also at reference\nfields adjusted to approximately 15 mT to 40 mT, both above\nand below the desired value [37]. By subtracting the averaged\nsignals of the reference fields from the measured FMR signal,we obtained the FMR absorption spectrum in YIG that was\nnot affected by GGG (see Fig. 2 (b) as an example). This\ndual reference measurement approach enabled to obtain the\nbest results when working with kelvin and sub-kelvin tem-\nperatures, since the GGG parasitic signal is greatly affected\nby the change in the applied field. To obtain the resonance\nfrequency and full linewidth at half maximum, the background\nis first analyzed using a 1D cubic spline model [38]. The\nresonance shape is then fitted using the double Lorentzian\nmodel, which individually describes the left and right sides\nof the asymmetric absorption peaks.\nTo accurately determine the magnetization of GGG for our\nanalytic calculations and numerical simulations, we utilized\nvibrating-sample magnetometry (VSM) on a pure GGG slab\nin the temperature range from 2K to 300K. The Gd+3ions\nin GGG have a relatively large spin (S = 7/2), resulting in\na saturation magnetization that is notably higher than that\nof YIG. Specifically, the saturation magnetization of GGG,\ndenoted by Ms\nGGG, is equal to 805 kA/m, as shown in Fig. 2 (a).\nBased on established practices, experimental FMR data can\nbe used to extract the effective magnetization, the anisotropy\nfields, and the Gilbert damping parameter of a magnetic mate-\nrial (see [39] and the supplementary materials therein). In this\nstudy, the temperature-dependent saturation magnetization of\nYIG, Ms\nYIG, is taken from the analytical calculation performed\nin [40]. Thus, we can use this information to more accurately\ndetermine the anisotropy fields of YIG using them as a fitting\nparameter.\nAs reported in the literature [32], [20] and supported by our\nFMR analysis, the paramagnetic GGG becomes sufficiently\nmagnetized at temperatures below about 100 K, together with\nan external magnetic field applied. This magnetization in-\nduces a magnetic stray field BGGG in the YIG layer, which\ncauses a shift of the YIG FMR frequencies [32]. For the in-\nplane applied magnetic field, the FMR shift is toward lower\nfrequencies because BGGG and the applied bias field B0are\nantiparallel. Conversely, in an out-of-plane geometry, the stray\nfield BGGG aligns parallel to the field B0, resulting in a shift\nof the resonance frequency to higher values [32]. The positive\nshift was also confirmed by our experimental results, but in\nthis paper we focus only on the in-plane configuration.\nThe magnitude of this inhomogeneous stray field BGGG is\ninfluenced by both the temperature and the strength of the\nexternal magnetic field. At lower temperatures and higher\nexternal fields, the GGG-induced stray field becomes more\npronounced, which is crucial for determining the FMR fre-\nquency fFMR. The modified Kittel formula tailored for in-plane\nmagnetization geometry describes the influence of this field on\nthe FMR frequency:\nfFMR =γ·p\n(B0−BGGG)·\n·q\n(B0−BGGG +Beff\nani+µ0Ms\nYIG),(1)\nwhere γis the reduced gyromagnetic ratio, B0is the applied\nexternal field, Beff\nanithe effective crystallographic anisotropy\nfield [39], which is a fit parameter as described in detail below,\nBGGG is the GGG-induced stray field defined analytically\nin the next section and Ms\nYIGthe theoretical value for the3\nsaturation magnetization of YIG at any temperature taken\nfrom [40].\nB. Analytical calculation of GGG field\nAt low temperatures below 10 K and in the presence of\nmagnetic fields measuring several hundred millitesla, GGG\nattains a significant magnetization exceeding hundreds of\nkA/m (see Fig. 2 (a)). In this state, the GGG substrate becomes\na magnet and emits a stray magnetic field that expands\nbeyond its volume. To accurately determine the strength and\ncharacteristics of the stray field generated by the GGG, two\ncrucial parameters are required: MGGG, which denotes the\nmagnetization of GGG, and ˜Nxx, which represents the averaged\nmutual in-plane demagnetization factor of GGG and YIG\nslabs. Then, one can accurately determine the strength and\ncharacteristics of the stray field generated by the GGG in the\ndirection of the external field BGGG as\nBGGG =µ0MGGG(T,B0)˜Nxx. (2)\nBGGG is crucial for understanding its overall magnetic influ-\nence, particularly at low temperatures, on the internal field of\nthe YIG film. Net GGG magnetization MGGG is given by the\nimplicit equation [41]:\nMGGG =Ms\nGGG·\n·B7\n2\u00127\n2·gµB·(B0−µ0MGGGNxx+λ µ0MGGG)\nkBT\u0013\n,(3)\nwhere Ms\nGGG= 805 kA/m denotes the saturation magnetization\nof GGG, g= 2 the Landé factor, µBthe Bohr magneton, B7\n2\nthe Brillouin function for the angular momentum J=7\n2,λ\nthe coefficient of the molecular field and Nxxis the standard\ndemagnetization factor (i.e. self-demagnetization) of GGG\nsample.\nIn our case of thin GGG cuboid of the sizes 2 a×2a×2c,\nwhere c≪a, self demagnetization factor can be approximated\nas [42]\nNxx≈c\nπa\u0010\n0.726−logc\na\u0011\n. (4)\nFor the mutual demagnetization factor, we account that YIG\nfilm is much thinner than GGG, and that we deal with\nnonuniform FMR in YIG, because the lateral sizes of YIG\nare much larger than spin-wave free path, so that standing\neigenmodes are not formed. In this case, the FMR peak\nposition is mostly determined by central YIG area, for which\nmutual demagnetization factor is\n˜Nxx=1\nπ·arctan\"√\n2c√\na2+2c2#\n. (5)\nFull expressions for arbitrary prisms are available in [42].\nNote, both approximations for self and mutual demagnetiza-\ntion factors may not be adequate for small external fields B0,\nas it neglects the potential nonuniform magnetization of GGG.\nTo ensure that our calculations and numerical micromag-\nnetic simulations would be most precise, the magnetization\nof GGG MGGG was measured for each temperature within\nthe same field range used in the FMR experiments. Forcalculations at temperatures below 2 K, we relied on the\nVSM data obtained earlier. The data was fitted using Eq. 3\nby adjusting the molecular field λ= -0.854 and saturation\nmagnetization Ms\nGGG= 756 kA/m as fitting parameters. This\nfitting method was used to extrapolate the values of MGGG\nwith respect to temperature Tand external magnetic field B0.\nIt allowed us to compare our theoretical and simulation work\nwith experimental results even at temperatures below 2 K.\nC. Numerical simulations\nTo gain a better understanding of the stray magnetic field\npresent in the YIG layer on the GGG substrate, we also\nconduct micromagnetic simulations using magnum.np [43] and\nmumax3[44]. These simulations offer a more precise depiction\nof the inhomogeneity of the field.\nThe simulations for the GGG substrate were conducted\nusing the same geometric parameters as in the experimental\nstudy. The saturation magnetization, denoted as MGGG, was\ntake from the experimental results measured using VSM. Al-\nthough micromagnetic solvers are not intended for simulations\nof a paramagnetic and cannot give a rigorous description\n(it requires other numerical approaches [45], which are too\ncumbersome in 3D), static paramagentic behavior of the\nmaterial can be reasonably simulated by setting the exchange\nconstant Aexto zero. Due to the small magnetic susceptibility\nχ(see Ref. [36]) in the linear regime, it is assumed that the\nmagnitude of the local magnetization does not change by\ncause of self-demagnetizing effects. The external magnetic\nfield B0was applied in-plane, as depicted in Fig. 1 (a). To\nensure saturation within a simulation time of 2 ns, a Gilbert\ndamping factor of α= 1 was utilized, and the resulting demag-\nnetization field was recorded as a projection in the direction\nof the external bias field BGGG. The simulation employed a\nmesh of 1000 ×1000×26 cells. Given that the simulation’s\nobjective was to examine the magnetization’s relaxed state\nin the absence of exchange interaction, a cell dimension of\n(5×5×20) µm3was deemed adequately sufficient. In the end,\nto determine the strength of the demagnetization field BGGG\nat the interface between YIG and GGG, the mean-field value\nfrom the last two layers along the z-axis was calculated.\nIII. R ESULTS\nTo simulate the strength and profile of the stray field induced\nby the paramagnetic substrate over the YIG layer, we first\nmeasured the magnetization of a bare GGG substrate, as shown\nin Fig. 2 (a). In the partially magnetized state, GGG has a\nsmall value of magnetic susceptibility χ≈0.3 and creates a\nhighly inhomogeneous y-field component By\nGGGon its surface,\nas seen in Fig. 1 (b). As an example, at 2 K, this induced field\nBy\nGGGopposes the external magnetic field of 600 mT. It varies\nin strength from 13 mT at the center to 70 mT at the edges,\nantiparallel to the direction of the external magnetic field.\nThis field is crucial in investigating YIG/GGG systems at low\ntemperatures.\nFig. 2 (b) displays two spectra that exemplify the impact\nof cryogenic temperatures on the FMR signal of the YIG\nfilm in an external magnetic field of 425 mT. The FMR peak4\nFig. 1. (a) Depiction of the experimental system of a YIG film grown on\nGGG. The sample is in-plane magnetized by an external magnetic field,\nand at temperatures approaching 0 K the paramagnetic GGG spin system\nsaturates. The substrate creates an inhomogeneous stray field, that becomes an\nadditional component to the internal magnetic field of the YIG but is oriented\nantiparallel to the external field. For measuring FMR the YIG film sample is\nplaced on a CPW antenna, through which the magnetic system is excited. (b)\nMicromagnetic simulation of the highly inhomogeneous By\nGGGstray field y-\ncomponent at the interface between YIG and GGG layers. The inhomogeneity\ncan also be seen for the xand y-axis for the center of the sample by the\ntwo cross-section plots marked in the 2D map with the red dotted lines.\nThe simulation is performed using mumax3[44] for the temperature 2 K and\nthe strength of the applied external magnetic field of 600 mT at which the\nmagnetization of GGG was 238 kA/m.\nis almost entirely Lorentzian-shaped at room temperature.\nHowever, when the temperature decreases below 100 K, the\npeak becomes asymmetric on one side, as shown in Fig. 2 (b)\nfor 52 K, and then broadens significantly with a lower reso-\nnance frequency, as demonstrated for 2 K. Additionally, the\namplitude of the FMR peak decreases as the temperature\nreduces. Note the shape and width of the FMR peak will be\nanalyzed quantitatively and discussed in future work. For now,\nthis manuscript exclusively focuses on the internal field andthe FMR frequency of the YIG film.\nFig. 2 (c) clearly shows the impact of the stray field induced\nby the GGG substrate. The graph displays the FMR frequen-\ncies fFMR obtained for the YIG film at various temperatures,\nranging from 300 K to 30 mK. As the temperature decreases,\nthe FMR frequency increases due to the rise in the saturation\nmagnetization of YIG. The theoretical curves, represented by\ndashed lines, were calculated using Eq. 1, with the gyromag-\nnetic ratio γand effective anisotropy field Beff\nanitaken from the\nKittel fit at room temperature, but neglecting the contribution\nof the GGG-induced stray field ( BGGG= 0).\nAt temperatures below 50 K, the experimental FMR fre-\nquencies deviate from the theoretical values due to the GGG-\ninduced stray field. The data indicate that for an external\nfield B0of 925 mT, the FMR frequency begins to decrease\nat approximately 50 K, while for B0values of 325 mT, this\noccurs at around 25 K. At temperatures below 2 K and high\nexternal fields of 925 mT, there is a notable deviation of over\n0.5 GHz between the experimental and theoretical results. This\ndifference is attributed to the GGG stray field, which opposes\nthe external magnetic field and lowers the FMR frequency\nfFMR of YIG. The FMR frequency shift, dependent on MGGG,\nbecomes more pronounced as the temperature decreases and\nthe external magnetic field increases, as shown in Fig. 2 (c).\nThe results of comparing three different magnetic fields at\n30 mK shows that the impact is more pronounced at higher\nexcitation frequencies, which is associated with stronger mag-\nnetic fields.\nBelow 500 mK, the frequency fFMR displays unusual be-\nhavior as its decline stabilizes, showing negligible change\ndown to 30 mK, despite the varied magnetization of GGG in\nthis temperature range as predicted by the Brillouin function\n(Eq.,3). This phenomenon is due to the complex nature of\nGGG, which possesses a geometrically highly frustrated spin\nsystem [46], [47], leading to a complex phase diagram for\ntemperatures below 1 K [35], [36]. Understanding the sub-\nkelvin temperature behavior of GGG requires considering\ncompeting interactions among loops of spins, trimers, and\ndecagons, along with the interplay between antiferromagnetic,\nincommensurate, and ferromagnetic orders [36]. Consequently,\nthe Brillouin function fails to describe the magnetization of\nGGG below 500 mK and can be seen even clearer in later\ndescribed Fig. 3 (c). This finding aligns with previous experi-\nmental studies [36] using different techniques, such as single-\ncrystal magnetometry and polarized neutron diffraction. In-\ncorporating the analytically-calculated GGG stray field BGGG\ninto the Kittel formula Eq. 1 is necessary for determining the\nFMR frequency. To accurately identify the FMR frequencies\nfFMR e.g. shown in Fig. 2 (b), it is essential to account for\nthe GGG-induced stray field BGGG at the sample center. At\nthis location, the gradient is zero, and the region of the same\nfield magnitude is the largest, most significantly affecting the\narea excited by the microwave stripline and determining the\nposition of the FMR peak. The red vertical dashed line in\nFig. 1 (b) approximately depicts the position of the microstrip\nFMR antenna. Once incorporated into the Kittel equation,\nthe gyromagnetic ratio γand the effective anisotropy field\nBeff\nanibecome fitting parameters. Obtaining Beff\naniallows us to5\nFig. 2. (a) VSM measurements of the magnetization of GGG MGGG as\nfunction of the temperature Tand the external magnetic field B0.MGGG\nsaturates at a value of 805 kA/m. The graphs represent the GGG magneti-\nzation over the range available in the experiment. (b) Example spectra of\nFMR at the temperatures of 2 K, 52 K, and room temperature (RT) for an\nexternal magnetic field of 425 mT. (c) FMR frequency as function over the\ntemperature, plotted in a x-axis logarithmic scale, for 3 different magnetic\nfields. Measurements are depicted as points and were performed with the\nsample magnetized in the ⟨110⟩direction. The dotted lines are portraying the\nanalytical calculation for the frequency of the FMR by the Kittel formula,\nwhich is neglecting the induced GGG stray field. The parameters for the\ngyromagnetic ratio γand effective anisotropy field Beff\naniare obtained by fitting\nroom temperature measurements.gain insight into and make predictions about the internal field\nof thin YIG films at low temperatures. Fig. 3 (a) displays\nthe fitting outcomes for the magnetization orientations of\n⟨110⟩(red) and ⟨112⟩(black), with the effective anisotropy\nfield Beff\naniplotted against temperature on a x-axis logarithmic\nscale. The error bars are taken from the root-mean-square\ndeviation of the fit. At room temperature, the anisotropy field\nis relatively small, measuring approximately 5 mT, with a\nvariation of about 0.6 mT between the two orientations due\nto cubic anisotropy of the YIG single crystal.\nHowever, as the temperature decreases, both the strength of\nBeff\naniand the difference between ⟨110⟩and⟨112⟩magnetization\ndirections increase significantly. At a temperature of 2 K, the\neffective anisotropy field Beff\naniis more than 3.2 and 3.5 times\nlarger for the ⟨110⟩and⟨112⟩directions, respectively, than at\nroom temperature. The inset in Fig. 3 (a) displays the second\nfitting parameter, the gyromagnetic ratio γ, as a function of\ntemperature on a x-axis logarithmic scale. It is known from\nprevious research on YIG that γis considered to be weakly\ntemperature dependent [48], [49], [31]. The behavior of γ\nshows a very weak decrease at lower temperatures, changing\nfrom 28.13 GHz/T to 28.0 GHz/T. γis nearly identical for\nboth magnetization directions, and the values fall within each\nother’s error bars.\nTo get a better understanding of the changes in the effective\nanisotropy field Beff\nani, the field was divided into its two main\ncomponents: the cubic anisotropy field Bcand the uniaxial\nanisotropy field Bu. This separation is achieved by considering\nthe two magnetization directions and applying two separate\nequations for the FMR frequency, as described in [50].\nf⟨110⟩\nFMR =γ⟨110⟩·p\n(B0−BGGG)·\n·q\n(B0−BGGG−Bu−Bc+µ0Ms)−2B2c,(6)\nf⟨112⟩\nFMR =γ⟨112⟩·p\n(B0−BGGG)·\n·p\n(B0−BGGG−Bu−Bc+µ0Ms).(7)\nUsing Eq. 6 and Eq. 7 to fit the FMR frequency fFMR data,\nand utilizing the gamma values from Fig. 3 (a), we can obtain\nvalues for the two distinct anisotropy fields, Bc(green) and\nBu(blue) and their errors by root-mean-square deviation.\nThe resulting plot in Fig. 3 (b) shows the anisotropy field\nBanias a function of temperature Ton a x-axis logarithmic\nscale. Our experimental findings at room temperature indicate\n(-6.9 ± 2) mT for Bcand (2.1 ± 2) mT for Bu, which agree\nwell with previously reported values for thin YIG films [6].\nNotably, the cubic anisotropy increases to (-11.6 ± 0.8) mT\nat temperatures as low as 2 K, almost doubling the room\ntemperature value. The uniaxial anisotropy exhibits a unique\ncharacteristic of changing its positive-to-negative sign at cryo-\ngenic temperatures and reaching a peak value of (-5 ± 0.7) mT\nat 2 K.\nWith this understanding of the low-temperature anisotropy\nand the stray field caused by GGG, we can make accurate6\npredictions about the FMR behavior in YIG films even at\ntemperatures as low as 2 K. Note, that the anisotropy increase\nreaches a saturation point below 10 K, as demonstrated in\nFig. 3 (a). As a result, we can assume that the anisotropy\nremains constant down to the millikelvin temperatures and can\nbe treated as equivalent to the 2 K values.\nHowever, in the absence of magnetization MGGG measure-\nments below 2 K, we cannot utilize experimental data to com-\npute the stray field BGGG using Eq. 2. Therefore, we must rely\non the Brillouin fit presented in Sec. II-A (Eq. 3) and extrapo-\nlate MGGG as an estimation. Additionally, a second method\nwas used to determine the values for BGGG at millikelvin\ntemperatures in the center of the YIG sample by measuring the\nFMR position at these temperatures and rearranging Eq. 1 to\nsolve for BGGG. The results are shown in Fig. 3 (c). It depicts\nthe stray field induced by GGG at the center of the sample\nas a function of the externally applied magnetic field B0.\nThe solid lines represent the calculated values of BGGG for\ntemperatures of 2 K and above, while the solid points represent\nthe obtained BGGG from the FMR measurements. Both data\nsets match perfectly. This alignment, in Eq. 1, highlights the\naccuracy of the fitted effective anisotropy Beff\nani, affirming the\nprecision and appropriateness of the fit. The data points shown\nby the hollow-center-dot illustrate the BGGG values acquired\nvia FMR measurements in the dilution refrigerator, spanning\na temperature range of 30 mK to 2 K. It is worth noting that\nthe values of BGGG at 2 K obtained from both the dilution\nrefrigerator and the PPMS measurements are consistent.\nThe extrapolated data obtained through the Brillouin fit is\nshown as dotted lines for both 500 mK and 30 mK, in com-\nparison to the experimental data (Fig.,3 (c)). At 500 mK, the\nextrapolation matches at fields above 1 T and below 300 mT\nwith the experimental data but diverts in-between (purple\ncircle points and dashed line). At 30 mK, it does not match\n(yellow circle points and dashed line). The extrapolated field\nstrength of GGG (dashed yellow) experiences a sharp increase\nand then saturates above 1.1 T. While the extrapolated curves\ndeviate, the measured data for 30 mK and 500 mK overlap.\nThese results show that the method of fitting the GGG mag-\nnetization MGGG and the stray magnetic field BGGG effectively\ndescribes the inter- and extrapolations only at temperatures\nabove 500 mK. This limitation confirms the conclusion above.\nMGGG is solely dependent on the externally applied magnetic\nfield below 500 mK, which is supported by the behavior of\nthe FMR frequency in Fig. 2 (c) and previous research on\nthe complex behavior of GGG phase states [36]. At these\ntemperatures, GGG was observed to transition through various\nmagnetic phases, such as spin glass and antiferromagnetic,\ndepending on the external magnetic field. [35].\nIV. C ONCLUSION\nWe found that YIG films grown on GGG substrates are im-\npacted by stray fields originating from the partially magnetized\nparamagnetic GGG at low temperatures and under externally\napplied magnetic fields. The strength and configuration of\nthese fields depend on the shape of the GGG substrate (the\nratio between the width, length and thickness of the sample)\nFig. 3. (a) Effective anisotropy field Beff\naniof the YIG film as a function of\nthe temperature in a x-axis logarithmic scale for two different crystallographic\nmagnetization directions — ⟨110⟩and⟨112⟩. The inset depicts the gyromag-\nnetic ratio γas a function of the temperature for the same magnetization\ngeometries respectively. The points are obtained as fitting parameters from\nEq. 1. (b) Cubic and uniaxial anisotropiy fields Bc,Buvs temperature T.\nValues for BcandBuwere obtained from according Eq. 6 and 7 for the Kittel\nfits [50]. (c) GGG-induced stray field as function of the externally applied\nmagnetic field B0. Solid lines are the values calculated from the measured\nMGGG by Eq. 2 of the VSM and solid lines are values calculated from the\nFMR peaks measured in the PPMS by converting Eq. 1 for BGGG. The hollow\npoints are BGGG values calculated from FMR peak measurements performed\nin the dilution refrigerator. The dotted lines are calculated via Eq. 2 by taking\nthe extrapolated values of MGGG by the Fit from Eq. 3.7\nand are highly inhomogeneous across the YIG layer. In the\nin-plane magnetization geometry, the stray field can reach up\nto 40 mT in the center and increases five-fold at the edges of\nthe sample.\nWe used an analytical approach validated by micromag-\nnetic simulations to calculate the stray field BGGG induced\nby GGG. This approach allowed us to integrate BGGG into\nthe Kittel-fit formula and accurately determine the effective\nanisotropy field Beff\naniin the crystallographic directions ⟨110⟩\nand⟨112⟩of the YIG film for temperatures as low as 2 K.\nMoreover, we were able to extract the crystallographic cubic\nand uniaxial anisotropy fields, BcandBu, respectively. These\nfields increase in magnitude from -6.9 mT and 2.1 mT at room\ntemperature to -11.6 mT and -5 mT at 2 K. The anomalous\nbehavior of the FMR frequency of YIG, which is constant\nfor temperatures below 500 mK, can be explained by the\nabsence of the variation of the GGG magnetization MGGG with\ndecreasing temperature, and therefore by the GGG-induced\nmagnetic field BGGG. This behavior can be described by the\nproperty of GGG as a geometrically highly frustrated magnet,\nresulting in the complex phase transition diagram of GGG\nat these temperatures and fields [46], [47], [35], [36]. Our\nfindings enable accurate predictions of the YIG/GGG magnetic\nbehavior at low and ultra-low temperatures, which is a key\nelement for successfully implementing YIG/GGG quantum-\nmagnonic networks.\nACKNOWLEDGEMENTS\nA VC acknowledges the Austrian Science Fund FWF for the\nsupport by the project I-6568 \"Paramagnonics\". SK acknowl-\nedges the support by the H2020-MSCA-IF under Grant No.\n101025758 (\"OMNI\"). DS acknowledges the Austrian Science\nFund FWF for the support by the project project I 4917-N\n\"MagFunc\". KOL acknowledges the Austrian Science Fund\nFWF for the support through ESPRIT Fellowship Grant ESP\n526-N \"TopMag\". Work by DAB was supported by the U.S.\nDepartment of Energy (DOE), Office of Science, Basic Energy\nSciences (BES) under Award DE-SC0024400. RV acknowl-\nedges support of the NAS of Ukarine (project 0123U104827).\nThe work of ML was supported by the German Bundesmin-\nisterium für Wirtschaft und Energie (BMWi) under Grant No.\n49MF180119. CD thanks R. Meyer (INNOVENT e.V .) for\ntechnical support. CGB acknowledges the Austrian Science\nFund FWF for the support with the project PAT-1177623\n\"Nanophotonics-inspired quantum magnonics\". The authors\nthank Prof. Dr. G. A. Melkov for valuable scientific discus-\nsions.\nAUTHOR CONTRIBUTIONS\nROS conducted all measurements, processed and analyzed\nthe data, and authored the initial draft of the manuscript.\nAA V executed the micromagnetic simulations, implemented\nthe method for fitting the data, and contributed to data analysis.\nSAK, CA and DS developed the software used for micro-\nmagnetic simulations. DAS, SAK, DAB and SK constructed\nthe experimental setup and supported the experimental inves-\ntigations. KOL, KD, QW and MU assisted in interpreting theexperimental data and provided insights into the measurement\nresults. ML, TR and CD synthesized the YIG film. RV and\nCGB provided theoretical support. BB and OVD facilitated\nsupport in conducting PPMS measurements. SK supervised\nthe millikelvin experiments. A VC led the project. All authors\ndiscussed results and contributed to the manuscript.\nCOMPETING INTERESTS\nThe authors declare no competing interests.\nData availability The data that support the findings of\nthis study are available from the corresponding author upon\nreasonable request.\nREFERENCES\n[1] Barman, A. et al. The 2021 magnonics roadmap.\nJ. Condens. Matter Phys. 33, 413001 (2021).\n[2] Dillon, J. F. Ferrimagnetic resonance in yttrium iron garnet. Phys. Rev.\n105, 759–760 (1957).\n[3] LeCraw, R. C., Spencer, E. G. & Porter, C. S. Ferromagnetic resonance\nline width in yttrium iron garnet single crystals. Phys. Rev. 110, 1311–\n1313 (1958).\n[4] Klingler, S. etal. Gilbert damping of magnetostatic modes in a yttrium\niron garnet sphere. Appl. Phys. Lett. 110, 092409 (2017).\n[5] Dubs, C. etal. Sub-micrometer yttrium iron garnet lpe films with low\nferromagnetic resonance losses. J. Phys. D: Appl. Phys. 50, 204005\n(2017).\n[6] Dubs, C. etal. Low damping and microstructural perfection of sub-\n40nm-thin yttrium iron garnet films grown by liquid phase epitaxy.\nPhys. Rev. Mater. 4, 024416 (2020).\n[7] Ding, J., Liu, T., Chang, H. & Wu, M. Sputtering growth of low-damping\nyttrium-iron-garnet thin films. IEEE Magn. Lett. 11, 1–5 (2020).\n[8] Heyroth, F. etal. Monocrystalline freestanding three-dimensional\nyttrium-iron-garnet magnon nanoresonators. Phys. Rev. Appl. 12,\n054031 (2019).\n[9] Cornelissen, L. J., Liu, J., Duine, R. A., Youssef, J. B. & van Wees,\nB. J. Long-distance transport of magnon spin information in a magnetic\ninsulator at room temperature. Nature Physics 11, 1022–1026 (2015).\n[10] Onbasli, M. C. etal. Pulsed laser deposition of epitaxial yttrium iron\ngarnet films with low gilbert damping and bulk-like magnetization.\nAPL Mater. 2, 106102 (2014).\n[11] Hahn, C. etal. Measurement of the intrinsic damping constant in\nindividual nanodisks of Y 3Fe5O12|Pt. Appl. Phys. Lett. 104, 152410\n(2014).\n[12] Cherepanov, V ., Kolokolov, I. & L’vov, V . The saga of yig: Spectra,\nthermodynamics, interaction and relaxation of magnons in a complex\nmagnet. Physics Reports 229, 81–144 (1993).\n[13] Borst, M. etal. Observation and control of hybrid spin-wave–meissner-\ncurrent transport modes. Science 382, 430–434 (2023).\n[14] Chumak, A. V . etal. Advances in magnetics roadmap on spin-wave\ncomputing. IEEE Trans. Magn. 58, 1–72 (2022).\n[15] Awschalom, D. D. etal. Quantum engineering with hybrid magnonic\nsystems and materials (invited paper). IEEE trans. quantum eng. 2, 1–\n36 (2021).\n[16] Lachance-Quirion, D., Tabuchi, Y ., Gloppe, A., Usami, K. & Nakamura,\nY . Hybrid quantum systems based on magnonics. Appl. Phys. Express\n12, 070101 (2019).\n[17] Lachance-Quirion, D. etal. Entanglement-based single-shot detection\nof a single magnon with a superconducting qubit. Science 367, 425–428\n(2020).\n[18] Xu, D. etal. Quantum control of a single magnon in a macroscopic\nspin system. Phys. Rev. Lett. 130, 193603 (2023).\n[19] Li, Y . etal. Hybrid magnonics: Physics, circuits, and applications for\ncoherent information processing. J. Appl. Phys. 128, 130902 (2020).\n[20] Knauer, S. etal. Propagating spin-wave spectroscopy in a liquid-\nphase epitaxial nanometer-thick yig film at millikelvin temperatures.\nJ. Appl. Phys. 133, 143905 (2023).\n[21] Yuan, H., Cao, Y ., Kamra, A., Duine, R. A. & Yan, P. Quantum\nmagnonics: When magnon spintronics meets quantum information sci-\nence. Phys. Rep. 965, 1–74 (2022).\n[22] Zare Rameshti, B. etal. Cavity magnonics. Phys. Rep. 979, 1–61 (2022).\nCavity Magnonics.8\n[23] Li, Y . etal. Coherent coupling of two remote magnonic resonators\nmediated by superconducting circuits. Phys. Rev. Lett. 128, 047701\n(2022).\n[24] Morris, R., Van Loo, A., Kosen, S. & Karenowska, A. Strong coupling\nof magnons in a YIG sphere to photons in a planar superconducting\nresonator in the quantum limit. Sci. Rep. 7, 11511 (2017).\n[25] Van Loo, A., Morris, R. & Karenowska, A. Time-resolved mea-\nsurements of surface spin-wave pulses at millikelvin temperatures.\nPhys. Rev. Appl. 10, 044070 (2018).\n[26] Karenowska, A. D., Patterson, A. D., Peterer, M. J., Magnússon, E. B.\n& Leek, P. J. Excitation and detection of propagating spin waves at the\nsingle magnon level. arXiv preprint arXiv:1502.06263 (2015).\n[27] Jermain, C. L. etal. Increased low-temperature damping in yttrium iron\ngarnet thin films. Phys. Rev. B 95, 174411 (2017).\n[28] Mihalceanu, L. etal. Temperature-dependent relaxation of dipole-\nexchange magnons in yttrium iron garnet films. Phys. Rev. B 97, 214405\n(2018).\n[29] Kosen, S., van Loo, A. F., Bozhko, D. A., Mihalceanu, L. & Karenowska,\nA. D. Microwave magnon damping in yig films at millikelvin temper-\natures. APL Mater. 7, 101120 (2019).\n[30] Guo, S., McCullian, B., Hammel, P. C. & Yang, F. Low damp-\ning at few-k temperatures in Y 3Fe5O12epitaxial films isolated from\nGd3Ga5O12substrate using a diamagnetic Y 3Sc2.5Al2.5O12spacer.\nJ. Magn. Magn. Mater. 562, 169795 (2022).\n[31] Will-Cole, A. R. etal. Negligible magnetic losses at low temperatures\nin liquid phase epitaxy grown Y 3Fe5O12 films. Phys. Rev. Mater. 7,\n054411 (2023).\n[32] Danilov, V ., Lyfar’, D., Lyubon’ko, Y . V ., Nechiporuk, A. Y . &\nRyabchenko, S. Low-temperature ferromagnetic resonance in epitaxial\ngarnet films on paramagnetic substrates. Soviet Physics Journal 32,\n276–280 (1989).\n[33] Wang, L. etal. Magnetization coupling in a yig/ggg structure.\nPhys. Rev. B 102, 144428 (2020).\n[34] Roos, M. J. etal. Magnetization and antiferromagnetic coupling of the\ninterface between a 20 nm Y 3Fe5O12film and Gd 3Ga5O12substrate.\nPhys. Rev. Mater. 6, 034401 (2022).\n[35] Petrenko, O. A., Ritter, C., Yethiraj, M. & McK Paul, D. Investigation\nof the low-temperature spin-liquid behavior of the frustrated magnet\ngadolinium gallium garnet. Phys. Rev. Lett. 80, 4570–4573 (1998).\n[36] Deen, P. P., Florea, O., Lhotel, E. & Jacobsen, H. Updating the phase\ndiagram of the archetypal frustrated magnet Gd 3Ga5O12.Phys. Rev. B\n91, 014419 (2015).\n[37] Maier-Flaig, H. etal. Note: Derivative divide, a method for the\nanalysis of broadband ferromagnetic resonance in the frequency domain.\nRev. Sci. Instrum. 89, 076101 (2018).\n[38] Herrera-Gomez, A. etal. Double lorentzian lineshape for asymmetric\npeaks in photoelectron spectroscopy. J. Vac. Sci. Technol. A 41, 043208\n(2023).\n[39] Böttcher, T. etal. Fast long-wavelength exchange spin waves in partially\ncompensated ga:yig. Appl. Phys. Lett. 120, 102401 (2022).\n[40] Hansen, P., Röschmann, P. & Tolksdorf, W. Saturation magnetization of\ngallium-substituted yttrium iron garnet. J. Appl. Phys. 45, 2728–2732\n(1974).\n[41] Barak, J., Huang, M. & Bhagat, S. Electron paramagnetic reso-\nnance study of gadolinium–gallium–garnet. J. Appl. Phys. 71, 849–853\n(1992).\n[42] Aharoni, A. Demagnetizing factors for rectangular ferromagnetic prisms.\nJ. Appl. Phys. 83, 3432–3434 (1998).\n[43] Bruckner, F., Koraltan, S., Abert, C. & Suess, D. magnum. np–\na pytorch based gpu enhanced finite difference micromagnetic sim-\nulation framework for high level development and inverse design.\narXiv preprint arXiv:2302.08843 (2023).\n[44] Vansteenkiste, A. etal. The design and verification of mumax3.\nAIP advances 4(2014).\n[45] Smith, A. etal. The demagnetizing field of a nonuniform rectangular\nprism. J. Appl. Phys. 107, 103910 (2010).\n[46] Schiffer, P., Ramirez, A. P., Huse, D. A. & Valentino, A. J. Investigation\nof the field induced antiferromagnetic phase transition in the frustrated\nmagnet: Gadolinium gallium garnet. Phys. Rev. Lett. 73, 2500–2503\n(1994).\n[47] Tsui, Y . K., Kalechofsky, N., Burns, C. A. & Schiffer, P. Study of the low\ntemperature thermal properties of the geometrically frustrated magnet:\nGadolinium gallium garnet. J. Appl. Phys. 85, 4512–4514 (1999).\n[48] Haidar, M. etal. Thickness- and temperature-dependent magnetody-\nnamic properties of yttrium iron garnet thin films. J. Appl. Phys. 117,\n17D119 (2015).[49] Maier-Flaig, H. etal. Temperature-dependent magnetic damping of\nyttrium iron garnet spheres. Phys. Rev. B 95, 214423 (2017).\n[50] Bobkov, V . B. & Zavislyak, I. V . Equilibrium state and magnetic\npermeability tensor of the epitaxial ferrite films. Phys. Status Solidi A\n164, 791–804 (1997)." }, { "title": "1512.00983v1.Cavity_quantum_electrodynamics_with_ferromagnetic_magnons_in_a_small_yttrium_iron_garnet_sphere.pdf", "content": " \n1 \n Cavity quantum electrodynamics with ferromagnetic magnons in a small \nyttrium -iron-garnet sphere \nDengke Zhang,1,† Xin-Ming Wang,2,† Tie-Fu Li,3,1,* Xiao-Qing Luo,1 Weidong Wu,2 \nFranco Nori,4,5 and J. Q. You1,* \n1Quantum Physics and Quantum Information Divisi on, Beijing Computational Science Research Center , \nBeijing 100084, China \n2Research Center of Laser Fusion, China Academy of Engineering Physics, \nP .O. Box 919 -987, Mianyang 621900, China \n3Institute of Microelectronics, Tsinghua University, Beijing 100084, China \n4Center for Emergent Matter Science, RIKEN, Wako -shi 351 -0198, Japan \n5Physics Department, The University of Michigan, Ann Arbor , MI 48109 -1040, USA \n†These authors contributed equally to this work. \n*Correspondence and requests for materials should be addressed to T.F.L. (litf@tsinghua.edu.cn) or J.Q.Y . (jqyou@csrc.ac.cn). \n \nHybridizing collective spin excitations and a cavity with high cooperativity provides a new research subject in \nthe field of cavity quantum elec trodynamics and can also have potentia l appli cations to quantum information. \nHere we report an experimental study of cavity quantum electrodynamics with ferromagnetic magnons in a \nsmall yttrium -iron-garnet (YIG) sphere at both cryogenic and room temperatures. We observe for the first time \na strong coupling of the same cavity mode to both a ferromagnetic -resonance (FMR) mode and a \nmagnetostatic (MS) mode near FMR in the quantum limit. This is achieved at a temperature ~ 22 mK, where \nthe average microwave photon number in the cavity is less than one. At room temperature, we also observe \nstrong coupling of the cavity mode to the FMR mode in the same YIG sphere and find a slight increase of the \ndamping rate of the FMR mode. These observations reveal the extraordinary robustness of the FMR mode \nagain st temperature. However, the MS mode becomes unobservable at room temperature in the measured \ntransmission spectrum of the microwave cavity containing the YIG sphere. Our numerical simulations s how \nthat this is due to a drastic increase of the damping rate of the MS mode. \n \n \nIntroduction \nHybrid quantum circuits combining two or more physical systems can harness the distinct advantages o f different \nphysical systems to better explore new phenomena and potentially bring about novel quantum technolog ies (for a \nreview, see ref. 1). Among them, a hybrid system consisting of a coplanar waveguide resonator and a spin \nensemble was proposed2 and experimentally utilized3-8 to implement both on -chip cavity quantum \nelectrodynamics and quantum information processing. This spin ensemble is usually based on dilute paramagnetic \nimpurities, such as nitrogen -vacancy (NV) centers in diamond9, 10 and rare -earth ions doped in a crystal11. By \nincreasing the density of the paramagnetic impurities, strong and even ultrastrong coupling s between the cavity \nand the spin ensemble can be achieved, but the coherence time of the spin excitations is drastically shortened. \nIndeed, it is a challenging task to realize both good quantum coherence of the spin ensemble and its strong \ncoupling to a c avity. \n2 \n V ery recently, collective spins in a yttrium -iron-garnet (YIG) ferromagnetic material were explored to \nachieve their strong12-14 and even ultrastrong couplings15 to a microwave cavity. In contrast to spin ensembles \nbased on dilute paramagnetic impur ities, these spins12 are strongly exchange -coupled and have a much higher \ndensity (21 3~ 4.2 10 cm ). Because of this high spin density, a strong coupling of the spin excitations to the cavity \ncan be easily realized using a YIG sample as small as sub -millimeter in size. Also, the ultrastrong coupling regime \nbecomes readily reachable by either increasing the size of the YIG sample or using a specially -designed \nmicrowave cavity15. Moreover, the contribution of magnetic dipole interactions to t he linewidth of spin excitations, \nwhich can play a dominant role among paramagnetic impurities, is suppressed by the strong exchange c oupling \nbetween the ferromagnetic electrons16. Thus, when the same spin density is involved, the spin excitations in YIG \ncan exhibit much better quantum coherence than those of the paramagnetic impurities. \nThe YIG material is ferromagnetic at both cryogenic and room temperatures because its Curie temperat ure is \nas high as 559 K. Indeed, a strong coupling between a microwave c avity and the collective spin excitations related \nto the ferromagnetic resonance (FMR) was observed in different YIG samples at either cryogenic12, 13, 15 or room \ntemperature14. Here we report a direct observation of the strong coupling between FMR magnons and microwave \nphotons at both cryogenic and room temperatures by using the same small YIG sphere in a three -dimensional (3D) \ncavity. This allows us to directly compare the quantum coherence of the same FMR mode at both cryogenic and \nroom temperatures. We explain why the FMR spin excitations can be described as non -interacting magnons even \nat room temperature and its extraordinary robustness against temperature. Moreover, at cryogenic tem peratures, \nwe have also observed a strong coupling of the microwave ph otons to another collective spin mode, i.e., a \nmagnetostatic (MS) mode, near the FMR. We find that this newly observed collective mode of spins exh ibits \nquantum coherence nearly as good as the FMR mode. In addition, this collective spin mode can also be described \nas non -interacting magnons at room temperature, but becomes unobservable due to the drastic decrease of its \nquantum coherence. In short, compared to very recent studies12-15, our experiment demonstrates for the first time \nthe experimentally -achieve d strong coupling of both FMR and MS modes to the same cavity mode and unveils \nquantum -coherence properties of the ferromagnetic magnons at both c ryogenic and room temperatures. \n \nResults \nExperimen tal setup and model Hamiltonian \nWe illustrate the experiment al setup in Fig. 1. A small YIG sphere with a diameter of 0.32 mm is mounted in a 3D \nrectangular microwave cavity with dimensions 50 18 3 mm3 (see Fig. 1a). The frequency of the fundamental \ncavity mode 101TE is measured to be c,1/ 2 8.855 GHz and the frequency of the second cavity mode 102TE \nis c,2/ 2 10.306 GHz (see Supplementary Material) . By adjusting the lengths of the pins inside the input and \noutput ports, the coupling rates related to these ports are tuned to i,1/ 2 0.19 MHz and o,1/ 2 0.20 MHz \nfor mode 101TE , and i,2/ 2 0.85 MHz and o,2/ 2 0.99 MHz for mode 102TE . To achieve strong \ncouplings between the YIG sample and these two cavity modes, we place the YIG sphere at the center o f one short \nedge of the rectangular cavity where both cavity modes, 101TE and 102TE , have stronger magnetic fields parallel \nto the short edge (see Figs. 1b and 1c). In our experiment, the YIG sphere is mounted, so that the microwave \nmagnetic fields of the cavity modes 101TE and 102TE at the YIG sphere are nearly parallel to the crystalline axis \n110 . Also, a static magnetic field perpendicular to the microwave magnetic field and parallel to the crys talline \naxis 100 of the YIG sphere is applied. This static magnetic field can be tuned to drive the magnons in \nresonance with the cavity modes 101TE and 102TE , respectively. \nFor a small YIG sample embedded in a microwave cavity, we obse rved a strong coupling between the \nfundamental cavity mode and the FMR mode, i.e., the Kittel mode (see Fig. 2a), as also reported in refs. 12-15. \nThis FMR corresponds to a collective mode of spins with zero wavevector (i.e., in the long -wavelength limit) at \n3 \n which all exchange -coupled spins uniformly precess in phase together. In addition to this FMR, there are other \nlong-wavelength collective modes of spins called the magnetostatic (MS) modes17, 18. These MS modes are also \ncalled dipolar spin waves under m agnetostatic approximation, where the magnetic dipolar interactions dominate \nboth the electric and exchange interactions19. In this condition, the wave number MSk of a MS mode satisfies \n0 MS ex 1/ k k , where 0 0 k is the wave number of the microwave field propagating in the YIG \nmaterial and the exchange constant is 16 2\nex3 10 m . Meanwhile, the microwave magnetic field h related to \na MS mode of the YIG sphere satisfies the magnetostatic equation19 \n 2\n0 MS\n2 2\n0 MS0, D k mhk\nt k k (1) \nwhere D is the microwave electric displacement vector and the magnetization m is excited by h. The right \nside of equation ( 1) is approximately zero because MS 0k k , and the equation / 0 D t implies that the MS \nmodes are essentially static. Also, like the FMR mode, the MS modes are discrete modes constrained by the \nboundary condition of the YIG sphere. Because the magnetic dipolar interactions dominate the exchang e \ninteractions, these modes are also called rigid discrete modes. However, unlike the FMR mode with un iform \nprecession, the MS modes are non -uniform precession modes holding inhomogeneous magnetization and have a \nspatial variation comparable to the sample dimensions17-19. \nHere we utilize the transmission spectrum of the microwave cavity to demonstrate for the first time the \nexperimentally -achieved stro ng coupling of both FMR and MS collective modes to the same mode (either the \nfundamental or second mode) of the 3D rectangular cavity. Because the FMR and MS modes are long -wavelength \nrigid discrete modes of spins in the YIG sphere, the exchange interactio ns between electron spins can be \nneglected18, 20. Thus, similar to the model Hamiltonian in refs. 21 and 22, when the FMR and a MS mode are both \ninvolved, the YIG -cavity Hamiltonian can be written as (setting 1 ) \n (FMR) (FMR) (FMR) (FMR)\nc B FMR\n(MS† †\n) (MS) (MS) (MS)\nB MS†, \n \n \n z z\nz zH a a g B S g aS a S\ng B S g aS a S (2) \nwhere c is the angular frequency of the cavity mode considered (either 101TE or 102TE ), g the electron \n \nFigure 1 | A high -finesse 3D microwave cavity containing a small YIG sphere. (a) The experimentally used \nrectangular 3D cavity is made of oxygen -free copper and has dimensions 50 18 3 mm3. The upper panel shows the \ntop cover of the cavity, where two connectors are attached for microwave transmission. In the lower panel, a small YIG \nsphere with a diameter of 0.32 mm is moun ted at the center of one short edge of the rectangular cavity. The inset at the left \nis a magnified picture of the YIG sphere. (b) Simulated magnetic -field distribution of the fundamental cavity mode \n101TE . (c) Simulated magnetic -field distribution of the second cavity mode 102TE . A static magnetic field 0B is applied \nparallel to the long edge of the cavity, and the microwave magnetic field at the YIG sphere is perpendicular to the static \nmagnetic field. \n \n4 \n g-factor , and B the Bohr magneton; (FMR)\nzB and (MS)\nzB are the effective magnetic fields experienced, \nrespectively, by the FMR and MS modes of the YIG sphere; while †a(a) is the photon creation (annihilation) \noperator and FMR(MS)g is the coupling strength of the cavity mode to a single spin in the FMR (MS) mode. \nBecause the frequencies of different cavity modes are away from each o ther, we can then write a separate \nHamiltonian for each cavity mode. The collect ive spin operators are given by ( ) ( ) ( ) ( )( , , )Sm m m m\nx y zS S S , where \n( ) ( ) ( )\n m m m\nx y S S iS , and FMR (MS)m denotes the FMR (MS) mode. These collective spin operators are related \nto the magnon operators via the Holstein -Primakoff transformation: † †( 2 ) m m\nm m m S b S b b , \n †( 2 ) m m\nm m m S S b b b , and † ( ) ( ) m m\nz m mS b b S , where ( )mS is the total spin number of the correspon ding \ncollective spin operator. For the low -lying excitations with † ( )/ 2 1 m\nm mb b S , one has † ( ) ( )2 m m\nm S b S , and \n( ) ( )2 m m\nm S b S , i.e., the collective spin excitations can be described as non -interacting magnons. Then, th e \nHamiltonian (2) is reduced to \n† † † †\nc\nFMR,MS( ) , \n m m m m m m\nmH a a b b g ab a b (3) \nwhere ( )\nB m\nm zg B is the angular frequency of the magnon mode (either FMR or MS mode) and \n( )2m\nm mg g S is the corresponding magnon -photon coupling strength . By diagonalizing the Hamiltonian in \nequation (3), we obtain the energy levels of the magnon -polariton (see the green curves in Fig. 2). \n \nExperimental measure ments at cryogenic temperature \nAn avoided crossing occurs around c FMR ( MS) because of the strong coupling between the cavity mode and \nthe FM R (MS) mode. Thus, three magnon -polariton branches appear (see the green curves in Fig. 2a). By fitting \nthe experimental results in Fig. 2a with equation (3), we fi nd that FMR/ 2 5.4 g MHz and MS/ 2 1.4g MHz, \n \nFigure 2 | Strong magnon -photon coupling achieved at cryogenic temperature. In (a)-(d), the transmission spectrum \nof the rectangular 3D cavity with a small YIG sphere is measured as a function of the static mag netic field at 22 mK in a \ndilution refrigerator. The input microwave power is (a) 130 dBm with different frequencies around TE 101, \n(b) 130 dBm with different frequencies around TE 102, (c) 100 dBm with different frequencies around TE 101, and \n(d) 100 dBm with different frequencies around TE 102. The horizontal dashed line s denote the resonant frequencies of \nthe cavity and the tilted dashed lines show the magnetic -field dependence of the frequencies of the FMR (left) and MS \n(right) modes. The green solid curves correspond to the energy levels of the magnon -polariton obtaine d by diagonalizing \nthe Hamiltonian in equation ( 3). \n5 \n for the case of the fundamental cavity mode 101TE coupled to the FMR and MS modes, respectively. However, \nwhen the second cavity mode 102TE interacts with the FMR and MS modes, the corresponding coupling \nstrengths increase to FMR/ 2 7.5 g MHz and MS/ 2 8.3g MHz (see Fig. 2b). It can be seen that the coupling \nstrength between the cavity mode and the FMR mode does not change much when shifting the cavity mode from \n101TE to 102TE , but the coupling strength between the cavity mode and the MS mode changes drastically from \n101TE to 102TE . Surprisingly, MSg is even stronger than FMRg when the cavity mode is 102TE . This is because \nof a better overlap between the MS m ode and the second cavity mode. In YIG, the onl y magnetic ions are the \nferric ions with spin number 5 / 2s . Since the FMR mode involves uniform, in -phase precession of all spins in \nthe YIG sphere, one has (FMR ) S Ns , where N is the total n umber of spins. Therefore, it is natural to obtain \nFMR FMR 5g g N . The coupling strength of a single spin to the cavity mode can be calculated by14 \nFMR c 0 c / / 2 e g V , where cV is the volume of the cavity mode with frequency c, 2 28.0 e GHz/T \nis the gyromagnetic ratio, and the overlap coefficient describes the spatial overlap and polarization -matching \nconditions between the cavity and magn on modes. With our experimental parameters, the calculated FMR/ 2 g is \n15.8 mHz for the cavity mode 101TE . Thus, from the experimentally obtained FMR/ 2 5.4 g MHz, the total \nnumber of spins is estimat ed to be 16~ 2.3 10 N . \nTo obtain damping rates of the FMR and MS modes, we further fit our experimental results with the \ncalculated transmission coefficient of the microwave cavity containing a YIG sphere. In a standard i nput-output \ntheory (see, e.g., ref. 23), this transmission coefficient can be written as \ni o\n21\nc tot2( ) ,( ) ( ) Si (4) \nwhere i (o) is the corresponding input (output) dissipation rate due to the coupling between t he feed line and \nthe cavity. The total cavity decay rate is given by tot i o int , with int being the intrinsic loss rate of the \ncavity. The self -energy ( ) contains contributions from both the FMR and MS modes: \n2 2\nFMR MS\nFMR FMR MS MS( )( ) ( ) g g\ni i, where FMR is the angular frequency of the FMR mode, which has \na damping rate FMR , and MS is the angular frequency of the MS mode with a damping rate MS. Using 21S \nin equation (4) to fit the experimental results (see Supplementary Material for the simulated transmission spectra), \nwe can evaluate the damping rates FMS and MS as well as the total decay rate tot of the cavity. These \nevaluated parameters and the calculated cooperativity are summarized in Table 1. The experimental parameters \nshow that tot, m mg , with a cooperativity 2\ntot/ 1 m m mC g in most cases, indicating that the strong coupling \nregime is reached when both FMR and MS modes in the YIG sphere interact with the same cavity mode. I n the \nexperiment of Fig. 2, the measurements were i mplemented at 22 mK using a dilution refrigerator. This very low \ntemperature corresponds to a negligible average thermal photon number in the 3D cavity (e.g., 2~ 1 10 for the \nTable 1: Summary of the experimentally obtained parameters \nTemperature Mode FMR MS/ 2 , / 2 g g \n(MHz) tot/ 2 \n(MH z) FMR MS/ 2 , / 2 \n(MHz) FMR MS, C C \n22 mK 101TE 5.4, 1.4 1.1 1.2, 2.7 22.1, 0.7 \n 102TE 7.5, 8.3 2.4 1.3, 3.3 18.0, 8.7 \nRoom 101TE 5.2 2.5 1.3 8.3 \n 102TE 9.6 5.9 1.5 10.4 \n \n6 \n fundamental cavity mode). Accordingly, for the probe microwav e tone in the measurements, we used a very weak \ninput power of 130 dBm in Figs. 2a and 2b and a weak input power of 100 dBm in Figs. 2c and 2d, which \ncorrespond to average microwave photon numbers ~ 0.8 and 8 00, respectively, for the fundamental cavity mode. \nNote that the measured transmission spectra in Figs. 2c and 2d are very close to those in Figs. 2a and 2b, even \nthough the average microwave photon number in the cavity has increased from ~ 0.8 to 800. Owi ng to the small \nnumber of photons in the cavity, especially in the case of 130 dBm, the measured results clearly show that a \nstrong magnon -photon coupling has been experimentally achieved in the quantum regime for both the FMR and \nMS modes c oupled to the same cavity mode. Moreover, because MS FMR~ 2 at 22 mK (see Table 1), the \nexperimental results reveal that the newly observed MS mode exhibits quantum coherence nearly as goo d as the \nFMR mode at cryogenic temperatur e. \n \nExperimental me asurements at room temperature \nAs an explicit comparison, we also measured, at room temperature, the transmission spectrum of the 3 D \nmicrowave cavity containing the same YIG sphere (see Fig. 3). Now the average number of thermal photons \nbecomes larger (e.g., 3~ 1 10 at 300 K for the fundamental cavity mode), so one has to use a probe microwave \ntone with higher power. In Fig. 3, the input microwave power is 20 dBm, which corresponds to an avera ge \nmicrowave photon number 10~ 1.8 10 for the fundamental cavity mode. Similar to the experimental \nobservations in ref. 14, at room temperature, an avoided crossing also occurs when the applied static magnetic \nfield is tuned to make the FM R mode in resonance with the cavity mode 101TE or 102TE . This reveals that the \nlow-lying excitation condition (FMR\nR† )\nFMR FM / 2 1 b b S is satisfied for the FMR mode even at room temperature, \nbecause the FMR -cavity Hamiltonian can be reduced to a form similar to equation (3), where the FMR mode is \ndescribed by non -interacting magnons. This is due to the fact that the FMR mode involves the uniform precession \nof all spins, such that (FMR ) S Ns , where the total number N of spins in the YIG sphere is many orders of \nmagnitude greater than unity. Moreover, based on the fitting results for the same YIG sphere, the da mping rate of \nthe FMR mode is f ound to slightly increase from 1.2 MHz (1.3 MHz), at ~ 22 mK, to 1.3 MHz (1.5 MHz) at room \ntemperature, when the frequency of the FMR mode is close to the fundamental (second) cavity mode (se e Table 1). \nThese results reveal that the FMR mode is robust against temperature. The damping ra te of the FMR mode \nobtained here is comparable to that in ref. 14, where cavity quantum electrodynamics with a YIG sphere of \n0.36 mm in diameter was investigated only at room temperature. Note that the only magnetic ions in YIG are the \nferric ions. Because these ions are in an 0L state with a spherical charge distribution, their interaction with \nlattice deformations and phonons is weak16. As a result, the FMR mode which involves the uniform precession of \n \nFigure 3 | Strong coupling of the FMR mode to two cavity modes at room temperature. The transmission spectrum \nof the rectangular 3D cavity containing the same YIG sphere is measured at room temperature as a fun ction of the static \nmagnetic field. The input microwave power is 20 dBm with different frequencies around (a) 101TE and (b) 102TE , \nrespectively. \n7 \n all spins is only weakly aff ected by phonons. This explains why the obtained damping rate of the FMR mode does \nnot change much from cryogenic to room temperatures. \nFor the MS mode, the avoided crossing observed at cryogenic temperatures disappears at r oom temperature \n(comparing Fig. 3 with Fig. 2). This disappearance of the MS mode may be due to (i) the low -lying excitation \ncondition for the MS mode is not satisfie d at room temperature, and (ii) the strong magnon -photon coupling \nregime was not reached. When the frequency of the MS mo de is close to the cavity mode, 3\nMS M†\nS ~ 1 10 b b at \nroom temperature. The low -lying excitation condition † (MS)\nMS MS / 2 1 b b S is not followed if (MS)\nM†\nS MS ~ 2 b b S . \nHowever, at cryogenic temperatures, the observed transmission sp ectrum shows that (MS)\nMS MS 2g g S is \ncomparable to (FMR)\nFMR FMR 2g g S (see Table 1). Thus, (MS) (FMR )~ S S , implying that for the MS mode, the \nlow-lying excitation condition † (MS)\nMS MS / 2 1 b b S is still satisfied ev en at room temperature. Therefore, the \nfirst possibility is ruled out for the disappearance of the MS mode at room temperature. In Fig. 4, we present \nnumerical simulations of the transmission spectrum around the frequency of the cavity mode 102TE , by increasing \nthe damping rate of the MS mode. It can be seen that when the damping rate MS is increased by two orders of \nmagnitude, the simulated transmission spectrum agrees well with the experimental result obtaine d at room \ntemperature (comparing Fig. 4c with Fig. 3b). This damping rate is much larger than the coupling strength MSg, \ncorresponding to a weak -coupling regime between the MS mode and the cavity mode. Therefore, in the \ntransmission spectrum, the disappearance of the MS mode at room temperature can be attributed to the drastic \nincrease of the MS -mode damping rate with temperature. \n \nDiscussion \nNote that the experimental results in ref. 13 show that the linewidth of the FMR mode increa sed more than three \ntimes when the temperature was raised from ~ 10 mK to ~ 10 K. This observed linewidth increase of the FMR \nmode with the temperature was ascribed to the slow -relaxation process due to the imp urity ions and \nmagnon -phonon scattering13. In contrast, the damping rate (i.e., the linewidth) of the FMR mode in our YIG \nsphere does not show an appreciable contribution from this slow -relaxation process, because FMR slightly \nincre ases when raising the temperature from 22 mK to room temperature (see Table 1). Thus, our YIG sample is \nof a better quality than that in ref. 13, regarding the quantum coherence of the FMR mode. In ref. 14, cavity \nquantum electrodyna mics with a YIG sphere of 0.36 mm in diameter was studied at room temperature. The \nobtained damping rate of the FMR mode is ~ 1.1 MHz, which is also comparable to our result at room \n \nFigure 4 | Impact of the MS -mode damping rate on the transmission spectrum. In (a)-(c), numerical simulations of \nthe transmission spectrum are performed using equation (4) by choosing different input microwave frequencies around \nTE 102. The pa rameters for both the cavity and FMR modes are the same as the measured values in Fig. 3b. For the MS \nmode, the parameters are the same as the measured value in Fig. 2b, but its damping rate increases from \n(a) MS=3.3 MHz, to (b) MS10, and then to (c) MS 100. \n \n8 \n temperature. Moreover, for YIG doped with gallium, it was reported24 that the linewidth of the FM R mo de \ndecreases from 1 mT at 4.2 K to 0.1 mT at room temperature, corresponding to 28 MHz and 2.8 MHz, \nrespectively. This counter -intuitive observation of the linewidth narrowing for increasing temperature is different \nfrom both our results and those in r ef. 13. Therefore, the linewidth of the FMR mode in the YIG material and the \ncorresponding quantum -coherence behavior raise interesting open questions for further investigations. In our \nexperiment, only measurements at cryogenic and room temperatures were implemented due to limitations in the \nexperimental facility , so it is desirable (though challenging) to perform measurements at the wide -range \nintermediate temperatures, so as to provide more insights into the damping mechanism of the magnons. \nIn ref. 15, the ultrastrong coupling between the FMR mode and the bright mode of a re entrant cavity was \nobserved at 20 mK by using a larger YIG sphere (~ 0.8 mm in diameter) attached to the reentrant cavity. In \naddition, a strong coupling between a MS mode and the da rk mode of the reentrant cavity was also observed. In \nsharp contrast to our observations, the cavity mode coupled to the FMR mode and the cavity mode coup led to the \nMS mode were not the same mode of the reentrant cavity. Moreover, in ref. 15, the cavity -MS mode coupling was \nmuch weaker than the cavity -FMR mode coupling. This is also very different from our results and might be due to \nthe different symmetries of the relevant magnon modes or the material properties of the YIG sphere. \nIn conclusion, we have e xperimentally studied cavity quantum electrodynamics with ferromagnetic magnons \nin a small YIG sphere at both cryogenic and room temperatures. We observed strong coupling of the sa me cavity \nmode to both FMR and MS modes in the quantum limit at ~ 22 mK. Als o, we observed strong coupling of the \ncavity mode to the FMR mode at room temperature, with the damping rate of the FMR mode only slightly \nincreased. This reveals the robustness of the FMR mode against temperature. Moreover, we find that t he MS mode \ndisapp ears at room temperature in the measured transmission spectrum and also numerically show that this i s due \nto a drastic increase of the damping rate of the MS mode. Our work unveils quantum -coherence properties of the \nferromagnetic magnons in a YIG sphere a t both cryogenic and room temperatures, especially the extraordinary \nrobustness of the FMR mode against temperature. \n \nMaterial & Methods \nSample preparation . The rectangular 3D cavity was produced using oxygen -free high -conductivity copper and its inner fac es were \nhighly polished. Also, this cavity was designed to have two connector ports for measuring microwave transmission. A commercially \nsold YIG sphere with a diameter of 0.32 mm was made by China Electronics Technology Group Corporation 9th Research Inst itute. \nThis YIG sphere was mounted in the rectangular 3D cavity and the measurement of the microwave transm ission through the cavity \nwas implemented at both cryogenic and room temperatures. \nMeasurement . An Oxford Triton 400 -10 cryofree dilution refrigerato r (DR) was employed for the cryogenic measurement and a \ntemperature as low as 22 mK was achieved at the mixing chamber stage of the DR. The cavity was placed in the mixing chamber \nstage and applied a static magnetic field using a superconducting magnet. In order to prevent the room -temperature thermal noise \nfrom the input lines, we used a series of attenuators to reach a total attenuation of 100 dB. For the output line, a circulator was \nattached to achieve an isolation ratio of 30 dB. Then, the transmitted signal from the cavity was amplified via a series of amplifiers \nboth at the 4 K stage and outside of the DR. The microwave transmission measurement was performed using a vector n etwork \nanalyzer (VNA; Agilent N5030A , Agilent Technologies, Santa Clara, Calif ornia, USA ). To adjust the average photon number in the \ncavity, the input microwave power was tuned to be either 30 dBm or 0 dBm. Because of the total attenuation of 100 dB on the \ninput lines, the final in put power in the cavity becomes 130 dBm or 100 dBm. \nFor the room -temperature measurement, the same cavity with the YIG sphere was placed in a static magnetic field g enerated by \nan electromagnet (made by Beiji ng Cuihaijiacheng Magnetic Technology Co., Ltd. , Beijing, China ). The transmission spectrum of \nthe hybrid system was measured using a VNA (Agilent N5232A) directly connected to two ports of the c avity with an input power of \n20 dBm. \n9 \n References \n1. Xiang, Z. L., Ashhab, S., You, J. Q. & Nori, F. Hybrid quantum circuits: Superconducting circuits in teracting with other \nquantum systems. Rev. Mod. Phys. 85, 623 -653 (2013). \n2. Imamoğlu, A. Cavity QED based on collective magnetic dipole coupling: Spin ensembles as hybrid two -level systems . Phys. \nRev. Lett. 102, 083602 (2009). \n3. Kubo, Y ., Ong, F. R., Bertet, P., Vion, D., Jacques, V ., Zheng, D. et al. Strong coupling of a spin ensemble to a superconducting \nresonator . Phys. Rev. Lett. 105, 140502 (2010 ). \n4. Amsüss, R., Koller, C., Nöbauer, T., Putz, S., Rotter, S., Sandner, K. et al. Cavity QED with magnetically coupled collective \nspin states . Phys. Rev. Lett. 107, 060502 (2011). \n5. Schuster, D. I., Sears, A. P., Ginossar, E., DiCarlo, L., Frunzio, L., Morton , J. J. L. et al. High -cooperativity coupling of \nelectron -spin ensembles to superconducting cavities . Phys. Rev. Lett. 105, 140501 (2010). \n6. Bushev, P ., Feofanov, A. K., Rotzinger, H., Protopopov, I., Cole, J. H., Wilson, C. M. et al. Ultralow -power spectros copy of a \nrare-earth spin ensemble using a superconducting resonator. Phys. Rev. B 84, 060501 (2011). \n7. Probst, S., Rotzinger, H., Wünsch, S., Jung, P ., Jerger, M., Siegel, M. et al. Anisotropic rare -earth spin ensemble strongly \ncoupled to a superconducting resonator. Phys. Rev. Lett. 110, 157001 (2013). \n8. Ranjan, V ., de Lange, G ., Schutjens, R., Debelhoir, T., Groen, J. P ., Szombati, D. et al. Probing dynamics of an electron -spin \nensemble via a superconducting resonator. Phys. Rev. Lett. 110, 067004 (2013). \n9. Wrachtrup, J. & Jelezko, F. Processing quantum information in diamond. J. Phys. Condens. Matter . 18, S807 -S824 (2006). \n10. Doherty, M. W., Dolde, F., Fedder, H., Jelezko, F., Wrachtrup, J., Manson, N. B. et al. Theory of the ground -state spin of the \nNV center in diamond. Phys. Rev. B 85, 205203 (2012). \n11. Guillot -Noël, O. , Goldner, Ph., Le Du, Y ., Baldit, E., Monnier, P . & Bencheikh, K . Hyperfine interaction of 3Er ions in \n2 5Y SiO : An electron para magnetic resonance spectroscopy study. Phys. Rev. B 74, 214409 (2006). \n12. Huebl, H., Zollitsch, C. W ., Lotze, J., Hocke, F., Greifenstein, M., Marx, A. et al. High cooperativity in coupled microwave \nresonator ferrimagnetic insulator hybrids . Phys. Rev. Lett. 111, 127003 (2013). \n13. Tabuchi, Y . , Ishino, S., Ishikawa, T., Y amazaki, R., Usami, K. & Nakamura, Y . Hybridizing ferromagnetic magnons and \nmicrowave photons in the quantum limit . Phys. Rev. Lett. 113, 083603 (2014). \n14. Zhang, X., Zou, C. -L., Jiang, L. & Tang, H. X. Strongly coupled magnons and cavity microwave photons. Phys. Rev. Lett. 113, \n156401 (2014). \n15. Goryachev, M. , Farr, W . G ., Creedon, D. L., Fan, Y ., Kostylev, M. & Tobar, M. E. High -cooperativity cavity QED with \nmagnons at microwave frequencies . Phys. Rev. Applied 2, 054002 (2014). \n16. Kittel, C. Introduction to Solid State Physics . 8th Ed. (John Wiley & Sons, 2005). \n17. Walker, L. R. Resonant modes of ferromagnetic spheroids. J. Appl. Phys. 29, 318 -323 (1958). \n18. Fletcher, P . C. & Bell, R. O. Ferrimagnetic resonance modes in spheres. J. Appl. Phys. 30, 687 -698 (1959). \n19. Stancil, D. D. & Prabhakar, A. Spin Waves . (Springer, 2009). \n20. White, R. M. Quantum Theory of Magnetism . 3rd Ed. (Springer, 2007). \n21. Soykal, Ö. O. & Flatté, M. E. Strong field interactions between a nanomagn et and a photonic cavity . Phys. Rev. Lett. 104, \n077202 (2010). \n22. Soykal, Ö. O. & Flatté, M. E. Size dependence of strong coupling between nanomagnets and photonic ca vities. Phys. Rev. B 82, \n104413 (2010). \n23. Walls, D. F. & Milburn, G . J. Quantum Optics . (Spring er, 1994). \n24. Rachford, F. J., Levy, M., Osgood, R. M., Kumar, A. & Bakhru, H. Magnetization and FMR studies of cr ystal-ion-sliced narrow \nlinewidth gallium -doped yttrium iron garnet. J. Appl. Phys. 87, 6253 -6255 (2000). \nAcknowledgement This work is supported by the NSAF Grant No. U1330201, the NSFC Grant No. 91421102, and the MOST 973 \nProgram Grant Nos. 2014CB848700 and 2014CB921401. F.N. is partially supported by the RIKEN iTHES Project, the MURI Center \nfor Dynamic Magneto -Optics, the impact program of JST, and a Grant -in-Aid for Scientific Research ( A). \n10 \n Supplementary Material \n \n1. Measured transmission spectrum of the cavity without a YIG sphere \nOur rectangular three -dimensional (3D) cavity with input/output ports was made of oxygen -free copper and has \ndimensions 50 18 3 mm3. By adjusting the lengths of the pins inside the input and output ports, the coupling \nrates of these two ports to the relevant cavity modes are tuned to i,1/ 2 0.19 MHz and o,1/ 2 0.20 MHz \nfor the fundamental (first) mode 101TE of the cavity, and i,2/ 2 0.85 MHz and o,2/ 2 0.99 MHz for the \nsecond mode 102TE of the cavity. Figure S1(a) shows the transmission sp ectrum of the 3D cavity without a YIG \nsphere, as measured at 22 mK. It is found that the frequencies of the cavity modes 101TE and 102TE are \nc,1/ 2 8.855 GHz and c,2/ 2 10.306 GHz, resp ectively. The total cavity decay rates are measured to be \ntot,1/ 2 1.1 MHz for the cavity mode 101TE and tot,2/ 2 2.4 MHz for the cavity mode 102TE , where the \ncorresponding intrinsic loss rates of the cavity are 0.71 MHz and 0.56 MHz, respectively. \nWhen the transmission spectrum of the 3D cavity without a YIG sphere is measured at room temperature , the \nresonance frequencies of the cavity shift and the corresponding intrinsic loss rates increase. This is due to the \nchanges of the mechanical and material properties of the 3D cavity, as compared with the cavity at 2 2 mK. Figure \nS1(b) shows the measured transmission spectrum of the 3D cavity at room temperature. From th e measurement \nresults , it is found that the frequencies of the cavity modes 101TE and 102TE are c,1/ 2 8.822 GHz and \nc,2/ 2 10.265 GHz, respectively. The total cavity decay rates are measured to be tot,1/ 2 2.5 MHz for the \ncavity mode 101TE and tot,2/ 2 5.9 MHz for the cavity mode 102TE , where the corresponding intrinsic loss \nrates of the cavity are 2.11 MHz and 4.06 MHz, respectively. \n \nFIG. S1: Transmission spectrum of the rectangular 3D cavity without a YIG sphere. (a) Measured transmission spectrum \nat 22 mK. (b) Measured transmission spectrum at room temperature. \n \n2. Calculated transmission spectrum of the cavity containing a YIG sphere \nThe transmission of the 3D cavity containing a YIG sphere can be calculated using equation (4) in the main text. \nFigures S2 and S3 show the calculated transmission spectra, so as to compare with the transmission s pectra \nmeasured at 22 mK and room tempera ture, respectively. The parameters used in the simulations are all extracted \nfrom the measurement results as provided in the main text and Table 1. Comparing Fig. S2 (S3) with Fig. 2 (3) in \nthe main text, one can see that the calculated transmission spectr a agree very well with the measured ones. This \nindicates the validity of the extracted parameters from the measurement results. \n11 \n \n \nFIG. S2: Calculated transmission spectra at cryogenic temperature . Numerical calculations of the transmission spectrum \nare pe rformed using equation (4) with extracted parameters in the main text and Table 1. The calculated spectra are displayed \nwith different frequencies around (a) the first cavity mode 101TE and (b) the second cavity mode 102TE . \n \n \nFIG. S3: Calculated transmission spectra at room temperature. The calculated spectra are also displayed with different \nfrequencies around (a) the first cavity mode 101TE and (b) the second cavity mode 102TE . " }, { "title": "1711.07610v3.Ionic_Modulation_of_Interfacial_Magnetism_through_Electrostatic_Doping_in_Pt_YIG_bilayer_heterostructure.pdf", "content": "Ionic Modulation of Interfacial Magnetism throu gh Electrostatic Doping in \nPt/YIG bilayer heterostructure \nMengmeng Guan#, Lei Wang#, Ziyao Zhou*, Guohua Dong , Shishun Zhao , Wei Su, Tai \nMin, Jing Ma, Zhongqiang Hu, Wei Ren, Zuo -Guang Ye, Ce-Wen Nan, Min g Liu* \n \nMengmeng Guan, Prof. Z iyao Zhou , Guohua Dong, Shishun Zhao, Wei Su, Prof. \nZhongqiang Hu, Prof. W ei Ren, Prof. Z uo-Guang Ye, Prof. M ing Liu \nElectronic Materials Research Laboratory, Key Laboratory of the Ministry of \nEducation and International Center for Dielectric Research S chool of Electron ic and \nInformation Engineering, Xi'an Jiaotong University , Xi’an, Shaanxi, 710049, China \nDr. L ei Wang, Prof. T ai Min \nCenter for Spint ronics and Quantum System, State Key Laboratory for Mechanical \nBehavior of Materials, School of Materials Science and Engineering, Xi'an Jiaotong \nUniversity, Xi'an, Shaanxi, 710049, China \nProf. Jing Ma, Prof Ce-Wen Nan \nState Key Lab of New Ceramics and Fin e Processing, School of Materials Science and \nEngineering, Tsinghua University, Beijing , 100084, China . \nProf. Z uo-Guang Ye \nDepartment of Chemistry and 4D LABS , Simon Fraser University, Burnaby, British \nColumbia, V5A 1S6, Canada \n#These authors contributed equally \n*E-mail: ziyaozhou@xjtu.edu.cn ; mingliu@xjtu.edu.cn \n \nKeywords: Yttrium iron garnet , ionic liquid gating, ferromagnetic resonance , mag netic \nproximity effect, magnetoelectric coupling \n Abstract \nVoltage modulation of yttrium iron garnet (YIG) with compactness, high speed \nresponse , energy efficiency and both practical/theoretical siginificances can be widely \napplied to various YIG based spintronics such as spin Hall, spin pumping, spin Seeback \neffects . Here we initial an ionic modulation of interfacial magnetism process on YIG/Pt \nbilayer heterostructures, where the Pt capping would influence the ferromagnetic \n(FMR ) field position signific antly , and realize a significant magnetism enhancement in \nbilayer system . A large voltage induced FMR field shifts of 690 Oe has been achieved \nin YIG (13 nm)/Pt (3 nm) multilayer heterostructures under a small voltage bias of 4.5 \nV. The remarkable ME tunab ility comes from voltage induced extra FM ordering in Pt \nmetal layer near the Pt/YIG interface . The first-principle theoretical simulation reveal \nthat the electrostatic doping induced Pt5+ ions have strong magnetic ordering due to \nuncompensated d orbit ele ctrons . The large voltage control of FMR change pave a \nfoundation towards novel voltage tunable YIG based spintronics . \n Introduction \nYttrium iron garnet (Y 3Fe5O12, YIG), a commonly used magnetic material, has \nhigh Curie temperature (T C ~ 650 K), very low intrinsic damping (α ~ 10-5), long spin \ntransmitting length (~1 cm), broad band gap (E g ~ 2.85 eV), and very low ferromagnetic \nresonance (FMR) linewidth (~1 Oe).[1-3] It is an ideal ferromagnetic insolator, which \nplays a key role in spintronics devices and exhibits a plentiful of spintronic behaviors \nsuch as spin pumping[4], spin Hall[5], spin Seebeck[4,6], and magnetic proximity effects \n(MPE)[7,8] etc. Recently, the research in terests in spin -orbital torque (SOT) were \nfocused on interface between heavy metal and magnetic metals/insulators, in particular, \ncurrent -driven SOT in YIG/heavy metal heterostructures.[9-16] In 2013, Sun et al. \ndiscovered that Pt thin film (>3 nm) capping onto YIG layer led to a damping change \nand an accompanied strong FMR shift due to magnetic proximity effect (MPE), where \nthe ferromagnetic (FM) ordering in the Pt layer near the YIG/Pt interface was created \nby dynamic exchange coupling.[16] Nevertheless, the most recent progresses in this field \nfocused on studying spin behaviors in non -magnetic layer in heavy metal (HM)/YIG \nbilayer heterostructures, where YIG serves as spin generator; while few researches \ndiscussed how the interfacial effect influences YIG thin film propert y as well as the \ntotal magneti sm in the whole bilayer system. \nMoreover, if the interfacial effect between Pt and YIG, for example, can be \nmodified by a localized electric field (E -field) because of possible vo ltage induced \nFermi level sh ift, w e can therefore overcome the state of the art challenges of voltage \nmodulation of spin phenomena in a fast, compact and energy efficient way, instead of current, in YIG related heterostructures . This voltage modulation approach also \nprovide s an extra E -manipulation degree of freedom for spintronics community, for \ninstance, voltage controllable spin Hall, spin pumping, SOT effects. Here we propose \nan ionic liquid (IL) gating approach for ferromagnetism modulation, where IL serves \nas an effecti ve gating media that provides significant interfacial charge accumulation \nunder E -field.[17-21] IL gating manipulates the interfacial magnetism of ultrathin metallic \nfilms by changing the electron density at the Fermi level,[18] thereby modulates \nmagnetism of oxide thin films through changing oxygen vacancies,[19, 20] and even \ntriggers the tri -phase transformation in some oxides by controlled ionic doping.[21] It \nhas many benefits over conventional multiferroics such as room temperature operation, \nlow gatin g voltage (V g) (<5 V), high ME tunability[22] and compatibility among various \nsubstrates. \nIn this work, a series of YIG thin films with different thicknesses (7 to 35 nm) \nwere epitaxially deposited onto GGG single crystal substrates. Heavy -metal Pt thin \nfilms were then coated onto them to serve as one of the electrode of the gating process \nand the coupling media between the YIG layer and IL. By placing IL onto these YIG/Pt \nheterostructures, we established an ideal Field Effect Transistor (FET) structure an d \nthen applied a small V g (<5 V) across the IL layer. A large voltage -induced FMR field \nshift of 690 Oe was obtained in 13 nm YIG film at -110 ℃. The first principle \ncalcu lation demonstrates that the enhancement of spin ordering and corresponding \nFMR field shift are resulted from electrical induced extra FM ordering in Pt metal layer \nduring the IL gating process[172]. The first principle calculation shows that the uncompensated d orbital electrons of Pt5+ and shifted Fermi level under E -bias is the \norigin o f the extra FM ordering. We believe that this relative new ME gating mechanism \n– the ionic created FM ordering in heavy metal layer will attract further research \nattentions because Pt/YIG (or other heavy metal/YIG) as well -known SOT systems is \nstill a rese arch hotspot nowadays. Additionally, YIG is a perfect media in radio \nfrequency and microwave devices such as filters,[ 23] shifters,[24] isolators,[25] circulators, \nspin wave components[9,10,26] and ultra -lower -power dissipation devices,[27] as well as in \noptical devices.[28] Electric field (E -field) manipulation of YIG thereby is of great \nsignificance to obtain voltage -tunable YIG devices with compactness, high -speed \nresponse, energy efficiency and extra degrees of manipulation freedom,[23,29,30] The \nlarge FMR tunability in YIG based heterostructures also appears a great application \npotential in tunable RF/microwave devices like bandpass filters and tunable \nspintronics/magnonics devices such as spin wave transisters. \n \nResults \nMagnetic proximity effect in YIG/Pt. The YIG layers were deposited on (111) \nGGG substrates using pulsed laser deposition (PLD) method with various thicknesses \nof 7 nm, 13 nm and 35 nm. The YIG film (35 nm ) was chosen for the structure analysis \nfor it yielded a stronger signal. Figure 1(a) shows the XRD pattern of the 35 nm YIG \nsample, which indicates that the YIG film was (111) -orientat ed. Figure 1 (b) is the cross -\nsection TEM image of the GGG/YIG (35 nm)/Pt (3 nm) sample, showing a good \nepitaxy of the YIG thin film. The in -plane magnetic hysteresis of the YIG (35 nm) \nsample before and after Pt (3 nm) capping is summarized in Figure 1(c). The hysteresis loop after Pt capping becomes more quadrate, indicating a strong coupling (MPE) \nbetween the YIG and Pt layers. The coupling effect induces an effective FM ordering \nand enhances the magnetization accordingly . Electron spin resonance (ESR) method is \na very powerful tool to quantitatively determine the spatial magnetic anisotropy of these \nsamples. To explore the origin of the magnetic c oupling in these heterostructures, we \nalso investigated the YIG thickness dependence of the FMR field (H r) shift by the ESR \ntechnicque. Figure 1(d) shows the schematic of the sample in the ESR microwave \ncavity, the sample can be rotated with the sample hol d to varify the magnetic field \ndirection, the magnetic moment in the sampl e resonace when the magnetic fi eld H \nequals H r, which can be defined with the Kittel formula \n2\nr ( / 2 )rs f H H M for \nthe in plane condition and \n( / 2 )( )rs f H M for the out of plane condition .[31] \nwhere \nf is the frequency of the microwave in the cavity, \n/2\n 28 (GHz/10 kOe) \nis the literature value of the gyromagnetic ratio[32], \nsM is the saturation magnetization. \nWe can also dedicate from the Kittel formula that the \nrH will shift when \nsM \nchanged. Figure 1 (e) shows FMR spectra of bare YIG (35 nm) and YIG (35 nm) capped \nwith Pt (3 nm). With Pt capping, we notice that the in -plane H r becomes smaller and \nthe out -of-plane H r becomes larger, giving rise to an enhanced FM ordering and \nequavalent increased in -plane magnetic anisotropy, which correspond with the \nhysteresis loop change after Pt capping. As shown in Figure 1(f), the H r shifts along the \nin-plane and out -of-plane directions represent a YIG layer thickness dependence . We \nattribute this interfacial phenomenon to the MPE that comes from the interfacial coupling of the YIG layer and Pt layer and creates of FM ordering at the interface \naccordingly. \n \nFigure 1 . Magnetic proximity effect in YIG/Pt. (a) X-Ray diffraction of the \nGGG /YIG (35 nm)/ Pt (3 nm) sample, (b) the cross section TEM of the same sample . \n(c) In-plane normalized magnetic h ysteresis loops of the YIG (35 nm) /Pt (3 nm) (red) \nand bare YIG (35 nm) (green) samples. (d) schematic of the sample in the ESR cavity. \n(e) FMR spectra of the bare YIG (green), YIG/Pt (red) samples. (f) is the YIG thickness \ndepence of FMR field shift after 3 nm Pt capping along the in-plane (blue) and out -of-\nplane (red) directions. \n \nE-field tuning of the magnetic response for the YIG/Pt samples . Figure 2 displays \nthe FMR response of the YIG/Pt samples before and after applying an electrical bias. \nThe IL gating process was monitored within the ESR cavity, as shown in Figure 2(a). \nAll the FMR measurements were carried out at a microwave frequency of 9.2 GHz. \nUnder a positive V g, the anions (TSFI+) and cations (DEME-) inside IL migrat ed toward \nthe Pt electrode and Au electrode, respectively. The anions generated an enormous \nsurface charge density up to 1015 cm-2, producing a strong interfacial E -field at the IL/Pt \ninterface. Figure 2 (b) demonstrates the Hr shift after applying 4.5 V bi as voltage across \nthe IL layer on the GGG/YIG ( 13 nm)/Pt (3 nm) sample with the external magnetic \nfield parallel to the normal direction of the surface (out of plane). The green line is the \ninitial state and the red line displays the state under 4.5 V V g. In Figure 2 (b), the out of \nplane FMR response shifted 123 Oe toward the high end (larger FMR field). When \nremoving V g, the FMR curve moved towards the intitial state, which is shown in the \nblue line. The H r position along with V g of the same sample is presented in Figure 2 (c), \nand the inset is the schematic of the sample structure. We noticed that only positive E -\nfield i mproves the MPE and FM ordering. I n contrast, negative V g does not affect the \nmagnetic properties (we did not sh ow here) . The gating process was also carried out in \nthe low temperature condition (Figure 4(d) ), although the FMR linewidth get broaden \nat -110 ℃, and the Hr shift caused by the gating process increase to 690 Oe , which is 1 \norder of magnitude higher than the current YIG tunabilities . [13-15] \nFigure 2 . E-field tuning of the magnetic response for the YIG/Pt samples . (a) \nSchematic of the gating process in the FMR cavity. (b) The FMR curves of the YIG (13 \nnm)/Pt (3 nm) along the out of plane direction u nder 0 V (green), 4.5 V (red) gating \nvoltage and after remove the gating voltage (blue). (c) Gating voltage dependent of H r \nin the YIG (13 nm)/Pt (3 nm) sample along the out of plane direction. (d) The FMR \ncurves of the YIG (13 nm)/Pt (3 nm) along the out of plane direction under 0 V (blue), \n4.5 V (red) gating voltage under -115 oC temperature. \n \nWe also study the influence of YIG thickness, the external magnetic direction and \nambient temperature on the IL gating process. T he YIG thickness dependence of the H r \nshift under 4.5 V V g was test . The red line in Figure 3(a) shows the H r shift in the out \nof plane direction in different YIG thickness sample. As we can see that similar as the \nPt capping result, as represen ted by the blue curve in Figure 3a, the IL gating caused H r \nshift is linear with reciprocal YIG thickness and indicates that IL gating process is \nessentially an interfacial effect. Figure 3 (b) shows the H r shift of the GGG/YIG (35 \nnm)/Pt (3 nm) sample und er 4.5 V V g along different external magnetic field direction, \nwhere α=0o represents the in -plane direction and α=90o is the out -of-plane direction (α \nis the angle between the H -field direction and the in -plane direction). In Figure 3 (b), \nthe 12 Oe in -plane FMR response shifted toward the low end (smaller FMR field), while \nthe 25 Oe out -of-plane FMR field moved to the high end (larger FMR field). The FMR \nshifting trend reveals that the in-plane anisotropy at the interface was clearly enha nced, \ncompared with the simila r trend of Pt capping (Figure 1 (e)). Here, 35 nm YIG is \nselected for its better RF/microwave signal. Interestingly , as shown in Figure 3 (c), a \nmuch greater FMR shift of 400 Oe under an out-of-plane magnetic bias was achieved \nat -110 ℃ via IL gating ( the in-plane FMR shift here is only 22 Oe). The stronger Pt \nFM ordering and MPE at low temperature may come from smaller thermal \nperturbations, which also appears in other multiferroic systems such as spin waves in \nLSMO/PMNPT[33], perpendicular magnetic anisotropy (PMA) structures[34], etc. \nBesides, the reversibility of FMR switching was also studied in the GGG/YIG ( 35 \nnm)/Pt (3 nm) sample along both the out-of-plane direction s and in-plane direction , as \nillustrated in Figure 3d and Figure S 4. The H r was switched back and forth (from 2198 \nOe to 2204 Oe in -plane; from 5735 Oe to 5760 Oe out -of-plane) with an alternative E -\nbias polarity across t he IL layer at room temperature . \nFigure 3. The influence of the YIG thickness, magnetic fi eld direction, ambient \ntemperature on the tunability of the gating process. (a) YIG thickness dependence \nof the FMR shift along the out of plane direction (blue) and in plane direction (red) \ninduced by 4.5 V gating voltage. (b) Angular dependence of FMR fi eld shift induced \nby 4.5 V V g, inset shows the schematic of the angular dependence between the magnetic \nfield and the film plane . (c) Temperature dependence of the FMR field shift along the \nout of plane direction (blue) and in plane direction (red) induced by 4.5 V V g. (d) \nReproducible test of the gating process in YIG (35 nm)/Pt (3 nm) along the out-of-plane \ndirection at room temperature. \n \nDiscussion s \nMany works have proved the existence of the MPE,[7,8,11 ,35] where several FM \nordering atomic layer s in normal metal s are proximate to an FM material, for example, \nat the YIG and Pt interface for the YIG/Pt heterostructures. Sun et al. clarified that the \nFMR curve shift is caused by MPE ,[16] and Xiao et al. studied the dependence of the \ninterface structu re and the MPE strength by using the first principle s calculations based \non the density functional theory.[36] They claimed that the FMR shift is originated from \nthe direct exchange interaction between the Fe 3d and Pt 5d electrons via electronic \nstate hyb ridization and the electron exchange coupling among the Pt atoms. In our \nexperiment s, the positive V g induced cation enrichment at the Pt /IL interface, attracted \nelectrons to the interface and the reby induced an enhanced -MPE -like effect in the \nYIG/Pt heter ostructures. In comparison, Figure S 5 shows ionic gating effect in YIG/Cu \nheterostructure, where the ME tunability can be neglect ed. We then carry on t heoretical \ncalculation to furth er understand the mechanism under the gating process. \nFirst Principle calculations on YIG/Pt bilayer system with ionic liquid gating. To \nreveal the large enhanced -MPE -like effect, we address first principle calculations to \nunderstand what happened after appling the IL gating , as demonstrated in Figure 1(a) \nwith Pt/YIG atomic modeling . In the inset of Figure 4 (b), when appl ying the IL gating, \nit will generate a n E-field along Pt ->YIG direction . However, it is hard to build up a \nchemical potential shift on metals due to the strong screening effect, the electrons of Pt \nlayer will be forced to the Pt/IL interface and leave Pt ion with positive charge on the \nPt/YIG interface accordingly . The charge accumulation also build s a back forward E-\nfield to balance the E -field from IL. In this case, numerically, when applying a voltage \nVg on IL, the IL will shift the nearest Pt by a energy of eV g, which make s the negative \nPt ion (Ptn-, n=Vg) at the Pt/IL interface. Contrastly , the Pt on the inter face between Pt and YIG will be Ptn+ for neutralize the total system . Does the ionic Pt has contributions \nto this enhanced -MPE -like effect? We set up a fcc Pt model, as shown in Figure 4 (a), \nwith adding /removing electron s and then calculate the corresponding magnetization of \nPt. The spin orbit coupling effect is also consider ed due to the fact that Pt is a heavy \nmetal . The results are plotted as the blue curve in Figure 4 (b). Interestingly, w e find \nthat the ionic Pt with around 5 positive charge (Pt5+) has suddenly appear red a strong \nmagnetic moment , which is even slightly lar ger than that of Ni. While applying Vg is \naround 5 V, we can find a MPE enhancement at the YIG/Pt interface and additional FM \nordering in the Pt layer . The estimated gating voltage (5 V) is very close to experimental \ndata (4.5 V), where t hey show the similar trend of a sudden dump of magnetic \nenhancement depend on applied voltage, as shown in Figure 4(b). The theoretical \nferromagnetic ordering enhancement can be estimated as ~720 Oe, which is very close \nto the experimental result (690 Oe). In general, the simulation results agree very well \nwith the experiments. \nMoreover, we chose Pt5+ and Pt0 for detail analysis on the gating effect. As plotted \nin Figure 4 (c) and Figure 4 (d), the density of state ( DOS ) of Pt0 show a strongly energy \ndependent effect, and the integration of every orbit show that the valance electrons (10 \nelectrons per Pt atom, 5d96s1) of Pt0 is mainly d electrons. H owever the detail numbers \nare not exactly correct, because we only sum the charge density inside the atomic sphere \nof Pt while neglect the charge density inside the gap be tween each atomic spheres. I n \nPt5+ we find that with the Fermi energy being pushed to a much lower energy position, \nthe s and p orbit almost vanished, only d orbit su rvive, which means that the valance electron of Pt5+ is now 5d5. we also calculate the spin density for every orbit of Pt0 and \nPt5+ respectively. The results are plotted in Figure 4 (e), Figure 4(f) and Figure S 6. It is \nobvious to see that s and p orbit alm ost do not give any contribution on magnetization \nfor both Pt0 and Pt5+. However, for d orbit, Pt0 and Pt5+ show different feathures. Pt0 \nhas a slight spin density which vibrate around zero and end up to a non-magnetic result \nafter summ arizing over the total Fermi sea ; while Pt5+ has a much strong energy \ndependent effect , which could be three magnitudes larger than that of Pt0 at the some \nenergy level. In the end, the summ ary over Fermi sea gives a non -trivial magnetization. \nWith Hund rules, it is obviously to have magnetization on every Pt5+ atom that can be \ndetermined in experiments with strong exchange interacton between Pt5+ and magnetic \nmaterials. In order t o ultilize this interface charge accumulation mechanism, a m agnetic \ninsulator (YIG) is a perfect solution in this experiment. \n \nFigure 4 . First Principle calculations on YIG/Pt bilayer system with ionic liquid \ngating. (a) The interface model of our calculation. (b) The blue curve is the \nmagnetization of Pt ion as a function of the electron charge of Pt, for example, 5 elctron \ncharge stand for that in the face centre cubic Pt, every atom has been taken 5 electrons \naway. The red curve is the H r field along with the in crease of the gating voltage. Inset \nis the s chematic of the electron distribution after gating process , here we only show the \ncharge accumulation around the interfaces. (c) (d) reperesent the density of state (dos) \nas a function of energy for neutral Pt (P t0) and 5 electrons positively charged Pt (Pt5+) \nrespectrively. And to see more information for the analysis, we seperate them by s - \n(blue point -line), p - (red point -line), d - (gren point -line) orbit and integrated them over \nenergy to see the occupation fo r s (blue line), p (red line), d (green line) orbit \nrespectively. (e) (f) The spin density of d orbit for Pt0 and Pt5+ respectively . Here m x, \nmy, mz stand for the projection of spin density on x, y, z axis. \n \nIn summary, based on a novel concept of ionic modulation of magnetic ordering in \nPt/YIG bilayer , where the interfacial charge accumulation may enhance the FM \nordering of the system and shift the FMR field accordingly, we have realized voltage \nregulation of YIG thin film by a FET IL gating structure. Outstanding ME tunability up \nto 690 Oe was achieved in the YIG -based heterostructure, which is 1 orders of \nmagnitude greater than the current YIG tunability, corresponding to a much greater ME \nFOM of 14. The fir st principle study revealed a novel E -field induced FM ordering in \nPt capping layer and corresponding FMR field tunability via the gating process. This \nnovel IL gating of YIG/heavy metal system is of great research interest and promising \nfor realizing high -performance voltage -tunable YIG based devices. \n \nMethod S ection \nSample preparation :The YIG films for IL gating were deposited on (111) Gd 3Ga5O12 \nby pulsed laser deposition method. During the depositi on, the temperature of substrate \nwas kept at 800 ℃ while the oxygen pressure was 13 Pa, and the laser pulse rate was 1 \nHz. After depositing, the films were annealed in -situ under 5.4× 104 Pa oxygen pressure with the cooling rate of 2 ℃/s. After cooling down to room temperature, the YIG films \nwere transferred to the magnetr on sputtering chamber. Pt layer was deposited onto these \nYIG films subsequently. \n \nMagnetic properties measurements : Magnetic hysteresis loops of the samples were \nmeasured using a LakeShore 7404 vibrating sample magnetometer (V SM). As the \nmagnetization of the YIG films is small (~20 μemu), only in-plane magnetic hysteresis \nloops of these samples were displayed . Ferromagnetic resonance (FMR) curves of the \nsamples were measured by an X -band electron spin resonance (ESR) system (JO EL, \nJES-FA200). The magnetic anisotropy change and spin wave patterns were precisely \ndetermined. \n \nIonic liquid gating preparetion: We chose the ionic liquid (IL) [DEME]+[TFSI] - as the \ngating material for its potential tunability and well -studied physicoch emical properties. \nA grid structure, Au/IL/Pt, was formed by using Au and Pt as the gating electrode. \nGating voltages from 0 V to 4.5 V were applied to the grid structure using a Keysight \nB2901A Precision Source/Measure Unit. In the IL phase, the anions an d cations \nmigrate d toward the Au electrode and the Pt electrode , respectively , driven by the E -\nfield. The charge carrier ions generate d an enormous surface charge density up to 1015 \ncm-2, producing a strong interfacial E -field. The E -field influences on the magnetic \nproperties of these samples were studied by in -situ FMR and VSM measuremants . During the gating process, low -temperature FMR curves were measured in a cryogenic \nchamber by liq uid N 2. \n \nStructure and morphology analysis : The c rystal structure of the samples as analyzed \nusing a high resolution X -ray d iffraction (HRXRD, PANalytical X'Per MRD ). The \nmicrostructure and morphology of the cross section s of the samples before and after \ngating process were imaged by high resolution t ransmission electron m icroscopy \n(HRTEM, JEOL JEM -ARM 200F ). \n \nReferences \n[1] V. Cherepanov, I. Kolokolov, V. L'vov. Phys. Reports 1993 , 229, 81. \n[2] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi, H. Umezawa, \nH. Kawai, K. Ando, K. Takanashi, S. Maekawa, and E. Saitoh, Nature (London) 2010 , \n464, 262. \n[3] Z. Wang, Y. Sun, Y. -Y. Song, M. Wu, H. SchultheiB, J. E. Pearson, A. Hoffmann, \nAppl. Phys . Lett. 2011, 99, 162511 . \n[4] K. Uchida , H. Adachi, T. Ota, M. Toda, B. Hillebrands, S. Maekawa, E. Saitoh, \nNat. Mater. 2011 , 10, 737. \n[5] H. Nakayama, M. Althammer, Y. -T. Chen, K. Uchida, Y. Kajiwara, D. Kikuchi, T. \nOhtani, S. Gepra¨ gs, M. Opel, S. Takahashi,1 R. Gross, G. E. W. Bauer, S. T. B. \nGoennenwein, E. Saitoh, Phys. Rev. Lett. 2013 , 106601 , 4673. \n[6] D. Meier, D. Reinhardt, M. V. St raaten, C. Klewe, M. Althammer, M. Schreier, S. \nT.B. Goennenwein, A. Gupta, M. Schmid, C. H. Back, J. -M. Schmalhorst, T. Kuschel, \nG. Reiss, Nat. Comm. 9211. \n[7] D. Qu, S. Y. Huang, J. Hu, R. Wu, C. L. Chien, Phys. Rev. Lett. 2013 , 110, 067206. \n[8] S. Y. H uang, Y. Choi, C. M. Ortega, X. M. Cheng, J. W. Cai, S. Y. Huang, L. Sun, \nC. L. Chien, Phys. Rev. Lett. 2013 , 110, 147207. [9] J. Sinova , Nat. Mater. 2010 , 9, 880 . \n[10] H. Kurebayashi , O. Dzyapko, V . E. Demidov, D . Fang, A. J. Ferguson , S. O. \nDemokritov , Nat. Mater. 2011 , 10, 660. \n[11] Y. M. Lu, Y. Choi, C. M. Ortega, X. M. Cheng, J. W. Cai, S. Y. Huang, L. Sun, C. \nL. Chien, Phys. Rev. Lett . 2013 , 110, 147207. \n[12] J. E. Losby, F. Fani Sani, D. T. Grandmont, Z. Diao, M. Belov, J. A. J. Burgess, \nS. R. Compton, W. K. Hiebert, D. Vick, K. Mohammad, E. Salimi, G. E. Bridges, D. J. \nThomson, M. R. Freeman . Science 2015 , 350, 798. \n[13] C. Du, H. Wang , F. Yang, P. C . Hammel , Phys. Rev. B 2014 , 90, 526. [14] H. \nNakayama, M. Althammer, Y. -T. Chen, K. Uchida, Y. Kajiwara, D. Kikuchi, T. Ohtani, \nS. Gepra¨ gs, M. Opel, S. Takahashi,1 R. Gross, G. E. W. Bauer, S. T. B. Goennenwein, \nE. Saitoh, Phys. Rev. Lett. 2013 , 106601 , 4673. \n[15] K. Uchida, S. Takahashi, K. Ha rii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa , E. \nSaitoh , Nature (London) 2008 , 455, 778. \n[16] Y. Sun, H. Chang, M. Kabatek, Y. Y. Song, Z. Wang, M. Jantz, W. Schneider, M. \nWu. E. Montoya, B. Kardasz, B. Heinrich. Suzanne G. E. te Velthuis, H. Schultheiss, \nA. Hoffmann, Phys. Rev. Lett. 2013 , 111, 106601 . \n[17] S. Shimizu, K. S. Takahashi, T. Hatano, M. Kawasaki, Y. Tokura, Y. Iwasa , Phys. \nRev. L ett. 2013 , 111, 216803 . \n[18] C. Ge , K. J. Jin , L. Gu , L. C. Peng , Y. S. Hu , H. Z. Guo ,H. F. Shi , J. K. Li , J. \nO. Wang , X. X. Guo , C. Wang , M. He ,H. B. Lu, G. Z. Yang , Adv. Mater. Interfaces \n2015 , 2, 1500407 . \n[19] Y. Wu , C. L.Vorakiat , X. Qiu , J. Liu , P. Deorani ,K. Banerjee , J. Son , Y. Chen , \nE. E. M. Chia , H. Yang, Adv. Mater . 2015 , 27, 1874 . \n[20] B. Cui , C. Song, G. A. Gehring , F. Li , G. Wang , C. Chen ,J. Peng , H. Mao , F. \nZeng , F. Pan , Adv. Funct. Mater. 2015 , 25, 864 . \n[21] N. Lu, P. Zhang, Q. Zhang, R. Qiao, Q. He, H. B. Li, Y. Wang, J. Guo, D. Zhang, \nZ. Duan, Z. Li, M. Wang, S. Yang, M. Ya n, E. Arenholz, S. Zhou, W. Yang, L. Gu, \nC.W. Nan, J. Wu, Y. Tokura, P. Yu, Nature (London) 2017 , 546, 12. [22]S. Zhao, Z. Zhou, B. Peng, M. Zhu, M. Feng, Q. Yang, Y. Yan, W. Ren, Z. -G. Ye, \nY. Liu, M. Liu, Adv. Mater. 2017 , 1606478. \n[23] G.M. Yang, J. Lou, J. Wu, M. Liu, G. Wen, Y. Jin, N. X. Sun. Microwave \nSymposium Digest. 2011 IEEE MTT -S International 2011 , 1. \n[24] A. S. Tatarenko, G . Srinivasan, M . I. Bichurin. Appl. Phys. Lett. 2006 , 88, 183507 . \n[25] S. Ghosh, S. Keyvavinia, W. V. Roy, T. Mizumoto, G. Roelkens, R. Baets, Opt. \nExpress 2012 , 20, 1839 . \n[26] L. J. Cornelissen, J. Liu, R. A. Duine, J. Ben Youssef B. J. van Wees , Nat. Phys. \n2015 , 11, 1022. \n[27] A. C. Baynham , B. R. M. Dunsmore, US3748605 . 1973. \n[28] M. Vogel, A. V. Chumak, E. H. Waller, T. Langner, V. I. Vasyuchka l, Nat. Phys. \n2015 , 11, 487. \n[29] X. Yang, Y. Gao , J. Wu, Z. Zhou , S. Beguhn, T. Nan , N. X. Sun. IEEE Microwave \nand Wireless C . 2011 , 24, 191. \n[30] J. Das, Y. Song, N. Mo, P. Krivosik, C. E. Patton, Adv. Mater . 2010 , 21, 2045. \n[31] C. Kittel, Introduction to Solid State Physics (John Wiley and Sons, 1976). \n[32] K. H. J. Buschow, Handbook of Magnetic Materials (North -Holland, 2012), Vol. \n20. \n[33] M. Zhu, Z. Zhou, B. Peng, S. Zhao, Y, Zhang, G. Niu, W. Ren, Z. G. Ye, Y. Liu, \nM. Liu, Adv. Funct. Mater. 2017 , 27, 1605598 . \n[34] B. Peng , Z . Zhou, T . Nan, G . Dong, M . Feng, Q . Yang, X . Wang , S. Zhao, D . \nXian, Z . D. Jiang , W. Ren, Z . G. Ye, N . X. Sun , M. Liu, ACS Nano 2017 , 11, 4337 . \n[35] Huang, S. Y. Fan, X. Qu, D. Chen, Y. P. Wang, W. G. Wu, J. Chen, T. Y. Xiao, J. \nQ. Chien, C. L. Phys. Rev. Lett. 2012 , 109, 107204. \n[36] Liang, X. Zhu, Y. Peng, B. Deng, L. Xie, J. Lu, H. Wu, M. Bi, L. ACS Appl . Mater . \nInter. 2016 , 8, 8175 . \n \nAcknowledgements The work was supported by the Natural Science Foundation of China (Grant Nos. \n51472199, 51602244 and11534015), the Natural Science Foundation of Shaanxi \nProvince (Grant No. 2015JM5196), the National 111 Project of China (B14040), and \nthe Fundamental Research Funds for the Central Universities. \nThe authors appreciate the support from the International Joint Laboratory for \nMicro/Nano Manufacturing and Measurement Technologies. Z.Z., Z.H and M.L. are \nsupported by the China Recruitment Program of Global Youth Experts. The work at \nSFU was support by the Natural Science and Engineering Research Council of Canada \n(NSERC). \n \nAuthor contributions \nM.L., Z.Z. and M.G. conceived and designed the experiments. M.G . and W.S. \nfabric ated samples and carried the in-situ IL -gating control. L.W. carried out th e First \nprinciple calculations . G.D. did the XRD measurements and the TEM test. All authors \ncontributed to discussion of the results . \n \nCompeting financial interests \nThe authors declare no competing financial interests. \n " }, { "title": "1905.11941v1.Broadband_enhancement_of_the_magneto_optical_activity_of_hybrid_Au_loaded_Bi_YIG.pdf", "content": "Broadband enhancement of the magneto-optical activity of hybrid Au loaded Bi:YIG\nSpiridon D. Pappas,1,\u0003Philipp Lang,1Tobias Eul,1Michael Hartelt,1Antonio Garc\u0013 \u0010a-Mart\u0013 \u0010n,2\nBurkard Hillebrands,1Martin Aeschlimann,1and Evangelos Th. Papaioannou1\n1Fachbereich Physik and Forschungszentrum OPTIMAS,\nTechnische Universit at Kaiserslautern, 67663 Kaiserslautern, Germany\n2Instituto de Micro y Nanotecnolog\u0013 \u0010a IMN-CNM, CSIC,\nCEI UAM+CSIC, Isaac Newton 8, E-28760 Tres Cantos, Madrid, Spain\n(Dated: October 27, 2021)\nWe unravel the underlying near-\feld mechanism of the enhancement of the magneto-optical ac-\ntivity of bismuth-substituted yttrium iron garnet \flms (Bi:YIG) loaded with gold nanoparticles.\nThe experimental results show that the embedded gold nanoparticles lead to a broadband enhance-\nment of the magneto-optical activity with respect to the activity of the bare Bi:YIG \flms. Full\nvectorial near- and far-\feld simulations demonstrate that this broadband enhancement is the re-\nsult of a magneto-optically enabled cross-talking of orthogonal localized plasmon resonances. Our\nresults pave the way to the on-demand design of the magneto-optical properties of hybrid magneto-\nplasmonic circuitry.\nThe \feld of magneto-plasmonics has attracted a lot of\nscienti\fc research, both for its importance in potential\ntechnological applications, and for its fundamental sci-\nenti\fc interest [1{4]. Towards these directions, various\nideas like using external magnetic \felds to control the dis-\npersion relation of plasmonic resonances (active magneto-\nplasmonics) [5], or using plasmonic resonances for spin\ncurrent generation in adjacent magnetic insulators [6, 7],\nand the implementation of a magneto-plasmonic interfer-\nometer [8], have been realized and explored.\nMagneto-optical studies on magneto-plasmonic nanos-\ntructures, composed of magnetic and/or plasmonic ma-\nterials, have revealed new exciting e\u000bects: Nanopat-\nterned hybrid heterostructures [9{16], pure ferromagnetic\n\flms [17{24], and noble metal/magnetic dielectric sys-\ntems [25, 26] exhibit plasmon-induced enhancement of\ntheir magneto-optical activity. Recently, the correlation\nof near- and far-\feld e\u000bects of a patterned magneto-\nplasmonic array has been achieved with the aid of a Pho-\ntoemission Electron Microscopy (PEEM) [27], paving the\nway for tailoring the magneto-optical response of these\nsystems: The spatial distribution of the polarization- and\nenergy-dependent electric near-\feld of the propagating\nplasmon polaritons has been connected to the size of the\nenhancement of the magneto-optical Kerr e\u000bect. As a\nfurther matter, the nature of the plasmonic resonances\n(localized or propagating surface plasmons [28, 29]) can\nalter di\u000berently the response of the magneto-optically ac-\ntive material in a magneto-plasmonic structure. From\nthe wider family of the hybrid magneto-plasmonic struc-\ntures [14{16, 25, 30, 31], the system composed of fer-\nrimagnetic dielectric layers of bismuth-substituted yt-\ntrium iron garnet (Bi:YIG) with embedded Au nanopar-\nticles (AuNPs) that support localized plasmon reso-\nnances (LPRs), has attracted little attention for its im-\nportance [26, 32]. Furthermore, the underlying near-\feld\n\u0003pappas@rhrk.uni-kl.demechanism is not clari\fed yet, while there is lack of stud-\nies on the longitudinal MOKE (L-MOKE) con\fguration\ninduced by LSPs.\nIn this letter, we explore the in\ruence of embed-\nded self-assembled AuNPs that support LPRs, on the\nmagneto-optical activity of a host Bi:YIG layer. The ex-\nperimental results show a broadband enhancement of the\nmagneto-optical activity of the surrounding Bi:YIG in\nthe spectral region where the plasmonic resonances occur.\nThe analysis of the simulated near- and far-\feld behavior\nreveal the existence of two orthogonal localized plasmon\nresonances, attributed to each of the optical semi-axis\nof the nanoparticles. We show that these plasmon reso-\nnances are coupled through the magneto-optical proper-\nties of the host material, creating a broadband magneto-\noptical enhancement.\nIn Fig. 1 (a), the structure of the investigated hybrid\nsample (Bi:YIG/AuNPs) is presented with the aid of a\nsketch. Scanning electron microscopy (SEM) images of\nthe sample (see Ref. [11]) reveal that the AuNPs are ran-\ndomly distributed close to the interface between Bi:YIG\nand gadolinium gallium garnet (GGG), and that they\nare embedded in the Bi:YIG \flm. Furthermore, they\nhave the shape of oblate spheroids, with an in-plane di-\nameter ranging from 30 to 90 nm and a height ranging\nfrom 20 to 50 nm. The optical properties of this sort of\nsystem is mainly determined by localized plasmon reso-\nnances (LPRs), rather than by geometrical-lattice reso-\nnances. A second sample, having exactly the same struc-\nture, but containing no AuNPs (BiY 2Fe5O12), was used\nas a reference. The reader is referred to the Supplemen-\ntal Material for further details on the fabrication of the\nsamples.\nThe magneto-optical response of the samples was ex-\nperimentally probed in the L-MOKE con\fguration. The\nlatter is schematically depicted in Fig. 1(b). In the L-\nMOKE geometry, the magnetization (\u0000 !M) of the sample\nlies in the sample plane, as well as in the plane of inci-\ndence of the incident light. The incident light can eitherarXiv:1905.11941v1 [physics.app-ph] 28 May 20192\nFIG. 1. (a) Schematic illustration of the sample used in the present study. (b) Scheme of the longitudinal MOKE con\fguration,\nused to record the Kerr angle of the BiY 2Fe5O12/(AuNPs) samples. The Kerr angle response of the samples is recorded for a\nrange of di\u000berent wavelengths of incident light. (c) (Top graphs) Experimental RssandRppre\rectance plots as a function of\nwavelength for the BiY 2Fe5O12/(AuNPs) samples. An aluminium mirror was used as a reference. (Bottom graphs) Dependence\nof the magneto-optical activity (M.O.A. s(p)) as a function of wavelength, recorded for the BiY 2Fe5O12/(AuNPs) samples for\ns- and p-polarized incident light. Both the re\rectance as well as the M.O.A. spectra have been recorded for angle of incidence\n\u0012i= 55 degs.\nbe s- or p- polarized. In Fig. 1(b) only the case of a mea-\nsurement performed with s-polarized light is presented\nfor simplicity. Superscripts rand idenote the re\rected\nand incident light, respectively. The re\rected light con-\ntains a p-polarized component which causes a change in\nthe polarization state. The polarization state of the re-\n\rected light is then given by:\n\u001fs=Er\np\nErs=rps\nrss\u0019\u0012s+i\u000fsor (1)\n\u001fp=Er\ns\nErp=rsp\nrpp\u0019\u0012p+i\u000fp (2)\nwhere\u001fs(p)is the complex Kerr angle, \u0012s(p)is the Kerr\nrotation, and \u000fs(p)is the Kerr ellipticity for s- (p-) in-\ncident polarized light. Optical re\rectance spectroscopy\nhave been performed for both samples, as well. The re-\n\rectance spectra were recorded by using the exact samegeometry which was used for the study of the magneto-\noptical response, with angles \u0012i=\u0012r= 55 degs. Re-\n\rectance spectra for both s- and p-incident polarized light\nhave been recorded. For a more detailed description of\nthe experimental techniques the reader is referred to Sup-\nplemental Material.\nIn Fig. 1 (c) (top graphs), we present the measured\nre\rectance spectra for both incident s- ( Rssplot) and\np-polarized ( Rppplot) light. It is apparent, that the re-\n\rectance of the sample containing no AuNPs, retains a\nconstant value in the spectral region of 550 - 800 nm. In\nthe case of the sample containing AuNPs, a broad drop in\ntheRssandRppspectra is located in the spectral region\n550 - 900 nm, reaching a minimum at \u0018675 nm. The\nre\rectance reduction in this spectral region, where the\nelectronic transitions of Bi:YIG [33, 34] have no relevant\nin\ruence, is solely attributed to Localized Surface Plas-3\nmon (LSP) resonances in the AuNPs, for sizes smaller\nthan\u0018100 nm.\nOur interest is particularly focused on the in\ruence of\nthe LSPs on the magneto-optical response in L-MOKE\ngeometry. The L-MOKE geometry is easy to be imple-\nmented and therefore quite attractive for technological\napplications, as well. In order to achieve that, we ex-\ntracted the modulus of the complex Kerr angle \u001f, which\nis also called magneto-optical activity (M.O.A.), for ap-\nplied external magnetic \felds su\u000eciently large to satu-\nrate the sample along the \flm in-plane direction. The\nspectral dependence of M.O.A for s- and p- incident po-\nlarized light is shown in the bottom graphs of Fig. 1(c),\nfor both samples. The increased M.O.A. of Bi:YIG in the\nlow-wavelength spectral region, is attributed to the wings\nof the magneto-optical transitions located at photon en-\nergies of 2.8 and 3.3 eV (442 and 378 nm respectively)\n[34]. It becomes apparent that the magneto-optical re-\nsponse of the Bi:YIG layer, for the sample containing\nAuNPs, is strongly modi\fed in the very broad optical\nregion where the resonant localized surface plasmon phe-\nnomena occur. Furthermore, by observation it can be\ndeduced that the enhancement of the M.O.A is larger in\nthe case of incident p-polarized light. The experimen-\ntal results clearly show a signi\fcant broadband enhance-\nment of the magneto-optical response of Bi:YIG in a spec-\ntral regime far from the inherent Bi:YIG magneto-optical\ntransitions, which is originating from LSPs in the hybrid\nsample.\nIn order to gain insight into the deeper mechanism\nof the enhanced magneto-optical activity of the hybrid\nBiY 2Fe5O12/AuNPs structure, we performed numerical\nsimulations and analyzed both the electric near-\feld fea-\ntures, as well as the far-\feld magneto-optical behaviour.\nTo perform these simulation, the dielectric tensor of\nBiY 2Fe5O12was used. The values of the diagonal and\no\u000b-diagonal elements as a function of wavelength were\ntaken from the literature [34, 35]. For the L-MOKE ge-\nometry and the vector directions as they are de\fned in\nFig. 1 (b), the dielectric tensor of Bi:YIG has the follow-\ning form:\n\"Bi:Y IG(\u0015) =0\n@\"0 0\n0\" \" yz\n0\u0000\"yz\"1\nA (3)\nThe magneto-optical activity of the material is attributed\nto the o\u000b-diagonal elements of the dielectric tensor. The\ndielectric tensor values for Au and GGG, were also taken\nfrom literature [35{38]. The angle of incidence for the\nincoming planar electromagnetic wave was de\fned at 55\ndeg, in order to match with the angle of incidence which\nwas used for the measurements (presented in Fig. 1(c)).\nThe Au nanoparticles are modeled as oblate spheroids\nwith \fxed values of semi-axes: a = 60 nm and c = 35 nm.\nThese values are chosen to correspond to the mean value\nof the nanoparticle sizes obtained from cross-sectional\ntransmission electron microscopy (TEM) images [6].\nIn Fig. 2 (a) (left side) the maps of the spatial y com-ponent (Ey) of the local electric \feld E is presented at\n2 characteristic spectral positions: \u0015= 550 nm, and 727\nnm. In this case the incident electric \feld is s-polarized.\nThe maps reveal the spectral position of the electric near-\n\feld intensi\fcation which emanate from the localized\nplasmon resonances in AuNPs. As it can be observed,\nat\u0015= 727 nm a big volume of the hosting Bi:YIG is\nexposed to the enhanced near-\feld around the AuNP. In\norder to quantify these near-\feld results in a more com-\nprehensive way, and reveal the exact spectral positions of\nthe plasmonic resonances, we calculate the induced dipole\nmoments in the AuNP. The dipole moment induced in the\nAuNP, which is surrounded by the dielectric Bi:YIG, is\ngiven by the following formula [39, 40]:\n\u0000 !p=\u000fo(\u000fAu\u0000\u000fBi:Y IG)1\nNpX\nmVm\u0000 !Em (4)\nwhere\u000fois the vacuum permittivity, \u000fAuis the dielec-\ntric tensor of Au, and \u000fBi:Y IG is the dielectric tensor of\nthe surrounding Bi:YIG material. Npis the total num-\nber of the discrete mesh cells, at which each value of the\nelectric \feld\u0000 !Emis calculated numerically. Vmis the\nvolume of the mthcell of the total discretized volume.\nFrom eq. 4, it can be deduced that\u0000 !p/<\u0000 !E >, where\n<\u0000 !E >=1\nNpP\nmVm\u0000!Emis the mean electric \feld in the\nAuNP. The calculated y component of the mean \feld\n() as a function of the wavelength of the incident\nlight, in the case of s-incident light, is shown in the right\nhand side plot of Fig. 2 (a). The results show a clear in-\ntensi\fcation of the mean \feld along the y direction, with\nthe peak of < E y>located at 727 nm. All of the val-\nues have been normalized to the maximum value of the\ncurve. In the case of p-polarized light, the vector of the\noscillating incident electric \feld can be analyzed along\nthe x and the vertical z direction. Therefore, in Fig. 3\n(b), we choose to show both < E x>and< E z>. The\nplots reveal the existence of a clear intensi\fcation along\nthe x direction (where is considered), as well as a\nclear but more feature-complicated intensi\fcation spec-\ntrum along the z direction (where is considered).\nBy comparison, we can deduce that the < E y>plot in\nthe case of s-polarized incident light, and the plot\nin the case of p-polarized incident light, have identical\nshapes. This, can be clearly explained from the symme-\ntry of the geometrical shape of the simulated nanoparticle\non the xy plane. From the plot in Fig. 3(b), we\ncan observe a \feld intensi\fcation close to the lower limit\nof the simulated spectral region. A clear peak is shown\nat\u0015= 575 nm, with an extra feature at about \u0015= 625\nnm. In the case of p-polarized incident light, < E z>\ngets enhanced at a much smaller wavelength value than\nthe component < E x>does. This, can be understood\nby the fact that the dimension of the nanoparticle along\nthe z direction is smaller than that along the x or y di-\nrection. Therefore, the resonant mode along z is shifted\nat lower wavelength values, as it is compared to the reso-\nnances along the x or y direction. Furthermore, the fact4\nFIG. 2. (a) (Left side) Maps of the y electric near-\feld component ( Ey) for two di\u000berent wavelength values of incident s-\npolarized light. The color scale has been normalized to the maximum spatial \feld value in each case. (Right side) Calculated\n< E y>in the AuNP, for incident s-polarized light. The values have been calculated from the simulated y electric near-\feld\ncomponents in the Au nanoparticle. The values have been normalized to the maximum value. (b) Calculated \nandin the AuNP, for p-polarized incident light. The values have been calculated by following the same procedure as\nin (a).\nthat the< E z>curve has a more complex shape, in\ncomparison to the curve, could be explained by\nthe geometric complexity of the oblate spheroid shape.\nSubsequently, we want to compare the near-\feld sim-\nulations with the obtained far-\feld data. Therefore, we\ninitially calculated the re\rectivity for s-polarized light\nRss, as well as the polarization conversion e\u000eciency Rps,\nin the L-MOKE geometry. These values are de\fned as\nfollows:Rss=rssr?\nssandRps=rpsr?\nps. The elements\nrssandrpshave been calculated with the aid of CST by\ncalculating the scattering matrix elements in the de\fned\nwaveguide port above the simulated structure. The sim-\nulating method is based on the calculation of the power\nof the electromagnetic waves impinging on the de\fned\nwaveguide port (see Supplemental Material for further\ndetails), and provides very useful qualitative information\nabout the re\rectivity and the polarization conversion ef-\n\fciency.The simulated RssandRppfor the corresponding\noblique angle of incidence of \u0012i= 55 deg, are presented\nin Fig. 3(a). For incident s-polarized light ( Rss), in the\ncase of the \flm containing AuNPs, the characteristic min-\nimum in the re\rectivity associated with plasmon excita-\ntion is observed at about 700 nm. Plasmon excitation is\nfurther veri\fed by the spectral position of the maximum\nof the near-\feld , (Fig. 2 (a)). Furthermore, the\nsimulatedRssplot reproduces the corresponding experi-\nmental one very well. In the case of incident p-polarized\nlight (Rpp), the modi\fcation due to the LSPs is stronger\nthan in the case of incident s-polarized, as observed in\nthe experimental Rppcurve in Fig. 1 (c).\nIt is worthwhile to notice that the in\ruence of the two\nresonances associated to < E x>and< E z>appear-\ning at two distinct spectral positions (see in Fig.2 (b)),\nbecomes visible in the Rppcurve. This distinction is not\nclear in the experimental curves, we surmise, due to the5\nFIG. 3. (a) Simulated RssandRppfor both samples. (b)\nPolarization conversion e\u000eciency RpsorRsp. (c) Post - cal-\nculated M.O.A.s, extracted from the simulated rss,rpp,rps,\nandrspvalues.\ndispersion of the aspect ratios of the Au nanoparticles\nand other typical imperfections in the actual samples. To\naccount for this distribution of the sizes and the aspect\nratios, a large number of simulations over di\u000berent con-\n\fgurations would be required, leading to an una\u000bordable\ntime-scale for each numerical spectrum. In Fig. 3 (b),\nwe show the simulated polarization conversion e\u000eciencies\nRpsorRspfor each sample. These appear always to be\nidentical in every case, namely Rps=Rsp. The latter is\ndictated by the symmetry imposed by the material itself\n(\u000fyz=\u0000\u000fzy). The simulations show that Rps(sp)clearly\nexhibits a broadband enhancement in the spectral region\nof 600 - 800 nm where the plasmonic resonances are lo-\ncated, and it is independent of the incident light polar-\nization. This proves that even in the case of incident s-\npolarized light, there is a resonance along the z direction.This resonance is the result of polarization coupling, and\nit is mediated through the magneto-optical properties of\nBi:YIG, giving rise to the broadband enhancement of the\npolarization conversion. In Fig. 3 (c), the post-calculated\nM.O.A for the case of s- and p-polarized incident light are\npresented. The simulated M.O.A. reproduces very well\nthe experimental one in the spectral region of about 675\nnm, in the case of the structure containing AuNPs. By\ncomparing the simulated M.O.A. curves, we see that the\none corresponding to the pure Bi:YIG structure with-\nout AuNPs, becomes largely modi\fed by the presence of\nAuNPs. In the case of incident p-polarized light, the in-\n\ruence of the two characteristic features attributed to the\nLSP resonances along the two semi-axes of the nanopar-\nticle, are visible. The distinction between these two res-\nonances in the experimental data (Fig.1 (c)) are, again,\nwashed out due to the distribution of the nanoparticle\nsizes and their aspect ratios. The modi\fed M.O.A. in\nthe far-\feld is generated by the landscape of the electric\nnear-\feld modi\fcations. These near-\feld features in the\nplasmonic structure have a direct impact on the exhib-\nited re\rectances as well as on the polarization conversion\ne\u000eciency, and therefore on the magneto-optical activity.\nIn summary, we have experimentally demonstrated a\nbroad-band enhancement of the magneto-optical activ-\nity of hybrid Bi:YIG/AuNP systems induced by local-\nized plasmon resonances, by analyzing the longitudinal\nmagneto-optical Kerr con\fguration. In order to unravel\nthe role played by the localized plasmon resonances on\nthe magneto-optical behaviour of the host Bi:YIG, we\nperformed near-\feld simulations, and correlated the ob-\ntained results with the numerical far-\feld spectra. We\nhave unambiguously shown that the features in the en-\nhanced magneto-optical activity are the result of two or-\nthogonal LSP resonances that are coupled by the MO\nactivity of the underlying Bi:YIG matrix, and are pre-\nsented for both polarization states of the incident light.\nOur results pave the way to the design on-demand of the\nmagneto-optical response of hybrid magneto-plasmonic\ncircuitry, by controlling the localized resonances through\nthe size and the aspect ratio of the nanoparticles.\nE.Th.P. and S. D. P. acknowledge the Carl Zeiss\nFoundation for \fnancial support. We gratefully ac-\nknowledge the Deutsche Forschungsgemeinschaft pro-\ngram SFB/TRR 173: SPIN+X Project B07. Ken-ichi\nUchida (NIMS, Japan) is acknowledged for providing the\nBi:YIG/AuNPs samples. Dipl.-Phys. Marc Vogel - AG\nvon Freymann - TU Kaiserslautern is acknowledged for\nthe introduction to CST software and his help with the\ninstallation of the package.\n[1] G. Armelles, A. Cebollada, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, and M. U.\nGonzalez, Adv. Opt. Mater. 1, 10 (2013).\n[2] D. O. Ignatyeva, Knyazev, G. A., Kapralov, P. O. Dietler,\nG. Sekatskii, S. K., and V. I. Belotelov, Sci. Rep. 6, 28077\n(2016).[3] N. Maccaferri, E. K. Gregorczyk, V. A. G. O. Thales,\nM. Kataja, S. van Dijken, Z. Pirzadeh, A. Dmitriev,\nJ.\u0017Akerman, M. Knez, and P. Vavassori, Nat. Commun.\n6, 6150 (2015).\n[4] B. Caballero, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, and J. C. Cuevas, ACS6\nPhotonics 3, 203 (2016).\n[5] V. V. Temnov, G. Armelles, U. Woggon, D. Guzatov,\nA. Cebollada, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, J.-M. Garcia-Martin,\nT. Thomay, A. Leitenstorfer, and R. Bratschitsch, Nat.\nPhotonics 4, 107 (2010).\n[6] K. Uchida, H. Adachi, D. Kikuchi, S. Ito, Z. Qiu,\nS. Maekawa, and E. Saitoh, Nat. Commun. 6, 5910 EP\n(2015).\n[7] S. Ishii, K.-i. Uchida, T. D. Dao, Y. Wada, E. Saitoh,\nand T. Nagao, APL Photonics 2, 106103 (2017).\n[8] C. J. Firby and A. Y. Elezzabi, Appl. Phys. Lett. 109,\n011101 (2016).\n[9] B. Sep\u0013 ulveda, J. B. Gonz\u0013 alez-D\u0013 \u0010az, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n,\nL. M. Lechuga, and G. Armelles, Phys. Rev. Lett. 104,\n147401 (2010).\n[10] J. F. Torrado, E. T. Papaioannou, G. Ctistis, P. Patoka,\nM. Giersig, G. Armelles, and A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, Phys.\nStatus Solidi (RRL) 4, 271 (2010).\n[11] N. Maccaferri, X. Inchausti, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, J. C.\nCuevas, D. Tripathy, A. O. Adeyeye, and P. Vavassori,\nACS Photonics 2, 1769 (2015).\n[12] E. T. Papaioannou, T. Meyer, and B. Hillebrands, Jour-\nnal of Surfaces and Interfaces of Materials 2, 40 (2014).\n[13] I. Razdolski, D. G. Gheorghe, E. Melander,\nB. Hj orvarsson, P. Patoka, A. V. Kimel, A. Kiri-\nlyuk, E. T. Papaioannou, and T. Rasing, Phys. Rev. B\n88, 075436 (2013).\n[14] G. Armelles, A. Cebollada, F. Garc\u0013 \u0010a, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n,\nand N. de Sousa, ACS Photonics 3, 2427 (2016).\n[15] B. Caballero, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, and J. C. Cuevas, Opt.\nExpress 23, 22238 (2015).\n[16] D. Martin-Becerra, J. B. Gonzalez-Diaz, V. V. Temnov,\nA. Cebollada, G. Armelles, T. Thomay, A. Leitenstorfer,\nR. Bratschitsch, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, and M. U. Gonzalez,\nAppl. Phys. Lett. 97, 183114 (2010).\n[17] H. Fang, B. Caballero, E. M. Akinoglu, E. T. Papaioan-\nnou, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, J. C. Cuevas, M. Giersig, and\nP. Fumagalli, Appl. Phys. Lett. 106, 153104 (2015).\n[18] M. Kataja, T. K. Hakala, A. Julku, M. J. Huttunen,\nS. van Dijken, and P. Torma, Nat Commun VL - 6 ,\n7072 1 (2015).\n[19] E. Melander, E. Ostman, J. Keller, J. Schmidt, E. T.\nPapaioannou, V. Kapaklis, U. B. Arnalds, B. Caballero,\nA. Garc\u0013 \u0010a-Mart\u0013 \u0010n, J. C. Cuevas, and B. Hj orvarsson,\nAppl. Phys. Lett. 101, 063107 (2012).\n[20] L. Chen, J. Gao, W. Xia, S. Zhang, S. Tang, W. Zhang,\nD. Li, X. Wu, and Y. Du, Phys. Rev. B 93, 214411\n(2016).\n[21] V. Bonanni, S. Bonetti, T. Pakizeh, Z. Pirzadeh, J. Chen,\nJ. Nogus, P. Vavassori, R. Hillenbrand, J. \u0017Akerman,\nand A. Dmitriev, Nano Letters 11, 5333 (2011), pMID:\n22029387.[22] E. T. Papaioannou, V. Kapaklis, E. Melander,\nB. Hj orvarsson, S. D. Pappas, P. Patoka, M. Giersig,\nP. Fumagalli, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, and G. Ctistis, Opt.\nExpress 19, 23867 (2011).\n[23] H. M. Luong, B. Ai, Y. Zhao, and T. D. Nguyen, Journal\nof Magnetism and Magnetic Materials 468, 79 (2018).\n[24] N. Maccaferri, A. Berger, S. Bonetti, V. Bonanni,\nM. Kataja, Q. H. Qin, S. van Dijken, Z. Pirzadeh,\nA. Dmitriev, J. Nogu\u0013 es, J. \u0017Akerman, and P. Vavassori,\nPhys. Rev. Lett. 111, 167401 (2013).\n[25] V. I. Belotelov, I. A. Akimov, M. Pohl, V. A. Ko-\ntov, S. Kasture, A. S. Vengurlekar, A. V. Gopal, D. R.\nYakovlev, A. K. Zvezdin, and M. Bayer, Nat. Nanotech-\nnol.6, 370 EP (2011).\n[26] S. Tomita, T. Kato, S. Tsunashima, S. Iwata, M. Fujii,\nand S. Hayashi, Phys. Rev. Lett. 96, 167402 (2006).\n[27] M. Rollinger, P. Thielen, E. Melander, E. stman,\nV. Kapaklis, B. Obry, M. Cinchetti, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n,\nM. Aeschlimann, and E. T. Papaioannou, Nano Letters\n16, 2432 (2016), pMID: 27018661.\n[28] T. A. Kelf, Y. Sugawara, R. M. Cole, J. J. Baumberg,\nM. E. Abdelsalam, S. Cintra, S. Mahajan, A. E. Russell,\nand P. N. Bartlett, Phys. Rev. B 74, 245415 (2006).\n[29] E. T. Papaioannou, H. Fang, B. Caballero, E. M.\nAkinoglu, M. Giersig, A. Garc\u0013 \u0010a-Mart\u0013 \u0010n, and P. Fuma-\ngalli, Opt. Express 25, 32792 (2017).\n[30] O. Borovkova, A. Kalish, and V. Belotelov, Opt. Lett.\n41, 4593 (2016).\n[31] I. S. Maksymov, J. Hutomo, and M. Kostylev, Opt. Ex-\npress22, 8720 (2014).\n[32] E. Almpanis, P. Pantazopoulos, N. Papanikolaou,\nV. Yannopapas, and N. Stefanou, J. Opt. Soc. Am. B\n33, 2609 (2016).\n[33] V. Doormann, J. P. Krumme, C. P. Klages, and M. Er-\nman, Appl. Phys. A 34, 223 (1984).\n[34] S. Wittekoek, T. J. A. Popma, J. M. Robertson, and\nP. F. Bongers, Phys. Rev. B 12, 2777 (1975).\n[35] V. Doormann, J. Krumme, and H. Lenz, J. Appl. Phys.\n68, 3544 (1990).\n[36] A. D. Raki\u0013 c, A. B. Djuri\u0014 si\u0013 c, J. M. Elazar, and M. L.\nMajewski, Appl. Opt. 37, 5271 (1998).\n[37] E. D. Palik and G. Ghosh, Handbook of optical constants\nof solids. (San Diego: Academic Press, 1998).\n[38] S. K. K. M R Mustafaev, Ya M Naziev, Math-Net . Ru.\n33, 569572 (2017).\n[39] N. de Sousa, L. S. Froufe-P\u0013 erez, J. J. S\u0013 aenz, and\nA. Garc\u0013 \u0010a-Mart\u0013 \u0010n, Sci. Rep. 6, 30803 (2016).\n[40] S. Albaladejo, R. G\u0013 omez-Medina, L. S. Froufe-P\u0013 erez,\nH. Marinchio, R. Carminati, J. F. Torrado, G. Armelles,\nA. Garc\u0013 \u0010a-Mart\u0013 \u0010n, and J. J. S\u0013 aenz, Opt. Express 18,\n3556 (2010)." }, { "title": "1307.2648v2.Scaling_of_spin_Hall_angle_in_3d__4d_and_5d_metals_from_Y3Fe5O12_metal_spin_pumping.pdf", "content": "1 \n Scaling of spin Hall angle in 3 d, 4d and 5d metals from Y3Fe5O12/metal spin \npumping \nH. L. Wang†, C. H. Du†, Y . Pu, R. Adur, P. C. Hammel*, F. Y . Yang* \nDepartment of Physics, The Ohio State University, Columbus, OH 43210 ,USA \n†These authors made equal contributions to this work \n*E-mails:fyyang@physics.osu.edu; hammel@physics.osu.edu \n \nAbstract \nWe have investigated spin pumping from Y3Fe5O12 thin films into Cu, Ag, Ta, W, Pt and Au \nwith varying spin-orbit coupling strengths . From measurements of Gilbert damping \nenhance ment and inverse spin Hall signals spanning three orders of magnitude , we determine \nthe spin Hall angles and interfacial spin mixing conductance s for the six metals . For noble \nmetals Cu, Ag and Au (same d-electron counts) , the spin Hall angles vary as Z4 (Z: atomic \nnumber ), corroborating the role of spin -orbit coupling . In contrast, amongst the four 5 d \nmetals, the variation of the spin Hall angle is dominated by the sensitivity of the d-orbital \nmoment to the d-electron count, confirming theoretical predictions. \n \nPACS: 75.47.Lx, 76.50.+g, 75.70.Ak, 61.05.cp \n 2 \n Spin pumping of p ure spin c urrent s from a ferromagnet ( FM) into a nonmagnetic \nmaterial ( NM) provide s a promising route toward energy -efficient spintronic devices . The \ninverse spin Hall effect (ISHE) in FM/Pt bilayer systems [1-13] is the most widely used tool \nfor detecting s pin currents generated by either ferromagnetic resonance ( FMR ) or a thermal \ngradient. The intense interest in spin pumping emphasizes the pressing need for quantitative \nunderstanding of ISHE in normal metals other than Pt [10]. To date, spin Hall angles (SH) \nhave been measured for several metals and alloys by spin Hall or ISHE measurements, \nmostly using metallic FMs [ 14]. Due to current shunting of the metallic FMs and potential \nconfounding effects of anisotropic magnetoresistance ( AMR ) or anomalous Hall effect \n(AHE ), the reported values of SH vary significantly , sometimes by more than one order of \nmagnitude for the same materials [14]. Here we report a systematic study of FMR spin \npumping from insulating Y3Fe5O12 (YIG) epitaxial thin films grown by sputtering [15-22] \ninto six normal metal s, Cu, Ag, Ta, W, Pt and Au , that span a wide range in two key \nparameters : a factor of ~50 in spin-orbit coupling strength [ 23] and over two orders of \nmagnitude variation in spin diffusion length (SD) [4, 24-26]. Due to their weak spin-orbit \ncoupling and relatively long spin diffusion length s, Cu and Ag present a significant challenge \nfor ISHE detection of spin pumping . ISHE voltages (VISHE) exceeding 5 mV are generated in \nour YIG/Ta and YIG /W bilayer s and here we report ~1 V spin pumping signals in YIG/Cu \nand YIG /Ag bilayer s. The recently rep orted proximity effect in Pt [ 9, 13 ] should lead to at most \nV-level contribution to the measured VISHE, which is negligible compared with the observed \nmV-level VISHE in our YIG/Pt bilayer and should not be a factor in other five metals. The large 3 \n dynamic range that this sensitivity provides and the insulating nature of YIG films enable \nquantitative determination of spin mixing conductance s across the YIG/metal interfa ces [5, \n12] and spin Hall angle s of these 3d, 4d and 5 d metals . \nWe characterize the structural quality of our epitaxial YIG films deposited on \n(111) -oriented Gd3Ga5O12 (GGG) substrates using off-axis ultrahigh vacuum (UHV) \nsputtering [15-22] by high-resolution x -ray diffraction (XRD). A representative θ-2θ scan of a \n20-nm YIG film shown in Fig. 1 a demonstrates phase purity and clear Laue oscillations , \nindicat ing high uniformity of the film . We find an out-of-plane lattice constant of the YIG \nfilm, c = 12.393 Å , very close to the bulk value of 12.376 Å . The XRD rocking curve in the \nleft inset to Fig. 1a give s a full width at half maximum (FWHM) of only 0.0092, near the \nresolution limit of our high-resolution XRD, demonstrating the excellent crystalline quality of \nthe YIG film . The atomic force microscopy (AFM) image in the right inset to Fig. 1a shows a \nsmooth surface with a roughness of 0.15 nm. Figure 1b shows a representative FMR \nderivative absorption spectrum f or a 20-nm YIG film used in this study taken by a Bruker \nEPR spectrometer in a cavity at a radio -frequency (rf) f = 9.65 GHz and an input microwave \npower Prf = 0.2 mW with a magnetic field H applied in the film plane. The peak -to-peak \nlinewidth ( H) obtained from the spectrum is 1 1.7 Oe and an effective saturation \nmagnetization 4π𝑀eff = 1786 ± 36 Oe is extracted from fitt ing the angular dependence of \nresona nce field [27]. Due to the small magnetic anisotropy of YIG, the satura tion \nmagnetization 4𝑀s can be approximated at 1786 Gauss which agrees well with the value \nreported for single crystal YIG , indicating the high magnetic quality of our YIG films [28]. In 4 \n this letter , all six YIG/metal bilayers are made from the same 20 -nm YIG film characterized \nin Figs. 1b and 1c. \nOur s pin pumping measurements are carried out in the center of the EPR cavity on the \nsix YIG/ metal bilayer s at room temperature (approximate dimension s of 1.0 mm 5 mm). \nThe thickness of the metal layers is 5 nm for Ag, Ta, W, Pt, Au and 10 nm for Cu, and all are \nmade by UHV off-axis sputtering . Resistivity () measurements confirm that the Ta and W \nfilms are -phase [29, 30] (high resistivity , see Table I) . During the spin pumping \nmeasurements, a DC magnetic field H is applied in the xz-plane and the ISHE voltage is \nmeasured across the ~5-mm long metal layer along the y-axis, as illustrated in Fig. 1c. At \nresonan ce, the precessing YIG magnetization (M) transfers angular momentum to the \nconduction electrons in the normal metal. The resulting pure spin current Js is injected into \nthe metal layer along the z-axis with spin polarization 𝜎 parallel to M and then converted to a \ncharge current Jc SHJs𝜎 by the ISHE via the spin-orbit interaction . \nFigure 2 show s VISHE vs. H spectra of the six YIG/metal bilayers at θH = 90 and 270 \n(both with in -plane field) at Prf = 200 mW. For YIG/Ta and YIG /W bilayer s, |VISHE| exceeds 5 \nmV (1 mV/mm) . For YIG /Pt, YIG/Au and YIG /Ag, VISHE = 2.10 mV, 72.6 V and 1. 49 V, \nrespectively . Due to the opposi te sign s of SH, Pt, Au and Ag give positive VISHE while Ta and \nW give negative VISHE at θH = 90. This agrees with the predicted signs of SH [31, 32] of the \nmetals . When H is reversed from θH = 90 to 270, all the VISHE signal s change sign as \nexpected from the ISHE . The rf-power dependenc ies of VISHE are shown in the upper insets to \nFigs. 2a -2f at θH = 90, each of which shows a linear dependence, indicat ing that the 5 \n observed spin pumping signals are in the linear regime. Furthermore, the large spin pumping \nsignals provided by the YIG films e nable the observation of VISHE = 0.99 V in the YIG/Cu \nbilayer (Fig. 2f) . Due to the much weak er spin-orbit coupling [24] in Cu compared to th ose \n5d metals , there is no previous report of ISHE detection of spin pumping in FM/Cu structure s. \nThis first observation of VISHE in YIG/Cu enables the determination of spin Hall angle in Cu . \nWhen H is rotated from in -plane to out -of-plane , M remains essentially parallel to H at \nall angles since the FMR resonance field Hres (between 2500 and 5000 Oe ) always exceeds \n4Meff (1786 Oe). The lower insets to Figs. 2a-2f show the angular dependenc ies of the \nnormalized VISHE for the six bilayer s; all clearly exhibit the expected sinusoidal shape (VISHE \n Js𝜎 JsM JsH sinθH), confirming that the observed ISHE voltage s arise from FMR \nspin pumping. Since YIG is insulating we can rule out artifacts due to thermoelectric or \nmagnetoelectric effects, such as AMR or AHE , enabling more straightforward measurement \nof the inverse spin H all effect than using metallic FMs. \nFigure s 3a-3f show the FMR derivative absorption spectr a (f = 9.65 GHz) of the \n20-nm YIG film s before and after the deposition of the metal s. The FMR linewi dths are \nclearly enhanced in YIG/metal bilayers relative to the bare YIG films . The linewidth \nenhancement [10, 11] is a consequence of FMR spin pumping: the coupling that transfer s \nangular momentum from YIG to the metal adds to the damping of the precessing YIG \nmagnetization , thus increas ing the linewidth. In order to accurately determine the \nenhance ment of Gilbert damping, we measured the frequency dependenc ies of the linewidth \nof a bare YIG film and the six YIG/ metal bilayers using a microstrip transmission line . In all 6 \n cases the linewidth increases linear ly with frequency (Fig. 3g). The Gilbert damping constant \n can be obtained using [ 33], \nΔ𝐻=Δ𝐻inh+4𝜋𝛼𝑓\n√3𝛾, (1) \nwhere Hinh is the inhomog eneous broadening and is the gyromagnetic ratio . Table I shows \nthe damping enhancement sp due to spin pumping : sp=YIG/NM−YIG, where YIG/NM \nand YIG = (9.1 ± 0.6) 10-4 are obtained from the least-squares fits in Fig. 3g . \nThe observed ISHE voltages depend on several materials parameters [4, 11], \n𝑉ISHE=−𝑒𝜃SH\n𝜎N𝑡N+𝜎F𝑡F𝜆SDtanh(𝑡N\n2𝜆SD)𝑔↑↓𝑓𝐿𝑃(𝛾ℎrf\n2𝛼𝜔)2\n, (2) \nwhere e is the electron charge , N (F) is the conductivity of the NM (FM), tN (tF) is the \nthickness of the NM (FM) layer, 𝑔↑↓ is the interfacial spin mixing conductance , = 2f is \nthe FMR frequency , L is the sample length, and hrf = 0.25 Oe [34] in our FMR cavity at Prf = \n200 mW. The factor P arises from the ellipticity of the magnetization precession [10], \n𝑃=2𝜔[𝛾4𝜋𝑀s+√(𝛾4𝜋𝑀s)2+4𝜔2]\n(𝛾4𝜋𝑀s)2+4𝜔2= 1.21 (3) \nfor all the FMR and spin pumping measurements. The spin mixing conductance can be \ndetermined from the damp ing enhancement [10-12], \n𝑔↑↓=4𝜋𝑀s𝑡F\n𝑔𝜇B(YIG/NM−YIG) (4) \nwhere 𝑔 and 𝜇B are the Landé 𝑔 factor and Bohr magneton , respectively. \nAlthough the reported spin diffusion length var ies from a few nm to a few hundred \nnm across the range of metals we have measured , the term 𝜆SDtanh(𝑡N\n2𝜆SD) in Eq. (2) is rather \ninsensitive to the value of SD for a given tN (e.g., 5 nm ) due to the limitation of film \nthickness ; for example, 𝜆SDtanh(𝑡N\n2𝜆SD) = 1.70 nm for SD = 2 nm and 2.50 nm for SD = 7 \n [10]. In this calculation, w e assume 𝜆SD = 10 nm for Pt [4], 2 nm for W and Ta [25], 60 nm \nfor Au [24], 700 nm for Ag [26] and 500 nm for Cu [24]. Electrical conduction in YIG can be \nneglected. From Eqs. (2)-(4), 𝑔↑↓ can be obtained from the Gilbert damping enhancement \nand SH can be calculated for the six metals (Table I) [ 35]. Consequently , the spin current \ndensity Js can be estimated using [11], \n𝐽s=𝑡N𝜎N+𝑡F𝜎F\n𝜃SH𝜆SDtanh(𝑡N\n2𝜆SD)𝑉ISHE\n𝐿. (5) \nThe power of inverse spin Hall effect as a probe of spin pumping calls for a \nquantitative understanding to enable more precise and detailed experiments. Spin Hall angles \nhave been measured in several normal metals by spin Hall or ISHE measurements, mostly \nusing metallic FMs [10, 14, 32, 35]. Due to the impact of AMR or AHE in electrical ly \nconducting metallic FMs in the heterostructures , and the variation of sample quality among \ndifferent groups, the reported values of SH vary significantly for the same materials, in some \ncases, by more than one order of magnitude [ 14]. Here, we report measurements of the spin \nHall angle for various 3d, 4d and 5 d metals using Eq. (2) from the large ISHE signals and, \nindependently, spin -pumping enhancement of Gilbert damping (to obtain 𝑔↑↓ and ) of the \ninsulating YIG thin film . This set of experimental data can be compared to discern trends and \nuncover the roles of various materials parameters in spin -orbit coupling , including the atomic \nnumber as well as d-electron co unt in transition metals [ 23, 31]. We first show in Fig. 4a the \nlinear dependence of SH on Z4 for Cu, Ag and Au , reflecting the key role of atomic number \nin spin-orbit coupling [23] and spin Hall physics in metals having a particular d-electron \nconfiguration . We note that the spin Hall angles of the four 5 d metals do not vary as Z4 at all , 8 \n indicating that the d-orbital filling plays the dominant role [ 31]. Figure 4b shows SH vs. Z for \nTa, W, Pt and Au , which matches well with the theoretical calculations by Tanaka et al. [31], \nincluding the sign change and relative magnitude of spin Hall effect in 5d metals . These two \nresults highlight and distinguish the roles of atomic number and d-orbital filling in spin Hall \nphysics in transition metals , and clarify their relative importance . \nIn conclusion , FMR spin pumping measurements on YIG/NM bilayers give mV-level \nISHE voltages in YIG /Pt, YIG/Ta and YIG/W bilayer s and robust spin pumping signals in \nYIG/Cu and YIG/Ag . YIG/NM interfacial spin mixing conductance s are determined by the \nenhanced Gilbert damping which are measured by frequency dependence of FMR linewi dth \nbefore and after the deposition of metals. The inferred spin Hall angles of the six metals \nimply the i mportant roles of atomic number and d-electron configuration in spin Hall physics . \nThis work is supported by the Center for Emergent Materials at the Ohio State \nUniversity, a NSF Materials Research Science and Engineering Center (DMR -0820414) \n(HLW, YP, and FYY) and by the Department of Energy through grant DE -FG02 -03ER46054 \n(RA, PCH). Partial support is provided by Lake Shore Cryogenics Inc. (CHD) and the \nNanoSystems Laboratory at the Ohio State University. \n 9 \n Reference : \n1. Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi, H. Umezawa, H. \nKawai, K. Ando, K. Takanashi, S. Maekawa and E. Saitoh , Nature 464, 262 (2010). \n2. K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa , and E. \nSaitoh , Nature 455, 778 (2008). \n3. E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys. Let t. 88,182509 (2006). \n4. F. D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler, M. Althammer, I.-M. Imort, G. Reiss, \nA. Thomas, W. Schoch, W. Limmer, H. Huebl, R. Gross, and S. T. B. Goennenwein , Phys. \nRev. Lett . 107, 046601 (2011) . \n5. R. Takahashi, R. Iguchi, K. Ando, H. Nakayama, T. Yoshino, and E. Saitoh , J. Appl. Phys . \n111, 07C307 (2012). \n6. K. Ando, Y . Kajiwara, S. Takahashi, S. Maekawa, K. Takemoto, M. Takatsu , and E. \nSaitoh, Phys. Rev. B 78, 014413 (2008). \n7. C. W Sandweg, Y . Kajiwara, A. V . Chumak, A. A. Serga, V . I. Vasyuchka, M. B. \nJungfleisch, E. Saitoh, and B. Hillebrands , Phys. Rev. Lett. 106, 216601 (2011). \n8. M. V. Costache, M. Sladkov, S. M. Watts, C. H. van der Wal, and B. J. van Wees , Phys. \nRev. Lett. 97, 216603 (2006). \n9. Y . Y . Sun, H. C. Chang, M. Kabatek, Y . -Y . Song, Z. H. Wang, M. Jantz, W. Schneider, M . \nZ. Wu, E. Montoya, B. Kardasz, B. Heinrich, S. G. E. te Velthuis, H. Schultheiss, and A. \nHoffmann, Phys. Rev. Lett. 111, 106601 (2013). \n10. O. Mosendz , V. Vlaminck, J. E. Pearson, F. Y. Fradin, G. E. W. Bauer, S. D. Bader, and 10 \n A. Hoffmann, Phys. Rev. B 82, 214403 (2010). \n11. E. Shikoh , K. Ando, K. Kubo, E. Saitoh, T. Shinjo, and M. Shiraishi , Phys. Rev. Lett. 110, \n127201 (2013). \n12. B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Y.-Y. Song, Y. Y . Sun, and M. \nZ. Wu, Phys. Rev. Lett . 107, 066604 (2011). \n13. S.Y. Huang, X. Fan, D. Qu , Y. P. Chen, W. G. Wang, J. Wu, T.Y. Chen, J. Q. Xiao, and \nC. L. Chien, Phys. Rev. Lett. 109, 107204 (2012). \n14. A. Hoffmann , IEEE Trans. Magn. 49, 5172 (2013). \n15. A. J. Hauser, J. R. Soliz, M. Dixit, R. E. A. Williams, M. A. Susner, B. Peters, L. M. Mier, \nT. L. Gustafson, M. D. Sumption, H. L. Fraser, P. M. Woodward and F. Y . Yang , Phys. Rev. \nB Rapid Comm. 85, 161201(R) (2012). \n16. A. J. Hauser, R. E. A. Williams, R. A. Ricciardo, A. Genc, M. Dixit, J. M. Lucy, P. M. \nWoodward, H. L. Fraser, and F. Y . Yang, Phys. Rev. B 83, 014407 (2011). \n17. C. H. Du, R. Adur, H. L. Wang, A. J. Hauser, F. Y . Yang, and P. C. Hammel , Phys. Rev. \nLett. 110, 147204 (2013). \n18. B. Peters, A. Alfonsov, C. G. F. Blum, S. J. Hageman, P. M. Woodward, S. Wurmehl, B. \nBüchner, and F. Y . Yang, Appl. Phys. Lett. 103, 162404 (2013). \n19. J. M. Lucy, A. J. Hauser , J. R. Soliz, M. Dixit, R. E. A. Williams , A. Holcombe, P. Morris, \nH. L. Fraser , D. W. McComb, P. M. Woodward, and F. Y . Yang, Appl. Phys. Lett. 103, \n042414 (2013). 11 \n 20. A. J. Hauser, J. M. Lucy, H. L. Wang, J. R. Soliz, A. Holcomb, P. Morris, P. M. \nWoodward, and F. Y . Yang, Appl. Phys. Lett. 102, 032403 (2013). \n21. H. L. Wang, C. H. Du, Y . Pu, R. Adur, P. C. Hammel, and F. Y . Yang , Phys. Rev. B Rapid \nComm. 88, 100406(R) (2013). \n22. C. H. Du, H. L. Wang, Y . Pu, T. L. Meyer, P. M. Woodward, F. Y . Yang, and P. C. Hammel, \nPhys. Rev. Lett. 111 247202 (2013) \n23. D. D. Sarma, Proc. Indian Acad. Sci (Chem. Sci.) 90, 19 (1981). \n24. T. Kimura, J. Hamrle, and Y . Otani, Phys. Rev. B 72, 014461 (2005). \n25. C. Hahn , G. de Loubens, O. Klein, M. Viret , V . V . Naletov , and J. Ben Youssef, Phys. Rev. \nB 87, 174417 (2013). \n26. T. Kimura and Y. Otani, Phys. Rev. Lett. 99, 196604 (2007). \n27. M. Farley, Rep. Pro g. Phys. 61, 755 (1998). \n28. P. Hansen, P. Röschmann and W. Tolksdorf , J. Appl. Phys . 45, 2728 (1974) . \n29. L. Q. Liu, C. -F. Pai, Y . Li , H. W. Tseng, D. C. Ralph, and R. A. Buhrman, Science 336, \n555 (2012). \n30. C.-F. Pai, L. Q. Liu, Y . Li, H. W. Tsen g, D. C. Ralph, and R. A. Buhrman , Appl. Phys. Lett. \n101, 122404 (2012). \n31. T. Tanaka , H. Kontani , M. Naito , T. Naito , D. S. Hirashima , K. Yamada , and J. Inoue , \nPhys. Rev. B 77, 165117 (2008). \n32. M. Morota , Y . Niimi , K. Ohnishi , D. H. Wei , T. Tanaka , H. Kontani , T. Kimura , and Y . \nOtani , Phys. Rev. B 83, 174405 (2011). 12 \n 33. S. S. Kalarickal , P. Krivosik, M. Z. Wu, C. E. Patton, M. L. Schneider, P. Kabos, T. J. \nSilva, and J. P. Nibarger , J. Appl. Phys. 99, 093909 (2006). \n34. See Supplementary Materials for detailed analysis of spin pumping parameters. \n35. L. Q. Liu, R. A. Buhrman, and D. C. Ralph, arXiv:1111.3702 . \n 13 \n Table I . ISHE voltages at f = 9.65 GHz and Prf = 200 mW , FMR linewidth changes at f = \n9.65 GHz , Gilbert damping enhancement due to spin pumping sp=YIG/NM−YIG \n(YIG= 9.1 ± 0.6 10-4) and resistivity of the six YIG/metal bilayers , and the calculated \ninterfacial spin mixing conductance, spin Hall angle, and spin current density for each metal . \n \nBilayer VISHE H \nchange sp ( m) 𝑔↑↓(m-2) SH 𝐽𝑠 (A/m2) \nYIG/Pt 2.10 \nmV 24.3 Oe (3.6 ± 0.3) \n 10-3 4.8 10-7 (6.9 ± 0.6) \n 1018 0.10 ± 0.01 (2.0 ± 0.2) \n 107 \nYIG/Ta -5.10 \nmV 16.5 Oe (2.8 ± 0.2) \n 10-3 2.9 10-6 (5.4 ± 0.5) \n 1018 -0.069 ± 0.006 (1.6 ± 0.2) \n 107 \nYIG/W -5.26 \nmV 12.3 Oe (2.4 ± 0.2) \n 10-3 1.810-6 (4.5 ± 0.4) \n 1018 -0.14 ± 0.01 (1.4 ± 0.1) \n 107 \nYIG/Au 72.6 \nV 5.50 Oe (1.4 ± 0.1) \n 10-3 4.9 10-8 (2.7 ± 0.2) \n 1018 0.084 ± 0.007 (7.6 ± 0.7) \n 106 \nYIG/Ag 1.49 \nV 1.30 Oe (2.7 ± 0.2) \n 10-4 6.6 10-8 (5.2 ± 0.5) \n 1017 0.0068 ± 0.0007 (1.5 ± 0.1) \n 106 \nYIG/Cu 0.99 \nV 3.70 Oe (8.1 ± 0.6) \n 10-4 6.3 10-8 (1.6 ± 0.1) \n 1018 0.0032 ± 0.0003 (4.6 ± 0.4) \n 106 \n \n 14 \n Figure Captions: \nFigure 1. (a) Semi -log θ-2θ XRD scan of a 20-nm thick YIG film near the YIG (444) peak \n(blue line) , which exhibits clear Laue oscillations corresponding to the film thickness. Left \ninset: rocking curve of the YIG film measured at 2θ = 50.639 for the first satellite peak \n(green arrow) to the left of the main YIG (444) peak gives a FWHM of 0. 0092. Righ t inset: \nAFM image of the YIG film with a roughness of 0.15 nm. (b) A representative \nroom -temperature FMR derivative spectrum of a 20 -nm YIG film with an in -plane field at Prf \n= 0.2 mW, which gives a peak -to-peak linewidth of 11.7 Oe. (c) Schematic of experimental \nsetup for ISHE voltage measurements. \nFigure 2 . VISHE vs. H spectra of (a) YIG/ Pt, (b) YIG/Ta, (c) YIG/W, (d) YIG/Au, (e) \nYIG/Ag, and (f) YIG/Cu bilayers at θH = 90(red) and 270 (blue) using Prf = 200mW . Top \ninsets: rf-power dependencies of the corresponding VISHE at θH = 90. Bottom insets: a ngular \ndependenc ies (θH) of VISHE normalized by the magnitude of VISHE at θH = 90, where t he \ngreen curves are sin θH for Pt, Au, Ag, Cu, and -sinθH for Ta and W. \nFigure 3 . FMR derivative absorption spectr a of the 20-nm YIG films before (blue) and \nafter (red) the deposition at f = 9.65 GHz of (a) Pt, (b) Ta, (c) W, (d) Au, (e) Ag, and (f) Cu. (g) \nFrequency dependence of peak -to-peak FMR linewi dth of a bare YIG film and the six \nYIG/ metal bilayers . \nFigure 4. (a) Spin Hall angles as a function of Z4 for Cu, Ag and Au , reflecting the Z4 \ndependence of SH for noble metals with the same d-orbital filling . (b) Spin Hall angles for 5d \ntransition metals Ta, W, Pt and Au, of which b oth the signs and relative magnitudes agree \nwell with the theoretical predictions in Ref. 3 1. 15 \n \n \nFigure 1. \n \n100102104\n49 50 51 52 53Intensity (c/s)\n2 (deg)(a)\nGGG(444)YIG(444)\n25.6 25.65\n (deg)FWHM=\n0.0092o\n2400 2600 2800-2-1012dIFMR/dH (a.u.)\nH (Oe)H = 11.7 Oe\nPrf = 0.2 mW(b)\n(c)\nroughness: \n0.15 nm16 \n \n \nFigure 2. \n \n-2000-1000010002000VISHE (V)\n(a)YIG/Pt\nH = 90o\nH = 270o010002000\n0100 200Prf (mW)\n-6000-4000-20000200040006000VISHE (V)\n(b)YIG/Ta\nH = 90oH = 270o\n-6000-4000-20000\n0100 200Prf (mW)\n-6000-4000-20000200040006000\n-150 -100 -50 0 50 100\nH - Hres (Oe)VISHE (V)\n(c)YIG/W\nH = 90oH = 270o\n-6000-4000-20000\n0100 200Prf (mW)-80-4004080VISHE (V)\n(d)YIG/Au\nH = 90o\nH = 270o0204060\n0100 200Prf (mW)\n-1.5-1-0.500.511.5\n(e)YIG/Ag\nH = 90o\nH = 270oVISHE (V)00.511.5\n0100 200Prf (mW)\n-1-0.500.51\n-150 -100 -50 0 50 100\nH - Hres (Oe)VISHE (V)\n(f)YIG/Cu\nH = 90o\nH = 270o00.51\n0100 200Prf (mW)-101\n0180 360\nH (deg)\n-101\n0180 360\nH (deg)\n-101\n0180 360H (deg)-101\n0180 360\nH (deg)\n-101\n0180 360H (deg)\n-101\n0180 360H (deg)17 \n \n \nFigure 3 . \n \n-2-1012\n(a)YIG\nYIG/Pt\n-2-1012dIFMR/dH (a.u.)\n(b)YIG\nYIG/Ta\n-2-1012\n-60-40-20 02040\nH - Hres (Oe)(c)YIG\nYIG/W(d)YIG\nYIG/Au\n(e)YIG\nYIG/Ag\n-40-20 0204060\nH -Hres (Oe)(f)YIG\nYIG/Cu\n01020304050\n0 5 10 15 20H (Oe)\nf (GHz)YIG/Pt\nYIG/Ta\nYIG/W\nYIG/Au\nYIG/Cu\nYIG/Ag\nYIG\n(g)18 \n \n \nFigure 4. \n \n00.040.08\n01x1072x1073x1074x107SH\nZ 4(a)\nCuAgAu\n-0.100.1\n73747576777879\nZSH\nTa\nWPtAu (b)" }, { "title": "1807.01358v3.Spin_pinning_and_spin_wave_dispersion_in_nanoscopic_ferromagnetic_waveguides.pdf", "content": " \n Spin pinning and spin-wave dispersion in nanoscopic ferromagnetic waveguides Q. Wang1,*, B. Heinz1,2,*, R. Verba3, M. Kewenig1, P. Pirro1, M. Schneider1, T. Meyer1,4, B. Lägel5, C. Dubs6, T. Brächer1, and A. V. Chumak1,** 1Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universität Kaiserslautern, D-67663 Kaiserslautern, Germany 2Graduate School Materials Science in Mainz, Staudingerweg 9, 55128 Mainz, Germany 3Institute of Magnetism, Kyiv 03680, Ukraine 4THATec Innovation GmbH, Augustaanlage 23, 68165 Mannheim, Germany. 5Nano Structuring Center, Technische Universität Kaiserslautern, D-67663 Kaiserslautern, Germany 6INNOVENT e.V., Technologieentwicklung, Prüssingstraße 27B, 07745 Jena, Germany *: These authors have contributed equally to this work **: Corresponding author: chumak@physik.uni-kl.de Abstract Spin waves are investigated in Yttrium Iron Garnet (YIG) waveguides with a thickness of 39 nm and widths ranging down to 50 nm, i.e., with aspect ratios thickness over width approaching unity, using Brillouin Light Scattering spectroscopy. The experimental results are verified by a semi-analytical theory and micromagnetic simulations. A critical width is found, below which the exchange interaction suppresses the dipolar pinning phenomenon. This changes the quantization criterion for the spin-wave eigenmodes and results in a pronounced modification of the spin-wave characteristics. The presented semi-analytical theory allows for the calculation of spin-wave mode profiles and dispersion relations in nano-structures. \n Spin waves and their quanta, magnons, typically feature frequencies in the GHz to THz range and wavelengths in the micrometer to nanometer range. They are envisioned for the design of faster and smaller next generational information processing devices where information is carried by magnons instead of electrons [1-9]. In the past, spin-wave modes in thin films or rather planar waveguides with thickness-to-width aspect ratios ar = h/w << 1 have been studied. Such thin waveguides demonstrate the effect of “dipolar pinning” at the lateral edges, and for its theoretical description the thin strip approximation was developed, in which only pinning of the much-larger-in-amplitude dynamic in-plane magnetization component is taken into account [10-15]. The recent progress in fabrication technology leads to the development of nanoscopic magnetic devices in which the width w and the thickness h become comparable [16-23]. The description of such waveguides is beyond the thin strip model of effective pinning, because the scale of nonuniformity of the dynamic dipolar fields, which is described as “effective dipolar boundary conditions”, becomes comparable to the waveguide width. Additionally, both, in-plane and out-of-plane dynamic magnetization components, become involved in the effective dipolar pinning, as they become of comparable amplitude. Thus, a more general model should be developed and verified experimentally. In addition, such nanoscopic feature sizes imply that the spin-wave modes bear a strong exchange character, since the widths of the structures are now comparable to the exchange length [24]. A proper description of the spin-wave eigenmodes in nanoscopic strips which considers the influence of the exchange interaction, as well as the shape of the structure, is fundamental for the field of magnonics. In this Letter, we discuss the evolution of the frequencies and profiles of the spin-wave modes in nanoscopic waveguides where the aspect ratio ar evolves from the thin film case ar → 0 to a rectangular bar with ar → 1. Yttrium Iron Garnet (YIG) waveguides with a thickness of 39 nm and widths ranging down to 50 nm are fabricated and the quasi-ferromagnetic resonance (quasi-FMR) frequencies within them are measured using microfocused Brillouin Light Scattering (BLS) spectroscopy. The experimental results are verified by a semi-analytical theory and micromagnetic simulations. It is shown that a critical waveguide width exists, below which the profiles of the spin-wave modes are essentially uniform across the width of the waveguide. This is fundamentally different from the profiles in state-of-the-art waveguides of micrometer [16-19] or millimeter sizes [25,26], where the profiles are non-uniform and pinned at the waveguide edges due to the dipolar interaction. In nanoscopic waveguides, the exchange interaction suppresses this pinning due to its dominance over the dipolar interaction and, consequently, the exchange interaction defines the profiles of the spin-wave modes as well as the corresponding spin-wave dispersion characteristics. \n Fig. 1 (a) Sketch of the sample and the experimental configuration: a set of YIG waveguides is placed on a microstrip line to excite the quasi-FMR in the waveguides. BLS spectroscopy is used to measure the local spin-wave dynamics. (b) and (c) SEM micrograph of a 1 µm and a 50 nm wide YIG waveguide of 39 nm thickness. (d) Frequency spectra for 1 µm and 50 nm wide waveguides measured for a respective microwave power of 6 dBm and 15 dBm. In the experiment and the theoretical studies, we consider rectangular magnetic waveguides as shown schematically in Fig. 1(a). In the experiment, a spin-wave mode is excited by a stripline that provides a homogeneous excitation field over the sample containing various waveguides etched from a h = 39 nm thick YIG film grown by liquid phase epitaxy [27] on Gadolinium Gallium Garnet (GGG). The widths of the waveguides range from w = 50 nm to w = 1 µm with a length of 60 µm. The waveguides are patterned by Ar+ ion beam etching using an electron-beam lithographically defined Cr/Ti hard mask and are well separated on the sample in order to avoid dipolar coupling between them [9]. The waveguides are uniformly magnetized along their long axis by an external field B (x-direction). Figure 1(b) and (c) show scanning electron microscopy (SEM) micrographs of the largest and the narrowest waveguide studied in the experiment. The intensity of the magnetization precession is measured by microfocused BLS spectroscopy [28] (see Supplementary Material S3 [29]) as shown in Fig. 1(a). Black and red lines in Fig. 1d show the frequency spectra for a 1 µm and a 50 nm wide waveguide, respectively. No standing modes across the thickness were observed in our experiment, as their frequencies lie higher than 20 GHz due to the small thickness. The quasi-FMR frequency is 5.007 GHz for the 1 µm wide waveguide. This frequency is comparable to 5.029 GHz, the value predicted by the classical theoretical model using the thin strip approximation [12-14, 34]. In contrast, the quasi-FMR frequency is 5.35 GHz for a 50 nm wide waveguide which is much smaller than the value of 7.687 GHz predicted by the same model. The reason is that the thin strip approximation overestimates the effect of dipolar pinning in waveguides with aspect ratio ar close \n \n to one, for which the nonuniformity of the dynamic dipolar fields is not well-localized at the waveguide edges. Additionally, in such nanoscale waveguides, the dynamic magnetization components become of the same order of magnitude and both affect the effective mode pinning, in contrast to thin waveguides, in which the in-plane magnetization component is dominant. In order to accurately describe the spin-wave characteristic in nanoscopic longitudinally magnetized waveguides, we provide a more general semi-analytical theory which goes beyond the thin strip approximation. Please note that the theory is not applicable in transversely magnetized waveguides due to their more involved internal field landscape [16]. The lateral spin-wave mode profile and frequency can be found from [35,36] , (1) with appropriate exchange boundary conditions, which take into account the surface anisotropy at the edges (see Supplementary Material S1 [29]). Here, is the unit vector in the static magnetization direction and is a tensorial Hamilton operator, which is given by . (2) Here, ωH = γB, B is the static internal magnetic field that is considered to be equal to the external field due to the negligible demagnetization along the x-direction, , g is the gyromagnetic ratio. is the Green’s function (see Supplementary Material S1 [29]). A numerical solution of Eq. (1) gives both, the spin-wave profiles mkx and frequency wkx. In the following, we will regard the ouf-of-plane component mz(y) to show the mode profiles representatively. The profiles of the spin-wave modes can be well approximated by sine and cosine functions. In the past, it was demonstrated that in microscopic waveguides, that fundamental mode is well fitted by the function mz(y)=A0cos(py/weff) with the amplitude A0 and the effective width weff [12,13]. This mode, as well as the higher modes, are referred to as ‘partially pinned’. Pinning hereby refers to the fact that the amplitude of the modes at the edges of the waveguides is reduced. In that case, the effective width weff determines where the amplitude of the modes would vanish outside the waveguide [9,12,23]. With this effective width, the spin-wave dispersion relation can also be calculated by the analytical formula [9]: , (3) ()xkym()()()ˆxx x xkk k kiy yw-=´×mμΩmμˆxkΩ()()()()222M2ˆˆxx x x xkk HM x k k kdyk y y y y d ydyww l wæöæö¢¢ ¢×=+-+-×ç÷ç÷èøèøòΩm m G mM0 sMwgµ=()ˆxkyG\n()()()()()22 220H M H Mxxyy zzxk kkK F K Fww w l w w l=+ + + + \n where and . The tensor accounts for the dynamic de-magnetization, is the Fourier-transform of the spin-wave profile across the width of the waveguide, is the normalization of the mode profile mz(y). In the following, the experiment is compared to the theory and to micromagnetic simulations. The simulations are performed using MuMax3 [37]. The structure is schematically shown in Fig. 1(a). The following parameters were used: the saturation magnetization Ms = 1.37×105 A/m and the Gilbert damping a = 6.41×10-4 were extracted from the plain film via ferromagnetic resonance spectroscopy before patterning [38]. Moreover, a gyromagnetic ratio g = 175.86 rad/(ns·T) and an exchange constant A = 3.5 pJ/m for a standard YIG film were assumed. An external field B = 108.9 mT is applied along the waveguide long axis (see Supplementary Material S2 [29]). \n Fig. 2 Schematic of the precessing spins and simulated precession trajectories (ellipses in the second panel) and spin-wave profile mz(y) of the quasi-FMR. The profiles have been obtained by micromagnetic simulations (red dots) and by the quasi-analytical approach (black lines) for an (a) 1 µm and a (b) 50 nm wide waveguide. Bottom panel: Normalized square of the spin-wave eigenfrequency w'²/wM² as a function of w/weff and the relative Dipolar and Exchange contributions. The central panel of Fig. 2 shows the spin-wave mode profile of the fundamental mode for kx = 0, which corresponds to the quasi-FMR, in a 1 µm (a2) and 50 nm (b2) wide waveguide which have been obtained by micromagnetic simulations (red dots) and by solving Eq. (1) numerically (black lines) (higher width 22xKkk=+effwkp=21ˆˆ2xkkk yF dkwsp¥-¥=òN!()/2/2ywik ykwmye d ys--=ò()/22/2wwwm y d y-=ò!\n \n modes are discussed in Supplementary Material S6 [29]). The top panels (a1) and (b1) illustrate the mode profile and the local precession amplitude in the waveguide. As it can be seen, the two waveguides feature quite different profiles of their fundamental modes: in the 1 µm wide waveguide, the spins are partially pinned and the amplitude of mz at the edges of the waveguide is reduced. This still resembles the cosine-like profile of the lowest width mode n = 0 that has been well established in investigations of spin-wave dynamics in waveguides on the micron scale [19,23,39] and that can be well-described by the simple introduction of a finite effective width weff > w (weff = w for the case of full pinning). In contrast, the spins at the edges of the narrow waveguide are completely unpinned and the amplitude of the dynamic magnetization mz of the lowest mode n = 0 is almost constant across the width of the waveguide, resulting in weff → ∞. To understand the nature of this depinning, it is instructive to consider the spin-wave energy as a function of the geometric width of the waveguide normalized by the effective width w/weff. This ratio corresponds to some kind of pinning parameter taking values in between 1 for the fully pinned case and 0 for the fully unpinned case. The system will choose the mode profile which minimizes the total energy. This is equivalent to a variational minimization of the spin-wave eigenfrequencies as a function of w/weff. To illustrate this, the lower panels of Figs. 2(a3) and (b3) show the normalized square of the spin-wave eigenfrequencies for the two different widths as a function of w/weff. Here, refers to a frequency square, not taking into account the Zeeman contribution (), which only leads to an offset in frequency. The minimum of is equivalent to the solution with the lowest energy corresponding to the effective width weff. In addition to the total (black), also the individual contributions from the dipolar term (red) and the exchange term (blue) are shown, which can only be separated conveniently from each other if the square of Eq. 3 is considered for kx = 0. The dipolar contribution is non-monotonous and features a minimum at a finite effective width weff, which can clearly be observed for w = 1 µm. The appearance of this minimum, which leads to the effect known as “effective dipolar pinning” [13,14], is a results of the interplay of two tendencies: (i) an increase of the volume contribution with increasing w/weff, as for common Damon-Eshbach spin waves, and (ii) a decrease of the edge contribution when the spin-wave amplitude at the edges vanishes (w/weff → 1). This minimum is also present in the case of a 50 nm wide waveguide (red line), even though this is hardly perceivable in Fig. 2(b3) due to the scale. In contrast, the exchange leads to a monotonous increase of frequency as a function of w/weff, which is minimal for the unpinned case, i.e., w/weff = 0 implying weff → ∞, when all spins are parallel. In the case of the 50 nm waveguide, the smaller width and the corresponding much larger quantized wavenumber in the case of pinned spins would lead to a much larger exchange contribution than this is the case for the 1 µm wide waveguide (please note the vertical scales). 22M/ww¢2w¢2HH Mww w+2w¢2w¢ \n Consequently, the system avoids pinning and the solution with lowest energy is situated at w/weff = 0. In contrast, in the 1 µm wide waveguide, the interplay of dipolar and exchange energy implies that energy is minimized at a finite w/weff. The top panel of Fig. 2 (b1) shows an additional feature of the narrow waveguide: as the aspect ratio of the waveguides approaches unity, the ellipticity of precession, a well-known feature of micron-sized waveguides which still resemble a thin film [23,40], vanishes and the precession becomes nearly circular. Also, in nanoscale waveguides, the ellipticity is constant across the width, while in 1 µm wide waveguide it can be different at the waveguide center and near its edges. Please note that the pinning phenomena and ellipticity of precession also influence the spin-wave lifetime which is described in the Supplementary Material S5 [29]. \n Fig 3: (a) Experimentally determined resonance frequencies (black squares) together with theoretical predictions and micromagnetic simulations. (b) Inverse effective width w/weff as a function of the waveguide width. The inset shows the critical width (wcrit) as a function of thickness h. (c) Spin-wave dispersion relation of the first two width modes from micromagnetic simulations (color-code) and theory (dashed lines). (d) Inverse effective width w/weff as a function of the spin-wave wavenumber kx for different thicknesses and waveguide widths, respectively. As it is evident from the lower panel of Fig. 2, the pinning and the corresponding effective width have a large influence on the spin-wave frequency. This allows for an experimental verification of the presented theory, since the frequency of partially pinned spin-wave modes would be significantly higher than in the unpinned case. Black squares in Figure 3(a) summarize the dependence of the frequency of the quasi-FMR measured for different widths of the YIG waveguides. The magenta line shows the expected frequencies assuming pinned spins, the blue (dashed) line gives the resonance frequencies extrapolating the formula conventionally used for micron-sized waveguides [34] to the nanoscopic scenario, and the red line gives \n \n the result of the theory presented here, together with simulation results (green dashed line). As it can be seen, the experimentally observed frequencies can be well reproduced if the real pinning conditions are taken into account. As has been discussed alongside with Fig. 2, the unpinning occurs when the exchange interaction contribution becomes so large that it compensates the minimum in the dipolar contribution to the spin-wave energy. Since the energy contributions and the demagnetization tensor change with the thickness of the investigated waveguide, the critical width below which the spins become unpinned is different for different waveguide thicknesses. This is shown in Fig. 3(b), where the inverse effective width w/weff is shown for different waveguide thicknesses. Symbols are the results of micromagnetic simulations, lines are calculated semi-analytically. As can be seen from the figure, the critical width linearly increases with increasing thickness. This is summarized in the inset, which shows the critical width (i.e. the maximum width for which w/weff = 0) as a function of thickness. The critical widths for YIG, Permalloy, CoFeB and Heusler (Co2Mn0.6Fe0.4Si) compound with different thicknesses are given in the Supplementary Material S9 [29]. A simple empirical linear formula is found by fitting the critical widths for different materials in a wide range of thicknesses: , (4) where h is the thickness of waveguide and l is the exchange length. Please note that additional simulations with rough edges and a more realistic trapezoidal cross section of the waveguides are also provided in the Supplementary Material S7, S8 [29]. The results show that these effects have a small impact on the critical width. Up to now, the discussion was limited to the special case of kx = 0. In the following, the influence of finite wave vector will be addressed. The spin-wave dispersion relation of the fundamental (n = 0) mode obtained from micromagnetic simulations (color-code) together with the semi-analytical solution (white dashed line) are shown in Fig. 3(c) for the YIG waveguide of w = 50 nm width. The figure also shows the low-wavenumber part of the dispersion of the first width mode (n = 1), which is pushed up significantly in frequency due to its large exchange contribution. Both modes are described accurately by the quasi-analytical theory. As it is described above, the spins are fully unpinned in this particular case. In order to demonstrate the influence of the pinning conditions on the spin-wave dispersion, a hypothetic dispersion relation for the case of partial pinning is shown in the figure with a dash-dotted white line (the case of w/weff = 0.63 is considered that would result from the usage of the thin strip approximation [12]). One can clearly see that the spin-wave frequencies in this case are considerably higher. Figure 3(d) shows the inverse effective width w/weff as a function of the wavenumber kx for three exemplary waveguide widths of w = 50 nm, 300 nm and 500 nm. As it can be seen, the effective width and, consequently, the ratio w/weff shows only a weak nonmonotonic dependence on the spin-wave wavenumber in the propagation direction. This dependence is a result of an increase of the inhomogeneity of the dipolar fields near the edges for 2.2 6.7critwhl=+ \n larger kx, which increases pinning [14], and of the simultaneous decrease of the overall strength of dynamic dipolar fields for shorter spin waves. Please note that the mode profiles are not only important for the spin-wave dispersion. The unpinned mode profiles will also greatly improve the coupling efficiency between two adjacent waveguides [9, 41-43]. In conclusion, the quasi-FMR of individual wires with widths ranging from 1 µm down to 50 nm are studied by means of BLS spectroscopy. A difference in the quasi-FMR frequency between experiment and the prediction by the classical thin strip theory is found for 50 nm wide waveguides. A semi-analytical theory accounting for the nonuniformity of both in-plane and out-of-plane dynamic demagnetization fields is presented and is employed together with micromagnetic simulations to investigate the spin-wave eigenmodes in nanoscopic waveguides with aspect ratio ar approaching unity. It is found that the exchange interaction is getting dominant with respect to the dipolar interaction, which is responsible for the phenomenon of dipolar pinning. This mediates an unpinning of the spin-wave modes if the width of the waveguide becomes smaller than a certain critical value. This exchange unpinning results in a quasi-uniform spin-wave mode profile in nanoscopic waveguides in contrast to the cosine-like profiles in waveguides of micrometer widths and in a decrease of the total energy and, thus, frequency, in comparison to the fully or the partially pinned case. Our theory allows to calculate the mode profiles as well as the spin-wave dispersion, and to identify a critical width below which fully unpinned spins need to be considered. The presented results provide valuable guidelines for applications in nano-magnonics where spin waves propagate in nanoscopic waveguides with aspect ratios close to one and lateral sizes comparable to the sizes of modern CMOS technology. Acknowledgements: The authors thank Burkard Hillebrands and Andrei Slavin for valuable discussions. This research has been supported by ERC Starting Grant 678309 MagnonCircuits and by the DFG through the Collaborative Research Center SFB/TRR-173 “Spin+X” (Projects B01) and through the Project DU 1427/2-1. R. V. acknowledges support from the Ministry of Education and Science of Ukraine, Project 0118U004007. References 1 A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands, Nat. Phys. 11, 453-461 (2015). 2 V. V. Kruglyak, S. O. Demokritov, and D. Grundler, J. Phys. D: Appl. Phys. 43, 264001 (2010). 3 C. S. Davies, A. Francis, A. V. Sadovnikov, S. V. Chertopalov, M. T. Bryan, S. V. Grishin, D. A. Allwood, Y. P. Sharaevskii, S. A. Nikitov, and V. V. Kruglyak, Phys. Rev. B 92, 020408(R) (2015). 4 A. Khitun, M. Bao, and K. L. Wang, J. Phys. D: Appl. Phys. 43, 264005 (2010). 5 M. Schneider, T. Brächer, V. Lauer, P. Pirro, D. A. Bozhko, A. A. Serga, H. Yu. Musiienko-Shmarova, B. Heinz, Q. Wang, T. Meyer, F. Heussner, S. Keller, E. Th. Papaioannou, B. Lägel, \n T. Löber, V. S. Tiberkevich, A. N. Slavin, C. Dubs, B. Hillebrands, A.V. Chumak, arXiv:1612.07305. 6 M. Krawczyk and D. Grundler, J. Phys. Condens. Mat. 26, 123202 (2014). 7 S. Wintz, V. Tiberkevich, M. Weigand, J. Raabe, J. Lindner, A. Erbe, A. Slavin, J. Fassbender, Nat. Nanotechnol. 11, 948 (2016). 8 T. Brächer and P. Pirro, J. Appl. Phys. 124, 152119 (2018). 9 Q. Wang, P. Pirro, R. Verba, A. Slavin, B. Hillebrands, and A. V. Chumak, Sci. Adv. 4, e1701517 (2018). 10 G. T. Rado and J. R. Weertamn, J. Phys. Chem. Solids 11, 315 (1959). 11 R. W. Doman and J. R. Eshbach, J. Phys. Chem Solids 19, 308 (1961). 12 K. Yu. Guslienko, S. O. Demokritov, B. Hillebrands, and A. N. Slavin, Phys. Rev. B 66, 132402 (2002). 13 K. Yu. Guslienko and A. N. Slavin, Phys. Rev. B 72, 014463 (2005). 14 K. Yu. Guslienko and A. N. Slavin, J. Magn. Magn. Mater. 323, 2418 (2011). 15 R. E. Arias, Phys. Rev. B 94, 134408 (2016). 16 T. Brächer, O. Boulle, G. Gaudin, and P. Pirro, Phys. Rev. B 95, 064429 (2017). 17 V. E. Demidov and S. O. Demokrikov, IEEE Trans. Mag. 51, 0800215 (2015). 18 F. Ciubotaru, T. Devolder, M. Manfrini, C. Adelmann, and I. P. Radu, Appl. Phys. Lett. 109, 012403 (2016). 19 P. Pirro, T. Brächer, A. V. Chumak, B. Lägel, C. Dubs, O. Surzhenko, P. Görnert, B. Leven, and B. Hillebrands, Appl. Phys. Lett. 104, 012402 (2014). 20 M. Mruczkiewicz, P. Graczyk, P. Lupo, A. Adeyeye, G. Gubbiotti, and M. Krawczyk, Phys. Rev. B 96, 104411 (2017) 21 A. Haldar and A. O. Adeyeye, ACS Nano 10, 1690 (2016). 22 R. Verba, V. Tiberkevich, E. Bankowski, T. Meitzler, G. Melkov, and A. Slavin, Appl. Phys. Lett. 103, 082407 (2013). 23 T. Brächer, P. Pirro, and B. Hillebrands, Phys. Rep. 699, 1 (2017). 24 G. Abo, Y. Hong, J. Park, J. Lee, W. Lee, and B. Choi, IEEE Trans. Magn. 49, 4937 (2013). 25 M. Vogel, A. V. Chumak, E. H. Waller, T. Langer, V. I. Vasyuchka, B. Hillebrands, and G. Von Greymann, Nat. Phys. 11, 487 (2015). 26 A. A. Serga, A.V. Chumak, and B. Hillebrands, J. Phys. D: Appl. Phys. 43, 264002 (2010). 27 C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky, U. Brückner, and J. Dellith, J. Phys. D: Appl. Phys. 50, 204005 (2017). 28 T. Sebastian, K. Schultheiss, B. Obry, B. Hillebrands, and H. Schultheiss, Frontiers in Physics 3, 35 (2015). 29 See Supplemental Material for details of numerical solution and micromagnetic simulations, which includes Refs. [30-33]. 30 V. V. Kruglyak, O. Yu. Gorobets, Yu. I. Gorobets and A. N. Kuchko, J. Phys.: Condens. Matter 26, 406001 (2014). 31 D. D. Stancil, J. Appl. Phys. 59, 218(1986). 32 D. D. Stancil and A. Prabhakar, Spin waves: Theory and applications (Springer, 2009). 33 R. Verba, V. Tiberkevich, A. Slavin, Phys. Rev. B 98, 104408 (2018). 34 B. A. Kalinikos and A. N. Slavin, J. Phys. C: Solid State Phys. 19, 7013(1986). 35 R. Verba, G. Melkov, V. Tiberkevich, and A. Slavin, Phys. Rev. B 85, 014427 (2012). \n 36 R. Verba, Ukrainian journal of physics 58, 758 (2013). 37 A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen, F. Garcia-Sanchez, B. Van Waeyenberge, AIP Adv., 4, 107113 (2014). 38 I. S. Maksymov and M. Kostylev, Physica E 69, 253–293 (2015). 39 M. B. Jungfleisch, W. Zhang, W. Jiang, H. Chang, J. Sklenar, S. M. Wu, J. E. Pearson, A. Bhattacharya, J. B. Ketterson, M. Wu, and A. Hoffman, J. Appl. Phys. 117, 17D128 (2015). 40 A. G. Gurevich, G. A. Melkov, Magnetization Oscillations and Waves (CRC Press, New York, 1996). 41 A. V. Sadovnikov, E. N. Beginin, S. E. Sheshukova, D. V. Romanenko, Yu. P. Sharaevskii, and S. A. Nikitov, Appl. Phys. Lett. 107, 202405 (2015). 42 A. V. Sadovnikov, A. A. Grachev, S. E. Sheshukova, Yu. P. Sharaevskii, A. A. Serdobintsev, D. M. Mitin, and S. A. Nikitov, Phys. Rev. Lett. 120, 257203 (2018). 43 A. V. Sadovnikov, S. A. Odintsov, E. N. Beginin, S. E. Sheshukova, Yu. P. Sharaevskii, and S. A. Nikitov, Phys. Rev. B 96, 144428 (2017). \n Supplementary Material Spin pinning and spin-wave dispersion in nanoscopic ferromagnetic waveguides Q. Wang, B. Heinz, R. Verba, M. Kewenig, P. Pirro, M. Schneider, T. Meyer, B. Lägel, C. Dubs, T. Brächer, and A. V. Chumak In the supplemental material, we first discuss the details of numerical solution of the eigenproblem in Section S1. The details of micromagnetic simulations and BLS measurements are discussed in Section S2 and S3. The dependence of the dynamic demagnetization tensor on the width of waveguides and the lifetime of spin waves in Section S4 and S5. The mode profiles of higher width modes are discussed in Section S6. In Section S7 and S8, we show the influence of a more realistic, trapezoidal cross-section for waveguides and of edge roughness on the spin pinning condition. In Section S9, we provide additional simulations with different materials and study the dependence of the critical width on the exchange length. S1. Numerical solution of the eigenproblem The eigenproblem Eq. (1) should be solved with proper boundary conditions at the lateral edges of the waveguide. Since we use a complete description of the dipolar interaction via Green’s functions: . (S1) Here, , (S2) where,and it is assumed that the waveguides are infinitely long. The boundary conditions account for exchange interaction and surface anisotropy (if any) only, and read [1]: , (S3) xkF\n()1ˆˆ2yxik ykk yye d kp¥-¥=òGN()()()()()2222220ˆ000 1xyxxy ykkkkfk h fk hkkkk kfk h fk hkkfk hæöç÷ç÷ç÷=ç÷ç÷ç÷-ç÷ç÷èøN()()()()1 1 exp /fk h k h k h=-- -22xykk k=+\n200saMEµl¶æö´- Ñ=ç÷¶èøMmmn \n where n is the unit vector defining an inward normal direction to the waveguide edge, and Ea(m) is the energy density of the surface anisotropy. In the studied case of a waveguide magnetized along its long axis, the conditions (S1) for dynamic magnetization components can be simplified to: , (S4) where is the pinning parameter [2] and Ks is the constant of surface anisotropy at the waveguide lateral edges. More complex cases like, e.g., diffusive interfaces can be considered in the same manner [3]. For the numerical solution of Eq. (1) it is convenient to use finite element methods and to discretize the waveguide into elements of the width , where w is the width of waveguide. The discretization step should be at least several times smaller than the waveguide thickness and the spin-wave wavelength for a proper description of the magneto-dipolar fields. The discretization transforms Eq. (1) into a system of linear equations for magnetizations mj , j = 1,2,3,…n: , (S5) where dipolar interaction between the discretized elements is described by . (S6) The direct use of Eq. (S6) is complicated since the Green’s function is an integral itself. Using Fourier transform (FFT) it can be derived as , (S7) which can be easily calculated, especially using FFT. Equation (S5) is, in fact, a 2n-dimensional linear algebraic eigenproblem (since mj is a 2-component vector), which is solved by standard methods. The values m0 and mn+1 in Eq. (S5) are determined from the boundary conditions (S4). In particular, for negligible anisotropy at the waveguide edges one should set m0 = m1 and mn+1 = mn. S2. Micromagneitc simulations and data post-processiong The micromagnetic simulations were performed by the GPU-accelerated simulation program Mumax3 to calculate the space- and time-dependent magnetization dynamics in investigated structures using a finite-difference discretization. The material parameters were given in the main text. There were three steps involved in the calculation of the spin-wave dispersion curve: (i) The external field was applied along the waveguide, and the magnetization was relaxed to a stationary state (ground state). (ii) A sinc field pulse by=b0sinc(2pfct), with oscillation field b0 = 1 mT and cutoff frequency fc = 10 GHz, was used to excite a wide /2/20, 0yzyywywmmdmyy=±=±¶¶±+ = =¶¶()2202/ssdKMml=-n/ww nD=2/xkp()1122 2MM M212ˆnjj jHx j j j j jjikwww l w l w w-+¢¢-¢=-+æö´+-+×=ç÷Dèøåmm mμm G m m()()/2 /2,/2 /21ˆˆxxwwkj kwwyd y d y y y j wwDD-D -D¢¢=-- DDòòGG()ˆxkyG()(),ˆˆsinc / 22yxik j wkj y k ywyk w e d kpDD=DòGN \n range of spin waves. (iii) The spin-wave dispersion relations were obtained by performing the two-dimensional Fast Fourier Transformation of the time- and space-dependent data. Furthermore, the spin-wave width profiles were extracted from the mz component across the width of the waveguides using a single frequency excitation. S3. Microfocused Brillouin Light Scattering (BLS) spectroscopy measurements BLS is a unique technique for measuring the spin-wave intensities in frequency, space, and time domains. It is based on inelastic light scattering of the incident laser beam from magnetic materials. In our measurements, a laser beam of 457 nm wavelength and a power of 1.8 mW is focused through the transparent GGG substrate on the center of the respective individual waveguide using a ×100 microscope objective with a large numerical aperture (NA=0.85). The effective spot-size is 350 nm. The scattered light was collected and guided into a six-pass Fabry-Pérot interferometer to analyze the frequency shift. S4. Width dependence of the dynamic demagnetization tensor In the manuscript, we have demonstrated the change of the spin-wave pinning condition and the quasi-ferromagnetic resonance frequency in nanoscopic waveguides. Here, we investigate how the dynamic demagnetization tensor depends on the width of the waveguide. Neglecting the exchange term in Eq. (3) in the manuscript, the quasi-ferromagnetic resonance frequency can be expressed as: , (S8) where andare the y and z components of the demagnetization tensors. Equation (S8) clearly shows that the quasi-ferromagnetic resonance frequency will depend on the sample geometry as it determines the demagnetization tensor. The dependence of the demagnetization tensor components and on the width of the waveguide for a fixed thickness of 39 nm is shown in Fig. S1. The y component of the demagnetization tensor is close to zero in a wide range (w>2 µm). However, strongly increases with decreasing width of the waveguide and finally for a 39 nm wide waveguide (ar=1). The change of the demagnetization tensor indicates that the spin precession trajectory changes from elliptic to circular. Also, it means that in narrow waveguides with aspect ratio ar < 3-5 dynamic magnetization components become of the same order and nonuniformity of both yy and zz dynamic demagnetization fields affects the effective pinning of the spin-wave modes. This was disregarded in the commonly used thin waveguide theory. ()()22HM 0 0 0 00yy zz yy zzHMkF F F Fww w w w== + + +0yyF0zzF0yyF0zzF0yyF0yyF000.5yy zzFF== \n Fig. S1 The dynamic demagnetization tensor components and as a function of the width of the waveguide for a fixed thickness of 39 nm. S5. Spin-wave lifetime in magnetic nanostructures The relaxation lifetime of uniform the procession mode in an infinite medium (without inhomogeneous linewidth ∆B0) is simply defined as , where w is the angular frequency of the spin wave and a is the damping. However, the dynamic demagnetizing field has to be taken into account in finite spin-wave waveguide. The lifetime can be found by the phenomenological model [4-6]: . (S9) The dispersion relation has been shown in the manuscript (Eq. (3)). The demagnetization tensors are independent of . Differentiating Eq. (3) yields the lifetime as . (S10) This formula clearly shows that the lifetime of the uniform precession (kx=0) depends only on the sum of the dynamic yy and zz components of demagnetization tensors. Figure S2(a) shows the cross-section, spin precession trajectory (red line) and the dynamic components of the demagnetization tensors of different sample geometries. The spin precession trajectory changes from elliptic for the thin film (ar<<1) to circular for the nanoscopic waveguide (ar=1). The spin precession trajectory in the bulk material is also circular (in the geometry when spin waves propagate parallel to the static magnetic field, the same geometry as studied for nanoscale waveguides). \n0yyF0zzFt()1ta w=\n1Hwt aww-æö¶=ç÷¶èøHw()()122HM M1222xxzz yykkKF Fta w w l w-æö=+ + +ç÷èø \n The dependence of the lifetime on the wavenumber is shown in Fig. S2(b) for YIG with a damping constant a = 2×10-4. The inhomogeneous linewidth is not taken account. The lifetime of uniform precession (kx=0) for the bulk material is much large than that in the thin film and nanoscopic waveguide, another consequence of the absence of dynamic demagnetization in the bulk (). Moreover, the lifetimes of the uniform precession (kx=0) for a thin film (red line) and for a nanoscopic waveguide (black line) have the same value, because the lifetime depends only on the sum of the two components, which is the same for both cases. Moreover, the yy and zz components of the demagnetization tensor decrease with an increase of the spin-wave wavenumber (instead, the xx component, which does not affect the spin wave dynamic in our geometry, increases). The lifetime is inversely proportional to the square of the wavenumber and the sum of the dynamic demagnetization components. In the exchange region, the lifetime is, thus, dominated by the wavenumber. Therefore, the lifetimes for short-wave spin-waves are nearly the same for the three different geometries. \n Fig. S2 (a) The spin precession trajectories (red lines) and the components of demagnetization tensor and for different sample geometries. (b) The spin-wave lifetime as a function of spin-wave wavenumber. The lines and dots are obtained from Eq. (S10) and micromagnetic simulation, respectively. S6. Profiles of higher width modes In the manuscript, only the profile of the fundamental mode (n = 0) has been discussed. The mode profiles of higher width modes are shown in Fig. S3. It is clear to see that the spins are also fully unpinned at the edges for the higher width modes in a 50 nm wide waveguide. In contrast, the precession amplitude of the spins at the edges of a 1 µm waveguide increases with increasing mode number and is already almost 000yy zzFF==\n0yyF0zzF \n equal to the maximum amplitude in the center of waveguide for the second width mode (n = 2). This change is a results of the increase of the exchange contribution for higher width modes. \n Fig. S3 The spin-wave profile of the z component of the dynamic magnetization mz in the three lowest width modes obtained by micromagneitc simulation (black solid lines) and numerical calculation (red dots) for (a) 1 µm and (b) 50 nm wide waveguides. S7. Influence of a trapezoidal form A perfect rectangular form is not achievable in the experiment due to the involved patterning technique. As a result of the etching, the cross-section of the waveguides is always slightly trapezoidal. In this section, the influence of such a trapezoidal form on the spin pinning conditions is studied. In our experiment, the trapezoidal edges extent for around 20 nm on both sides for all the patterned waveguides. We performed additional simulation on waveguides with such trapezoidal edges. The simulated cross-section is shown in the top of Fig. S4. The thickness of the waveguide is divided into 5 layers with different widths ranging from 90 nm to 50 nm. The steps at the edges are hard to be avoided due to the finite difference method used in MuMax3. The spin-wave profiles in the different z-layers are shown at the bottom of Fig. S4. The results clearly show that the spin-wave profiles are fully unpinned along the entire thickness. This is due to the fact that the largest width (90 nm) is still far below the critical width. Hence, the influence of the trapezoidal form of the waveguide on the spin pinning condition is negligible for very narrow waveguides. For large waveguides, it also does not have a large impact as the ratio of the edge to the waveguide area becomes close to zero. Quantitatively, the quasi-ferromagnetic resonance frequency in a 50 nm wide waveguide decreases \n \n from 5.45 GHz for the rectangular shape to 5.38 GHz for the trapezoidal form due to the increase of the averaged width which, in fact, even closer to the experiment results (5.35 GHz). \n Fig. S4 Top: The cross section of trapezoid waveguide in the simulation. Bottom: The normalized spin-wave profile for different layers. The inverse effective width w/weff as a function of the width of the waveguides is simulated for a trapezoidal and a rectangular form and the result is shown in Fig. S5. Here, the width is defined by the minimal width for the trapezoidal form, i.e., the width of the top layer. In the case of trapezoidal form, the inverse effective width is averaged over all 5 layers. The critical width slightly decreases from 200 nm for the rectangular cross-section to 180 nm for the trapezoidal form due to the increase of the averaged width. The difference between the inverse effective widths decreases with increasing width of the waveguide and vanishes when the width is larger than 300 nm. Furthermore, it should be noted that the results of the multilayer simulations demonstrate that the assumption of a uniform dynamic magnetization distribution across the thickness that is used in our analytical theory and micromagnetic simulations featuring only one cell in the z dimension is valid. \n \n Fig. S5 The inverse effective width w/weff as a function of the width of waveguide for trapezoidal and rectangular form. S8. Influence of edge roughness Perfectly smooth edges are also hard to obtain in the experiment. We have also considered the influence of edge roughness on the spin pinning. We performed additional simulations on waveguides with rough boundaries for a fixed thickness of 39 nm. 5 nm (for 50 nm to 100 nm wide waveguides) or 10 nm (for 100 nm to 1000 nm wide waveguides) wide rectangular nonmagnetic regions with a random length are introduced randomly on both sides of the waveguides to act as defects. The introduction of roughness results in a slight increase of the critical width from 200 nm to 240 nm, as is shown in Fig. S6(a). These results demonstrate that edge roughness does not have a large influence on spin pinning condition. \n Fig. S6 (a) Top: the schematic of rough waveguide and close-up image. Bottom: Inverse effective width w/weff as a function of the waveguide width for rough and smooth edges. (b) The normalized spin-wave intensity as a function of propagation length for smooth and rough edges waveguide of 50 nm width. Additional simulations are performed to study the influence of a rough edge on the propagation length of spin waves with frequency 6.16 GHz (kx=0.03 rad/nm). Figure S6(b) shows the normalized spin-wave \n \n intensity as a function of propagation length for smooth and rough edged waveguide of 50 nm width. The decay length slightly decreases from 15.96 µm for smooth edges to 15.76 µm for rough edges. Since the spins in nanoscopic waveguides are already unpinned, the effect of such an edge roughness is not too important anymore and the propagation length is essentially unaffected. S9. Critical width for different materials Figure S7 shows the inverse effective width w/weff as a function of the waveguide width for typical materials used in magnonics. The inset shows the critical width (wcrit) as a function of exchange length l for different thicknesses. The critical width is proportional to the exchange length l. A simple empirical linear formula is found by fitting the critical widths for different materials in a wide range of thicknesses to estimate the critical width:, where h is the thickness of the waveguide and l is the exchange length given by with the exchange constant A, the vacuum permeability µ0, and the saturation magnetization Ms. \n Fig. S7 (a) Inverse effective width w/weff as a function of waveguide width for different materials at fixed thickness of 39 nm. (b) the critical width (wcrit) as a function of exchange length l for different thicknesses. 1. A. G. Gurevich, G. A. Melkov, Magnetization Oscillations and Waves (CRC Press, New York, 1996). 2. K. Yu. Guslienko and A. N. Slavin, J. Magn. Magn. Mater. 323, 2418 (2011). 3. V. V. K r u g l y a k , O . Yu . G o r o b e t s , Yu . I . G o r o b e t s a n d A . N . K u c h k o , J. Phys.: Condens. Matter 26, 406001 (2014). 4. D. D. Stancil, J. Appl. Phys. 59, 218(1986). 5. D. D. Stancil and A. Prabhakar, Spin waves: Theory and applications (Springer, 2009). 6. R. Verba, V . Tiberkevich, A. Slavin, Phys. Rev. B 98, 104408 (2018). 2.2 6.7critwhl=+()202sAMlµ=\n" }, { "title": "1603.04240v2.Spin_Hall_Effect_Induced_Spin_Transfer_Through_an_Insulator.pdf", "content": "arXiv:1603.04240v2 [cond-mat.mes-hall] 20 Aug 2016Spin Hall Effect Induced Spin Transfer Through an Insulator\nWei Chen,1Manfred Sigrist,1and Dirk Manske2\n1Institut f¨ ur Theoretische Physik, ETH-Z¨ urich, CH-8093 Z ¨ urich, Switzerland\n2Max-Planck-Institut f¨ ur Festk¨ orperforschung, Heisenb ergstrasse 1, D-70569 Stuttgart, Germany\n(Dated: March 4, 2018)\nWhen charge current passes through a normal metal that exhib its spin Hall effect, spin accumu-\nlates at the edge of the sample in the transverse direction. W e predict that this spin accumulation, or\nspin voltage, enables quantumtunnelingof spin through an i nsulator or vacuum toreach a ferromag-\nnet without transferring charge. In a normal metal/insulat or/ferromagnetic insulator trilayer (such\nas Pt/oxide/YIG), the quantum tunneling explains the spin- transfer torque and spin pumping that\nexponentially decay with the thickness of the insulator. In a normal metal/insulator/ferromagnetic\nmetal trilayer (such as Pt/oxide/Co), the spin transfer in g eneral does not decay monotonically\nwith the thickness of the insulator. Combining with the spin Hall magnetoresistance, this tunneling\nmechanism points to the possibility of a new type of tunnelin g spectroscopy that can probe the\nmagnon density of states of a ferromagnetic insulator in an a ll-electrical and noninvasive manner.\nPACS numbers: 75.76.+j, 75.47.-m, 85.75.-d, 73.40.Gk\nI. INTRODUCTION\nA major issue in the field of spintronic research con-\ncerns the electrical control of magnetization dynamics.\nA particularly feasible scheme is to utilize the spin Hall\neffect (SHE)1–3in a normal metal (NM), where an ap-\nplied charge current causes a spin accumulation, or spin\nvoltage, at the transverse edge of the sample4–6. When\nthe edge is in conjunction with a ferromagnetic insula-\ntor (FMI) such as Y 3Fe5O12(YIG)7, or thin film ferro-\nmagnetic metal (FMM) such as Co8–12, the spin voltage\ninduces a spin-transfer torque (STT)13,14on the magne-\ntization, rendering an efficient mechanism for magnetiza-\ntion switching. In the reciprocal process known as spin\npumping15,16, a magnetization dynamics induced by, for\ninstance, ferromagnetic resonance (FMR), injects a pure\nspin current into the NM17–19.\nThe microscopic origin of these fascinating phenomena\nhasbeen linked to the quantumtunneling ofspin without\ntransferring charge20, which states that the spin voltage\ncauses an injection of spin-polarized electrons towards\nthe FMI or FMM thin film. The electrons are totally\nreflected back but have finite probability of flipping their\nspin after reflection, hence transfer angular momentum\ninto the FMI or FMM. In this article, we further predict\nthat even if the FMI or FMM is not in direct contact\nwith the NM, but separated by an insulating oxide layer\nor vacuum, the spin-polarized electron can still tunnel\nthrough the separation to cause spin transfer. Such a\ntunneling process serves as the spintronic analog of field\nelectron emission, in the sense that it transfers only spin\nbut no charge through an insulating barrier (in contrast\nto a magnetic tunnel junction that transfers both charge\nand spin), and is purely a quantum effect that may be\noverlooked by diffusive approaches.\nBased on a minimal model for the quantum tunneling\nofspins20that incorporatesthe Onsagerrelationbetween\nSTT and spin pumping21, our theory well explains the\nspin pumping experiment in Pt/oxide/YIGperformed byDuet al.that reveals a spin pumping spin current that\nexponentially decays with the oxide thickness, with a de-\ncay length related to the square root of the tunneling\nbarrier22. On the other hand, when this tunneling mech-\nanism is applied to a NM/oxide/FMM trilayer (such as\nPt/oxide/Co) with an FMM that is thinner than its spin\nrelaxation length, we predict that the quantum interfer-\nence may render a spin transfer that does not simply\ndecay with the oxide thickness. Furthermore, since field\nelectron emission is the basis of scanning tunneling mi-\ncroscopy(STM), we explore the possibility ofa tunneling\nspectroscopy based on this quantum tunneling of spins.\nThe result is a new type of tunneling spectroscopy that\nhas direct access to the magnon excitation in an FMI,\nyet the measurement in reality may be very challenging.\nThe structure of the article is arrangedin the following\nway. In Sec. II, we give a general formalism for the quan-\ntum tunneling induced by the SHE in NM/oxide/FMI\nand NM/oxide/FMM, and calculate the dependence of\nthe tunneling spin mixing conductance on generic mate-\nrial properties such as insulating gap, interface exchange\ncoupling, and oxide thickness. Sec. III formulates a tun-\nneling spectroscopy for the NM/oxide/FMI and show\nhow it is related to the magnetoresistance recently mea-\nsured in these systems, and discuss the challenge of mea-\nsuring the proposed differential conductance in reality.\nSec. IV summarizes the results.\nII. QUANTUM TUNNELING OF SPIN\nTHROUGH AN INSULATOR OR VACUUM\nTodemonstratethespinvoltageinducedquantumtun-\nnelingthroughaseparationlayer,weadopttheformalism\ndeveloped in the minimal model in Ref. 20. Consider the\nNM/oxide/FMI trilayershown in Fig. 1 (a) that contains\nthreeregions: (1)AnNMat −∞k0↓.\n(2)Vacuumornonmagneticoxideintheregion0 0 the work\nfunction or insulating gap, whose wave function is\nψO=/parenleftbig\nDe−λx+Eeλx/parenrightbig/parenleftbigg\n1\n0/parenrightbigg\n+/parenleftbig\nFe−λx+Geλx/parenrightbig/parenleftbigg\n0\n1/parenrightbigg\n,(2)\nwhereλ=/radicalbig\n2m(V1−ǫ)//planckover2pi1. (3) The FMI occupying x>\nddescribed by HFI=p2/2m+V0+ΓS·σ, whereV0>\nǫis the potential step. The S·σterm describes the\ns−dhybridizationoftheconductionelectronspinandthe\nmagnetization S=S(sinθcosϕ,sinθsinϕ,cosθ). The\nevanescent wave function in the FMI is\nψFI=He−q+x/parenleftbigg\ne−iϕ/2cosθ\n2\neiϕ/2sinθ\n2/parenrightbigg\n+Ie−q−x/parenleftbigg\n−e−iϕ/2sinθ\n2\neiϕ/2cosθ\n2/parenrightbigg\n,(3)\nwhereq±=/radicalbig\n2m(V0±ΓS−ǫ)//planckover2pi1>0.\nThe amplitudes A∼Eare determined by match-\ning the wave function and its derivative at x= 0\nandx=d, leaving only one free variable that is at-\ntributed to the Fermi surface-averaged spin density at\nthe interface |A|2=NF|µ0|/a3, whereNFis the den-\nsity of states (DOS) per a3at the Fermi surface, and\na= 2π/kF=h/√\n2mǫis the Fermi wave length that\nserves as unit length. It is convenient13to introduce the\nframe (x2,y2,z2) defined in Fig. 1 (a), where ˆz2∝bardblS,\nˆy2=ˆµ0׈S/sinθ, andˆx2=−ˆS×/parenleftBig\nˆµ0׈S/parenrightBig\n/sinθ. The\nspinors in Eq. (3) are simply (1 0)Tand (0 1)Tin this\nframe. The conduction electron spin tunneled into the\nFMI is∝an}bracketle{tσ∝an}bracketri}ht=∝an}bracketle{tψFI|σ|ψFI∝an}bracketri}ht, whose components are\n∝an}bracketle{tσx2,y2∝an}bracketri}ht=−16|A|2\n|γθ|2sinθe−(q++q−)(x−d)\n×(Re,Im)/parenleftbig\nW∗\n↓−W↓+/parenrightbig\n, (4)\nwhereWσ±andγθare defined in the Appendix A.\nThe total spin per unit area a2is denoted by ∝an}bracketle{tσ∝an}bracketri}ht=\na2/integraltext∞\n0dx∝an}bracketle{tσ∝an}bracketri}ht. Themagnetizationwithinthe rangeof ∝an}bracketle{tσ∝an}bracketri}ht,\nabout 2π/(q++q−)∼a, is treated as a macrospin S.\nFrom the Landau-Lifshitz (LL) dynamics, the s−dcou-\nplingHsd= Γσ·Srenders the STT13, whose response in\nthe damping-like and field-like direction define the spinmixing conductance21GrandGi, respectively,\nτ=Γ\n/planckover2pi1∝an}bracketle{tσ∝an}bracketri}ht×S=Γ\n/planckover2pi1S∝an}bracketle{tσy2∝an}bracketri}htˆx2−Γ\n/planckover2pi1S∝an}bracketle{tσx2∝an}bracketri}htˆy2\n=ΓSa2NF\n/planckover2pi1/bracketleftBig\nGrˆS×/parenleftBig\nˆS×µ0/parenrightBig\n+GiˆS×µ0/bracketrightBig\nGr,i=/integraldisplay∞\nd∝an}bracketle{tσy2,x2∝an}bracketri}ht\nNF|µ0|sinθdx=−16(Im,Re)/parenleftBig\nW∗\n↓−W↓+/parenrightBig\na3|γθ|2(q++q−),\n(5)\nafter substituting Eq. (4) and |A|2=NF|µ0|/a3. Alter-\nnatively, from angular momentum conservation a2(j0−\nj∞) =a2j0=a2jd=τwherejxis the spin current\nat position x, one obtains the same Gr,i20. The phe-\nnomenon of spin pumping has also been demonstrated\nin this set up22, whose mechanism follows that discussed\nin Ref. 20 and satisfies Onsager relation21. In both STT\nand spin pumping, the spin relaxation in the FMI plays\na relatively minor role20.\nFIG. 1: (color online) (a) Schematics of the NM/oxide/FMI\nset up. (b) Spin mixing conductance Gr,ias a function of the\noxidethickness d/aandinterface s−dcoupling −ΓS/ǫ, atFMI\ngap (V0−ǫ)/ǫ= 1 and oxide gap ( V1−ǫ)/ǫ= 0.5, plotted in\nunits ofe2//planckover2pi1a2which is about 1014∼1015Ω−1m−2depending\non the Fermi wave length a. (c)Gr,ias a function of the oxide\nthickness d/aat different values of oxide gap ( V1−ǫ)/ǫ, at\nFMI gap ( V0−ǫ)/ǫ= 1 and s−dcoupling −ΓS/ǫ= 0.5. (d)\nThe decay length of Grversus square root of the oxide gap\nor work function/radicalbig\n(V1−ǫ)/ǫat several parameters.\nFor most parameters, both GrandGimonotonically\ndecay with the separation thickness d, consistent with\nthe spin pumping experiment in Pt/oxide/YIG22. How-\never, when the exchange coupling is comparable to the3\ninsulating gap of the FMI, |ΓS| ≈(V0−ǫ)/ǫ, we found\nthat the damping-like component Gidisplays a slight en-\nhancementatsmall d(≈0.2a≈0.08nmforPt), asshown\nby the orange line in Fig. 1 (b). Thus the interplay be-\ntween the exchange coupling and the insulating gaps can\nlead to unconventional tunneling behaviors in certain pa-\nrameter ranges. In most of the parameter regime, the\nGr,iversus oxide or vacuum thickness dfits well with an\nexponentially decay form, with a decay length that de-\ncreases with increasing oxide gap or vacuum work func-\ntion, as shown in Fig. 1 (c), in accordance with that\nfound experimentally22. A systematic investigation of\nthe decay length of Gr(proportional to d.c. component\nof spin pumping spin current) versus square root of the\noxide gap/radicalbig\n(V1−ǫ)/ǫ, as suggested experimentally22,\nreveals a behavior that sensitively depends on other pa-\nrameters in this minimal model, such as the FMI gap\nands−dcoupling, as shown in Fig. 1 (d). Although\nin large/radicalbig\n(V1−ǫ)/ǫthe decay length seems rather lin-\near to/radicalbig\n(V1−ǫ)/ǫ, it in general does not extract to zero\nin most of the parameter regimes, unlike that assumed\nexperimentally22.\nThe same analysis is applicable to the\nNM/oxide/FMM/substrate multilayer if the FMM\nis thinner than its spin relaxation length. In this case,\nsince the insulating gap of the nonmagnetic substrate is\nnot crucial to spin transport20, we set it to be infinite\nfor simplicity, such that the wave function vanishes\ninside the substrate. Since the oxide separates NM\nand FMM, we consider the situation that in the NM a\ncharge current jc\nyˆyflows but not in the FMM, such that\nthe spin-orbit torque23–30does not arise, and the spin\ntorque comes entirely from the SHE in the NM. The\nwave function in the NM and in the oxide are described\nby Eqs. (1) and (2), with the interface positions defined\nin Fig. 2(a). The wave function in the FMM described\nbyHFM=p2/2m+ΓS·σis\nψFM= 2iHsin(k+x)/parenleftbigg\ne−iϕ/2cosθ\n2\neiϕ/2sinθ\n2/parenrightbigg\n+2iIsin(k−x)/parenleftbigg\n−e−iϕ/2sinθ\n2\neiϕ/2cosθ\n2/parenrightbigg\n,(6)\nwherek±=/radicalbig\n2m(ǫ∓ΓS)//planckover2pi1. Thespinexpectationvalue\nin the FMM is\n∝an}bracketle{tσx2,y2∝an}bracketri}ht=−64|A|2\n|γ′\nθ|2sinθsink+xsink−x\n×(Re,Im)/parenleftbig\nU∗\n↓−U↓+/parenrightbig\n. (7)\nwhereUσ±andγ′\nθare defined in the Appendix A. Using\nEq. (5), the spin mixing conductance is\nGr,i=−64\na3|γ′\nθ|2(Im,Re)/braceleftbig\nU∗\n↓−U↓+\n×k−sink+bcosk−b−k+sink−bcosk+b\nk2\n+−k2\n−/bracerightbigg\n.(8)Figure 2 (b) shows GrandGiversus thickness of the\noxide or vacuum d. Remarkably, Grin general does not\nmonotonically decrease with dbut may show significant\nenhancement (up to more than 50%) at small d, andGi\ncan change sign with increasing d. This very unconven-\ntional tunneling behavior implies that, surprisingly, in-\nserting an insulating oxide of atomic layer thickness may\nenhanceGrand hence the efficiency of magnetization\nswitching in FMM thin films8–12. Figure 2 (c) and (d)\nshowGrandGias functions of FMM thickness band\noxide thickness d, where this nonmonotonic dependence\nondcan be seen in many regions of parameter space.\nIn addition, certain periodicity with respect to the FMM\nthicknessbis evident, a result expected from the quan-\ntum interference effect when the spin travels inside the\nFMM20. InAppendixA,wefurtherdemonstratethatthe\npresence of the vacuum or oxide layer, despite being non-\nmagnetic, influences the quantum interference pattern of\nGr,i.\nFIG. 2: (color online) (a) Schematics of the\nNM/oxide/FMM/substrate set up. (b) Spin mixing\nconductance GrandGiversus oxide or vacuum thickness d,\nwhereais the Fermi wave length, at FMM thickness b= 2a\nand several values of s−dcoupling −ΓS/ǫ. (c) and (d) shows\nGrandGiversus FMM thickness band oxide or vacuum\nthickness d, ats−dcoupling −ΓS/ǫ= 0.1, plotted in units\nofe2//planckover2pi1a2whereais the Fermi wave length. The oxide gap\nor work function in these plots are fixed at ( V1−ǫ)/ǫ= 1.4\nIII. A NOVEL TUNNELING SPECTROSCOPY\nTHAT MEASURES MAGNON DOS\nAsfield electronemissionisthe basisoftunneling spec-\ntroscopy, below we discuss the possibility of a new type\nof tunneling spectroscopy based on this quantum tunnel-\ning of spins without transferring charge. Consider the\nNM/vacuum/FMI in Fig. 1 (a). We aim at calculating\nthedifferentialconductancedefinedfromthespincurrent\nand spin voltage. Under the approximation that only the\nmagnetization at the interface atomic layer denoted by\nS0experiencestheSTTsinceelectronsonlypenetratethe\nFMI over a very short distance, the STT is equivalent to\nthe torque caused by applying an effective magnetic field\nonS0. Thus the FMI under the influence of the STT is\ndescribed by\nHFM=H0\nFM+Hint=H0\nFM+Beff·S0,(9)\nwhereH0\nFMdescribes the magnons. The effective mag-\nnetic field Beffcaused by STT originates from the total\nspin∝an}bracketle{tσ∝an}bracketri}httunneled into the FMI\nBeff=−˜GrˆS0×µ0−˜Giµ0, (10)\nwhere˜Gr,i=/parenleftbig\nΓSa2/parenrightbig\nGr,i, since τ=dS0/dt=\ni[Beff·S0,S0] gives the correct LL dynamics described\nby Eq. (5) with the replacement S→S0(hereafter\n/planckover2pi1= 1). Note that in Eqs. (9) and (10), the unit vector\nˆS0= (sinθcosϕ,sinθsinϕ,cosθ) denotes the direction\nof the interface magnetization, while S0= (Sx\n0,Sy\n0,Sz\n0)\nis the operator of interface magnetization expressed in\nthe (x,y,z) frame, and the spin accumulation µ0=\nc†\n0ασαβc0βis expressed in terms of electron operators at\nthe interface.\nTheBeff, which contains both field-like and damping-\nlike components, causes a torque that can be calculated\nwithin linear response theory7,36. We are interested in z\ncomponent of the torque as it is related to the longitudi-\nnal spin Hall magnetoresistance(SMR)31–34as addressed\nbelow. After Fourier transform and a gauge transforma-\ntion, as demonstrated in Appendix B, the z-componentof the torque operator and the relevant terms containing\nS+orS−inHintare\nL(t) =/summationdisplay\nkk′qS−\nq(t)c†\nk′↑(t)ck↓(t),\nM(t) =/summationdisplay\nkk′qS−\nq(t)/parenleftBig\nc†\nk′↑(t)ck↑(t)−c†\nk′↓(t)ck↓(t)/parenrightBig\n,\nHrel\nint=iJ1L†(0)−iJ∗\n1L(0)+iJ2/bracketleftbig\nM†(0)−M(0)/bracketrightbig\n,\nˆτz=J1L†(0)+J∗\n1L(0)+J2/bracketleftbig\nM†(0)+M(0)/bracketrightbig\n,(11)\nwhereJ1=/parenleftBig\n˜Grcosθ+i˜Gi/parenrightBig\n/√NNNIandJ2=\n−/parenleftBig\n˜Grsinθ/parenrightBig\n/2√NNNIare the effective coupling be-\ntween NM and FMI, with NNandNIdenoting the num-\nber of lattice sites for NM and FMI along ˆxdirection,\nrespectively. The spin conserved L(t) and spin noncon-\nservedM(t) originate from the field-like and damping-\nlike component of Eq. (10), respectively.\nThe linear response theory7,36\nτz=−i/integraldisplayt\n−∞dt′∝an}bracketle{t/bracketleftbig\nˆτz(t),Hrel\nint(t′)/bracketrightbig\n∝an}bracketri}ht, (12)\nwith ˆτz(t) =eiH′tˆτze−iH′tandHrel\nint(t′) =\neiH′t′Hrel\ninte−iH′t′, where the total Hamiltonian\nH′=H↑+H↓+H0\nFMdescribes the spin up and\ndown electrons in the NM and magnons in the FMI,\nleads to\nτz=−2|J1|2Im/bracketleftbig\nUL\nret(µ0)/bracketrightbig\n−2|J2|2Im/bracketleftbig\nUM\nret(0)/bracketrightbig\n,(13)\nasshowninAppendixB.Theretardedresponsefunctions\nare calculated in the Matsubara version\nUL(iω) =−/integraldisplayβ\n0dτeiωτ∝an}bracketle{tTτL(τ)L†(τ)∝an}bracketri}ht(14)\nand then using analytical continuation iω→µ0+iδ, and\nsimilarly for UM(iω) usingiω→0 +iδ. Only the first\nterm in Eq. (13) is nonzero, yielding (see Appendix B)\nτz= 4π∝an}bracketle{tSz∝an}bracketri}ht|J1|2/integraldisplay\ndξ/integraldisplay\ndΩN↑(ξ)N↓(ξ+µ0+Ω)NM(Ω)\n×[nF(ξ+µ0+Ω)−nF(ξ)][nB(−Ω−µ0)−nB(−Ω)] =a2jz\n0, (15)\nwhere we have converted momentum sums into energy\nintegrals, and used angular momentum conservation to\nidentify the torque with the spin current in the NM at\nthe interface jz\n0. TheN↑andN↓are the DOS of spin\nup and down electrons that are assumed to be constant\nwithin the rangeofmeasurement, and NM(Ω) isthe DOSof magnons at Ω. The nFandnBdenote the Fermi\nand Bose distribution function, and ∝an}bracketle{tSz∝an}bracketri}htis the ground\nstate magnetization of the FMI in the linear spin wave\ntheory. As demonstrated in Appendix B, the derivative\nof the spin current with respect to spin voltage is linear\nin temperature Tand proportional to the magnon DOS5\nat−µ0\ndjz\n0\ndµ0∝kBT∝an}bracketle{tSz∝an}bracketri}ht|J1|2N↑N↓NM(−µ0)∝d∆ρ1\ndjc,(16)\nwhere we have used the fact that jz\n0, after convertedback\nto a charge current via inverse spin Hall effect (ISHE),\nis proportional to the SMR in the longitudinal direction\n∆ρ1, andµ0is proportional to the input charge current\njc32. Equation (16) indicates a new type of tunneling\nspectroscopy that can directly measure the magnon DOS\nof the FMI at a specific energy −µ0, achieved by taking\nthe derivative of the longitudinal SMR in the NM with\nrespect to the input charge current.\nThe analysis from Eq. (9) to (16) is also valid if the\noxide or vacuum is absent ( d= 0 in Eqs.(4) to (5)),\ni.e., an NM/FMI bilayer in which SMR has been inten-\nsively investigated31–33, although the probe (NM) is per-\nmanently attached to the sample (FMI) in this situation.\nThese SMR experiments typically operate at charge cur-\nrent density no more than jc∼108A/cm2at room tem-\nperature due to Joule heating31,33,34. Assuming a typ-\nical NM thickness ∼10nm and a spin diffusion length\nof the same order, and the conductivity σ∼107S/m\nand spin Hall angle θH∼0.1 for Pt3, the spin voltage\nproduced at jc∼108A/cm2is aboutµ0∼0.1meV, and\nso is the maximal energy at which magnon DOS can be\nprobed according to Eq. (16). Compared to the whole\nmagnon band width that is typically about 10 ∼100meV\nin solids, the proposed tunneling spectroscopy therefore\nmeasures the magnons at very low energy that are gener-\nally more coherent and well described by the linear spin\nwave theory7,36–38. Comparing to other methods that\nmeasure magnon DOS, this probing energy range is few\norders higher than that uses nitrogen-vacancy (NV) cen-\nter in diamonds ( ∼µeV)39, and approaching the probing\nrange of the Brillouin light scattering ( ∼meV)40, while\nhaving the advantage of being an all-electrical measure-\nment that requires no additional field or light source.\nOne can estimate the change of spin current ∆ jz\n0due\nto excitation of magnons from Eq. (16). The prefac-\ntor of the proportionality in Eq. (16) is of the order of\n4π/a2/planckover2pi1times unity for an NM/FMI bilayer. Assuming\nthe charge current in the NM is increased from zero to\na maximal jc∼108A/cm2, which gives a change of spin\nvoltage ∆µ0∼0.1meV as discussed in the previous para-\ngraph. Using djz\n0/dµ0∼∆jz\n0/∆µ0, and typical mate-\nrial parameters for the DOS N↑∼N↓∼NM∼1/eV,\nlayer thickness√NNNI∼10, exchange coupling J1∼\nΓSa2Gr,i/√NNNI∼0.01eV,temperature kBT∼0.1eV,\nand lattice constant a∼nm, one obtains the change\nof spin current (particle flux) ∆ jz\n0∼102A/cm2. This\nshould be compared with the SHE spin current at this\nmaximalchargecurrent,whichisoftheorderof jz\n0,SHE∼\nθHjc∼107A/cm2assuming the thickness of the NM is\nclose to its spin diffusion length32. Thereforethe magnon\nexcitation gives a very small correction to the SHE spin\ncurrent ∆jz\n0/jz\n0,SHE∼10−5, and so is the correction to\nSMR32at this maximal charge current jc∼108A/cm2,which can be very challenging to measure. Finally, we\nremark that in principle, an STM based on this quan-\ntum tunneling of spin is also possible by fabricating the\nNM into a nanometer size tip, although measuring the\nSMR caused by tunneling through such a small tip will\nobviously be very difficult.\nIV. CONCLUSIONS\nIn summary, we predict that the spin voltage caused\nby SHE can induce quantum tunneling of spin through\na thin insulator or vacuum, realizing the spintronic ana-\nlog of field electron emission. In the NM/oxide/FMI tri-\nlayer,thistunnelingprocessyieldsaSTTandspinpump-\ning that in most of the parameter regime decays mono-\ntonically with the oxide thickness, in good agreement\nwith the spin pumping experiment in Pt/oxide/YIG22.\nIn the NM/oxide/FMM, the quantum tunneling yields a\nspin mixing conductance that in general does not mono-\ntonically decay with thickness of the insulator or vac-\nuum. Consequently, inserting an ultrathin insulator be-\ntween NM and FMM may surprisingly improve the per-\nformance of the magnetization switching caused by SHE.\nFor the NM/oxide/FMI case, a new type of tunneling\nspectroscopy is revealed based on this quantum tun-\nneling of spins, which can directly probe the magnon\nDOS of the FMI, and has the advantage of being an all-\nelectrical measurement that requires no external field or\nlight source. Combining with SMR measurements, such\na tunneling spectroscopy can be practically realized by\ntaking the derivative of longitudinal SMR with respect\nto the input charge current, as described by Eq. (16), al-\nthough the smallness of the spin voltage may render such\nmeasurement rather challenging in reality.\nWe thank P. Gambardella for inspiring this project,\nand Y.-H. Liu, S. Ok, F. Casola, R. Wiesendanger, H.\nSchultheiß, and J. Mendil for fruitful discussions. W. C.\nand M. S. are grateful for the financial support through a\nresearch grant of the Swiss National Science Foundation.\nAppendix A: Detail of spin-transfer torque\ncalculation\nBy matching the wave function at the x= 0 andx=\nainterfaces, we obtain the scattering coefficients in the6\nNM/vacuum/FMI trilayer. Introducing\nWσα=/summationdisplay\nβ=±/parenleftbigg\n1−βλ\nik0σ/parenrightbigg/parenleftbigg\n1+qα\nβλ/parenrightbigg\neβλd,\nγθ= sin2θ\n2W↓+W↑−+cos2θ\n2W↓−W↑+.\nZ1θ=eλa\nγθ/bracketleftbigg/parenleftBig\n1+q+\nλ/parenrightBig\ncos2θ\n2W↓−\n+/parenleftBig\n1+q−\nλ/parenrightBig\nsin2θ\n2W↓+/bracketrightbigg\n,\nZ2θ=e−λa\nγθ/bracketleftbigg/parenleftBig\n1−q+\nλ/parenrightBig\ncos2θ\n2W↓−\n+/parenleftBig\n1−q−\nλ/parenrightBig\nsin2θ\n2W↓+/bracketrightbigg\n,\nZ3θ=eλa\nγθsinθ\n2cosθ\n2/bracketleftBig/parenleftBig\n1+q+\nλ/parenrightBig\nW↓−\n−/parenleftBig\n1+q−\nλ/parenrightBig\nW↓+/bracketrightBig\n,\nZ4θ=e−λa\nγθsinθ\n2cosθ\n2/bracketleftBig/parenleftBig\n1−q+\nλ/parenrightBig\nW↓−\n−/parenleftBig\n1−q−\nλ/parenrightBig\nW↓+/bracketrightBig\n, (A1)\nthe scattering coefficients are written as\nB=A/parenleftbigg\n1+λ\nik0↑/parenrightbigg\nZ1θ+A/parenleftbigg\n1−λ\nik0↑/parenrightbigg\nZ2θ,\nC=Aeiϕ/parenleftbigg\n1+λ\nik0↓/parenrightbigg\nZ3θ+Aeiϕ/parenleftbigg\n1−λ\nik0↓/parenrightbigg\nZ4θ,\nD= 2AZ1θ, E= 2AZ2θ,\nF= 2AeiϕZ3θ, G= 2AeiϕZ4θ,\nH= 4Aeq+a\nγθeiϕ/2cosθ\n2W↓−,\nI=−4Aeq−a\nγθeiϕ/2sinθ\n2W↓+. (A2)\nSincetheparticlefluxiszero k0↑|A|2−k0↑|B|2−k0↓|C|2=\n0, thereis nochargecurrentin this problembut onlyspin\ncurrent, making it clear that the formalism describes the\nspin injection caused by the pure spin current in SHE.\nThe scattering coefficients for the\nNM/vacuum/FMM/substrate multilayer are obtained\nsimilarly from matching the wave function at the\ninterfaces. Defining\nηαβ=−2i/parenleftbigg\nsinkαb+βkα\nλcoskαb/parenrightbigg\n,\nUσα=/summationdisplay\nβ=±eβλ(c−b)/parenleftbigg\n1−βλ\nikσ/parenrightbigg\nηαβ,\nγ′\nθ= sin2θ\n2U↓+U↑−+cos2θ\n2U↓−U↑+,\nY1θ=η++cos2θ\n2U↓−+η−+sin2θ\n2U↓+,\nY2θ=η+−cos2θ\n2U↓−+η−−sin2θ\n2U↓+,\nY3θ=eiϕsinθ\n2cosθ\n2(η++U↓−−η−+U↓+),\nY4θ=eiϕsinθ\n2cosθ\n2(η+−U↓−−η−−U↓+),(A3)we write\nB=Ae−2ik0↑ceλ(c−b)\nγ′\nθ/parenleftbigg\n1+λ\nik0↑/parenrightbigg\nY1θ\n+Ae−2ik0↑ce−λ(c−b)\nγ′\nθ/parenleftbigg\n1−λ\nik0↑/parenrightbigg\nY2θ,\nC=Ae−i(k0↑+k0↓)ceλ(c−b)\nγ′\nθ/parenleftbigg\n1+λ\nik0↓/parenrightbigg\nY3θ\n+Ae−i(k0↑+k0↓)ce−λ(c−b)\nγ′\nθ/parenleftbigg\n1−λ\nik0↓/parenrightbigg\nY4θ,\nD= 2Ae−ik0↑ce−λb\nγ′\nθY1θ, E= 2Ae−ik0↑ceλb\nγ′\nθY2θ,\nF= 2Ae−ik0↑ce−λb\nγ′\nθY3θ, G= 2Ae−ik0↑ceλb\nγ′\nθY4θ,\nH= 4Ae−ik0↑ceiϕ/2\nγ′\nθcosθ\n2U↓−,\nI=−4Ae−ik0↑ceiϕ/2\nγ′\nθsinθ\n2U↓+. (A4)\nThechargecurrentintheNMisagainzerosince k0↑|A|2−\nk0↑|B|2−k0↓|C|2= 0.\nFigure 3 shows the spin mixing conductance Grand\n−Gias functions of FMM thickness band interface s−d\ncoupling −ΓS/ǫ, for several values of vacuum thickness d\nassumingaconstantworkfunctionoroxidegap( V1−ǫ)/ǫ.\nWhenthevacuumoroxideisabsent d= 0, werecoverthe\nNM/FMM/substrate trilayer reported in Ref. 20, where\nGr,ishows oscillatory behavior with respect to both the\nFMM thickness and the s−dcoupling, which has been\nattributed to the quantum interference effect when the\nspin travels inside the FMM. In the presence of the vac-\nuum or oxide layer d∝ne}ationslash= 0, the quantum interference effect\nstill manifests, but the interference pattern changes sig-\nnificantlywith the vacuumthickness d. Thusthe vacuum\nor oxide layer, despite nonmagnetic, participates in and\nsignificantly influences the quantum interference effect\nfor the spin transport in this multilayer system.7\nFIG. 3: (color online) The spin mixing conduc-\ntanceGr(upper figures) and −Gi(lower figures) in\nNM/vacuum/FMM/substrate multilayer plotted as functions\nof FMM thickness band the s−dcoupling −ΓS/ǫ, at\ndifferent vacuum thickness d. The length unit ais the Fermi\nwave length. The work function for tunneling through the\nvacuum is fixed at ( V1−ǫ)/ǫ= 1.\nAppendix B: Detail of linear response theory and\ndifferential conductance\nIn NM/vacuum/FMI, the STT is equivalent to the\ntorque produced by the effective magnetic field described\nbyEq.(10). Wemaywritethe z-componentofthetorque\noperator as\nˆτz=˜Gi[S0×µ0]z+˜Gr/bracketleftBig\nS0×/parenleftBig\nˆS0×µ0/parenrightBig/bracketrightBigz\n=˜Gi[Sx\n0µy\n0−Sy\n0µx\n0]\n+˜Gr/bracketleftBig\nSx\n0/parenleftBig\nˆSz\n0µx\n0−ˆSx\n0µz\n0/parenrightBig\n−Sy\n0/parenleftBig\nˆSy\n0µz\n0−ˆSz\n0µy\n0/parenrightBig/bracketrightBig\n=1\n2/parenleftBig\n˜Grcosθ+i˜Gi/parenrightBig\nS+\n0µ−\n0+1\n2/parenleftBig\n˜Grcosθ−i˜Gi/parenrightBig\nS−\n0µ+\n0\n−1\n2˜Grsinθ/parenleftbig\ne−iϕS+\n0µz\n0+eiϕS−\n0µz\n0/parenrightbig\n, (B1)\nwhere we have used the operators S±\n0=Sx\n0±iSy\n0\nandµ±\n0=µx\n0±iµy\n0, and the unit vector ˆS0=\n(sinθcosϕ,sinθsinϕ,cosθ). Likewise, the effective in-teracting Hamiltonian that gives ˆτ=i[Hint,S0] is\nHint=−˜GiS0·µ0−˜GrS0·/parenleftBig\nˆS0×µ0/parenrightBig\n=−˜Giµz\n0Sz\n0−˜Gi\n2/parenleftbig\nµ+\n0S−\n0+µ−\n0S+\n0/parenrightbig\n−i\n2˜Grcosθ/parenleftbig\nµ+\n0S−\n0−µ−\n0S+\n0/parenrightbig\n−i\n2˜Grsinθ/parenleftbig\n−e−iϕµ+\n0Sz\n0+eiϕµ−\n0Sz\n0\n+e−iϕµz\n0S+\n0−eiϕµz\n0S−\n0/parenrightbig\n.\n(B2)\nThee±iϕin Eqs. (B1) and (B2) can be removed by a\ngauge transformation e∓iϕS±\n0→S±\n0,e∓iϕµ±\n0→µ±\n0. Af-\nter Fourier transform, one obtains Eq. (11).\nThe linear response theory in Eq. (12) leads to the\ncalculation of\nτz=−i/integraldisplay∞\n−∞dt′θ(t−t′)∝an}bracketle{t/bracketleftbig\nˆτz(t),Hrel\nint(t′)/bracketrightbig\n∝an}bracketri}ht\n=/integraldisplay∞\n−∞dt′θ(t−t′)/braceleftBig\n−|J1|2e−iµ0(t−t′)∝an}bracketle{t/bracketleftbig\nA†(t),A(t′)/bracketrightbig\n∝an}bracketri}ht\n+|J1|2eiµ0(t−t′)∝an}bracketle{t/bracketleftbig\nA(t),A†(t′)/bracketrightbig\n∝an}bracketri}ht\n−|J2|2∝an}bracketle{t/bracketleftbig\nB†(t),B(t′)/bracketrightbig\n∝an}bracketri}ht+|J2|2∝an}bracketle{t/bracketleftbig\nB(t),B†(t′)/bracketrightbig\n∝an}bracketri}ht/bracerightbig\n,\n(B3)\nwhich requires the calculation of the Matsubara response8\nfunction in Eq. (14). The LandMchannel give\nUL(iω) =−/summationdisplay\nkk′q/integraldisplayβ\n0dτeiωτχ−+(q,τ)G↑(k,−τ)G↓(k′,τ),\nUM(iω) =−/summationdisplay\nkk′q/integraldisplayβ\n0dτeiωτχ−+(q,τ)\n×[G↑(k,−τ)G↑(k′,τ)+G↓(k,−τ)G↓(k′,τ)],\n(B4)\nwhereGσ(k,τ) andχ−+(q,τ) are electron and magnonGreen’s function\nGσ(k,τ) =−∝an}bracketle{tTτckσ(τ)c†\nkσ(0)∝an}bracketri}ht=1\nβ/summationdisplay\nωne−iωnτ\niωn−ξkσ,\nχ−+(q,τ) =−∝an}bracketle{tTτS−\n−q(τ)S+\nq(0)∝an}bracketri}ht=−2∝an}bracketle{tSz∝an}bracketri}ht\nβ/summationdisplay\nνme−iνmτ\niνm+ωq,\n(B5)\nwithβ= 1/kBT. The frequency sum leads to\nUL(iω) = 2∝an}bracketle{tSz∝an}bracketri}ht/summationdisplay\nkk′q[nF(ξk′↓)−nF(ξk↑)][nB(ξk↑−ξk′↓)−nB(−ωq)]\niω+ξk↑−ξk′↓+ωq\nUM(iω) = 2∝an}bracketle{tSz∝an}bracketri}ht/summationdisplay\nkk′q[nF(ξk′↑)−nF(ξk↑)][nB(ξk↑−ξk′↑)−nB(−ωq)]\niω+ξk↑−ξk′↑+ωq\n+ 2∝an}bracketle{tSz∝an}bracketri}ht/summationdisplay\nkk′q[nF(ξk′↓)−nF(ξk↓)][nB(ξk↓−ξk′↓)−nB(−ωq)]\niω+ξk↓−ξk′↓+ωq.\n(B6)\nUsing analytical continuation and Im/bracketleftBig\n1\nx+iη/bracketrightBig\n=−πδ(x),\noneobtains Eq.(15), in whichthe UM(iω)responsefunc-\ntion does not contribute.\nTo see how the derivative of spin current is related to\nmagnon density of states (DOS), consider the integral in\nEq. (15) denoted by ˜I. We make the usual assumption intunneling spectroscopy that the DOS of the probe (the\nNM) stays constant within the range of measurement so\nit can be pulled out of the integration, and notice that\nthenB(−Ω) factor does not contribute to the integral,\nthus\n˜I=N↑N↓/integraldisplay∞\n−∞dξ/integraldisplay−µ0\n0dΩ[nF(ξ+µ0+Ω)−nF(ξ)]nB(−Ω−µ0)NM(Ω)\n≈N↑N↓/integraldisplayξ0\n−ξ0dξ/integraldisplay−µ0\n0dΩ/bracketleftbigg1\n4+(Ω+µ0)\n8kBT−ξ2\n16k2\nBT2−ξ(Ω+µ0)\n16k2\nBT2/bracketrightbigg\nNM(Ω),\n(B7)\nwhere we expand the distribution function to leading or-\nder inξand Ω +µ0, and notice that −µ0>0. The\ndistribution function is mainly concentrated within the\nrange of Fermionic energy −ξ0<ξ<ξ 0whose boundary\nis proportional to temperature ξ0∝kBT(using the ex-\npansion in Eq. (B7) and solve for where the distribution\nfunction vanishes at Ω = −µ0yieldsξ0= 2kBT). Dif-\nferentiating the integral with respect to −µ0gives, using\neither numerical integration or the approximated analyt-\nical form of Eq. (B7),\nd˜I\nd(−µ0)∝N↑N↓NM(−µ0)kBT , (B8)and so follows Eq. (16). We anticipate that the linear T\ndependence may help to separate the calculated tunnel-\ningspinconductancefromothercontributionstothe spin\ncurrent if they have a different power law dependence on\ntemperature.9\n1M. I. Dyakonov and V. I. Perel, Phys. Lett. A 35, 459\n(1971).\n2J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999).\n3J. Sinova, S. O. Valenzuela, J. Wunderlich, C. H. Back,\nand T. Jungwirth, Rev. Mod. Phys. 87, 1213 (2015).\n4S. Zhang, Phys. Rev. Lett. 85, 393 (2000).\n5S.Takahashi, H.Imamura, andS. Maekawa, in Concepts in\nSpin Electronics , edited by S. Maekawa (Oxford University\nPress, UK, 2006), pp. 343 ∼370.\n6Y. K. Kato, R. C. Myers, A. C. Gossard, and D. D.\nAwschalom, Science 306, 1910 (2004).\n7Y. Kajiwara et al., Nature 464, 262 (2010).\n8I. M. Miron et al., Nat. Mater. 9, 230 (2010).\n9I.M. Miron, K.Garello, G.Gaudin, P.-J. Zermatten, M.V.\nCostache, S. Auffret, S. Bandiera, B. Rodmacq, A. Schuhl,\nand P. Gambardella, Nature 476, 189 (2011).\n10L. Liu, C.-F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, and\nR. A. Buhrman, Science 336, 555 (2012).\n11L. Liu, O. J. Lee, T. J. Gudmundsen, D. C. Ralph, and R.\nA. Buhrman, Phys. Rev. Lett. 109, 096602 (2012).\n12K. Garello, I. M. Miron, C. O. Avci, F. Freimuth, Y.\nMokrousov, S. Bl¨ ugel, S. Auffret, O. Boulle, G. Gaudin,\nand P. Gambardella, Nat. Nanotechnol. 8, 587 (2013).\n13L. Berger, Phys. Rev. B 54, 9353 (1996).\n14J. C. Slonczewski, J. Magn. Magn. Mater. 159, L1-L7\n(1996).\n15Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys.\nRev. Lett. 88, 117601 (2002).\n16S. Zhang and Z. Li, Phys. Rev. Lett. 93, 127204 (2004).\n17C. Hahn,G.deLoubens, M.Viret, O.Klein, V.V.Naletov,\nand J. Ben Youssef, Phys. Rev. Lett. 111, 217204 (2013).\n18D. Wei, M. Obstbaum, M. Ribow, C. H. Back, and G.\nWoltersdorf, Nat. Commun. 5, 3768 (2014).\n19M. Weiler, J. M. Shaw, H. T. Nembach, and T. J. Silva,\nPhys. Rev. Lett. 113, 157204 (2014).\n20W. Chen, M. Sigrist, J. Sinova, and D. Manske, Phys. Rev.\nLett.115, 217203 (2015).\n21S. Maekawa, S. O. Valenzuela, E. Saitoh, and T. Kimura,\nSpin Current , 1st ed. (Oxford University Press, Oxford,2012), Chap. 8.\n22C. H. Du, H. L. Wang, Y. Pu, T. L. Meyer, P. M. Wood-\nward, F.Y. Yang, and P. C. Hammel, Phys. Rev.Lett. 111,\n247202 (2013).\n23A. Manchon and S. Zhang, Phys. Rev. B 78, 212405\n(2008); and Phys. Rev. B 79, 094422 (2009).\n24P. M. Haney and M. D. Stiles, Phys. Rev. Lett. 105,\n126602 (2010).\n25D. A. Pesin and A. H. MacDonald, Phys. Rev. B 86,\n014416 (2012).\n26K.-W. Kim, S.-M. Seo, J. Ryu, K.-J. Lee, and H.-W. Lee,\nPhys. Rev. B 85, 180404(R) (2012).\n27P. M. Haney, H.-W. Lee, K.-J. Lee, A. Manchon, and M.\nD. Stiles, Phys. Rev. B 88, 214417 (2013).\n28X. Wang and A. Manchon, Phys. Rev. Lett. 108, 117201\n(2012).\n29P. Gambardella and I. M. Miron, Phil. Trans. R. Soc. A\n369, 3175 (2011).\n30H. Kurebayashi et al., Nature Nanotech. 9, 211 (2014).\n31H. Nakayama et al., Phys. Rev. Lett. 110, 206601 (2013).\n32Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer,\nS. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer,\nPhys. Rev. B 87, 144411 (2013).\n33M. Althammer et al., Phys. Rev. B 87, 224401 (2013)\n34C. O. Avci, K. Garello, A. Ghosh, M. Gabureac, S. F.\nAlvarado, and P. Gambardella, Nat. Phys. 11, 570 (2015).\n35J. Kim, J. Sinha, M. Hayashi, M. Yamanouchi, S. Fukami,\nT. Suzuki, S. Mitani, H. Ohno, Nat. Mater. 12, 240 (2013).\n36S. Takahashi, E. Saitoh, and S. Maekawa, J. Phys.: Conf.\nSer.200, 062030 (2010).\n37W. Chen and M. Sigrist, Phys. Rev. Lett. 114, 157203\n(2015).\n38J. Barker and G. E. W. Bauer, arXiv:1607.03263.\n39T. van der Sar, F. Casola, R. Walsworth, and A Yacoby,\nNat. Commun. 6, 7886 (2015).\n40T. Sebastian, K. Schultheiss, B. Obry, B. Hillebrands, and\nH. Schultheiss, Front. Phys. 3, 35 (2015)." }, { "title": "2001.05385v1.Yttrium_Iron_Garnet_Thickness_Influence_on_the_Spin_Pumping_in_the_Bulk_Acoustic_Wave_Resonator.pdf", "content": " \n Yttrium Iron Garnet Thickness Influence on the Spin Pumping in \nthe Bulk Acoustic Wave Resonator \nS.G. Alekseeva,*, N.I.Polzikovaa, and A.O.Raevskiyb \naKotel'nikov Institute of Radioengineering and Electronics of Russian Academy of Sciences , \n125009 Mokhovaya str. 11, build. 7, Moscow, Russia \nb Fryazino branch Kotel'nikov Institute of Radioengineering and Electronics of Russian Academy of Sciences, \n141190 Vvedenskiy sq.,1, Fryazino, Moscow regi on, Russia \n E-mail:* alekseev@cplire.ru \n \nAbstract -- The features of phonon – magn on interconversion in acoustic resonator determine the efficiency of spin \npumping from YIG into Pt that may be detected electrical ly through the inverse spin Hall effect (ISHE). Based on the \nmethods developed in previous works for calculating resonator structures with a piezoelectric (ZnO) and a \nmagnetoelastic layer in contact with the heavy metal (YIG/Pt), we present the results of numerical calculations of YIG \nfilm thickness influence on acoustically driven spin waves. We obtain some YIG film thickness regions with various \nbehavior of dc ISHE voltage UISHE. At micron and submicron thicknesses , the higher spin wave resonance (SWR) \nmodes (both even and odd) can be generated with efficiency comparable and even exceeding that of the main mode. \nThe absolute maximum of UISHE is achieved at the thickness about s1≈208 nm under the excitation of the first SWR. \nKeywords: YIG/Pt, spin pumping, bulk acoustic wave resonator , inverse spin Hall effect, magnetoelastic resonance, \nspin wave resonance \nIntroduction \n With the development of new materials and structures, as well as the development of experimental techniques, \nvarious aspects of the magnon -phonon interaction acquired a new sense and are currently being actively explored in \nmicrowave spintronics, streintronics, spincaloritronics and magnonics [1 -8]. The piezoel ectric generation of \nacoustically driven spin waves (ADSW) in piezoelectric /magnetoelastic structures is promising for use in low power \nconsumption devices free from ohmic losses [7 -12]. In particular, acoustic spin pumping (ASP) – the generation of spin \npolarized electron currents from ADSW [6,7] – is promising for application in microwave spintronics and attracts much \nattention of the researchers. In addition, the electrical detection of magnons by means of the ASP and the inverse spin \nHall effect (ISHE ) is a useful method for investigations of magnetization dynamics and phonon – magnon \ninterconversion. \nThe magnon – phonon interactions in ferrimagnetic yttrium iron garnet (YIG) films on gallium gadolinium garnet \n(GGG) substrates have been studied for man y decades. The main attention was paid to the study of lateral wave \npropagation both under the excitation of magnetic precession and under the acoustic wave (AW) excitation by \ndifferent piezoelectric transducers [5, 13 -16]. The magnetoelastic interaction in thickness mode resonators of bulk AW \nwith ferromagnetic and piezoelectric films was investigated in our previous works [10 -12]. \n In [11] we experimentally demonstrated the excitation of ADSW by means of high overtone bulk AW resonator \n(HBAR) containin g YIG and piezoelectric zinc oxide (ZnO) films. The resonant ASP in HBAR containing YIG/Pt \nsystem was proposed and implemented in [17,18]. In [19,20], a good agreement between the theoretical and \nexperimental frequency -field dependences UISHE(f, H) of the magnitude of the ISHE dc voltage was shown. \n The effect of geometry on ISHE induced by the spin pumping driven by the ferromagnetic resonance (FMR) or by \nspin wave s (SW) have been investigated in many studies (see, for example, [21 - 23]). The e ffect o f magnetoelastic film \nthickness on power absorption in FMR driven by surface AW was studied in [8]. \n Here, we report on the theoretical investigation of the influence of the YIG thickness on the phonon – magnon \ninterconversion efficiency in an acoustical cavity and hence, on the ASP efficiency. In particular, we study the features \n of the excitation (by means of HBAR) and detection ( through the ASP and ISHE) of SW resonances (SWR) in micron \nand nanometer -sized YIG films. \n1. HBAR geometry \nThe structure under c onsideration and main vectors orientation are shown in Fig. 1. A transducer consisting of a \npiezoelectric ZnO film 1, sandwiched between thin -film Al electrodes 2, is deposited on the top of the GGG substrate -\nYIG film structure 3-4. A thin Pt strip 5 is attached to the YIG film underneath the acoustic resonator aperture. The \nexternal magnetic field \nH lies in the plane of the structure along the z-axis and magnetizes YIG films up to uniform \nsaturation magnetization \n0M || \nH . To excite the bulk AW propagating along the x-axis perpendicular to the layers, \nthe rf voltage \n)(~fU with frequency f is applied across the transducer. \n \nFig. 1 . The layout of a bulk acoustic wave resonator. \nIt is assumed that ZnO film excites shear bulk AW with polarization along the magnetic field \nH [24, 25]. In the \nYIG layer, this wave drives magnetization dynamics due to the magnetoelastic interaction. These ADSWs establish a \nspin current \nsj from YIG into the Pt strip. The IS HE converts \nsj to a conductivity current or an electrostatic dc field \n.ISHEE\n \nThe typical thickness parameters for the GGG substrate ( d=500 μm), piezoelectric ZnO ( l=3μm), and platinum 12 \nnm are used for the calculations. The positions of the interfaces YIG/Pt and YIG/GGG are x = x0 and x = x0 + s, \nrespectively. The YIG thickness s is varied from some nanometers to several ten microns. \n2. Method of Calculation \nLet us consider the features of ISHE induced by ASP in our resonator structure. The dc voltage between Pt ends is \n \n)) (( ) (2\nISHE ISHE azn a E U\n . (1) \nHere, \n] /)()( Im[2\n0 0 0*Mxmxmy x\n , (2) \nis the magnetization \nm+M=M\n0 precession cone angle at YIG/Pt interface x = x0, \nm = (mx, my, 0) is the ac \nmagnetization, \nn is the normal to the interface, and \na is the vector in the direction of the Pt strip [26, 27]. In (1) we \nomit the characteristics of the spin detector (Pt) and YIG/Pt interface: the spin Hall angle and the spin mixing \n conductance, because they are considered to be independent of the magnetic field, frequency, and thickness of YIG and \nPt. We also omitted the factor resulting from the current density averaging across the Pt thickness. So we calculate the \nISHE voltage dependency on the YIG thickness while keeping all other parameters constant. \nTo find the components of the ac magnetization mx and my, entering into Eq. (2), we use one -dimensional and linear \napproximations, assuming that all variables depend on coordinate x and time t as exp[ i(k(j)x-2πft)], where k(j) is the \nwavenumber in the j-th layer. In all nonmagnetic layers, k(j) = ±(2πf/V(j)), where V(j) is the phase velocity of a shear AW. \nIn ferrite, magnetoelastic waves obey the secular equation [12, 28, 29]: \n \n2\nAW2\nSW2 2\nAW2) )( ( fff ff ffMH . (3) \nHere, the terms in the brackets represent the dispersion laws for n oninteracting AW and SW ; \nkV fAW2\n , \n) (2\nSW H M H f ff f , \n0 4M fM\n, \n) (exHH fH , \n2\nexDk H , (4) \nD, Hex are the exchange stiffness and magnetic field , γ ≈ 2.8 MHz/Oe is the gyromagnetic ratio, and \n) 4/(2\n02CM b\nis the parameter of AW and SW interaction, depending on effective magnetoelastic constant b \n[30, 31] and the elastic modulus C. Note, that the inclusion of the exchange field Hex to (3), (4) leads to the increase of \nthe equation order in k (from biquadratic to bicubic). This significantly complicates the whole problem, in particular \ndemands to use additional boundary conditions for magnetization. Nevertheless, we take Hex into account because of it s \nsignificance for the formation of coupled magnetoelastic wave spectrum and efficiency of magnon – phonon \ninterconversion [9, 12, 28]. The additional boundary conditions are taken here in the form (so called free surface spins) \n.0)(\n00,\n\nsxxxxyx\nxx m\n (5) \nFor further calculations , we use the self -consistent method accounting for the back action of ADSW in YIG films on \nthe elastic subsystem in all layers of the structure (in non -magnetic layers throug h boundary conditions) [19, 20]. The \nfollowing elastic and magnetic parameters are used : (111) oriented YIG – V(4) = 3.9 ×105 cm/s, ρ(4) = 5.17 g/cm3, b = 4 × \n106 erg/cm3, D =4.46 ×10–9 Oe cm2, GGG – V(3) = 3.57×105 cm/s, ρ(3) = 7.08 g/cm3 [13, 29]; ZnO – V(1) = 2.88×105 \ncm/s, ρ(1) = 5.68 g/cm3, where ρ(j) is the mass density of j-th layer. We take 4πM0 = 955 G as the characterist ic value \nfor La, Ga -substituted YIG epitaxial films used in the experiment [18]. The magnetic losses are taken into account by \nreplacing in Eqs. (3) and (4)\nHif fH H , where\n70.0H Oe is the FMR line width. For taking into account \nthe elastic losses , the analogous replacing for elastic moduli \n)( )( )(2j j jfi C C , where \n)(j is the viscosity \nfactor, is performed for all media. \n3. Results and discussions \nThe normalized frequency depenedencies UISHE(f) for different YIG film thicknesses are represented in Fig.2. Here all \ndata are normalized to the maximal voltage for the film of 31 μm thickness (see Fig.2a) used in the experiment [18]. All \nthe dependencies are calculated for magnetic field value 740 Oe. The dashed -dotted lines point to the FMR frequenc y \nfFMR=fSW(k=0) = 3.113 GHz. The dashed lines correspond to the magnetoelastic resonance (MER) frequency fMER, \ndetermined by the crossover of the dispersion dependencies of noninteracting AW and SW. The difference fMER - fFMR ≈ \n≈ 30 MHz is due to the exc hange interaction. Being two orders of magnitude lower than the FMR frequency, this \n difference turns out to be significant, since it exceeds by an order of magnitude the frequency difference of two adjacent \nHBAR resonances fm+1 – fm ~ 3 MHz. \n \nFig. 2 . Frequency dependencies at fixed magnetic field H0 = 740 Oe of normalized dc voltages UISHE on Pt stripe for structures with YIG thicknesses: \ns = 31 μm (a), s = 1.5 μm (b), s = 0.5 μm (c), and s = 0.25 μm (d). Dashed line - frequency of magnetoelastic resonance ( fMER(H0)), dashed -dotted -- \nfrequency of ferromagnetic ( fFMR(H0)) resonances. \nThe ISHE voltage frequency dependence has the form of narrow re sonances corresponding to AW resonances fm in the \nwhole HBAR structure. The examples of such correspondence are shown in [19,20]. For every thickness s, one of the \nlocal maxima of the UISHE (f) envelope is localized at the frequency f0 ≈ fFMR as one can s ee from Fig.2. Let’s call \nUISHE (f0, s) the main maximum at the main frequency. \nIn Fig. 3, the dashed -dotted line represents the dependence of UISHE (f0, s) versus YIG thickness. With the increase of s \nfrom several nanometers the va lue of UISHE (f0, s) increases approximately as s2 and reaches a maximum for the \nthickness about s0 ~140 nm. With the further increase of s, UISHE (f0,s) decreases, oscillating with the period ~ 0.65 µm, \nwhich corresponds to the AW half-length in YIG at frequency f0. \nAs ca n be seen from Fig.2b -2d apart the main frequency there are additional frequency ranges of ADSW excitation \nlocalized at frequencies fn > f0 (n = 1, 2, 3, ...), dependent on the YIG thickness s. It can be seen that the frequencies of \nthe SW resonances (SWR) fSW(k= kn= πn/s) (marked with dots on the insertion in Fig.3), and the frequencies fn \napproximately coincide each other \nfn (s) ≈ fSW(k= kn= πn/s). (6) \nNote that all SW modes with the frequencies fn>fMER have wave numbers exceeding the wave number of AW at that \nfrequency. For example, the SWRs with n>3 in the inset have k > 0.5·107 m-1. \n The thickness dependences of maxima of UISHE(fn,s) created by SWRs are shown in Fig. 3 by the curves 1-6. The curve \nnumbers correspond to the mode numbers in Fig.2. As one can see, the UISHE(fn,s) values may exceed the voltage at the \nmain frequency UISHE(f0,s). The maximum voltage of each mode is presented in Fig.3 only for those s when the mode is \nclearly identifiable and not merged with other modes. For example, in Fig. 2 b the mode n =1 is merged with the main \nmode , the modes n = 2 and n = 3 are also merged together. With the decrease of s, the modes with lower n became \nclearly resolved (see Fig . 2c,2d) and the corres ponding UISHE(fn,s) increases. At the thickness about s1≈208 nm, for the \nSWR mode with n=1, the value UISHE reaches its absolute maximum. \n \nFig. 3 . Thickness dependencies at fixed magnetic field H0 = 740 Oe of the main maximum UISHE(f0,s) (dashed -doted curve) and UISHE(fn,s) (curves 1 -\n6). On the insertion: sol id curves - obtained from Eqs. (3) and (4) frequency dependencies Re k(f); horizontal dotted lines - SWR wave numbers kn= \nπn/s for s = 1.5 μm and n =1-6; vertical dashed and dashed -dotted lines - fMER(H0) and fFMR(H0) as in Fig.2. \nIt is interesting to note that in contrast to the excitation of SWRs with microwave uniform magnetic field in our case the \neffective magnetic field is created by the elastic strain of AW and significantly non -uniform in the film thickness. As \na result, the conditions are create d for the excitation of both even and odd SWR modes. Nevertheless, at some s values , \nthe excitation of either even or odd modes takes place . \n So SWR modes manifest themselves only in a certain range of thicknesses of YIG films, which in turn depends on the \nmaterial parameters of YIG. The thickness decrease leads to the increase of frequency fn and it s distance from MER. As \none can see from Fig.3 the lower thickness value for ASDW resonances with n ≥ 1 is about 140 nm. \nNote, that in our calculations we intentionally do not consider the dependency of material parameters, for example , the \nmagnetic damping, on YIG thickness. So, the thickness influence on UISHE is entirely determined by the method of the \nSW excitation. Taking the additional magnetic damping due to the spin pumping which depends on thickness [26] into \naccount leads to a steeper curve UISHE (f0,s) for the small s< 200 nm [22]. \nConlusion \nThe carried out studies show the strong influence of magnetoelastic film thickness on acoustic spin pumpin g in HBAR \nwith ZnO -GGG -YIG/Pt structure. \n Due to the inhomogeneous character of the exciting effective magnetic field of an elastic origin, higher SWR modes \n(both even and odd) can be generated with an efficiency comparable and even exceeding that of the main mode. The \nabsolute maximum of UISHE(f1, s1) is located at the frequency of the first SWR mode and at the thickness s1≈208 nm. \nSupposing that the magnitude of the normalization voltage UISHE(f0, 31 μm) ~ 4 μV, which was observed in the \nexperiment [18] it is expected that for the films with the optimal thickness s ~ s 1 and for the same applied power the \nUISHE(f1, s1) value will be about hundreds of microvolts. \nAcknowledgments \nThis work was carried out within the framework of the state task and partially was supported by grant 17 -07-01498 \nfrom the Russian Foundation for Basic Research. \nReferences \n1. A. V. Chumak, A. A. Serga a nd B Hillebrands, J. Phys. D: Appl. Phys. 50, 244001 (2017) . \n2. A A Bukharaev, A K Zvezdin, A P Pyatakov, Y K Fetisov , Physics - Uspekhi 61, 1175 (2018) . \n3. P. Delsing, A. N. Cleland, M. J. A. Schuetz , et al., J. Phys. D: Appl. Phys. 52, 353001 (2019) . \n4. K. Hari i, Y.-J. Seo, Y. Tsutsumi, et al, Nature Commun. 10, Article No.: 2616, (2019) . \n5. R.G. Kryshtal, A.V. Medved Ultrasonics, 94, 60, (2019) . \n6. K. Uchida, T. An, Y. Kajiwara, M. Toda, E. Saitoh, Appl. Phys. Lett. 99, 212501 (2011) . \n7. . Weiler, H. Huebl, F. S. Goer g, et al., Phys. Rev. Lett. 108, 176601 (2012) . \n8. D. Labanowski, A. Jung, and S. Salahuddin, Appl. Phys. Lett. 111, 102904 (2017) . \n9. A. Kamra, H. Keshtgar, P. Yan, G. E. W. Bauer, Phys.Rev. B, 91, 104409 (2015) . \n10. N. I. Polzikova and G. D. Mansfeld, in Proceedi ngs of the 1998 IEEE Ultrasonic Symp. ,Sendai, Miyagi, Japan, \nOct. 5 -8, 1998 (IEEE, New York, 1998) , Vol.1. p. 967. \n11. N. Polzikova, S. Alekseev, I. Kotelyanskii, et al., J. Appl. Phys. 113, 17C704 (2013). \nhttps://doi.org/10.1063/1.4793774 \n12. N. I. Polzikova, A. O. Raevskii, A. S. Goremykina, J. Commun. Technol. Electron., 58, 87 (2013) . \nhttps://doi.org/10.1134/S1064226912120066 \n13. R. E. Camley , J. Appl. P hys. 50, 5272 (1979). \n14. A.M .Mednikov., A.F. Popkov, V.I. Anisimkin et al., JETP Lett., 33, 632 (1981) . \n15. Y.V. Gulyaev , P.E. Zilberman , G.T. Kazakov et al. , JETP Lett. , 34, 500 (1981) . \n16. A.N. Litvinenko , A.V. Sadovnikov , V.V. Tikhonov , S.A. Nikitov, IEEE Mag netics Lett., 6, 7303930 (2015) . \n17. N.I. Polzikova, S.G. Al ekseev, I.I. Pyataikin, et al., AIP Advances 6, 056306 (2016) . \n18. N.I. Polzikova, S.G. Alekseev, I.I. Pyataikin, et al., AIP Advances 8, 056128 (2018) . \nhttps://doi.org/10.1063/1.5007685 \n19. N. I. Polzikova, S. G. Alekseev, V. A. Luzanov, A. O. Raevskiy, J. Mag. Mag. Mat. 479, 38 (2019) . \nhttps://doi.org/10.1016/j.jmmm.2019.02.007 \n20. N.I.Polzikova, S.U.Al ekseev, V.A.Luzanov, A.O.Raevskiy, Phys. Solid State, 60, 2211 (2018). \n https://doi.org/10.1134/S1063783418110252 \n 21. H. Nakayama, K. Ando, K. Harii, et al., Phys. Rev. B, 85, 144408 (201 2) \n22. M. B. Jungfleisch, A. V. Chumak, A. Kehlberger, et al., Phys. Rev. B 91, 134407 (2015) \n23. M. Balinsky, M. Ranjbar, M. Haidar, et al, IEEE Magnetics Lett., 6, 3000604 (2015) . \n24. In the expe riment the excitation of shear bulk AW is performed by ZnO film with definite inclination of \npiezoelectric c-axis according film surface normal. The fabrication technology of such films is represented in [25]. \n25. V. A. Luzanov, S. G. Alekseev, N. I. Polzikova, J. Commun. Technol. Electron., 63, 1076 (2018). \n https:// doi.org/10.1134 /S1064226918090127 \n26. Y. Tserkovnyak, A. Brataas, G. E.W. Bauer, Phys. Rev. Lett., 88, 117601 (2002). \n27. E. Saitoh, M. Ueda, H. Miyajima, G. Tatara, Appl. Phys. Lett., 88, 182509 (2006). \n28. H.F.Tiersten, J. Appl. Phys., 36, 2250 (1965) . \n29. W.Strauss, in W.P. Mason (Ed.), Physical Acoustics, Vol. IV(B), Academic Press, New York, 1968, pp. 211 -268. \n30. C. Kittel . Phys . Rev. 110, 836 (1958). \n31. For (111) YIG films orientation, as in Ref. 17, 18, the coupling constant is determined by a linear combination of \ntwo cubic constants b2 and b1 [30] with coefficients depending on the field direction in the (111) plane [29]. \n " }, { "title": "1711.00237v2.Spin_current_noise_of_the_spin_Seebeck_effect_and_spin_pumping.pdf", "content": "Spin current noise of the spin Seebeck e\u000bect and spin pumping\nM. Matsuo1;2, Y. Ohnuma2, T. Kato3and S. Maekawa2\n1Advanced Institute for Materials Research, Tohoku University, Sendai, 980-8577, Japan.\n2Advanced Science Research Center, Japan Atomic Energy Agency, Tokai 319-1195, Japan.\n3Institute for Solid State Physics, University of Tokyo, Kashiwa, 277-8581, Japan.\n(Dated: December 5, 2017)\nWe theoretically investigate the \ructuation of a pure spin current induced by the spin Seebeck\ne\u000bect and spin pumping in a normal metal (NM)/ferromagnet (FM) bilayer system. Starting with\na simple FI{NM interface model with both spin-conserving and spin-non-conserving processes, we\nderive general expressions of the spin current and the spin-current noise at the interface within\nsecond-order perturbation of the FI{NM coupling strength, and estimate them for an yttrium iron\ngarnet (YIG) {platinum interface. We show that the spin-current noise can be used to determine\nthe e\u000bective spin carried by a magnon modi\fed by the spin-non-conserving process at the interface.\nIn addition, we show that it provides information on the e\u000bective spin of a magnon, heating at the\ninterface under spin pumping, and spin Hall angle of the NM.\nPACS numbers: 72.20.Pa, 72.25.-b, 85.75.-d\nIntroduction.| In mesoscopic physics, it is well\nknown that measurement of electrical current noise\nthrough a device provides useful information about elec-\ntron transport [1, 2]. Equilibrium noise or Johnson{\nNyquist noise [3, 4] is related to e\u000bective electron tem-\nperatures in a device according to the \ructuation{\ndissipation theorem [5{8]. Nonequilibrium current noise\nunder a high voltage bias, for example, shot noise [9] can\nbe used for determining the e\u000bective charge of a quasi-\nparticle [10{15], direct demonstration of Fermi statistics\nof electrons [16{21], and evaluating nonequilibrium spin\naccumulation [22, 23].\nAs expected from fruitful physics of the current noise,\n\ructuation of the pure spin current, that is, spin-current\nnoise has a potential to provide important information\non spin transport in a spintronics device. Spin-current\nnoise has been measured by converting it into the volt-\nage noise induced by the inverse spin Hall e\u000bect, and has\nbeen used to obtain information about spin transport\nwithin the \ructuation dissipation relation regime [24].\nRecently, spin-current noise of spin pumping as well as\nequilibrium noise has been studied theoretically [25, 26].\nSpin-current noise of spin Seebeck e\u000bect has been dis-\ncussed for one-dimensional spin chains [27]. These works\nemploy simple microscopic models with spin-conserving\nexchange interactions, and put a special emphasis on ex-\notic properties characteristic of speci\fc systems. Spin-\ncurrent noise has, however, not been utilized so far to\naccess microscopic information, which addresses the im-\nportant problems in the \feld of spintronics such as sep-\naration of a spin current according to the driving mech-\nanism.\nIn the \feld of spintronics, the spin current through\nthe interface between a normal metal (NM) and a fer-\nromagnet insulator (FI) is a central issue in many ex-\nperiments [28]. For example, spin current \rows through\nthe interface according to the spin Seebeck e\u000bect in the\npresence of a temperature di\u000berence between NM and\nFIG. 1. (Color online) Two mechanisms for spin-current gen-\neration at the interface between a normal metal and a ferro-\nmagnetic insulator. (a) Spin Seebeck e\u000bect driven by temper-\nature biases ( TN 6=TF) and (b) spin pumping generated by\nferromagnetic resonance (i.e., irradiation with microwaves).\nFI [29{34] (see Fig. 1 (a)). Moreover, spin current is\nproduced by ferromagnetic resonance (FMR), which is\nachieved by irradiating FI with microwaves [35{39] (see\nFig. 1 (b)). The generation of spin current in these two\nsetups is important in many spintronics applications us-\ning metallic materials. Therefore, whether new informa-\ntion is obtained by measuring the spin-current noise in\nan NM{FI bilayer system is a fundamental question.\nIn this study, we theoretically investigate the spin-\ncurrent noise at the FI{NM interface, and show that\nspin-current noise provides useful information about spinarXiv:1711.00237v2 [cond-mat.mes-hall] 4 Dec 20172\nNormal metalFerromagnetic Insulator\n(a)(b)\nFIG. 2. (Color online) Two types of spin conversion at inter-\nface. (a) The spin{conserving process is described by H1, and\n(b) the spin{non-conserving process is described by H2.\ntransport. Starting with a microscopic model of the\nFI{NM interface, we derive general expressions for spin\ncurrent and spin-current noise within the framework of\nKeldysh Green's function [40], and estimate them for an\nyttrium iron garnet (YIG){platinum interface. At su\u000e-\nciently low temperatures, the spin-current noise becomes\nindependent of the temperature (spin shot noise), and in-\ncludes information about an e\u000bective magnon spin deter-\nmined by the ratio of the spin-conserving process to the\nspin-non-conserving process. In addition, we show that\nmeasurement of the spin current noise provides useful in-\nformation about the heating e\u000bect under spin pumping\nand the spin Hall angle of the NM.\nModel.| Consider spin transport in a bilayer system,\nwhere a NM and a ferromagnet (FM) interact through\ns-dexchange at the interface (see Fig. 1). The NM is de-\nscribed by non-interacting conduction electrons, whereas\nthe FI is by the Heisenberg model with Zeeman energy\nHZ=P\niSz\ni\rh0, whereSirepresent the localized spin in\nthe FM,\ris the gyromagnetic ratio, and h0denotes an\nexternal magnetic \feld. The interface is modeled using\nthe Hamiltonian, (see Supplemental Material for details)\nH=H1+H2:\nH1=X\ni(Jz\n1\u001bz\niSz+J1\u001b+\niS\u0000\ni+J\u0003\n1\u001b\u0000\niS+\ni); (1)\nH2=X\ni(J2\u001b+\niS+\ni+J\u0003\n2\u001b\u0000\niS\u0000\ni); (2)\nwhere\u001birepresents the conduction electron spin in the\nNM. In addition to the interfacial exchange interaction\nH1, which conserves the spin angular momentum, we con-\nsider the spin non-conserving interaction described by\nH2(see ). Figure 2 (a) and (b) indicate the processes\ndescribed by H1andH2, respectively. The present in-\nterface model can be derived generally by assuming the\npresence of anisotropic exchange interaction or magnetic\ndipole-dipole interaction.Spin current.| The spin current generated at the in-\nterface can be calculated as the rate of change of con-\nduction electron spin in the NM, h^ISi:=~P\nih@t\u001bz\nii,\nwhere ^IS:=~P\ni@t\u001bz\niis a spin current operator and\nh\u0001\u0001\u0001i := Tr[^\u001a\u0001\u0001\u0001] denotes the statistical average with\nthe density matrix ^ \u001a. The spin current operator is ex-\npressed by a sum of the components: ^IS=P2\na=1^Ia\nS,\nwhere ^Ia\nS:= (i~)\u00001P\ni[\u001bz\ni;Ha]. (S1\ni;S2\ni) := (S\u0000\ni;S+\ni).\nThe second-order perturbation with respect to the in-\nterfacial interactions and the spin wave approximation\nat the lowest order of 1 =Sexpansion yield the following\nexpression (see Supplemental Material for details):\nh^Ia\nSi= 2AaZ\nqk!Im\u001fR\nq!ImGR;a\nk!\u000efneq;a\nrtkq!; (3)\nwhereAa= 4Na\nintJa2=~,\u001fRis the spin susceptibility, GR\nis the retarded Green's function for localized spin, and\na random average is taken over the impurity positions\nat the interface. The nonequilibrium distribution di\u000ber-\nence between PM and FI is de\fned as \u000efneq;a\nrtkq!=fN\nrtq!\u0000\nfF;a\nrtk![41, 42], and the relations Im \u001fR\nq;\u0000!=\u0000Im\u001fR\nq!are\nused. Formula (3) is regarded as a counterpart of the\nwell-known formula for tunnel junctions, which are de-\nscribed by combinations of densities of states and the\ndi\u000berence in Fermi distribution functions between two\nnormal metals [43, 44].\nSpin-current noise.| We introduce the spin-current\nnoise as follows:\nSt1t2=1\n2hf^IS(t1);^IS(t2)gi; (4)\nwheref^IS(t1);^IS(t2)g=^IS(t1)^IS(t2) + ^IS(t2)^IS(t1).\nIn addition, we introduce the dc-limit of noise\npowerS :=S(! = 0), where S(!) :=\nT\u00001RT\n0dt1RT\n0dt2ei!(t1\u0000t2)St1t2. The noise power\nconsists of equilibrium and nonequilibrium parts as\nStot=Seq+Sneq, and the second-order perturbation\nwith respect to the interfacial interactions yields the\nfollowing expression:\nSeq= 2~(A1+A2)Z\nkq!Im\u001fR\nq!ImGR\nk!fN\n!(1 +fN\n!); (5)\nSneq=~(A1+A2)Z\nkq!Im\u001fR\nq!ImGR\nk!(1 + 2fN\n!)\u000efneq\nrtkq!:(6)\nHere, we have abbreviated fN\nrtq!asfN\n!, and\u000efneq\nrtkq!=\nfN\nrtq!\u0000fF\nrtk!withfF\nrtk!:=G<;1\nkrt!=2iImGR;1\nk!. We note\nthatSeqis independent of the nonequilibrium distribu-\ntion di\u000berence, and is determined purely by the distribu-\ntion functions in thermal equilibrium.\nSpin Seebeck e\u000bect.| Regarding the spin Seebeck ef-\nfect, the distribution di\u000berence \u000efneq\nrtkq!is caused by the\ntemperature bias at the interface as fN\nrtq!=f0\n!(TN) and\nfF;1\nrtk!=f0\n!(TF),fF;2\nrtk;\u0000!=f0\n\u0000!(TF), and ImGR;2\nk!=3\n\u0000ImGR\nk;\u0000!withf0\n!(T) = (e~!=kBT\u00001)\u00001. By substi-\ntuting these distribution functions into Eqs. (3) and (6),\nwe obtain the spin{Seebeck current ISSE\nSand the spin{\nSeebeck noiseSSSE:\nISSE\nS= (A1\u0000A2)Z\nqk!Im\u001fR\nq!ImGR\nk!\u000efSSE\nk!; (7)\nSSSE=~(A1+A2)Z\nkq!Im\u001fR\nq!ImGR\nk!(1 + 2fN\n!)\u000efSSE\nk!;(8)\nwhere\u000efSSE\nk!=@f0\n!\n@T\u0001Tand \u0001T=TN\u0000TF.\nSpin pumping.| Next, we consider spin-current\nnoise in the case of spin pumping. Here heating at the\ninterface by using microwave irradiation is neglected for\nsimplicity. The nonequilibrium source used to gener-\nate the spin current is the ferromagnetic resonance in\nthe FM, and it is described by the Hamiltonian Hac=\n~\rhac\n2(S+ei\nt+S\u0000e\u0000i\nt), wherehacand \n are the am-\nplitude and frequency of the microwaves, respectively.\nSubstituting fN\nq!\u0000fF;1\nk!=\u000efSP\nk!(\n) andfN\nq!\u0000fF;2\nk!=\n\u000efSP\nk!(\u0000\n), where\u000efSP\nk!(\n) = 2S0(\rhac=2)2NF\u000ek0\u0019\u000e(!\u0000\n\n)=\u000b!, into Eqs. (3) and (6), we obtain the following\nexpressions:\nISP\nS= (A1\u0000A2)g(\n)Z\nqIm\u001fR\nq\n; (9)\nSSP=~(A1+A2)g(\n) coth\u0010~\n2kBTN\u0011Z\nqIm\u001fR\nq\n;(10)\nwhereg(\n) denotes the spectrum of ferromagnetic reso-\nnance given by\ng(\n) = 2S2\n0\u0010\rhac\n2\u00112 1\n(\n\u0000!0)2+\u000b2\n2: (11)\nTemperature dependence.| The spin Seebeck e\u000bect\nand spin pumping di\u000ber in terms of the nonequilibrium\ndistribution di\u000berence \u000efneq\nrtkq!. To elucidate the di\u000ber-\nence between the two mechanisms of spin current gener-\nation, we estimated spin currents and spin-current noises\nfor a realistic situation by using the parameters of the\nYIG/Pt system in Ref. 38 as the spin di\u000busion time of Pt\n\u001cPt\nsf= 0:3 ps, Gilbert damping constant \u000b= 6:7\u000210\u00005,\nspin sizeS0= 16, and Curie temperature Tc= 560K. In\naddition, we assumed that the temperature bias at the in-\nterface is \u0001T= 1K. Figure 3 (a) shows the estimated spin\ncurrent as a function of temperature. The plotted spin\ncurrents are normalized by the spin-pumping current at\nT= 0K denoted as I0\nS. While the spin pumping current\nis almost independent of temperature, the spin Seebeck\ne\u000bect increases with temperature. Figure 3 (b) shows the\nspin{current noises estimated using the same parameters.\nThese noises were normalized against the spin pumping\nnoise atT= 0K,S0. In Fig. 3 (b), we show the ther-\nmal noiseSeqby a dashed line. The temperature should\nbe lowered su\u000eciently for accurate measurement of the\nnonequilibrium spin-current noises so that the thermal\nnoise is well suppressed.\nFIG. 3. (Color online) Temperature dependence of (a) spin\ncurrents, (b) nonequilibrium spin-current shot noises, and (c)\ntheir ratios. The solid and dot-dashed lines indicate the result\nof the spin Seebeck e\u000bect for the temperature bias \u0001 T=1K\nand the spin pumping, respectively. In \fgure (b), thermal\nnoise is denoted by the dashed line.\nE\u000bective spin and statistics of magnons.| The ra-\ntio between the spin{current noise and the spin current,\nS=IS, is calculated for spin Seebeck e\u000bect and spin pump-\ning as\nFSSE\nS\u0011SSSE\nISSE\nS=~\u0003R\nkq!Im\u001fR\nq!ImGR\nk!(1+2fN\n!)@f0\n!\n@TR\nkq!Im\u001fRq!ImGR\nk!@f0!\n@T;(12)\nFSP\nS\u0011SSP\nISP\nS=~\u0003coth\u0010~\n2kBTN\u0011\n; (13)4\nrespectively, where\n~\u0003=~A1+A2\nA1\u0000A2: (14)\nFigure 3 (c) shows the temperature dependence of these\nratios determined using the parameters estimated in the\nprevious paragraph. At low temperatures, the ratio ap-\nproaches a constant value ~\u0003for both spin Seebeck ef-\nfect and spin pumping, which is interpreted as the e\u000bec-\ntive spin carried by a magnon in analogy to the e\u000bec-\ntive charge of quasi-particles in current noise measure-\nment [25, 26]. The e\u000bective magnon spin ~\u0003is now deter-\nmined by the ratio of the strengths of the spin{conserving\nprocess (A1) and the spin{non-conserving process ( A2),\nand is enhanced from ~in general. This enhancement\nof the e\u000bective magnon spin originates from the mixture\nof two exchange processes at the interface (see Supple-\nmentary Material for details). At high temperatures,\nthe ratio becomes proportional to the temperature for\nboth mechanisms of spin-current generation. This re-\nsult originates from the factor (1 + 2 fN\n!) in Eq. (6),\nwhich represents a characteristic of the boson statistics\nof magnons [27].\nHeating by microwave irradiation.| To describe spin\npumping in a realistic situation, heating at the interface\nby microwave irradiation should be considered. Let us\nconsider a spin pumping experiment, where the measured\nspin current Itot\nSconsists of the spin current due to spin\npumpingISP\nSand that due to heating ISSE\nSasItot\nS=\nISP\nS+ISSE\nS. Similarly, the measured spin-current noise\nis given byStot=Seq+SSP+SSSE. Our aim here is\nto identify ISP\nSandISSE\nSby measuring the spin current\nItot\nS, spin-current noise Stot, and thermal noise Seq, to\ndetermine the temperature bias due to the heating \u0001 T,\nwhich cannot be measured directly. The spin currents\nISP\nSandISSE\nScan be rewritten by\n\u0012\nISP\nS\nISSE\nS\u0013\n=\u0012\n1=FSP\nS1=FSSE\nS\n1 1\u0013\u00001\u0012Itot\nS\nStot\u0000Seq\u0013\n:(15)\nBy comparing Eq. (15) with Eq. (7), we obtain the\ntemperature bias due to the microwave irradiation at the\ninterface \u0001 Tas\n\u0001T=(FSP\nS\u0000FSSE\nS)\u00001(Stot\u0000Seq+FSP\nSItot\nS)\n(A1\u0000A2)R\nqk!Im\u001fRq!ImGR\nk!@f0!\n@T:(16)\nThus, the heating e\u000bect at the interface can be discussed\nby using the nonequilibrium spin-current noises.\nSpin Hall angle.| If the spin{non-conserving process\ncan be neglected ( A2= 0), the ratioS=ISbecomes a\nuniversal value ~, re\recting the magnetization carried by\none magnon. For such a case, we can utilize the universal\nvalue ofS=ISas a standard for determining the conver-\nsion coe\u000ecient between the spin current and the inverse\nspin Hall current of the NM, that is, the spin Hall angle.\nThe inverse spin Hall current induced by the spin currentISat the interface is expressed as IISHE\nC =\u0012\u00001\nSHISwith the\nspin Hall angle \u0012SH. Then, the inverse spin Hall current\nnoise,SISHE\nC, is written asSISHE\nC =\u0012\u00002\nSHS. By combin-\ning these relationships, the spin Hall angle is written as\nfollows:\n\u0012SH=S=IS\nSISHE\nC=IISHE\nC: (17)\nIf the value ofS=ISis known in advance, the spin Hall\nangle\u0012SHis determined by measuring SISHE\nC=IISHE\nC.\nConclusion.| In this study, we have investigated a\nspin-current noise at a FI{NM interface based on Keldysh\nGreen's function. Using a general microscopic model,\nwe have derived expressions for the spin current and the\nspin-current noise through the interface. The tempera-\nture dependence of both the spin Seebeck e\u000bect and spin\npumping has been estimated using realistic experimen-\ntal parameters for a YIG/Pt system. The spin{current\nnoise contains useful information about spin transport.\nWe have demonstrated that simultaneous measurement\nof the spin current and the spin-current noise provides\nimportant information on e\u000bective magnon spin, heat-\ning e\u000bect under spin pumping, and the spin Hall angle\nof NMs. Detailed analysis of the temperature depen-\ndence of the spin-current noise will be presented else-\nwhere. We hope that the present calculation serves as a\nbridge between two well-established research areas, meso-\nscopic physics and spintronic physics.\nAcknowledgements.| The authors are grateful to\nS. Takei, Y. Niimi, K. Kobayashi, and T. Arakawa\nfor useful discussions and comments. This work is \f-\nnancially supported by ERATO-JST (JPMJER1402),\nand KAKENHI (Nos. 26103006, JP15K05153,\nJP15K05124, JP26220711, JP16H04023, JP26247063,\nand JP17H02927) from MEXT, Japan.\n[1] Ya. M. Blanter and M. B uttiker, Phys. Rep., 336, 1\n(2000).\n[2] T. Martin, Noise in mesoscopic physics , in Nanophysics:\nCoherence and Transport, H. Bouchiat et al., eds. (Else-\nvier, Amsterdam, 2005); arXiv:cond-mat/0501208.\n[3] J. B. Johnson, Phys. Rev. 32, 97 (1928).\n[4] H. Nyquist, Phys. Rev. 32, 110 (1928).\n[5] L. Onsager, Phys. Rev. 38, 2265 (1931).\n[6] H. B. G. Casimir, Rev. Mod. Phys. 17, 343 (1945).\n[7] M. S. Green, J. Chem. Phys. 22, 398 (1954).\n[8] R. Kubo, J. Phys. Soc. Jpn. 12, 570 (1957).\n[9] W. Schottky, Ann. Phys. (Leipzig) 57, 541 (1918).\n[10] R. de Picciotto, M. Reznikov, M. Heiblum, V. Umansky,\nG. Bunin, and D. Mahalu, Nature (London) 389, 162\n(1997).\n[11] L. Saminadayar, D. C. Glattli, Y. Gin, and B. Etienne,\nPhys. Rev. Lett. 79, 2526 (1997).\n[12] X. Jehl, M. Sanquer, R. Calemczuk, and D. Mailly, Na-\nture (London) 405, 50 (2000).5\n[13] A. A. Kozhevnikov, R.J. Schoelkopf, and D. E. Prober,\nPhys. Rev. Lett. 84, 3398 (2000).\n[14] O. Zarchin, M. Zaalon, M. Heiblum, D. Mahalu, and V.\nUmansky, Phys. Rev. B 77, 241303 (2008).\n[15] M. Ferrier, T. Arakawa, T. Hata, R. Fujiwara, R. Dela-\ngrange, R. Weil, R. Deblock, R. Sakano, A. Oguri, and\nK. Kobayashi, Nature Physics 12, 230235 (2016).\n[16] M. I. Reznikov et al., Phys. Rev. Lett. 75, 3340 (1995).\n[17] A. Kumar et al., Phys. Rev. Lett. 76, 2778 (1996).\n[18] M. B uttiker, Phys. Rev. Lett. 65, 2901 (1990).\n[19] R. Landauer and Th. Martin, Physica B 175, 167 (1991).\n[20] M. B uttiker, Phys. Rev. B 46, 12485 (1992).\n[21] Th. Martin and R. Landauer, Phys. Rev. B 45, 1742\n(1992).\n[22] T. Arakawa, J. Shiogai, M. Ciorga, M. Utz, D. Schuh, M.\nKohda, J. Nitta, D. Bougeard, D. Weiss, T. Ono, and K.\nKobayashi, Phys. Rev. Lett. 114, 016601 (2015).\n[23] S. Iwakiri, Y. Niimi, and Kensuke Kobayashi, App. Phys.\nExpress 10, 053001 (2017)\n[24] A. Kamra, F. P. Witek, S. Meyer, H. Huebl, S. Gepr ags,\nR. Gross, G. E. W. Bauer, and S. T. B. Goennenwein,\nPhys. Rev. B, 90, 214419 (2014).\n[25] A. Kamra and W. Belzig, Phys. Rev. Lett. 116, 146601\n(2016).\n[26] A. Kamra and W. Belzig, Phys. Rev. B 94, 014419\n(2016).\n[27] J. Aftergood and S. Takei, arXiv:1706.09030.\n[28] S. Maekawa, S. Valenzuela, E. Saitoh, and T. Kimura ed.,\nSpin Current (Oxford University Press, Oxford, 2012).\n[29] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature (London)\n455, 778 (2008).\n[30] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom,\nJ. P. Heremans, and R. C. Myers, Nature Mater. 9, 898\n(2010).\n[31] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J.\nIeda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai, G.\nE. W. Bauer, S. Maekawa, and E. Saitoh, Nature Mater.\n9, 894 (2010).[32] J. Xiao, G. E. W. Bauer, K. Uchida, E. Saitoh, and S.\nMaekawa, Phys. Rev. B, 81, 214418 (2010).\n[33] H. Adachi, J. Ohe, S. Takahashi, and S. Maekawa, Phys.\nRev. B, 83, 094410 (2011).\n[34] Y. Ohnuma, M. Matsuo, and S. Maekawa, Phys. Rev. B,\n96, 134412 (2017).\n[35] Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys.\nRev. Lett., 88, 117601 (2002).\n[36] J. K onig and J. Martinek, Phys. Rev. Lett., 90, 166602\n(2003).\n[37] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara Appl.\nPhys. Lett., 88, 182509 (2006).\n[38] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando, K.\nTakanashi, S. Maekawa, and E. Saitoh, Nature (London)\n464, 262 (2010).\n[39] Y. Ohnuma, H. Adachi, E. Saitoh, S. Maekawa, Phys.\nRev. B, 89, 174417 (2014).\n[40] H. J. W. Haug and A. -P. Jauho, Quantum Kinetics\nin Transport and Optics of Semiconductors (Springer-\nVerlag, Berlin, 1996).\n[41] In previous literatures (e.g. Ref. 42), the nonequilibrium\ndistribution function is described by e\u000bective parameters\nsuch as a magnon chemical potential. In the present cal-\nculation, we explicitly treat the nonequilibrium distribu-\ntion funcion without using e\u000bective parameters.\n[42] C. Du, T. van der Sar, T. X. Zhou, P. Upadhyaya, F.\nCasola, H. Zhang, M. C. Onbasli, C. A. Ross, R. L.\nWalsworth, Y. Tserkovnyak, A. Yacoby, Science 357,\n195198 (2017).\n[43] G.-L. Ingold and Y. V. Nazarov, Charge tunneling rates\nin ultrasmall junctions , in H. Grabert and M. H. Devoret\ned. , Single Charge Tunneling - Coulomb Blockade Phe-\nnomena in Nanostructures , chap. 2, p.21-107 (Plenum\nPress and NATO Scienti\fc A\u000bairs Division, New York\nand London, 1992).\n[44] H. Bruus and K. Flensberg, Many-body Quantum Theory\nin Condensed Matter Physics (Oxford University Press,\nNew York, 2004)." }, { "title": "1705.00773v1.Magnetic_Field_Free_Giant_Magnetoresistance_in_a_Proximity__and_Gate_Induced_Graphene_Spin_Valve.pdf", "content": "arXiv:1705.00773v1 [cond-mat.mes-hall] 2 May 2017Magnetic Field-Free Giant Magnetoresistance in a Proximit y- and\nGate-Induced Graphene Spin Valve\nYu Song1,2,∗\n1Microsystem and Terahertz Research Center, China Academy o f Engineering Physics, Chengdu 610200, P.R. China\n2Institute of Electronic Engineering, China Academy of Engi neering Physics, Mianyang 621999, P.R. China\n(Dated: October 7, 2018)\nDuetoits twodimensional nature, ferromagnetism andcharg e dopingcan beinducedbyproximity\nand electric field effects in graphene. Taking advantage of th ese features, we propose an electrically\nengineered spin valve by combining two magnetic insulators (using EuO, EuS, or YIG) and three\ncoating gates. Two top gates are used to cancel the heavy elec tron doping’s in these magnets and\none back gate is used to utilize the normal or half-metallic f erromagnetisms. We demonstrate that,\nwhen the second top gate is tuned to utilize the insulating or spin insulating states, huge giant\nmagnetoresistance (GMR) at high temperature (several time s of 105% at 68K and 100K) can be\nachieved for EuO and YIG. These results imply a distinguishe d GMR that is magnetism tunable,\nvertical configured (ferromagnetism versus insulating), a nd magnetic field-free. Our work may offer\na viable path to a tantalizing magnetic field-free spintroni cs.\nGraphene, although a diamagnetic material, is highly\npromising for spintronics. This is because it supports\nnot only long diffusion lengths and long spin lifetimes at\nroom temperature, but also magnetic moments induced\nby various methods [1]. Introduction of vacancy defects,\ndoping with molecules or elements with high spin-orbital\ncoupling, and tailoring as zig-zag edged nanoribbons can\ninduce ferromagnetism in graphene [1, 2].\nAmong the proposed methods, graphenecoupling with\nnearby magnetic insulators are the most intriguing way\n[2]. Theoretically, EuO, EuS, and yttrium iron gar-\nnet Y3Fe5O12(YIG) have been predicted to induce fer-\nromagnetism with heavy electron doping in graphene\nthrough proximity effect [3–5]. Nontrivial effects, such\nas simultaneous spin filter and spin valve effect [6], pure\ncrossed Andreev reflection [7], and quantum anomalous\nHall effect [8] have been proposed in graphene-EuO het-\nerostructures. Experimentally, EuO has been integrated\non graphene, in which ferromagnetism with 67K Curie\ntemperature and heavy electron doping was confirmed\n[9, 10]. On the other hand, anomalous Hall effect [11],\nspin-current convention [12], spin transport [13], and chi-\nral charge pumping [14] have been demonstrated as a\nprobe of the ferromagnetism in a graphene/YIG het-\nerostructure. Similarly, Zeeman spin Hall effect [15] was\nexhibited for that in a graphene/EuS heterostructure.\nThese works reveal graphene on EuO, EuS, or YIG as\nemergency 2D ferromagnets.\nIn this work, we explore giant magnetoresistance\n(GMR) applications of these ferromagnets. We propose\na spin valve based on two magnetic insulators and three\ncoating gates. Of them, two top gates are used to cancel\nthe electrondopingthroughthe strongelectricfield effect\n[16, 17], and one back gate is used to utilize a normal or\nhalf-metallic ferromagnetism. We show that, when the\nsecond top gate is changed to make use of the insulating\nor spin insulating states, huge GMR at high tempera-\nture (∼105% at 68 and 100K) can be achieved for EuOforbidden switched by \nback gate conventional spin valves graphene spin valves \nnormal FM opposite FM \nhalf-metallic FM opposite FM normal FM insulating states \nhalf-metallic FM spin insulating switched by top gate switched by magnetic field \nFIG. 1. GMR mechanisms for conventional andgraphene spin\nvalves. In conventional spin valves (the left side), GMR can\nstem from normal [18] or half-metallic [19] ferromagnetism .\nAn anti-parallel (AP) configuration is responsible for the h igh\nresistance states and can be switched from the parallel (P)\nconfiguration by a magnetic field. In graphene spin valves\n(the right side), GMR can be supported by both normal and\nhalf-metallic ferromagnetisms. A vertical (V) configurati on is\nresponsible for the high resistance state, and can be switch ed\nfrom the P configuration by an electric field. The two mag-\nnetisms are also switched by a back gate.\nand YIG. These results imply a magnetic field-free (elec-\ntrically engineered), vertical configured, and magnetism\ntunable GMR, which distinguishes remarkably from the\nconventional one (see Fig. 1). The proposed GMR of-\nfers not only a viable path to the tantalizing magnetic\nfield-free spintronics, but also an evidence for the ferro-\nmagnetism. GMR based on graphene has been widely\nstudied before [3, 12, 20–34]; however, the value is usu-\nally small and a magnetic field is indispensable.\nFigure 2 shows the proximity- and gate-induced spin\nvalve. Two EuO(111), EuS(111), or YIG(111) substrates\nof lengths l1andl2and a distance dare grown on top of2\nxy\nW\nLV1V2\nl2l1\nd\nSubstrate & Back Gate (V) Source Drain \nEuO, \nEuS, \nYIG. \nTop Gates (a) \n(b) \nFIG. 2. The proximity- and gate-induced spin valve. (a)\nGraphene on top of two magnetic insulators contacting with\ntwo top gates. (b) The spin valve formed by the above struc-\nture on a substrate contacting with a back gate.\ntwo ‘top’ gates ( V1andV2). On the substrates an L×W\n[35] graphene film is deposited [9, 11, 15]. The whole\nstructure is then turned over and transferred to a sub-\nstrate contacting with a back gate ( V). The graphene is\nfurther contacted with source and drain electrodes ( U).\nAs shown by first principle calculations [5], all the fer-\nromagnets are heavily electron doped, which limits the\nspintronic application by a low polarization (about 24%\nfor EuO [4]). Hole doping by magnetic insulator such\nas CFO was suggested to overcome this shortcoming [5].\nHere we propose a different way, i.e., by applying top\ngates. Through the strong electric field effect [16, 17],\nthe Dirac points of the ferromagnets can be tuned to co-\nincide with the pristine graphene’s.\nThe Eu-4 f(Fe-3d) states in the EuO and EuS (YIG)\nsubstrates are polarized. They overlap with the C- pz\nstate in graphene and induce the ferromagnetism [4, 5].\nThe predicted energy dispersions of graphene on a six-\nbilayer EuO, EuS, and six-trilayer YIG substrates at\nthe optimized distances [4] are plotted in Fig. 3(a)-(c).\nParabolic and spin resolved dispersions are clearly seen,\nfrom which normal and half-metallic ferromagnetismsfor\nelectron and hole (see lines labeled by ne,handhe), and\ninsulating and spin insulating states ( iandis) can be de-\nfined. For EuS the half-metallic ferromagnetism for hole\nis absent, while for YIG the insulating window is rather\nnarrow. We have proposed to cancel the heavy electron\ndopings by top gates; here we propose to make use of\nthe ferromagnetisms by the back gate. In the left mag-\nnet, both normal and half-metallic ferromagnetisms can\nbe utilized by lifting the Fermi energy into corresponding\nwindows. (Note this is rather hard for the conventional\ncase.) We further propose that, by a top gate difference\n(∆V=V2−V1), the electron ferromagnetisms in the/s45/s49/s48 /s45/s53 /s48 /s53 /s49/s48/s45/s50/s48/s45/s49/s48/s48/s49/s48/s50/s48\n/s45/s49/s48 /s45/s53 /s48 /s53 /s49/s48 /s45/s49/s48 /s45/s53 /s48 /s53 /s49/s48/s68\n/s117/s112/s68\n/s100/s110\n/s104/s32/s69/s45/s69\n/s68/s32/s40/s69\n/s48/s41\n/s113/s32/s40/s108/s45/s49\n/s48/s41/s71/s114/s47/s69/s117/s79 /s71/s114/s47/s69/s117/s83 /s71/s114/s47/s89/s73/s71\n/s69\n/s71/s101/s40/s97/s41\n/s110\n/s101\n/s105\n/s110\n/s104/s104\n/s101\n/s105\n/s115/s110\n/s101\n/s104\n/s101\n/s105\n/s105\n/s115\n/s110\n/s104/s110\n/s101\n/s104\n/s101/s32\n/s113/s32/s40/s108/s45/s49\n/s48/s41/s40/s98/s41\n/s105\n/s105\n/s115\n/s110\n/s104/s32\n/s113/s32/s40/s108/s45/s49\n/s48/s41/s40/s99/s41\nFIG. 3. Energy dispersions around the Dirac points for (a)\nGr/EuO, (b) Gr/EuS, and (c) Gr/YIG; blue solid for spin\nup and red dashed for spin down. In (a) the Dirac gap ( EG)\nand exchange splittings ( δe,h) are labeled, and in (c) the spin\nDirac gaps ( Dup,dn) are labeled for comparison. The electron\nand hole normal ferromagnetisms (lines ne,h), electron half-\nmetallic ferromagnetism (lines he), insulating (lines i), and\nspin insulating (lines is) are labeled.\nright magnet can be switched to opposite (hole) ones,\nand even insulating and spin insulating states. These\nform the basis for the distinguished GMR.\nHeavy electron doping’s ( ED), band gaps opening at\nthe Dirac points ( EG), and exchange splittings ( δeand\nδh) as labeled in Fig. 3(a) are observed as the ferro-\nmagnetisms. Accordingly, dispersions around the Dirac\npoints were described by effective Hamiltonians in a\nsublattice-spin direct produce space [5, 8, 36]. Note,\nin this space wave function should be solved as a four-\ncomponents one even for a single valley [37]. The fer-\nromagnetisms can also be defined by spin up and spin\ndown (s=±1) Dirac cone dopings ( Ds), Dirac gaps\n(∆s), and Fermi velocities ( vs) as labeled in Fig. 3(c).\nThese parameters relate with the above ones by D↑,↓=\nED±δe,h/2 and ∆ ↑,↓=|δe,h|+EG;v↑↓can be fitted\nfrom the original data (see Table I for parameters). In\nthis view, the three magnets can be described by a uni-\nform effective Hamiltonian in a simple sublattice space ,\nHk,s,ξ=I(EDs+Vt)+σzξ∆s+σ·/planckover2pi1vsk,(1)\nwherek= (kx,ky) is the momentum operator, σ=\n(σx,σy) is the pseudospin Pauli matrices, Vi(i= 1,2)\nis the top gate voltages, Iis the identify matrix, and\nξ=±1 for valley KandK′. For pristine and contacted\ngraphene Hk,ξ=IU+σ·/planckover2pi1vFk.\nFor brevity, we express all quantities in dimension-\nless form by means of a characteristic energy E0= 103\n/s45/s49/s48 /s48 /s49/s48 /s50/s48 /s51/s48/s49/s48/s48/s49/s48/s49/s49/s48/s50\n/s45/s49/s48 /s48 /s49/s48 /s50/s48 /s51/s48/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51\n/s45/s49/s48 /s48 /s49/s48 /s50/s48 /s51/s48/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52\n/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53\n/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s54/s49/s48/s57\n/s86/s40/s102/s41\n/s32 /s86/s32/s40/s69\n/s48/s41/s86/s86/s80/s40/s103/s41\n/s80/s80/s80/s80\n/s40/s100/s41/s40/s99/s41 /s40/s98/s41/s32/s82/s32/s40/s82\n/s48/s47/s77/s41/s40/s97/s41\n/s80 /s86 /s65 /s80/s65 /s80\n/s86/s32\n/s65 /s80/s86/s32\nFIG. 4. MR contributed by normal (a-c) and half-metallic (d- f) ferromagnetisms as a function of voltage difference for Eu O,\nEuS, and YIG. V1=EDandEF(V) is listed in Table II. The P, AP, and V configurations are labe led, which are also shown\nin Fig. 3. In (a-c), according to R−1=R−1\n↑+R−1\n↓, the maximal MR happens between the spin Dirac points with a v alue\ndetermined by the smaller spin resistance.\nmeV and corresponding length unit l0=/planckover2pi1vF/E0=\n56.55 nm. The right- and left-going envelope func-\ntions (Φ j) in the contacted, ferromagnetic, and pris-\ntine graphene ( j=c,m,p) can be exactly resolved by\ndecoupling HjΦj=EjΦj. The result reads Φ±\nj=\n[e±ikjx,e±ikjx(±kj+iqj)/Ej]Teiqjy/√\n2, where Ep(c)=\nE(−U),Em= (E−Ds−V+ ∆s)/vs,qp,c,m=\nEesinα,kp,c= sign( Ep,c)/radicalBig\nE2p,c−q2p,c, andkm=\nsign(Em)/radicalbig\nEmE′m−q2mwithE′\nm= (E−Ds−V−∆s)/vs.\nFrom the continuity of envelope functions at the bound-\naries, transfer matrix Mcan be constructed [38, 39] and\nspin-resolved transmission coefficients can be obtained\nasts=M[[2,2]]−1[40]. For T <100K, the e-eande-\nphinelastic scatterings can be ignored [41, 42] and the\nballistic spin-resolved conductance can be given by the\nLandauer-B¨ uttiker formula [43]\nGs(T) =G0/integraldisplay\ndE−df\ndE/integraldisplay|EF|\n−|EF||ts|2(E,q)dq\n2π/W,(2)\nwheref(E,T) = [1 + e(E−EF)/T]−1is the Fermi-Dirac\ndistribution function, and G0= 2e2/his the quan-\ntum conductance (2 accounts for the valley degener-\nacy). The zero-temperature conductance can be rewrit-\nten asGs(0) =MG0/integraltextπ/2\n−π/2Ts(EF,α)cosαdα,where\nM= (|EF|/E0)(W/2πl0)≡MEMWis half of the num-\nber of the transverse modes. The magnetoresistance(MR) isgivenby R= (G↑+G↓)−1(in unit of R0=G−1\n0),\nand the GMR is defined by the ratio between the V and\nP configurations through ( RV−RP)/RP×100%.\nTABLE I. The spin Dirac doping’s, Dirac gaps (both in unit\nofE0=10meV), Fermi velocities (in unit of vF), and Curie\ntemperatures [5] for dispersions in Fig. 3.\nmagnets ED↑∆↑v↑ED↓∆↓v↓Tc\nGr/EuO -132.8 13.4 1.337 -139.4 9.8 1.628 69K\nGr/EuS -128.85 18.3 1.40 -130.5 15 1.60 16.5K\nGr/YIG -80.6 11.6 0.63 -83.75 5.3 0.70 550K\nWe first consider GMR utilizing the normal ferromag-\nnetism. The MR and its spin components ( R↑,↓=G−1\n↑,↓)\nas a function of the top gate difference are plotted in\nFig. 4(a)-(c). As can be seen, the MR is rather low\n(∼R0/M) when a same top gate (∆ V= 0) is applied\non the right magnet (see the arrow labeled by P). This\nis the P configuration, for which both spins transport\nthrough the spin valve quasi-ballistically. When a gate\ndifference is applied, the MR first increases and then de-\ncreases. Surprisingly, the maximal MR dose not happens\nat the AP configuration as the conventional case (see the\narrowlabeled by AP). Instead, it ariseswhen the insulat-\ning state in the second magnet aligns to the Fermi energy\n(see the arrow labeled by V). This configuration falls in\nbetween the P and AP ones and can be defined as a ver-4\n/s52 /s56 /s49/s54 /s51/s50 /s54/s52 /s49/s50/s56 /s50/s53/s54 /s53/s49/s50/s49/s48/s45/s49/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52\n/s52 /s56 /s49/s54 /s51/s50 /s54/s52 /s49/s50/s56 /s50/s53/s54 /s53/s49/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53\n/s49/s48/s45/s52/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48\n/s49/s48/s45/s53/s49/s48/s45/s51/s49/s48/s45/s49\n/s45/s53 /s48 /s53 /s49/s48 /s49/s53/s49/s48/s45/s52/s49/s48/s45/s50/s49/s48/s48\n/s48/s46/s53 /s49/s46/s48 /s49/s46/s53/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53/s49/s48/s54\n/s48/s46/s53 /s49/s46/s48 /s49/s46/s53/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53/s49/s48/s54/s49/s48/s55\n/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52\n/s65 /s103 /s67/s117 /s105/s100/s101/s97/s108 /s65 /s117 /s80/s116/s49/s48/s52/s49/s48/s53/s32/s84/s32/s40/s75/s41\n/s32/s32/s82\n/s80/s44/s86/s32/s40/s82\n/s48/s47/s77/s41/s40/s97/s49/s41\n/s32/s32/s84/s32/s40/s75/s41/s32/s69/s117/s79\n/s32/s69/s117/s83\n/s32/s89/s73/s71\n/s32/s71/s77/s82/s32/s40/s37/s41/s32\n/s57/s56/s54/s51/s37\n/s64/s49/s48/s48/s75\n/s49/s52/s54/s57/s37\n/s64/s51/s48/s48/s75/s40/s97/s50/s41\n/s32/s32\n/s40/s98/s49/s41\n/s32/s71\n/s80/s44/s86/s32/s40/s77/s32/s71\n/s48/s41/s32/s32\n/s40/s98/s50/s41\n/s32/s32\n/s69/s32/s40/s69\n/s48/s41/s40/s98/s51/s41\n/s32/s108\n/s49/s44/s50/s32/s40/s108\n/s48/s41/s32/s82\n/s80/s44/s86/s32/s40/s82\n/s48/s47/s77/s41\n/s32/s40/s99/s49/s41\n/s32/s108\n/s49/s44/s50/s32/s40/s108\n/s48/s41/s32\n/s32/s32/s71/s77/s82/s32/s40/s37/s41/s32/s69/s117/s79\n/s32/s69/s117/s83\n/s32/s89/s73/s71/s40/s99/s50/s41\n/s82\n/s80/s44/s86/s32/s40/s82\n/s48/s47/s77/s41/s32\n/s40/s100/s49/s41\n/s71/s77/s82/s32/s40/s37/s41/s32\n/s101/s108/s101/s99/s116/s114/s111/s100/s101/s115/s32/s69/s117/s79/s32\n/s32/s69/s117/s83/s32\n/s32/s89/s73/s71/s40/s100/s50/s41\nFIG. 5. MR for the P and V configurations and GMR as a function of (a) temperature, (c) magnet length, and (d) electrode\ndoping for EuO, EuS, and YIG. (b) Zero temperature MRs as a fun ction of energy for the three magnets and two configurations.\ntical configuration . In the right magnets both spins are\nblocked for the V configuration, while transport quasi-\nballistically again for the AP configuration. Comparing\nthe MRs at these two configurations, we obtain a rather\nhuge GMR with typical value of 104%∼105% (see Ta-\nble II for details). It is also seen that, the MR profile for\nYIG is rather sharp due to the rather narrow insulating\nwindow.\nWe then consider GMR utilizing the half-metallic fer-\nromagnetism, for which only one spin contributes the\nMR. The MR −∆Vprofiles are plotted in Fig. 4 (d)-(g).\nIt is not surprised that, rather low MR for the P configu-\nrationandratherhighMRfortheVconfigurationareob-\nserved again. Comparing them, a rather huge GMR with\ntypical value of 104%∼105% are obtained (see table II).\nInterestingly, the high resistance for YIG shows rich res-\nonant peaks. This is due to a much smaller Dirac gap for\nspin down, which supports much smaller resistance even\nfor a blocked-blocked transport. It seems that, an AP\nconfiguration is responsible for the EuO and YIG cases.\nHowever, since spin up or spin down is always blocked,\nonly the blocking of the initially transparent spin (spin\ndown or up) is important. This is confirmed by the EuS\ncase, where GMR happens in absence of opposite (hole)\nhalf-metallic ferromagnetism.In conventional spin valves made of no matter normal\n[18] or half-metallic [19] ferromagnets, P and AP config-\nurations are respectively responsible for the low and high\nMRs; they are switched by a magnetic field (see the left\ncolumn in Fig. 1). The mechanism for the proximity-\nand gate-induced graphene spin valve is totally different.\nThe V configuration is responsible for the high resistance\nstates and it is switched from the P configuration by an\nelectric field. Moreover, normal and half-metallic ferro-\nmagnetisms can also be switched by the back gate, which\nis usually forbidden for the conventional cases (see the\nright column in Fig. 1)\nTABLE II. GMR and low and high MRs, corresponding fer-\nromagnetisms and voltage difference summarized from Fig.\n4.\nGMR R AP/RPferromagnetisms ( EF) ∆VV\n3.8×104% 228.4/0.603 EuO normal (15) 16\n7.9×105% 5935/0.748 EuS normal (14) 15.9\n2.6×104% 108/0.411 YIG normal (12) 8.9\n2.9×104% 278.5/0.971 EuO half-metallic (7) 10.45\n1.4×105% 3017/2.18 EuS half-metallic (9) 8.95\n1.1×105% 968/0.845 YIG half-metallic (6) 7.755\nApplication at high temperature is crucial. Fig. 5(a)\nshowsRP,RV, and GMR as a function of temperature.\nThe temperature range is limited to min(100 K,Tc), for\nwhich the ferromagnetismshold and the inelastic scatter-\ning can be ignored. It is seen that, for all ferromagnets\nRPincrease slightly as the temperature, while RVshows\na complicated dependence. It decreases with tempera-\nture for EuO and YIG and increases for EuS. Accord-\ningly, the GMR for EuS/EuO/YIG follows an increas-\ning/decreasing/decreasingbehavior, with a value of1 .5×\n105%/1.8×104%/9.8×103%observedat16K/68K/100K.\nFor YIG the Curie temperature is higher than room tem-\nperature. AlargeGMR of1470%isevaluated at300Kby\nignoring the inelastic scattering [44]. These values imply\npromising high temperature or even room temperature\nGMR for EuO and YIG.\nDue to Eq. (2), spin current at a finite temperature T\nis determined by the zero-temperature ones in an energy\nrange∼(EF−5T,EF+5T). In Fig. 5(b), we plot the\nlatter for the three magnets and two configurations. It\ncan be seen that, the P(V) conductance for all magnets\n(EuS) reachesalmostthe maximumaroundtheFermi en-\nergy. As a result, the higher the temperature, the smaller\n(bigger) the finite temperature spin current (MR). The\ncases become opposite for the V configuration of EuO\nand YIG, because the spin current reaches almost the lo-\ncal minimum at the Fermi energy. The different energy\nbands are responsible for these different temperature de-\npendences.\nFig. 5(c) shows the dependence of RP,RV, and GMR\non the magnet length. It is found that, RPchanges\nslightly with the magnet length while RVincreases ex-\nponentially. When the length increase from 1 to 1.5\n(still within the ballistic regime), the GMR increases\nto 0.94×106%, 1.4×107%, 2.3×106% for EuO, EuS,\nand YIG, respectively. This is as large as the extraor-\ndinary magnetoresistance in semiconductor-metal hybrid\nsystems[45,46]. FortheVconfiguration,electronstrans-\nport evanescently in the second magnet. Due to an imag-\ninary wave vector kmin the term eikmx, this leads a\nbehavior of |t|2∼e−2landR∼e2l. Due to this be-\nhavior, the negative temperature dependence (see Fig. 5\n(a)) for YIG and EuO can be counteracted, and a huge\nroom temperature GMR is expectable for YIG. Asym-\nmetric spin valves with short left and long right magnets\nare suggested to enhance the GMR within the ballistic\nregime.\nIn all the above calculations, the effect of electrodes\nis ignored. Such an ideal contact can be achieved by\nspecific metals with special distance to graphene (e.g.,\nAu/Cu/Ag at 3.2/3.4/3.7 ˚A) [47]. Fig. 5(d) shows the\ncalculated results for several familiar metal electrodes\nat their equilibrium distances. For Ag, Cu, Au, and\nPt,U/E0=−32,−17,19,32 respectively [47], which\nare rather smaller than the proximity induced doping’s.\nIt is observed that, no matter for which magnets andfor which configurations, the MR increases as the elec-\ntrode becomes non ideal; the heavier the contact dop-\ning, the larger the MR increases. This is because the\nsymmetric pristine-ferromagnetism (insulating)-pristine\nstructure for the left (right) magnets becomes asymmet-\nric doped-ferromagnetism-pristine or pristine-insulating-\ndoped ones. However, the GMR can show different be-\nhaviors. It increases for EuS with positive doping (Au\nand Pt) and for YIG with negative doping (Cu and Ag),\nwhile decreases for EuO with any doping and for EuS\n(YIG)withnegative(positive)doping. Theseresultssug-\ngest that, the proposed GMR is rather robust to familiar\nmetallic contacts, and can be even enhanced for YIG and\nEuS with proper contacts.\nIn summary, we have proposed a distinguished GMR\nthat is magnetic field-free, vertically configured, and\nmagnetism-tunable. The proximity effect and electric\nfield effect in a novel graphene spin valve, both stem-\nming from the 2D nature of graphene, play a central role.\nOutstanding performances such as huge values at high\ntemperature ( ∼105% at 68K and 100K), exponential en-\nhancement by magnet length, and robustness to familiar\nelectrodes have been demonstrated. These results may\noffer a viable path to a magnetic field-free spintronics as\nwell as an evidence for the magnetisms. The uniform\nHamiltonian constructed in the sublattice space can be\nappliedtoinvestigatespintransportinrelatednanostruc-\ntures. Since the spin Dirac gaps increases as fewer layer\n[5], larger GMR is predicted in graphene grown on thin-\nner substrates. We encourageexperimental researcheson\nthe proposed GMR and mechanisms.\nThis work was supported by the National Natural\nScience Foundation of China (NSFC) under Grant No.\n11404300, the Science Challenge Project (SCP) under\nGrant No. TZ2016003-1, and the S&T Innovation Fund\nof IEE, CAEP under Grant No. S20140807.\n∗kwungyusung@gmail.com\n[1] W. Han, R. K. Kawakami, M. Gmitra, and J. Fabian,\nNature Nanotechnology 9, 794 (2014).\n[2] Y. P. Feng, L. Shen, M. Yang, A. Wang, M. Zeng,\nQ. Wu, S. Chintalapati, and C.-R. Chang, Wiley Inter-\ndisciplinary Reviews: Computational Molecular Science\n(2017).\n[3] H.Haugen, D.Huertas-Hernando, andA.Brataas, Phys-\nical Review B 77, 115406 (2008).\n[4] H.-X. Yang, A. Hallal, D. Terrade, X. Waintal, S. Roche,\nand M. Chshiev, Physical Review Letters 110, 046603\n(2013).\n[5] A. Hallal, F. Ibrahim, H. Yang, S. Roche, and\nM. Chshiev, 2D Materials (2017).\n[6] Y. Song andG. Dai, AppliedPhysics Letters 106, 223104\n(2015).\n[7] Y. S. Ang, L. Ang, C. Zhang, and Z. Ma, Physical Re-\nview B93, 041422 (2016).6\n[8] S. Su, Y. Barlas, J. Li, J. Shi, and R. K. Lake, Physical\nReview B 95, 075418 (2017).\n[9] A. G. Swartz, P. M. Odenthal, Y. Hao, R. S. Ruoff, and\nR. K. Kawakami, ACS Nano 6, 10063 (2012).\n[10] A. G. Swartz, K. M. McCreary, W. Han, J. J. Wong,\nP. M. Odenthal, H. Wen, J.-R. Chen, R. K. Kawakami,\nY. Hao, R. S. Ruoff, et al., Journal of Vacuum Sci-\nence & Technology B, Nanotechnology and Microelec-\ntronics: Materials, Processing, Measurement, and Phe-\nnomena 31, 04D105 (2013).\n[11] Z. Wang, C. Tang, R. Sachs, Y. Barlas, and J. Shi,\nPhysical Review Letters 114, 016603 (2015).\n[12] J. Mendes, O. A. Santos, L. Meireles, R. Lacerda,\nL. Vilela-Le˜ ao, F. Machado, R. Rodr´ ıguez-Su´ arez,\nA. Azevedo, and S. Rezende, Physical Review Letters\n115, 226601 (2015).\n[13] J. C. Leutenantsmeyer, A. A. Kaverzin, M. Wojtaszek,\nand B. J. van Wees, 2D Materials 4, 014001 (2016).\n[14] M. Evelt, H. Ochoa, O. Dzyapko, V. E. Demidov, A. Yur-\ngens, J. Sun, Y. Tserkovnyak, V. Bessonov, A. B. Rinke-\nvich, and S. O. Demokritov, Physical Review B 95,\n024408 (2017).\n[15] P. Wei, S. Lee, F. Lemaitre, L. Pinel, D. Cutaia, W. Cha,\nF. Katmis, Y. Zhu, D. Heiman, J. Hone, et al., Nature\nMaterials (2016).\n[16] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang,\nY. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A.\nFirsov, Science 306, 666 (2004).\n[17] S. Kim, I. Jo, D. Dillen, D. Ferrer, B. Fallahazad, Z. Yao ,\nS. Banerjee, and E. Tutuc, Physical Review Letters 108,\n116404 (2012).\n[18] M. N. Baibich, J. M. Broto, A. Fert, F. N. Van Dau,\nF. Petroff, P. Etienne, G. Creuzet, A. Friederich, and\nJ. Chazelas, Physical Review Letters 61, 2472 (1988).\n[19] H. Hwang and S.-W. Cheong, Science 278, 1607 (1997).\n[20] V. V. Cheianov and V. I. Falko, Physical Review B 74,\n041403 (2006).\n[21] E. McCann, K. Kechedzhi, V. I. Falko, H. Suzuura,\nT. Ando, and B. Altshuler, Physical Review Letters 97,\n146805 (2006).\n[22] F. Zhai and K. Chang, Physical Review B 77, 113409\n(2008).\n[23] W. Y. Kim and K. S. Kim, Nature Nanotechnology 3,\n408 (2008).\n[24] F. Mu˜ noz-Rojas, J. Fern´ andez-Rossier, and J. Palaci os,\nPhysical Review Letters 102, 136810 (2009).\n[25] Y.-T. Zhang, H. Jiang, Q.-F. Sun, and X. Xie, Physical\nReview B 81, 165404 (2010).\n[26] J. Lu, H. Zhang, W. Shi, Z. Wang, Y. Zheng, T. Zhang,\nN. Wang, Z. Tang, and P. Sheng, Nano Letters 11, 2973\n(2011).\n[27] J. Bai, R. Cheng, F. Xiu, L. Liao, M. Wang, A. Shailos,K. L. Wang, Y. Huang, and X. Duan, Nature Nanotech-\nnology5, 655 (2010).\n[28] A. L. Friedman, J. L. Tedesco, P. M. Campbell, J. C.\nCulbertson, E. Aifer, F. K. Perkins, R. L. Myers-Ward,\nJ. K. Hite, C. R. Eddy Jr, G. G. Jernigan, et al., Nano\nLetters10, 3962 (2010).\n[29] Z.-M. Liao, H.-C. Wu, S. Kumar, G. S. Duesberg, Y.-B.\nZhou, G. L. Cross, I. V. Shvets, and D.-P. Yu, Advanced\nMaterials 24, 1862 (2012).\n[30] K. Gopinadhan, Y.J. Shin, R.Jalil, T. Venkatesan, A.K.\nGeim, A. H. C. Neto, and H. Yang, Nature Communi-\ncations6(2015).\n[31] F. Kisslinger, C. Ott, C. Heide, E. Kampert, B. Butz,\nE. Spiecker, S. Shallcross, and H. B. Weber, Nature\nPhysics11, 650 (2015).\n[32] M.-X. Zhai and X.-F. Wang, Scientific Reports 6(2016).\n[33] H.-C. Wu, A. N. Chaika, M.-C. Hsu, T.-W. Huang,\nM. Abid, M. Abid, V. Y. Aristov, O. V. Molodtsova,\nS. V. Babenkov, Y. Niu, et al., Nature Communications\n8(2017).\n[34] S. El-Ahmar, W. Koczorowski, A. Po´ zniak, P. Ku´ swik,\nW. Strupi´ nski, and R. Czajka, Applied Physics Letters\n110, 043503 (2017).\n[35] Here, Wis several times of ltoensure that the edge effect\nis negligible.\n[36] K. Zollner, M. Gmitra, T. Frank, and J. Fabian, Physical\nReview B 94, 155441 (2016).\n[37] Y. Song and H.-C. Wu, Journal of Physics: Condensed\nMatter25, 355301 (2013).\n[38] Y. Song, H.-C. Wu, and Y. Guo, Applied Physics Letters\n100, 253116 (2012).\n[39] Y. Song, F. Zhai, and Y. Guo, Applied Physics Letters\n103, 183111 (2013).\n[40] M. Born and E. Wolf, Principles of optics: electromag-\nnetic theory of propagation, interference and diffraction\nof light(Elsevier, 1980).\n[41] S. Morozov, K. Novoselov, M. Katsnelson, F. Schedin,\nD. Elias, J. A. Jaszczak, and A. Geim, Physical Review\nLetters100, 016602 (2008).\n[42] J.-H. Chen, C. Jang, S. Xiao, M. Ishigami, and M. S.\nFuhrer, Nature Nanotechnology 3, 206 (2008).\n[43] M. B¨ uttiker, Y. Imry, R. Landauer, and S. Pinhas, Phys-\nical Review B 31, 6207 (1985).\n[44] Note, this value would be smaller when taking into ac-\ncount the scattering.\n[45] S. Solin, T. Thio, D. Hines, and J. Heremans, Science\n289, 1530 (2000).\n[46] T. H. Hewett and F. Kusmartsev, Physical Review B 82,\n212404 (2010).\n[47] G. Giovannetti, P. Khomyakov, G. Brocks, V. v. Karpan,\nJ. Van den Brink, and P. Kelly, Physical Review Letters\n101, 026803 (2008)." }, { "title": "1505.06911v2.Observation_of_pure_inverse_spin_Hall_effect_in_ferromagnetic_metals_by_FM_AFM_exchange_bias_structures.pdf", "content": "arXiv:1505.06911v2 [cond-mat.mtrl-sci] 17 Jul 2015Observationofpure inversespin Halleffect inferromagnet ic metals by FM/AFMexchange bias\nstructures\nH. Wu,1C. H. Wan,1,∗Z. H. Yuan,1X. Zhang,1J. Jiang,1Q. T. Zhang,1Z. C. Wen,1and X. F. Han1,†\n1Beijing National Laboratory for Condensed Matter Physics,\nInstitute of Physics, Chinese Academy of Sciences, Beijing 100190, China\nWe report that the spin current generated by spin Seebeck eff ect (SSE) in yttrium iron garnet (YIG) can be\ndetectedbya ferromagnetic metal (NiFe). Byusingthe FM/AF Mexchange bias structure (NiFe/IrMn),inverse\nspinHalleffect(ISHE)andplanarNernsteffect(PNE)ofNiF ecanbeunambiguously separated,allowingusto\nobserve apure ISHEsignal. Aftereliminatingthe inplane te mperature gradient inNiFe,wecanevenobserve a\npure ISHE signal without PNE from NiFe itself. It is worth not ing that a large spin Hall angle (0.098) of NiFe\nis obtained, which is comparable with Pt. This work provides a kind of FM/AFM exchange bias structures to\ndetectthespincurrent bycharge signals,andhighlights IS HEinferromagnetic metalscanbeusedinspintronic\nresearchand applications.\nHow to generate, manipulate, and detect spin currents ( JS)\nisafundamentalissueinspintronicresearch1,2. Spininjection\nfrom a ferromagneticmetal3,4, spin pumping5,6, spin Hall ef-\nfect (SHE)7,8, and spin Seebeck effect (SSE)9–15providesev-\neral waysto generatea spin current. Especially SSE in ferro -\nmagnetic insulators (FI)11–14has attracted much attention for\na purespin currentcan be generatedwithoutanychargeflow.\nInversespinHalleffect(ISHE)5,16inheavymetalswithstrong\nspin-orbitcoupling(SOC)suchasPtisoftenusedtodetectt he\nspin current by charge signals: EISHE= (θSHρ)JS×σ, where\nEISHEis the ISHE electric field, θSHis the spin Hall angle, ρis\ntheresistivityand σistheunitvectorofspin.\nAstheinverseeffectofanomalousHalleffect(AHE),ISHE\nin ferromagnetic metals provides a possibility to detect th e\nspin current as well. Recently, several works focus on using\nferromagnetic metals instead of metals with strong SOC to\ndetectthe spincurrentgeneratedbySSE inFI17–19. However,\nadditional anomalous Nernst effect (ANE) and planar Nernst\neffect (PNE) in the ferromagnetic metal itself is often mixe d\nwiththeISHEsignalinlongitudinalandtransversalspinSe e-\nbeckmeasurementrespectively. Therefore,intransversal spin\nSeebeck measurement, unambiguous separation of PNE and\nISHE signals will be an important progress, not only for ex-\nploring the physical mechanism of ISHE in ferromagnetic\nmetals, but also for future applications in detecting spin c ur-\nrents.\nExchange bias phenomenon in the ferromagnetic\n(FM)/antiferromagnetic (AFM) interface20,21can pro-\nvide a shift field ( HEB) of the magnetization hysteresis loop,\nwhen cooling down to Neel temperature ( TN) with a static\nmagnetic field, which has been used in spin valve structures\nfor several years. This phenomenon is associated with the\ninterfacial exchange anisotropy between FM and AFM, and\nFM tends to align parallel with uncompensated spins of\nAFM at the interface. Therefore, FM has a unidirectional\nanisotropy.\nIn this work, NiFe/IrMn exchange bias structure has been\nemployed to detect the spin current in NiFe originating from\nSSE in YIG, Cu was inserted between NiFe and YIG to de-\ncrease the exchange coupling and to eliminate the possible\nmagnetic proximityeffect22,23. The temperaturegradient ∇T\nis mainly in plane and along the exchange bias field axis.However, PNE from NiFe itself will be involved in ISHE\nvoltages24,25. This structure can separate the magnetization\nreversalprocessofYIGandNiFe. Asaresult,ISHEandPNE\nwhich related to the magnetizationstate of YIG and NiFe re-\nspectivelycouldbeseparatedaswell.\nThe detail multilayer film structure is GGG/YIG/Cu(t\nnm)/NiFe(5 nm)/IrMn(12 nm)/Ta(5 nm). Firstly, a 3.5 µm\nYIG film was grown on a 300 µm GGG(111) substrate us-\ning liquidphase epitaxial method. Then upperfilms were de-\nposited using an ultrahigh vacuum magnetronsputtering sys -\ntem (ULVAC) at a pressure of 0.16 Pa and a power of 120\nW. In orderto providea clear interfacebetweenYIG andCu,\nthe YIG surface was cleaned for 60 s by Ar plasma in the\nvacuumchamberbefore deposition. A 100Oe magnetic field\nwas applied during deposition, which could induce an easy\nmagnetizationaxisandanexchangebiasofNiFe. Filmswere\npatternedbyphotolithographycombinedwithArionetching .\nBothoftheelectrodesAandCareof10 µm×100µminsize,\nandthesizeofelectrodeBis50 µm×100µm(L= 100µm).\nThespacingbetweenA (B) andB(C) is10 µm.\nFig. 1(a) shows the schematic illustration of the measure-\nment method. Electrode A and C were used to heat the YIG\nfilm by electric currents IH(Keithley 2440), which induced a\ntransverse temperaturegradient ∇Tmainly along yaxis, and\nthe heating power P∝I2\nH∝ ∇T. Because of SSE in YIG,\n∇Tproduces a spin accumulation at the interface between\nYIG and electrode B, and then the spin current is injected to\nelectrode B. By measuring the voltage along xaxis in elec-\ntrodeB(Keithley2182A),thespincurrentcanbedetectedby\nmeans of ISHE, as shown in Fig. 1(b). The physical prop-\nertymeasurementsystem(QuantumDesign PPMS) wasused\nto apply the magnetic field and control the temperature. All\nmeasurementswere performedat roomtemperature.\nThe cross-section high resolution transmission elec-\ntron microscopy (HRTEM) of GGG/YIG/Cu(3 nm)/NiFe(5\nnm)/IrMn(12 nm)/Ta(5 nm) sample was observed by Tecnai\nG2F20S-TWIN(200kV).HRTEMresultsareshowninFig.\n1(c). The high quality YIG single crystal structure is forme d\nontheGGG(111)substrate,andtheepitaxialdirectionofYI G\nfilmisalsoalong(111)direction. Fourmetallayersdeposit ed\nbymagnetronsputteringare continuousand flat, andeach in-\nterface especially the interface between YIG and Cu is very2\nFIG.1. (a)Aschematicofpatterneddevicestructures,Aand Celectrodesareforheatingcurrents IHandBelectrodeisforISHEvoltages VISHE\nmeasurement. (b)AschematicillustrationofISHEinelectr odeBinducedbySSEinYIG.Thetemperaturegradient ∇Tismainlyalong yaxis\nand the spin current in B is along zaxis, therefore the ISHE voltage is measured along xaxis. (c) Cross section HRTEMresults of YIG/Cu(3\nnm)/NiFe(5nm)/IrMn(12nm)/Ta(5nm)samplefordetectingt hespincurrent. (d) M-HloopsofYIG/Cu(5nm)/NiFe(5nm)/IrMn(12nm)/Ta(5\nnm) sample, the magnetic fieldis along yaxis.\nclear and sharp. The spin current is injected from YIG to\nabovefilms,so theclearYIG/Cuinterfaceisveryimportant.\nThe magnetic hysteresis loop of GGG/YIG/Cu(5\nnm)/NiFe(5 nm)/IrMn(12 nm)/Ta(5 nm) sample was\nmeasured by a vibrating sample magnetometer (VSM, Mi-\ncroSenseEZ-9)withmagneticfieldappliedalong yaxis(also\nthe axis of the exchange bias field), as shown in Fig. 1(d).\nYIG is a very soft magnetic material and the saturation field\n(HS) of YIG is less than 10 Oe. The inserted figure shows\nthe minor M-Hloop from NiFe, and HEB(200 Oe) is enough\nto distinguish the magnetization reversals of NiFe and YIG.\nBesides, the magnetic moment from YIG is very large due to\nitslargerthickness.\nAs reported in previousworks9–14, firstly we used a 10 nm\nthick (dPt) Pt film to detect JSinduced by SSE in YIG. A 300\nnVISHEvoltageisobservedas IH= 10mAinelectrodeCis\nappliedwithfieldalong yaxis[Fig. 2(a)]. ISHEvoltageswere\nnotobservedwhenfieldwasappliedalong xandzaxisrespec-\ntively, which confirms the SSE scenario. When a 3 nm metal\nCulayerisinsertedbetweenPtandYIGtoeliminatethemag-\nnetic proximity effect between YIG and Pt, still a spin cur-\nrentcanpasswithoutremarkabledissipation,asprovenbyt he\nISHEvoltageobservedinthiscase. However,oncea3nmin-\nsulatorMgOlayerisinsertedtoblock JSfromYIG,theISHE\nvoltage completelydisappears. These resultsconfirm that t he\nvoltageisinducedby JSinjectedfromYIG.Thisvoltagedoes\nnotcomefromPtorYIGalone,whichcouldbeprovenbytheabsence of the voltage in YIG/Cu and Si-SiO 2/Pt reference\nsamples.\nWhen we changed the heating electrode from C to A: TB,A,\nT1,A,T4,AandTB,C,T1,C,T4,Crepresent the temperature of point\nB, 1, 4 when heating A and C respectively; TB,A+C,T1,A+Cand\nT4,A+CrepresentthetemperatureofpointB,1and4whenheat-\ning A and C simultaneously. T1,C=T4,A,TB,A=TB,C,T1,A+C=\nT4,A+Cdue to the geometrical symmetry. So the ISHE voltage:\nVISHE,A=S1(TB,A−T4,A) =VISHE,C=S1(TB,C−T1,C), where\nS1=1\n2θPtηYIG-Pt(LPt/dPt)SS,θPtisthespinHallangleofPt, ηYIG-Pt\nis the spin injection efficiency, LPt/dPtis the aspect ratio and\nSSis the spin Seebeck coefficient9. The ISHE voltage is al-\nmostthesamewhenchangingtheheatingelectrodefromCto\nA, as shown in Fig. 2(b). When heating A and C at the same\ntime,theISHEvoltageisenhancedduetohighertemperature\ngradient: VISHE,A+C=S1(TB,A+C−T4,A+C) =S1(TB,A+C−T1,A+C)\n[Fig. 2(b)].\nWe also measured the VISHE−IHcurves with fields along\nyaxis larger than HSof YIG (±20 Oe) and then obtained\nthe difference between them, namely spin dependent ISHE\nvoltages: VISHE=V(+Ms)−V(−Ms). Fig. 2(c) and Fig.\n2(d)showtherelationshipbetweenISHEvoltagesandheatin g\ncurrents: VISHE∝I2\nH∝ ∇T, which confirms that the ISHE\nsignal is thermal related. And the VISHE−IHcurves nearly\ncoincideafterchangingtheheatingelectrodefromCto A.\nFurthermore, we changed the spin current detector Pt with\nthe exchange bias structure: Cu(5 nm)/NiFe(5 nm)/IrMn(123\nFIG. 2. (a) Hdependence of VISHEin YIG/Pt(10 nm), YIG/Cu(3 nm)/Pt(10 nm), YIG/MgO(3 nm)/Pt (10 nm), YIG/Cu(10 nm), Si-SiO 2/Pt(10\nnm) samples. (b) Hdependence of VISHEfor heatingA or Crespectively, andsimultaneously heating Aand CinYIG/Pt(10nm) sample. (c) H\ndependence of VISHEfor different IHin electrode C in YIG/Pt(10nm) sample. (d) IHdependence of VISHEand fittingcurves for heating A or C\ninYIG/Pt(10nm) sample.\nnm)/Ta(5 nm), and heated the electrode C with IH=15 mA .\nTheheatingcurrentgenerates ∇TnotonlyinYIG,butalsoin\nelectrode B, which inducesa PNE voltage in NiFe. By using\nthe exchange bias structure, magnetization reversals of Ni Fe\nandYIGareseparated,ascanbeseeninFig. 1(d). Asaresult,\nISHE (related to magnetization of YIG) and PNE (related to\nmagnetization of NiFe) are separated as well. As shown in\nFig. 3(a), a 500 nV PNE voltage is observed and the center\nfield of the PNE curve locates at 120 Oe. This shift field is\nsmallerthanthe HEBfromM-Hcurvesfortworeasons: oneis\nthat the film is patterned, and another is that the temperatur e\noftheelectrodeBincreaseswhenheatingC.\nItisespeciallyattractivethata250nV VISHEisobservednear\nzeromagneticfieldandthevoltagesaturatesatafieldlessth an\n10 Oe, which is similar to the signal in YIG/Pt sample. And\nthe sign of the ISHE voltage in NiFe is the same with that\nin Pt. Transport propertiesonly dependon the magnetizatio n\nof NiFe, because YIG is an insulator. Anisotropic magne-\ntoresistance (AMR) and planar Hall effect (PHE) reflect the\nmagnetization state of NiFe and share the similar origin wit h\nPNE, which only have a signal near 150 Oe, and do not have\nan obvioussignal near 0 Oe. EspeciallyPHE almost have the\nsame curve with PNE, the only difference is that one is from\nthe electric current,and the otheris fromthe thermalcurre nt.\nTheseprovethatthesignalnear0OeisnotfromPNEinNiFe,\nbut from ISHE in NiFe induced by SSE in YIG, which can\nalso beconfirmedby M-HcurvesinFig. 1(d).\nWhen the thickness of inserted Cu varies from 3 nm to10 nm, three changes emerge as follows: (1) VISHEdecreases\ngradually and even disappears due to increased spin relax-\nationinCu26anddecreasedresistanceofelectrodeB;(2) VPNE\ndecreases because temperature gradient ∇Tin NiFe also de-\ncreases;(3) HEBofNiFeincreaseswiththickerCubecausethe\nexchange coupling between NiFe and YIG weakens. On the\notherhand,oncea 3nm insulatorMgO layerisinserted, VISHE\ndisappearswhile VPNEstill exists under the same precision, as\nshowninFig. 3(b),becausethermalcurrentscanstillcondu ct\nevenininsulators,butspincurrentscannot. Theseresults also\nconfirmthatthe signalnear0Oe isnotfromNiFe itself, such\nasANEorPNE.\nTB2,A,TB3,AandTB2,C,TB3,Crepresent the temperature of\nboundary 2, 3 of electrode B when heating electrode A and\nC respectively; TB2,A+C,TB3,A+Crepresent the temperature of\nboundary 2, 3 of electrode B when heating A and C simul-\ntaneously. Due to the geometrical symmetry, TB2,A=TB3,C,\nTB3,A=TB2,C,TB2,A+C=TB3,A+C.VISHEandVPNEvoltages satisfy\nthe following equations: VISHE,A=S2(TB,A−T4,A) =VISHE,C=\nS2(TB,C−T1,C), where S2=1\n2θNiFeηYIG-Cu-NiFe(LNiFe/dNiFe)SS;\nVPNE,A=N(M)(TB2,A−TB3,A) =−VPNE,C=−N(M)(TB2,C−\nTB3,C),whereN(M)isthesimplifiedcoefficient. Whenchang-\ningtheheatingelectrodefromCtoA, VPNEisoppositeinsign,\nwhileVISHEis the same, as shown in Fig. 3(c). When heating\nA and C at the same time: VISHE,A+C=S2(TB,A+C−T4,A+C) =\nS2(TB,A+C−T1,A+C),VPNE,A+C=N(M)(TB2,A+C−TB3,A+C) = 0. By\neliminating ∇TalongyaxisinNiFe, VPNEinNiFecouldnearly\nbecancelled,while VISHEisenhancedbecauseoftheenhanced4\nFIG. 3. (a) Hdependence of ISHE, PNE, AMR and PHE signals in YIG/Cu(5 nm)/ NiFe(5 nm)/IrMn(12 nm)/Ta(5 nm) sample. (b) H\ndependence of VISHEandVPNEin YIG/x/NiFe(5 nm)/IrMn(12 nm)/Ta(5 nm) samples with diff erent inserted layers, the inserted layer x = Cu 3\nnm, Cu5nm, Cu10 nm, MgO 3nm. (c) Hdependence of VISHEandVPNEfor heatingA or Crespectively, andsimultaneously heating A andC\ninYIG/Cu(5nm)/NiFe(5nm)/IrMn(12 nm)/Ta(5nm) sample.\n∇Tin YIG. In this way, we succeed in directly detecting the\npureVISHEin NiFe without the influence of VPNEfrom itself\n[Fig. 3(c)]. Besides, ∇Talongzaxis in NiFe will be also\nenhancedwhensimultaneouslyheatingA andC. Evenin this\ncase, ANE voltages in NiFe are not observed, indicating that\n∇TalongzaxisinNiFe isnegligiblysmall.\nTo further illustrate the ISHE in NiFe, we measured the IH\ndependence of VISHEandVPNE, as shown in Fig. 4. The cen-\nter field of the PNE curve corresponds to HEBof NiFe, and\nit decreases with increasing IH, as shown in Fig. 4(a), be-\ncauseHEBin FM/AFM usually decreases with the increasing\ntemperature,evendropsto zeroat blockingtemperature.\nFig. 4(c) and Fig. 4(d) show the IHdependence of VPNE\n[V(+250Oe)- V(+20Oe)]and VISHE[V(+10Oe)- V(-10Oe)]re-\nspectively, they are both proportionalto I2\nH, confirmingtheir\nthermaldependence. VPNEisoppositeinsignwhenwechanged\nthe heating electrode from C to A, while VISHEremains un-\nchanged. This differencealso confirmsthat these two signal s\nshould come from different origins: one from PNE in NiFe,\nand another from ISHE in NiFe induced by SSE in YIG. By\nsimultaneously heating A and C, as shown in Fig. 4(e), en-\nhanced pure VISHEis observed, while VPNEfrom NiFe itself is\ntotallyeliminated.\nTo quantitatively analyze the spin Hall angle θSHof NiFe,\nwe measured the Pdependence of VISHEin YIG/Pt(10 nm)\nand YIG/Cu(5 nm)/NiFe(5 nm)/IrMn(12 nm)/Ta(5 nm) sam-\nples, as shown in Fig. 4(f). ISHE induced charge currents:\nVISHE/R=βθSHP, whereRis the resistance of electrode\nB. We suppose the coefficient βthat expresses the efficiencyfrom thermal currents to spin currents in electrode B is the\nsame in these two samples. By linear fitting VISHE/R−P\ncurves,relative spin Hall angle θSH(NiFe)/θSH(Pt)≈0.98. By\nusingθSH(Pt)= 0.127, we obtain θSH(NiFe)= 0.098, which\nis at the same order with θSH(NiFe)= 0.02measured by spin\npumping28. These results show that NiFe almost has a com-\nparable spin Hall angle with Pt. In fact, previous works have\nsuggested strong SOC in 3d transition metals29,30and con-\nnected ISHE with AHE in the ferromagnetic metal (CoFeB)\nthrough Mott relation19. Strong SOC and ferromagnetic or-\nder in NiFe should contribute to the large θSH. By using the\nexchange bias structure, investigating SHE and ISHE in fer-\nromagneticmetalswill becomemorefeasible. Asheavymet-\nals with strong SOC, ferromagnetic metals become another\npromisingcandidatefordetectingspincurrents.\nIn conclusion, firstly a spin current in NiFe is generated\nby SSE in YIG, and then is detected by charge signals due\nto ISHE. The NiFe/IrMn exchangebias structure was used to\nseparate ISHE and PNE in NiFe, and inserted Cu can decou-\nple the exchangecouplingand rule out the possible magnetic\nproximity effect between NiFe and YIG, allowing us to ob-\nserve a pure ISHE signal. By simultaneously heating elec-\ntrodes in both sides of electrode B, which can eliminate the\nin plane temperature gradient in NiFe, PNE from NiFe itself\nis eliminated, while only ISHE is remained. By fitting the\nVISHE/R−Pcurves,we obtainalargespinHall angle(0.098)\nin NiFe. This work is crucial to unambiguous confirmation\nof existence of ISHE in ferromagnetic metals and also to the\napplicationsofFM-basedISHE.5\nFIG. 4. (a) - (e) were measured in YIG/Cu(5 nm)/NiFe(5 nm)/Ir Mn(12 nm)/Ta(5 nm) sample. We used the magnetic field range ±1000 Oe\nand±50 Oe to measure the signal in (a) and (b) respectively. (a) sh ows theHdependence of VISHEandVPNEfor different IHin electrode C,\nand (b) shows the pure Hdependent VISHEdue to the small field range. (c), (d) IHdependence and fitting curves of VPNEandVISHEfor heating\nelectrode A or C respectively. (e) Hdependence of pure VISHEfor different IHin both electrodes A and C. (f) Heating power Pdependence of\nVISHE/RinYIG/Pt(10nm) andYIG/Cu(5nm)/NiFe(5nm)/IrMn(12 nm)/T a(5nm) samples.\nACKNOWLEDGMENTS\nThis work was supported by the State Key Project of Fun-\ndamental Research of Ministry of Science and Technology(MOST)[No. 2010CB934401],theMOSTNationalKeySci-\nentific Instrumentand EquipmentDevelopmentProjects[No.\n2011YQ120053] and the National Natural Science Founda-\ntion[NSFC, GrantNo. 11434014].\n∗Email: wancaihua@iphy.ac.cn\n†Email: xfhan@iphy.ac.cn\n1S. A. Wolf, D. D. Awschalom, R. A. Buhrman, J. M. Daughton,\nS. V. Molnar, M. L. Roukes, A. Y. Chtchelkanova, and D. M.\nTreger, Science 294, 1488 (2001).\n2I.ˇZuti´ c, J. Fabian, and S. D. Sarma,\nRev. Mod. Phys. 76, 323 (2004).\n3M. Johnson andR.H. Silsbee,Phys.Rev. Lett. 55, 1790 (1985).\n4F. J. Jedema, A. T. Filip, and B. J. van Wees, Nature 410, 345\n(2001).5E.Saitoh,M.Ueda,H.Miyajima, andG.Tatara,Appl.Phys.Le tt.\n88, 182509 (2006).\n6O. Mosendz, J. E. Pearson, F. Y. Fradin, G. E. W. Bauer, S. D.\nBader, and A.Hoffmann, Phys.Rev. Lett. 104, 046601 (2010).\n7Y. K. Kato, R. C. Myers, A. C. Gossard, and D. D. Awschalom,\nScience306, 1910 (2004).\n8S.O.Valenzuela andM. Tinkham, Nature 442, 176 (2006).\n9K.Uchida,S.Takahashi,K.Harii,J.Ieda,W.Koshibae, K.An do,\nS.Maekawa, and E.Saitoh,Nature 455, 778 (2008).\n10G. E.W. Bauer, E. Saitoh, and B. J. van Wees, Nature Mater. 11,6\n391 (2012).\n11K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda,\nT. Ota, Y. Kajiwara, H. Umezawa, Kawai, G. E. W. Bauer,\nS.Maekawa, andE.Saitoh, Nature Mater. 9, 894 (2010).\n12K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and\nE.Saitoh, Appl.Phys. Lett. 97, 172505 (2010).\n13K. Uchida, T. Nonaka, T. Ota, and E. Saitoh, Appl. Phys. Lett.\n97, 262504 (2010).\n14P.Li,D.Ellsworth,H.Chang,P.Janantha,D.Richardson,F. Shah,\nP. Phillips, T. Vijayasarathy, and M. Wu, Appl. Phys. Lett. 105,\n242412 (2014).\n15H. Adachi, K. Uchida, E. Saitoh, and S. Maekawa,\nRep. Prog.Phys. 76, 036501 (2013).\n16T. Kimura, Y. Otani, T. Sato, S. Takahashi, and S. Maekawa,\nPhys.Rev. Lett. 98, 156601 (2007).\n17B.F.Miao,S.Y.Huang,D.Qu, andC.L.Chien,Phys.Rev.Lett.\n111, 066602 (2013).\n18D. Tian, Y. F. Li, D. Qu, X. F. Jin, and C. L. Chien,\nApplied PhysicsLetters 106, 212407 (2015).\n19S.M. Wu,J. Hoffman, J.E.Pearson, andA. Bhattacharya, Appl .\nPhys.Lett. 105, 092409 (2014).\n20N.Koon, Phys. Rev. Lett. 78, 4865 (1997).\n21A. E. Berkowitz and K. Takano, J. Magn. Magn. Mater. 200, 552(1999).\n22S.Y. Huang, X. Fan,D.Qu, Y. P.Chen, W.G. Wang, J.Wu, T.Y.\nChen, J. Q. Xiao, and C. L. Chien, Phys. Rev. Lett. 109, 107204\n(2012).\n23Y. M. Lu, Y. Choi, C. M. Ortega, X. M. Cheng, J. W. Cai, S. Y.\nHuang, L. Sun, and C. L. Chien, Phys. Rev. Lett. 110, 147207\n(2013).\n24Y. Pu,E. Johnston-Halperin, D. D.Awschalom, and J.Shi,Phy s.\nRev. Lett. 97, 036601 (2006).\n25A. D. Avery, M. R. Pufall, and B. L. Zink, Phys. Rev. Lett. 109,\n196602 (2012).\n26T. Kimura, J. Hamrle, and Y. Otani,\nPhys.Rev. B 72, 014461 (2005).\n27H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Hammel, and F. Y.\nYang, Phys.Rev. Lett. 112, 197201 (2014).\n28H.L.Wang,C.H.Du,P.C.Hammel, andF.Y.Yang,Appl.Phys.\nLett.104, 202405 (2014).\n29C. Du, H. Wang, F. Yang, and P. C. Hammel,\nPhys.Rev. B 90, 140407(R) (2014).\n30M. Morota, Y. Niimi, K. Ohnishi, D. H. Wei, T. Tanaka, H. Kon-\ntani,T. Kimura, and Y.Otani, Phys.Rev. B 83, 174405 (2011)." }, { "title": "2307.09424v1.Distant_entanglement_via_photon_hopping_in_a_coupled_magnomechanical_system.pdf", "content": "arXiv:2307.09424v1 [quant-ph] 18 Jul 2023Distant entanglement via photon hopping in a coupled magnom echanical system\nAmjad Sohail,1,2Jia-Xin Peng,3Abdelkader Hidki,4and S. K. Singh5\n1Department of Physics, Government College University, All ama Iqbal Road, Faisalabad 38000, Pakistan\n2Electrical and Computer Engineering Department,\nAbu Dhabi University, Abu Dhabi 59911, United Arab Emirates\n3State Key Laboratory of Precision Spectroscopy,\nQuantum Institute for Light and Atoms, Department of Physic s,\nEast China Normal University, Shanghai 200062, China\n4LPTHE, Department of Physics, Faculty of Sciences, Ibn Zohr U niversity, Agadir, Morocco\n5Graphene and Advanced 2D Materials Research Group (GAMRG),\nSchool of Engineering and Technology, Sunway University, N o. 5,\nJalan Universiti, Bandar Sunway, 47500 Petaling Jaya, Sela ngor, Malaysia\nWe theoretically propose a scheme to generate distant bipar tite entanglement between various\nsubsystems in coupled magnomechanical systems where both t he microwave cavities are coupled\nthrough single photon hopping parameter. Each cavity also c ontains a magnon mode and phonon\nmode and this gives five excitation modes in our model Hamilto nian which are cavity-1 photons,\ncavity-2 photons, magnon, and phonon modes in both YIG spher es. We found that significant\nbipartite entanglement exists between indirectly coupled subsystems in coupled microwave cavities\nfor an appropriate set of parameters regime. Moreover, we al so obtain suitable cavity and magnon\ndetuning parameters for a significant distant bipartite ent anglement in different bipartitions. In\naddition, it can be seen that a single photon hopping paramet er significantly affects both the degree\nas well as the transfer of quantum entanglement between vari ous bipartitions. Hence, our present\nstudy related to coupled microwave cavity magnomechanical configuration will open new perspec-\ntives in coherent control of various quantum correlations i ncluding quantum state transfer among\nmacroscopic quantum systems\nI. INTRODUCTION\nQuantum entanglement is one of the most fascinating phenomena in q uantum mechanics which is also unique\nproperty of quantum manybody systems [1, 2]. In the beginning era of quantum technology, seminal theoretical and\nexperimental investigations mainly explored only microscopic system s, such as atoms, ions, etc to obtain quantum\nentanglement [3]. However, there is no clear physical law that stat es that quantum entanglement can only occur\nin microscopic systems. In 2007, Vitali et al. first proposed the ent anglement between a single cavity mode and\na vibrating mirror, which is the beginning of macroscopic entanglemen t research [4]. Subsequently, the study of\nmacroscopic quantum entanglement phenomena based on optical m echanical systems received widespread attention,\nincluding the entanglement of two vibrating mirrors [5–9], entangleme nt of multiple cavity modes coupled to vibrating\nobjects [10–12], entanglement in Laguerre-Gaussian cavity syste m [13–17].\nRecently, ferrimagnetic materials have provided a powerful platfo rm for studying the essence of magnetic systems\n[18–21]. Yttrium iron garnet (YIG) crystal is one of the most repre sentative materials in low damping magnetic\nmaterials owing to its extremely high spin density and excellent integra tion performance [22, 23]. Particularly, the\nKittel mode in the YIG sphere and the microwave cavity photons can be coupled to achieve the vacuum Rabi\nsplitting and cavity-magnon polaritons [24–26]. This induced the birt h of magnon cavity QED, which provides\na promising platform for the study of strong interactions between light and matter. Naturally, many interesting\nquantum phenomena have been studied based on cavity magnetic sy stems, such as magnoninduced transparency [27–\n30], coherent feedback [31], magnon dark modes [32], bistability [33, 3 4], the magnon Kerr effect [35–39], microwave-\noptical conversion [40], magnon blocking [41, 42], and so on.\nIt is worth mentioning that, Li Jie first studied magnon-photon-ph onon entanglement based on cavity magnetic\nsystem in 2018, which opens a new subfield within the field of quantum e ntanglement [26]. Subsequently, them\npresentd a scheme to entangle two microwave fields by using the non linear magnetostrictive interaction [43, 44]. In\naddition, the macroscopic entanglement between two YIG spheres has also been studied [45]. Considering that the\nthe magnon Kerr effect may be boost the quantum effect, this stimu lates the research on enhancing the entanglement\nbetween two magnon modes by using the Kerreffect [46, 47]. Moreo ver,the photon-magnon entanglement is improved\nby using parametric amplifier [48, 49] and squeezing effect [50, 51]. M ore interestingly, the remote magnon entan-\nglement between two massive ferrimagnetic spheres [52, 53] and ro bust optical entanglement are also implemented\nin cavity optomagnonics system [54]. Besides the entanglement betw een magnon and ordinary cavity mode, the\nentanglement between magnon and Laguerre-Gaussian cavity mod e is also been studied theoretically [17]. Further-\nmore, distant entanglement via photon hoping between different mo des has been of great interest for storing/sharing2\nquantum information. Recently, Chen et. al. studied the perfect t ransferring of enatnaglement and quntum steering\nbetween different modes in coupled cavity magnomechanical system [55]. In addition, Dilawaiz et. al. investigate\nthe entanglement between a YIG sphere and an atomic ensamble via p hoton hoping in coupled microwave cavities\nby [56]. Therefore, researchers pay more attention to investigat e the quantum corelation via photon hoping among\ndifferent/distant bipartitions, Motivated by these developments, we consider coupled magnomechanical system to\ninvestigate weather we can generate distant enatnglement betwe en different bi-partitions. Therefore, we emphsis on\nthe underlying physical understanding of the generation of distan t entanglements via photon hoping. Furthermore,\nsuch a well-designed coupled magnomechanical system can be utilized to create and transfer continuous variable\nentanglement between different distant bosonic modes.\nII. THE MODEL\nThe magnomechanical system under consideration consists of two MW cavities connected through single photon\nhoping factor Γ. Each cavity contain a magnon mode mand a phonon mode bas shown in Fig. 1. The magnons are\nconsidered to be quasiparticles which are incorporated by a collectiv e excitation of a large number of spins inside a\nferrimagnet, e.g., a YIG sphere [57]. The magnetic dipole interaction e nables the coupling between the magnon and\nthe MW field. The orientation of YIG sphere inside each cavity field is in t he region of the maximum magnetic field\n(See Fig. 1). At the YIG sphere site, the magnetic field of the cavity mode is along the x axis while the drive magnetic\nfield is along the y direction). Furthermore, the bias magnetic field is s et in the z direction. In addition, the magnon\nand phonon modes are coupled to each other via magnetostrictive f orce, which yields the magnon-phonon coupling\n[58, 59]. The magnetostrictive interaction depends on the resonan ce frequencies of the magnon and phonon modes\n[60]. In the current study, we assumed the frequency magnon to b e much larger than mechanical frequency, which\nhelps to set up the strong dispersive magnon-phonon interaction [5 7, 61]. The Hamiltonian of the magnomechanical\nsystem can be written as\nH//planckover2pi1=H0+Hint+Hd, (1)\nwhere\nH0=2/summationdisplay\nk=1/bracketleftBig\nωkckc†\nk+ωmkm†\nkmk+ωbk\n2/parenleftbig\nq2\nk+p2\nk/parenrightbig/bracketrightBig\n, (2)\nHint=2/summationdisplay\nk=1/bracketleftBig\n[gmbm†mkqk+gk/parenleftBig\nckm†+c†\nkm/parenrightBig/bracketrightBig\n+Γ(c1c†\n2+c†\n1c2), (3)\nHd=iΩ2/summationdisplay\nk=1/bracketleftBig\n]m†\nke−iω0t−mkeiω0t/bracketrightBig\n, (4)\nwhereck/parenleftBig\nc†\nk/parenrightBig\nandmk/parenleftBig\nm†\nk/parenrightBig\naretheannihilation(creation)operatorofthethe kcavityandmagnonmode, respectively.\nFurthermore, qkandpkarethe positionandmomentum quadraturesofthe respectivemec hanicalmodeofthe magnon.\nIn addition ωk,ωbandωmare the resonance frequencies of the cavity mode k, mechanical mode and the magnon\nmode. The magnon frequency ωmcan be flexibly adjusted by the bias magnetic field Bviaωm=γ0B. Hereγ0is the\ngyromagnetic ratio. The optomagnonical coupling is theoretically giv en by\nΓk=Vc\nnr/radicalBigg\n2\nρspinVY S, (5)\nwhereV,nr,ρspinandVYS=4πr3\n3are, respectively, the YIG sphere’s Verdet constant, the refra ctive index, the spin\ndensity and the volume of the YIG sphere [62]. We considered strong coupling regime i.e., the coupling between the\ncavity mode kwith magnon mode Γ kcan be larger than the decay rate of the magnon and the cavity mod es, Γk> κk,\nκm[63–66]. Here, gmbdenotes single-magnon magnomechanicalcoupling rate which is cons idered to be very small but\ncan be enhanced by directly driving the YIG sphere with a MW source. The Rabi frequency Ω =/parenleftbig√\n5/4/parenrightbig\nγ0/radicalbig\nNspinB0\n[67, 68] represents the coupling strength of the drive field with fre quencyω0), amplitude B0= 3.9×10−9T, where\nγ0= 28GHz/T and the total number of spins Nspin=ρVY Swith the spin density of the YIG ρspin= 4.22×1027m−3.\nInaddition, it isnoteworthytomentionherethatcollectivemotionof thespinsaretruncatedtoformbosonicoperators\nmandm†via the Holstein-Primakoff transformation and further, the Rabi f requency Ω is derived under the basic3\nFIG. 1. (Color Online) (a) Schematic diagram of the coupled c avity magnomechanical system in which each cavity mode\ncontain a magnon mode in a YIG sphere couples that interact wi th the microwave cavity modes via magnetic dipole interacti on\nand with phonon mode via magnetostrictive interaction. The magnetic field of the each microwave cavity modes is set to be\nalong the x-direction, while the drive magnetic field (bias m agnetic field) is considered along y-direction (z-directio n). (b) The\nlinear coupling diagram of each cavity magnomechanical sys tem is shown. The two cavity modes are coupled via photon hopi ng\nΓ, while a cavity mode photon c1(c2) is coupled to the magnon mode m1(m2), with coupling strength g1(g2), which then\ncoupled to a phonon mode b1(b2) to with magnomechanical coupling strength gm1(gm2).\nassumption of the low-lying excitations 2 Ns≫ /angbracketleftm†m/angbracketright, wheres=5\n2is the spin number of the ground state Fe3+ion\nin YIG.\nIn the rotating wave approximation at the drive frequency ω0, he Hamiltonian of the system can be written as\nH//planckover2pi1=2/summationdisplay\nk=1/bracketleftBig\n∆kckc†\nk+∆mkm†\nkmk+ωbk\n2/parenleftbig\nq2\nk+p2\nk/parenrightbig\n+gmkm†\nkmkqk+gk/parenleftBig\nckm†\nk+c†\nkmk/parenrightBig\n+iΩ/parenleftBig\nm†\nk−mk/parenrightBig/bracketrightBig\n(6)\n+Γ(c1c†\n2+c†\n1c2),\nwhere ∆ k=ωk−ω0k(k= 1,2) and ∆ mk=ωm−ω0k.\nIII. QUANTUM DYNAMICS AND ENTANGLEMENT OF THE MAGNOMECHANI CAL SYSTEM\nWe now start to obtain the equations for the dynamics of this magno mechanical system. By incorporating the\neffect of noises and dissipations, the following set of quantum Lange vin equations for the magnomechanical system\ncan be obtained:\n˙qk=ωbkpk, (7)\n˙pk=−ωbqk−γbpk−gmkm†\nkmk+ξk, (8)\n˙ck=−(i∆k+κk)ck−igkmk+Γcj+√\n2κacin\nk,(j/negationslash=k) (9)\n˙mk=−(i∆mk+κm)mk−igkck−igmkmkqk+Ωk+√2κmmin, (10)4\nwherekk(km) is the decay rate of the kthcavity mode (magnon mode) while γbdenotes the mechanical damping\nrate.ξ,minandcin\nkare input noise operators for the mechanical, magnon and cavity mo des respectively. These noise\noperators are characterized by the following correlation function s [69]:\n/angbracketleftξ(t)ξ(t′)/angbracketright+/angbracketleftξ(t′)ξ(t)/angbracketright/2 =γb[2nb(ωb)+1]δ(t−t′), (11)/angbracketleftBig\ncin\nk(t)cin†\nk(t′)/angbracketrightBig\n= [nk(ωk)+1]δ(t−t′), (12)\n/angbracketleftBig\ncin†\nk(t)cin\nk(t′)/angbracketrightBig\n=nk(ωk)δ(t−t′), (13)\n/angbracketleftbig\nmin†(t)min(t′)/angbracketrightbig\n=nm(ωm)δ(t−t′), (14)\n/angbracketleftbig\nmin(t)min†(t′)/angbracketrightbig\n= [nm(ωm)+1]δ(t−t′), (15)\nThe equilibrium mean thermal photon, magnon, and phonon numbers arenf(ωf) = [exp(/planckover2pi1ωf\nkbT)−1]−1[f=k(k=\n1,2),m,b], where Tis the environmental temperature and kbthe Boltzmann constant.\nIf the magnon mode is strongly driven, then we must have |/angbracketleftm/angbracketright| ≫1. In addition, the two MW cavity fields\nshow large amplitudes due to the cavity-magnon beam splitter intera ctions. This permits us to linearize the above\nquantum Langevin equations by writing any operator as a sum of ave rage value plus its fluctuation i.e., o=/angbracketlefto/angbracketright+δo,\n(o=p,q,ck,m) and substitute it into Eq.(7-10). The average values of the dynam ical operators are obtained as\n/angbracketleftpk/angbracketright= 0, (16)\n/angbracketleftqk/angbracketright=−gmk\nωb|/angbracketleftmk/angbracketright|2, (17)\n/angbracketleftmj/angbracketright=Ωj−igk/angbracketleftck/angbracketright\ni∆mk+κmk, (18)\n/angbracketleftc1/angbracketright=ig1Ω1α2−Γg2Ω2(κm1+i∆m1)\nα1α2+Γ2(i∆m1+κm1)(i∆m2+κm2), (19)\n/angbracketleftc2/angbracketright=ig2Ω2α1−Γg1Ω1(κm2+i∆m2)\nα1α2+Γ2(i∆m2+κm2)(i∆m1+κm1), (20)\nwhereαj= (i∆i+κi)(i∆mi+κmi)+g2\ni, ∆m= ∆m0+gmb/angbracketleftq/angbracketrightis the effective magnon mode detuning which includes\nthe slight shift of frequency due to the magnomechanical interact ion.\nNow, we introduce the quadrature for the linearised quantum Lang evin equations describing fluctuations are:\nδx=1√\n2(δm−δm†),δy=1√\n2i(δm−δm†),δXk=1√\n2(δck−δc†\nk),δYk=1√\n2i(δck−δc†\nk) can be written in concise form\nas\n˙F(t) =MF(t)+N(t), (21)\nwhereF(t) andN(t) are, respectively, the quantum the fluctuation and input noise ve ctors and are given by:\nF(t) = [δCXY(t),δMxy(t),δQqp(t)]T,\nN(t) = [NXY,Nxy,Nqp]\nwhere\nδCXY(t) =δX1(t),δY1(t),δX2(t),δY2(t)\nδMxy(t) =δx1(t),δy1(t),δx2(t),δy2(t)\nδQqp(t) =δq1(t),δp1(t),δq2(t),δp2(t)\nNXY=/radicalbig\n2k1Xin\n1(t),/radicalbig\n2k1Yin\n1(t),/radicalbig\n2k2Xin\n2(t),/radicalbig\n2k2Yin\n2(t)\nNxy=/radicalbig\n2kmxin\n1(t),/radicalbig\n2kmyin\n1(t),/radicalbig\n2kmxin\n2(t),/radicalbig\n2kmyin\n2(t)\nNqp= 0,ξ1(t),0,ξ2(t)5\nFurthermore, the drift matrix Mcan be written as\nM=\n−κ1∆10 Γ 0 g10 0 0 0 0 0\n−∆1−κ1−Γ 0 −g10 0 0 0 0 0 0\n0 Γ −κ2∆20 0 0 g20 0 0 0\n−Γ 0 −∆2−κ20 0 −g20 0 0 0 0\n0g10 0 −κm1∆m10 0 −G10 0 0\n−g10 0 0 −∆m1−κm10 0 0 0 0 0\n0 0 0 g20 0 −κm2∆m20 0 −G20\n0 0 −g20 0 0 −∆m2−κb20 0 0 0\n0 0 0 0 0 0 0 0 0 ωb10 0\n0 0 0 0 0 G10 0 −ωb1−γb10 0\n0 0 0 0 0 0 0 0 0 0 0 ωb2\n0 0 0 0 0 0 0 0 G20 0 γb2\n, (22)\nwhereGmb=i√\n2gmb/angbracketleftm/angbracketrightis the effective magnomechanical coupling rate. By using Eq. (4), on e can notice that\neffective magnomechanical coupling rate can be increased by applyin g strong magnon drive.\nNext, we discuss the quantum correlation of bipartite subsystems with a special emphasis on the entanglement of\ntwo indirectly coupled modes and the two MW fields in the steady-stat e. The stability of the proposed system is the\nfirst prerequisite for the effectiveness of our scheme. According to Routh-Hurwitz criterion [70], the system is stable\nonly if the real part of the all the eigenvalues of the drift matrix Mare negative. Hence, we start our analysis by\ndetermining eigenvalues of the drift matrix M(i.e.,|M −λM1|= 0) and make sure the stability condition are all\nsatisfied in the following section (see Appendix A). The magnomechan ical system presented here is characterized by\n8×8 covariance matrix V with its entries\nVij(t) =1\n2/angbracketleftFi(t)Fj(t′)+Fj(t′)Fi(t)/angbracketright, (23)\nThe covariance matrix of the magnomechanical system can be obta ined from the steady state Lyapunov equation\n[71, 72]\nMV+VMT=−D, (24)\nwhereD= diag[0 ,γb(2nb+1),κm(2nm+1),κm(2nm+1)κ1(2n1+1) ,κ1(2n1+1),κ2(2n2+1),κ2(2n2+1)], is\na diagonal matrix which is called diffusion matrix and characterizes the noise correlations. The Lyapunov Eq. (24)\nas a linear equation for Vcan be easily solved. Using the Simon condition for Gaussian states, w e calculate the\nentanglement of the steady state [72–76].\nEN= max[0,−ln2η−], (25)\nwhereη−=min eig |/circleplustext2\nj=1(−σy)/tildewiderV4|is the minimum symplectic eigenvalueofcovariancematrixand is /tildewiderV4=̺1|2Vin̺1|2,\nwhereVinis a 4×4 matrix of any two subsystems which can easily be obtain by neglectin g the uninteresting rows and\ncolumns in V4.̺1|2=σz/circleplustext1=diag(1 ,−1,1,1) is the matrix which characterizes the partial transposition at th e level\nof covariance matrices. Here, σyandσzare the pauli spin matrices. Furthermore, a nonzero logarithmic ne gativity\ni.e.,EN>0 defines the presence of bipartite entanglement in our cavity magn omechanical system.\nIV. RESULTS AND DISCUSSION\nIn this section we are going to discuss in details the results of bipartit e entanglements as we have six different\nmodes in this coupled cavity Magnomechnical system. So, we can get bipartite entanglement in any of two modes\nhowever the most significant part of our study is to investigate the bipartite entanglement present in various spatially\ndistant subsystems which we have summarised in Table II with symbols .\nIn Fig. 2, we present five different distant bipartite entanglements as a function of dimensionless cavity detuning for\nfirst cavity ∆ 1/ωband second cavity ∆ 2/ωb. When both the magnon detuning is kept in resonant with blue sideban d\nregime i.e. ∆ m1= ∆m2=ωbit can be seen that bipartite entanglement between two cavity mode sEN\nc1−c2become\nmaximum for ∆ 1= ∆2=−0.5ωbalthough even if both the cavities are resonant with blue sideband re gime i.e.\n∆1= ∆2=ωbwe have significant amount of bipartite entanglement in EN\nc1−c2as shown in Fig. 2(a). In Fig.2(b) we\nstudy the bipartite entanglement EN\nc1−m2(EN\nc2−m1) which attains maximum value either when both the cavities are\nresonant with driving field, i.e. ∆ 1= ∆2= 0 or resonant with red sideband regime, i.e. ∆ 1= ∆2=−ωb. Moreover6\nParameters Symbol Value Parameters Symbol Value\nPhonon frequency ωb 2π×10 MHz Cavity frequency ω1=ω2=ωa2π×10 GHz\nCavity decay rates κ1=κ2=κ2π×1 MHz Magnon decay rate κm 2π×1 MHz\nMechanical damping rate γb 2π×100 Hz Magnon-Microwave couplings Γ 1= Γ2= Γ 2 π×3.2 MHz\nMagnomechanical coupling gmb 2π×0.3 Hz Drive Magnetic Field B 3 .9×10−5T\nYIG Sphere Diameter D 250 µmTemperature T 10 mK\nPower ℘=B2πr2c\n2µ2 9.8 mW Spin density ρ 4.22×1027m−3\nTABLE I. Parameters used in recent experiments for the mecha nical resonators\nBipartite Subsystem Entanglement Symbol Bipartite Subsystem Entanglement Symbol\nCavity 1-Cavity 2 EN\nc−c\nCavity 1-magnon 2 EN\nc1−m2Cavity 2-magnon 1 EN\nc2−m1\nCavity 1-phonon 2 EN\nc1−b2Cavity 2-phonon 1 EN\nc2−b1\nTABLE II. Adopted notation for the different bipartite subsy stem entanglement.\nFIG. 2. (Color Online) Density plot of bipartite entangleme nt in (a),(d) EN\nc1−c2; in (b),(e) EN\nc1−m2=EN\nc2−m1and in (c),(f)\nEN\nc1−b2=EN\nc2−b1versus cavity detunings ∆ 1/ωband ∆ 2/ωbin (a)-(c) for ∆ m1= ∆m2=ωbwhereas varying both magnon\ndetunings ∆ m1/ωband ∆ m2/ωbin (d)-(f). We use optimum values of of ∆ 1and ∆ 2for (d)-(f). The other parameters are given\nin Table I.7\nwhen both the cavities are kept in resonant with this red sideband re gime the bipartite entanglement EN\nc1−b2(EN\nc2−b1)\nattains its maximum value as shown in Fig. 2(c). Furthermore, it can b e seen that if cavity detunigs for both the\ncavities are kept fixed and resonant with blue sideband regime i.e. ∆ 1= ∆2=ωbthen all the above mentioned\nbipartite entanglements have significant values on gradually varying both ∆ m1/ωband ∆ m2/ωbfrom 0.8 to 1.1 as\nshown in Fig. 2(d)-2(f).\nWe plot five different distant bipartite entanglements as a function o f ∆1/ωband ∆ 2/ωbfor different photon hopping\nfactor Γ as well while keepin both the magnon detuning in resonant wit h blue sideband regime i.e. ∆ m1= ∆m2=ωb\nin Fig. 3. For Γ == 0 .5ωbthe quantity EN\nc1−c2attains maximum value when both the cavity have zero detunings\ni.e. ∆ 1= ∆2= 0 whereas for off resonant cavities we get finite values of EN\nc1−c2as shown in Fig. 3(a). However\nthe quantities EN\nc1−m2=EN\nc2−m1become maximum for two values of cavity detunings which are ∆ 1= ∆2= 0\nand ∆ 1= ∆2=−ωbas shown in Fig. 3(b) whereas both the quantities EN\nc1−b2=EN\nc2−b1become maximum at\n∆1= ∆2=−ωbas shown in Fig. 3(c). It can be seen that if we increase photon hopp ing factor upto Γ == 0 .8ωb\nthen the bipartite entanglement in between both the cavity modes EN\nc1−c2becomes maximum for two cases i.e.\nfor resonant cavities ∆ 1= ∆2= 0 and when resonant with red sideband regime ∆ 1= ∆2=−ωbas shown in\nFig. 3(d). In addition, in the density plots of the quantities EN\nc1−m2=EN\nc2−m1the panel corresponding to red\nsideband regime start to decrease whereas the panel correspon ding to resonant cavities increases as shown in Fig.\n3(e). Moreover, the quantities EN\nc1−b2=EN\nc2−b1show the finite values for a broad range of cavity detunings and\nattain maximum value for ∆ 1= ∆2=−1.5ωbas shown in Fig. 3(f). On further increasing the value of Γ and\nFIG. 3. (Color Online) Density plot of bipartite entangleme nt in (a),(d),(g) EN\nc1−c2; in (b),(e),(h) EN\nc1−m2=EN\nc2−m1and in\n(c),(f),(i) EN\nc1−b2=EN\nc2−b1versus detunings ∆ 1/ωband ∆ 2/ωb. Here we have taken Γ = 0 .5ωbfor (a),(b),(c); Γ = 0 .8ωbfor\n(d),(e),(f) and Γ = ωbfor (g),(h),(i). We take both magnon detunings at ∆ m1= ∆m2=ωbThe other parameters are given in\nTable I8\nFIG. 4. (Color Online) Density plot of bipartite entangleme nt in (a),(f) EN\nc1−c2; in (b),(g) EN\nc1−m2; in (c),(h) EN\nc2−m1; in (d),(i)\nEN\nc1−b2and (e),(j) EN\nc2−b1versus ∆ 1/ωband Γ/ωbfor ∆2=ωbin (a)-(e) and for ∆ 2=−ωbin (f)-(j). The other parameters\nare same as in Fig. 3.\nkeeping it at Γ == ωb, the quantity EN\nc1−c2again becomes maximum for two cases i.e. for ∆ 1= ∆2=−0.5ωband\n∆1= ∆2=−1.5ωbas shown in Fig. 3(g) whereas the quantities EN\nc1−m2=EN\nc2−m1attain maximum value only when\nboth the cavity detunings are nearly resonant with blue sideband re gime as given in Fig. 3(h). However the quantities\nEN\nc1−b2=EN\nc2−b1attain maximum value only for very far off-resonant cavities ∆ 1= ∆2=−2ωbwhereas for a broad\nrange of negative cavity detunings both these distant entangleme nts almost become negligible however for a positive\nvalue of ∆ 1/ωband ∆ 2/ωbboth the bipartite entanglements attain finite values EN\nc1−b2=EN\nc2−b1as shown in Fig. 3(i).\nWe study the effects of varying photon hopping factor Γ /κcand normalised cavity detuning ∆ 1/ωbon these five\nbipartite entanglements while keeping second cavity detuning ∆ 2/ωbfixed in Fig. 4. It can be seen that for ∆ 2=ωb\ni.e. when second cavity detuning is resonant with blue sideband regime , the quantity EN\nc1−c2becomes maximum for\n∆1varying in the range of 0 − −0.5ωbwhereas photon hopping factor varies upto 0 −5 although after this range\nEN\nc1−b2=EN\nc2−b1get finite value for both positive and negative ∆ 1/ωbwith varying Γ κcas shown in Fig. 4(a).\nSimilarly both the quantities EN\nc1−m2andEN\nc2−m1get maximum for ∆ 1≈ −ωband after this they attain finite values\nagain on varying ∆ 1/ωband Γκcas shown in Fig. 4(b) and 4(c). Moreover, the other two quantities EN\nc1−b2and\nEN\nc2−b1attain their maximum value for ∆ 1/ωbvarying in the range of ( −1) to (−2) even for a very high value of Γ κc\nas shown in Fig. 4 (d) and 4(e). In another scenario for ∆ 2=−ωbi.e. when second cavity detuning is resonant with\nred sideband regime, the quantity EN\nc1−c2becomes maximum nearby to ∆ 1/ωb= 0 and Γ ≈κchowever after this it\ndecreases very rapidly on gradually increasing ∆ 1/ωbas well as Γ /κcas shown in Fig. 4(f). For this value of second\ncavity detuning, it can be seen that both the bipartite entanglemen tsEN\nc1−m2as well as EN\nc2−m1get maximum only\naround ∆ 1/ωb= 0 to±1.0 and Γ/κcvalue lies in between 7-10 and afterwards both these entanglement s vanish as\nshown in Figs. 4(g) and 4(h). However in this range of ∆ 1/ωb,EN\nc1−b2andEN\nc2−b1first become maximum for single\nphoton hopping factor Γ /κcvalues which are in between the range 5-7 and then both the bipartit e entanglements\nbecome zero although a further increase in Γ /κcgive maximum values of EN\nc1−b2andEN\nc2−b1as depicted in Figs. 4(i)9\nand 4(j).\n-2 -1 0 1 2\n/b00.10.2\n-2 -1 0 1 2\n/b00.10.2\n-2 -1 0 1 2\n/b00.10.2-2 -1 0 1 200.10.2ENc1-c2ENc1-m2ENc2-m1ENc1-b2ENc2-b1ENm1-b1ENm2-b2\n-2 -1 0 1 200.10.2\n-2 -1 0 1 200.10.2\n-1 0 100.015=0.8 b=0.5 b(c) (b) (a)\n(d) (e) (f)=b\n=b\n=0.8 b=0.5 b\nFIG. 5. (Color Online) Plot of different bipartite entanglem ent versus ∆ /ωbby taking ∆ = ∆ 1= ∆2=ωbfor the upper panel\nand ∆ = ∆ 1=−∆2=ωbfor the lower panel. The other parameters are as in Fig. 3.\nFurthermore, in Fig. 5 we plot different distant bipartite entangleme ntsas a function of ∆ /ωbfor symmetric cavities\nwhere we take ∆ = ∆ 1= ∆2=ωb(upper panel) and for antisymmetric cavities ∆ = ∆ 1=−∆2=ωb(lower panel).\nFor Γ = 0 .5ωband symmetric cavities, the bipartite quantity EN\nc1−c2varies from 0 to 0.6 with gradually changing\nthe normalised detuning ∆ /ωbin between -1 to 1 and after this range the quantity EN\nc1−c2becomes zero as shown\nin Fig. 5(a). However, the other bipartite quantities EN\nm2−b2(EN\nm1−b1) varies from 0 to 0.2 for negative values of\n∆/ωband for ∆ /ωbgreater than zero both of these quantities get saturated to a fin ite positive value. Moreover, the\nother bipartite quantities EN\nc1−b2(EN\nc2−b1) as well as EN\nc1−m2(EN\nc2−m1) become almost zero for positive values of ∆ /ωb\nas shown in Fig. 5(a). It can be seen that for this value of Γ a significa nt amount of entanglement transfer takes\nplace from EN\nm2−b2(EN\nm1−b1) toEN\nc1−b2(EN\nc2−b1) andEN\nc1−m2(EN\nc2−m1) at ∆/ωb≈-0.3 and -1.2. For Γ = 0 .8ωb, the\nbipartite entanglement EN\nc1−c2become finite for ∆ /ωbvarying in the range of (0.3)-(1.3) and (-0.5)-(-1.3) as shown\nin Fig. 5(b). It can be also seen that the bipartite quantities EN\nm2−b2(EN\nm1−b1) almost get around 0.2 for positive\nas well as negative values of ∆ /ωbexcept for certain values of ∆ /ωb≈0.2 and−1.5 whereas EN\nc1−m2(EN\nc2−m1) has\nfinite values upto ∆ /ωb≈0.5 andEN\nc1−b2(EN\nc2−b1) becomes zero even for negative values of ∆ /ωb. In this case we get\nmaximum entanglement transfer from EN\nm2−b2(EN\nm1−b1) toEN\nc1−b2(EN\nc2−b1) andEN\nc1−m2(EN\nc2−m1) around ∆ /ωb≈0.1\nand -1.5. If we increase further single photon hopping factor upto Γ =ωbthen the quantity EN\nc1−c2remains finite only\nfor ∆/ωbvarying in the range of (0 .5)−(1.5) as well as ( −0.5)−(−1.5) whereas EN\nm2−b2(EN\nm1−b1) almost gets around\n0.25 except at certain values of the ∆ /ωbfor which the entanglement transfer takes place between differen t bipartite\ncorrelations as shown in Fig. 5(c). In this case EN\nc1−m2(EN\nc2−m1) has finite values upto ∆ /ωb≈1.0 however EN\nc1−b210\n(EN\nc2−b1) qualitatively remains the same as depicted in Fig. 5(c). Moreover, t he maximum entanglement transfer from\nEN\nm2−b2(EN\nm1−b1) toEN\nc1−b2(EN\nc2−b1) andEN\nc1−m2(EN\nc2−m1) takes place around values ∆ /ωb≈0.5 and -1.8. Now for\nantisymmetric cavities ∆ = ∆ 1=−∆2=ωband Γ = 0 .5ωbit can be seen that both the bipartite entanglements\nEN\nm2−b2(EN\nm1−b1) have finite values with a varying ∆ /ωbalthough for few values both becomes zero s shown in Fig.\n5(d). All other bipartite entanglements have very small values for this value of Γ. For Γ = 0 .8ωbthe bipartite\nentanglement EN\nc1−c2becomes zero whereas the quantities EN\nm2−b2(EN\nm1−b1) have finite values from 0.1-0.25 as shown\nin Fig. 5(d). Moreover, the bipartite entanglements EN\nc1−b2(EN\nc2−b1) increases for this value of Γ and become finite for\na varying ∆ /ωbin between the range of (-1)-(1) whereas EN\nc1−m2(EN\nc2−m1) also increases and varies from 0-0.07(0.08)\nwith ∆/ωbas depicted in Fig. 5(d). With a further increment in Γ both the bipart ite entanglements EN\nc1−m2(EN\nc2−m1)\nbecomes finite over whole range of varying ∆ /ωbwhereas all other bipartite entanglements qualitatively remains the\nsame (like earlier case of Γ = 0 .8ωb) as shown in Fig. 5(f).\nV. CONCLUSIONS\nWe present an experimentally feasible scheme based on coupled magn omechanical system where two microwave\ncavities are coupled through single photon hopping parameter Γ and each cavity also contains a magnon mode and\nphonon mode. We have investigated continuous variable entangleme nt between distant bipartitions for an appropriate\nset of both cavities and magnons detuning and their decay rates. H ence, it can be seen that bipartite entanglement\nbetween indirectly coupled systems are substantial in our propose d scheme. Moreover, cavity-cavitycoupling strength\nalso plays a key role in the degree of bipartite entanglement and its tr ansfer among different direct and indirect modes.\nThis scheme may prove to be significant for processing continuous v ariable quantum information in quantum memory\nprotocols.\nAPPENDIX A. STABILITY OF THE SYSTEM\nIt is worthy to discuss the stability of the subject system, since th e stability of strongly magnomechanical system\nis difficult to achieve. In this section, we are going to discuss the stab ility of our system. The system becomes stable\nonly when all the eigenvalues of the drift matrix Mhave negative real parts. In case the sign of the real part of\neigenvalues changes, system will becomes unstable. Hence, in orde r to provide the intuitive picture for the parameter\nregime where stability occurs can be obtained from the Routh-Hurw itz criterion, we have plotted the maximum of\nthe real parts of the eigenvalues λM(|M −λM1|= 0) of the drift matrix Mvs the normalized detunings in Fig.\n7 [70]. It is important to mention here that the system becomes unst able if the maximum of the real parts of the\neigenvalues λMis greater than zero. Figure. 7 clearly shows the maximum of the rea l parts of the eigenvalues λM\nremains negative for the chosen parameters and hence the syste m is stable. Therefore, the whole set of numerical\nparameters used throughout the manuscript satisfies the stabilit y conditions and hence the working regime we chose\nis the regime of stability.\nDATA AVAILABILITY\nAll data used during this study are available within the article.\nREFERENCES\n[1] F. Schwabl, Quantum mechanics (Springer Science & Business Media, 2007).\n[2] L. E. Ballentine, Quantum mechanics: a modern development (World Scientific Publishing Company, 2014).\n[3] R. Horodecki, P. Horodecki, M. Horodecki, and K. Horodec ki, Quantum entanglement, Reviews of modern physics 81, 865\n(2009).\n[4] D. Vitali, S. Gigan, A. Ferreira, H. B¨ ohm, P. Tombesi, A. Guerreiro, V. Vedral, A. Zeilinger, and M. Aspelmeyer, Op-\ntomechanical entanglement between a movable mirror and a ca vity field, Physical review letters 98, 030405 (2007).11\n[5] S. Mancini, V. Giovannetti, D. Vitali, and P. Tombesi, En tangling macroscopic oscillators exploiting radiation pr essure,\nPhysical review letters 88, 120401 (2002).\n[6] C.-J. Yang, J.-H. An, W. Yang, and Y. Li, Generation of sta ble entanglement between two cavity mirrors by squeezed-\nreservoir engineering, Physical Review A 92, 062311 (2015).\n[7] J. Li, G. Li, S. Zippilli, D. Vitali, and T. Zhang, Enhance d entanglement of two different mechanical resonators via co herent\nfeedback, Physical Review A 95, 043819 (2017).\n[8] M. J. Hartmann and M. B. Plenio, Steady state entanglemen t in the mechanical vibrations of two dielectric membranes,\nPhysical Review Letters 101, 200503 (2008).\n[9] J.-Q. Liao, Q.-Q. Wu, F. Nori, et al., Entangling two macroscopic mechanical mirrors in a two-ca vity optomechanical\nsystem, Physical Review A 89, 014302 (2014).\n[10] H. Xiong, M. O. Scully, and M. S. Zubairy, Correlated spo ntaneous emission laser as an entanglement amplifier, Physi cal\nreview letters 94, 023601 (2005).\n[11] M. Kiffner, M. S. Zubairy, J. Evers, and C. Keitel, Two-mo de single-atom laser as a source of entangled light, Physica l\nReview A 75, 033816 (2007).\n[12] S. Qamar, M. Al-Amri, S. Qamar, and M. S. Zubairy, Entang led radiation via a raman-driven quantum-beat laser, Physi cal\nReview A 80, 033818 (2009).\n[13] Z. Chen, J.-X. Peng, J.-J. Fu, and X.-L. Feng, Entanglem ent of two rotating mirrors coupled to a single laguerre-gau ssian\ncavity mode, Optics express 27, 29479 (2019).\n[14] M. Bhattacharya, P.-L. Giscard, and P. Meystre, Entang lement of a laguerre-gaussian cavity mode with a rotating mi rror,\nPhysical Review A 77, 013827 (2008).\n[15] J.-X. Peng, Z. Chen, Q.-Z.Yuan,and X.-L. Feng, Optomec hanically inducedtransparencyin a laguerre-gaussian rot ational-\ncavitysystem andits application tothe detection oforbita l angular momentum oflight fields, Physical ReviewA 99, 043817\n(2019).\n[16] S. Singh, J.-X. Peng, M. Asjad, and M. Mazaheri, Entangl ement and coherence in a hybrid laguerre–gaussian rotating\ncavity optomechanical system with two-level atoms, Journa l of Physics B: Atomic, Molecular and Optical Physics 54,\n215502 (2021).\n[17] H.-J. Cheng, S.-J. Zhou, J.-X. Peng, A. Kundu, H.-X. Li, L. Jin, and X.-L. Feng, Tripartite entanglement in a laguerr e–\ngaussian rotational-cavity system with an yttrium iron gar net sphere, JOSA B 38, 285 (2021).\n[18] B. Z. Rameshti, S. V. Kusminskiy, J. A. Haigh, K. Usami, D . Lachance-Quirion, Y. Nakamura, C.-M. Hu, H. X. Tang,\nG. E. Bauer, and Y. M. Blanter, Cavity magnonics, Physics Rep orts979, 1 (2022).\n[19] J. Rao, P. Xu, Y. Gui, Y. Wang, Y. Yang, B. Yao, J. Dietrich , G. Bridges, X. Fan, D. Xue, et al., Interferometric control\nof magnon-induced nearly perfect absorption in cavity magn onics, Nature communications 12, 1933 (2021).\n[20] G.-Q. Zhang, Z. Chen, W. Xiong, C.-H. Lam, and J. You, Par ity-symmetry-breaking quantum phase transition via para-\nmetric drive in a cavity magnonic system, Physical Review B 104, 064423 (2021).\n[21] R.-C. Shen, Y.-P. Wang, J. Li, S.-Y. Zhu, G. Agarwal, and J. You, Long-time memory and ternary logic gate using a\nmultistable cavity magnonic system, Physical Review Lette rs127, 183202 (2021).\n[22] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usam i, and Y. Nakamura, Hybridizing ferromagnetic magnons and\nmicrowave photons in the quantum limit, Physical review let ters113, 083603 (2014).\n[23] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Strongly co upled magnons and cavity microwave photons, Physical revie w\nletters113, 156401 (2014).\n[24] C. Kittel, On the theory of ferromagnetic resonance abs orption, Physical review 73, 155 (1948).\n[25] J. Li, Y.-P. Wang, J.-Q. You, and S.-Y. Zhu, Squeezing mi crowaves by magnetostriction, National Science Review 10,\nnwac247 (2023).\n[26] J. Li, S.-Y. Zhu, and G. Agarwal, Magnon-photon-phonon entanglement in cavity magnomechanics, Physical review le tters\n121, 203601 (2018).\n[27] K. Ullah, M. T. Naseem, and ¨O. E. M¨ ustecaplıo˘ glu, Tunable multiwindow magnomechani cally induced transparency, fano\nresonances, and slow-to-fast light conversion, Physical R eview A 102, 033721 (2020).\n[28] A. Sohail, R. Ahmed, J.-X. Peng, T. Munir, A. Shahzad, S. Singh, and M. C. de Oliveira, Controllable fano-type optica l\nresponse andfour-wavemixingviamagnetoelastic coupling inanopto-magnomechanical system, Journal ofAppliedPhys ics\n133(2023).\n[29] Z.-X. Liu, J. Peng, and H. Xiong, Generation of magnonic frequency combs via a two-tone microwave drive, Physical\nReview A 107, 053708 (2023).\n[30] S. Singh, M. Mazaheri, J.-X. Peng, A. Sohail, M. Khalid, and M. Asjad, Enhanced weak force sensing based on atom-base d\ncoherent quantum noise cancellation in a hybrid cavity opto mechanical system, Frontiers in Physics 11, 245 (2023).\n[31] M. Amazioug, B. Teklu, and M. Asjad, Enhancement of magn on–photon–phonon entanglement in acavity magnomechanics\nwith coherent feedback loop, Scientific Reports 13, 3833 (2023).\n[32] X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang, and H . X. Tang, Magnon dark modes and gradient memory, Nature\ncommunications 6, 8914 (2015).\n[33] R.-C. Shen, J. Li, Z.-Y. Fan, Y.-P. Wang, and J. You, Mech anical bistability in kerr-modified cavity magnomechanics ,\nPhysical Review Letters 129, 123601 (2022).\n[34] Y.-P. Wang, G.-Q. Zhang, D. Zhang, T.-F. Li, C.-M. Hu, an d J. You, Bistability of cavity magnon polaritons, Physical\nreview letters 120, 057202 (2018).12\n[35] C. Kong, H. Xiong, and Y. Wu, Magnon-induced nonrecipro city based on the magnon kerr effect, Physical Review Applied\n12, 034001 (2019).\n[36] G.-Q. Zhang, Y. Wang, and W. Xiong, Detection sensitivi ty enhancement of magnon kerr nonlinearity in cavity magnon ics\ninduced by coherent perfect absorption, Physical Review B 107, 064417 (2023).\n[37] W. Xiong, M. Tian, G.-Q. Zhang, and J. You, Strong long-r ange spin-spin coupling via a kerr magnon interface, Physic al\nReview B 105, 245310 (2022).\n[38] Y.-P. Wang, G.-Q. Zhang, D. Zhang, X.-Q. Luo, W. Xiong, S .-P. Wang, T.-F. Li, C.-M. Hu, and J. You, Magnon kerr\neffect in a strongly coupled cavity-magnon system, Physical Review B 94, 224410 (2016).\n[39] G. Zhang, Y. Wang, and J. You, Theory of the magnon kerr eff ect in cavity magnonics, Science China Physics, Mechanics\n& Astronomy 62, 1 (2019).\n[40] R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa, A. Noguc hi, R. Yamazaki, K. Usami, and Y. Nakamura, Bidirectional\nconversion between microwave and light via ferromagnetic m agnons, Physical Review B 93, 174427 (2016).\n[41] Z. Yan, C. Wan, and X. Han, Magnon blocking effect in an ant iferromagnet-spaced magnon junction, Physical Review\nApplied 14, 044053 (2020).\n[42] Z.-X. Liu, H. Xiong, and Y. Wu, Magnon blockade in a hybri d ferromagnet-superconductor quantum system, Physical\nReview B 100, 134421 (2019).\n[43] M. Yu, H. Shen, and J. Li, Magnetostrictively induced st ationary entanglement between two microwave fields, Physic al\nReview Letters 124, 213604 (2020).\n[44] A. Hidki, A. Lakhfif, J. El Qars, and M. Nassik, Evolution of r´ enyi-2 quantum correlations in a double cavity–magnon\nsystem, Modern Physics Letters A , 2350044 (2023).\n[45] J. Li and S.-Y. Zhu, Entangling two magnon modes via magn etostrictive interaction, New Journal of Physics 21, 085001\n(2019).\n[46] Z. Zhang, M. O. Scully, and G. S. Agarwal, Quantum entang lement between two magnon modes via kerr nonlinearity\ndriven far from equilibrium, Physical Review Research 1, 023021 (2019).\n[47] Z.-B. Yang, J.-S. Liu, H. Jin, Q.-H. Zhu, A.-D. Zhu, H.-Y . Liu, Y. Ming, and R.-C. Yang, Entanglement enhanced by kerr\nnonlinearity in a cavity optomagnonics system, Optics Expr ess28, 31862 (2020).\n[48] B. Hussain, S. Qamar, and M. Irfan, Entanglement enhanc ement in cavity magnomechanics by an optical parametric\namplifier, Physical Review A 105, 063704 (2022).\n[49] A. Hidki, Y.-L. Ren, A. Lakhfif, J. El Qars, and M. Nassik, Enhanced maximum entanglement between two microwave\nfields in the cavity magnomechanics with an optical parametr ic amplifier, Physics Letters A 463, 128667 (2023).\n[50] A. Sohail, R. Ahmed, J.-X. Peng, A. Shahzad, and S. Singh , Enhanced entanglement via magnon squeezing in a two-cavit y\nmagnomechanical system, JOSA B 40, 1359 (2023).\n[51] A. Hidki, A. Lakhfif, J. El Qars, and M. Nassik, Transfer o f squeezing in a cavity magnomechanics system, Journal of\nModern Optics , 1 (2023).\n[52] W.-J. Wu, Y.-P. Wang, J.-Z. Wu, J. Li, and J. You, Remote m agnon entanglement between two massive ferrimagnetic\nspheres via cavity optomagnonics, Physical Review A 104, 023711 (2021).\n[53] F.-X. Sun, S.-S. Zheng, Y. Xiao, Q. Gong, Q. He, and K. Xia , Remote generation of magnon schr¨ odinger cat state via\nmagnon-photon entanglement, Physical Review Letters 127, 087203 (2021).\n[54] H. Xie, L.-W. He, C.-G. Liao, Z.-H. Chen, and X.-M. Lin, G eneration of robust optical entanglement in cavity optomag non-\nics, Optics Express 31, 7994 (2023).\n[55] Y.-T. Chen, L. Du, Y. Zhang, and J.-H. Wu, Perfect transf er of enhanced entanglement and asymmetric steering in a\ncavity-magnomechanical system, Physical Review A 103, 053712 (2021).\n[56] S. Q. Dilawaiz and M. Irfan, Entangled atomic ensemble a nd yig sphere in coupled microwave cavities, arXiv preprint\narXiv:2211.14914 (2022).\n[57] X. Zhang, C. Zou, L. Jiang, and H. Tang, Cavity magnomech anics sci, Adv 2, e1501286 (2016).\n[58] J. Li, S.-Y. Zhu, and G. Agarwal, Squeezed states of magn ons and phonons in cavity magnomechanics, Physical Review A\n99, 021801 (2019).\n[59] C. Kittel, Interaction of spin waves and ultrasonic wav es in ferromagnetic crystals, Physical Review 110, 836 (1958).\n[60] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Strongly co upled magnons and cavity microwave photons, Physical revie w\nletters113, 156401 (2014).\n[61] C. Gonzalez-Ballestero, D. H¨ ummer, J. Gieseler, and O . Romero-Isart, Theory of quantum acoustomagnonics and aco us-\ntomechanics with a micromagnet, Physical Review B 101, 125404 (2020).\n[62] A. Osada, R. Hisatomi, A. Noguchi, Y. Tabuchi, R. Yamaza ki, K. Usami, M. Sadgrove, R. Yalla, M. Nomura, and\nY. Nakamura, Cavity optomagnonics with spin-orbit coupled photons, Physical review letters 116, 223601 (2016).\n[63] C. Kittel, On the theory of ferromagnetic resonance abs orption, Physical review 73, 155 (1948).\n[64] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usam i, and Y. Nakamura, Hybridizing ferromagnetic magnons and\nmicrowave photons in the quantum limit, Physical review let ters113, 083603 (2014).\n[65] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen stein, A. Marx, R. Gross, and S. T. Goennenwein, High cooper-\nativity in coupled microwave resonator ferrimagnetic insu lator hybrids, Physical Review Letters 111, 127003 (2013).\n[66] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan, M. Kostyl ev, and M. E. Tobar, High-cooperativity cavity qed with\nmagnons at microwave frequencies, Physical Review Applied 2, 054002 (2014).\n[67] R. Simon, Peres-horodecki separability criterion for continuous variable systems, Physical Review Letters 84, 2726 (2000).\n[68] T. Holstein and H. Primakoff, Field dependence of the int rinsic domain magnetization of a ferromagnet, Physical Rev iew\n58, 1098 (1940).13\n[69] C. W. Gardiner and P. Zoller, Quantum noise, vol. 56 of sp ringer series in synergetics, Springer–Verlag, Berlin 97, 98\n(2000).\n[70] E. X. DeJesus and C. Kaufman, Routh-hurwitzcriterion i n the examination of eigenvalues of a system of nonlinear ord inary\ndifferential equations, Physical Review A 35, 5288 (1987).\n[71] P. C. Parks and V. Hahn, Stability theory (Prentice-Hall, Inc., 1993).\n[72] A. Sohail, M. Rana, S. Ikram, T. Munir, T. Hussain, R. Ahm ed, and C.-s. Yu, Enhancement of mechanical entanglement\nin hybrid optomechanical system, Quantum Information Proc essing19, 1 (2020).\n[73] J. Eisert, Entanglement in quantum theory , Ph.D. thesis, Ph. D. Thesis, University of Potsdam, Postda m, Germany (2001).\n[74] G. Vidal and R. F. Werner, Computable measure of entangl ement, Physical Review A 65, 032314 (2002).\n[75] M. B. Plenio, Logarithmic negativity: a full entanglem ent monotone that is not convex, Physical review letters 95, 090503\n(2005).\n[76] G. Adesso and F. Illuminati, Entanglement in continuou s-variable systems: recent advances and current perspecti ves,\nJournal of Physics A: Mathematical and Theoretical 40, 7821 (2007).This figure \"Fig1.jpg\" is available in \"jpg\"\n format from:\nhttp://arxiv.org/ps/2307.09424v1" }, { "title": "1604.08465v1.Platinum_Yttrium_Iron_Garnet_Inverted_Structures_for_Spin_Current_Transport.pdf", "content": "1 \n Platinum /Yttrium Iron Garnet Inverted S tructures for Spin Current \nTransport \nMohammed Aldosary1, Junxue Li1, Chi Tang1, Yadong Xu1, Jian-Guo Zheng2, Krassimir N. \nBozhilov3, and Jing Shi1 \n1Department of Physics and Astronomy and SHINES Energy Frontier Research Center, \nUniversity of California, Riverside, CA 92521 , USA. \n2Irvine Materials Research Institute, University of California, Irvine, CA 92697 , USA . \n3Central Facility for Advanced Micr oscopy and Microanalysis, Unive rsity of California, \nRiverside , CA 92521 , USA . \n \n 30-80 nm thick yttrium iron garnet ( YIG) films are grown by pulsed laser deposition on a \n5 nm thick sputtered Pt atop gadolinium gallium garnet substrate (GGG) (110) . Upon post -\ngrowth rapid thermal annealing, single crystal YIG(110) emerges as if it were epitaxially \ngrown on GGG(110) despite the presence of the intermediate Pt film. The YIG surface shows \natomic steps with the root -mean -square roughness of 0. 12 nm on fl at terraces . Both Pt/YIG \nand GGG /Pt interfaces are atomically sharp . The resulting YIG(110) films show clear in -\nplane uniaxial magnetic anisotropy with a w ell-defined easy axis along <001> and a peak -to-\npeak ferromagnetic resonance linewidth of 7.5 Oe at 9.32 GHz , similar to YIG epitaxilly \ngrown on GGG . Both s pin Hall magnetoresistance and longit udinal spin Seebeck effect s in \nthe inverted bilayers indicate excellent Pt/YIG interface quality . \n 2 \n Magnetic garnets are important materials that offer unique functionalities in a range of \nbulk and thin film device application s requ iring magnetic insulators .1,2 Among all magnetic \ninsulators, yttrium iron garnet (Y 3Fe5O12 or YIG) has been most extensively used in various \nhigh-frequency devices such as microwave filters, oscillators , and Faraday rotators 3 due to its \nattractive attributes including ultra-low intrinsic Gilbert damping constant ( as low as 3 \n10-5) 4 which is two orders of magnitude smaller than tha t of ferromagnetic metals, high Curie \ntemperature (T C = 550 K), soft magnetization behavior, large band gap ( ~ 2.85 eV) ,5 and \nrelatively easy synthesis in single crystal form. These conventional applications demand bulk \nYIG crystals or micron -thick films grown by liquid phase epitaxy.6 For more recent \nspintronic studie s such as the spin Seebeck effect (SSE)7 and spin pumping ,8 submicron - or \nnanometer -thick films are typically grown by pulsed laser deposition (PLD) or sputtering. It \nhas been shown that high-quality YIG films can be epitaxial ly grown directly on GGG \nsubstrates due to the same crystalline structure and a very small lattice mismatch of 0.057%.9-\n11 To form bilayers, a thin polycrystalline metal layer is typically deposited on top of YIG by \nsputtering , which results in reasonably good interfaces for spin current transport .7,8,12 For \nsome studies such as the magnon -mediated current drag ,13,14 sandwiches of metal/YIG/metal \nare required, in which YIG needs to be both magnetic and electrically in sulating. However , \nhigh-quality bilayers of the reverse order, i.e. YIG on metal, are very difficult to be \nfabricated. A main challenge is that the YIG grow th requires high temperatures and an \noxygen environment 15 which can cause significant inter-diffusi on, oxidation of the metal \nlayer, etc. and consequently lead to poor structural and electrical properties in both metal and \nYIG layers. \n This letter reports control led growth of high -quality single crystal YIG thin films ranging \nfrom 30 to 80 nm in thickness on a 5 nm thick Pt layer atop Gd3Ga5O12 or GGG (110) \nsubstrate . Combined with low -temperature growth which suppresses the inter -diffusion, 3 \n subsequent rapid thermal annealing (RTA) and optimization of other growth parameters \nresult in well-defined magnetism, atomically sharp Pt/YIG interface, and atomically flat YIG \nsurface. In addition, despite the intermediate Pt layer that has a drastically different crystal \nstructure from the garnets , the top YIG layer shows desired structural and m agnetic properties \nas if it were epitaxially grown on GGG ( 110). \n 5 5 mm2 of commercial GGG (110) single crystal substrate s are first cleaned in \nultrasonic baths of acetone, isopropyl alcohol , then deionized water , and dried by pure \nnitrogen gun. Subsequently, the substrates are annealed in a furnace at 900 °C in O 2 for eight \nhours which produces atomically flat surface . Atomic force microscopy (AFM) is performed \nto track the surface morphology of the annealed substrates. Figure 1(a) show s the 2x2 μm2 \nAFM scan of an annealed GGG (110) substrate . Flat atomic terrace s are clearly present and \nseparated with a step height of 4.4 ± 0.2 Å which is equal to ¼ of the face diagonal of the \nGGG unit cell or the (220) interplanar distances of 4.4 Å of GGG . The 4.4 Å distance is the \nseparation between the GaO 6 octahedral layers parallel to (110) that might be defining the \nobserved atomic step ledges. The root -mean -square (RMS) roughness on the terraces is ~0.74 \nÅ. Then, the substrate is transferred into a sputtering chamber with a base pressure of 5 10-8 \nTorr for Pt deposition . DC magnetron sputtering i s used with the Ar pressure of 5 mTorr and \npower of 37.5 W. The sputtering deposition rate i s 0.76 Å/s and samp le holder rotation speed \nis 10 RPM . After th e 5 nm thick Pt deposition , the surface of the Pt film is found to maintain \nthe atomic terraces of the GGG ( 110) substrate , except that the RMS roughness on the Pt \nterraces is increased to 1.05 Å as shown in figure 1(b). It is rather surprising that the 5 nm \nthick Pt layer does not smear out the terraces separated by atomic distances given that the \nsputtering deposition is not particularly directional . Strikingly, t erraces are still present even \nin 20 nm thick Pt (not shown) . The substrates are then put in a PLD chamber which has a \nbase pressure of 4 10-7 Torr, and are slowly heated to 450 °C in high -purity oxygen with the 4 \n pressure of 1.5 mTorr wi th 12 wt% of ozone . The krypton fluoride (KrF) coherent excimer \nlaser (λ = 248 nm , 25 ns/pulse ) used for deposition has a pulse energy of 165 mJ/pulse, and \nrepetition rate of 1 Hz. The de position rate of ≈ 1.16 Å/min i s achieved with a target to \nsubstrate distance of 6 cm. After deposition, the YIG films are ex situ annealed at 850 °C for \n200 second s using rapid thermal annealing (RTA) under a steady flow of pure oxygen. After \nRTA , the surface morphology is examined by AFM again . Figure 1(c) shows the atomically \nterraced surface of a 40 nm thick YIG film with RMS of 1.24 Å on the terrace. In this study, \nthe thickness of YIG ranges from 30 – 80 nm and all samples exhibit clear atomic terraces . \nEven though YIG is annealed at such a high temperature, with the short annealing time, the \nflat and smooth YIG surface is maintained . \n To track the struc tural properties of YIG, we use RHEED to characterize the YIG surface \nat every step of the process . Figure 1(d) shows the RHEED pattern of the as-grown YIG \nsurface . It clearly indicates the absence of any crystalline order . After the ex situ RTA, the \nsample i s introduced back to the PLD chamber for RHEED measurements again. A streaky \nand sharp RHEED pattern is recovered as displayed in Figure 1(e) which suggests a highly \ncrystalline order . This result is particularly interesting since it shows the characteristic \nRHEED pattern of YIG grown on GGG. 10 \n To further confirm its crystalline structure , x-ray diffraction ( XRD ) using the Cu K α1 line \nhas been carried out over a wide angle range (2θ from 10 to 90°) on the GGG/Pt/YIG sample \ndiscussed in Figure 2(a). Because of the close match in lattice constants between YIG and \nGGG substrate, weak YIG peaks are completely overlapped with strong peaks of GGG so \nthat they are indistinguishable . Three main Bragg peaks of YIG and GGG are observed: 220, \n440, and 660 , which suggests the (110) growth orientation of both YIG and GGG . No \nindividual weak YIG peaks can be found. It is striking that the YIG film adopts the 5 \n crystallographic orientation of GGG despite the intermediate Pt layer. By comparing with the \nspectra of YIG grown directly on GGG, we can identify a new peak (2θ ≈ 40 .15°) which is \nbetter seen in the zoom -in view in the inset of Figure 2(a). We determine this as the 111 peak \nof the 5 nm thick Pt film that suggests the (111) texture of the Pt layer . It is not clear whether \nthe (111) texture in the intermedia te Pt layer is required for YIG to develop the same \ncrystallographic orientation as that of the GGG substrate. \n The locking of the (110) orientation in both YIG and GGG is furt her investigated by the \nhigh-resolution transmission electron microscopy (HRTEM) in real space. Figure 2(b) first \nreveals sharp and clean interfaces of Pt/YIG and GGG/Pt . No amorphous phase or inclusion s \nare visible at these two inter faces . Furthermore, the (110) atomic planes of YIG and GGG are \nparallel to each other and show very closely matched inter-planar spacing. Despite the Pt \nlayer in between, the crys tallographic orientation of YIG is not interrupted as if it were \nepitaxially grown on GGG directl y. In the selected area electron diffra ction pattern shown in \nfigure 2(c), taken along the <112> zone axis in garnet from an area that includes all three \nphases , YIG and GGG diffraction spots overlap with each other, consistent with the XRD \nresults . There is minor spli tting of the 110 type reflections from the tw o garnet phases due to \na slight rotation of the two garnet lattices of less than 0.5° . Surprisingly , the diffraction spots \nfrom the 5 nm Pt layer show a single cryst al pattern with minor strea king parallel to 111 in \nPt. The dif fuse char acter of the Pt reflection s suggest s that Pt is essentially a single crystal \nconsisting of small (few nanometers) structural domains with minor misalign ment s. The \ncontrast variation in different regions of Pt shown in figure 2(b) is consistent with such small \nstructural domain misalignment s in Pt crystal grain orientations. Furthermore, the 111 \nreciprocal vector of Pt and the 110 reciprocal vector of YIG/GGG are both perpendicular to \nthe interfaces, indicating that the (111) Pt layers are parallel to the (110) layers of both GGG \nand YIG. Figure 2(d) is a HRTEM image with high magnification of the three layers. It 6 \n further reveals atomically sharp interfaces, interlocked (110) crystallographic orientations \nbetween GGG and YIG, and single crystal (111) -oriented Pt. \n To investigate the magnetic properties of the GGG/Pt/YIG inverted heterostruct ure, \nvibrating sample magnetometry ( VSM ) measurements are carried out at room temperature . \nAs-grown YIG films do not show any well-defined crystalline structure as indicated by the \nRHEED pattern . In the meantime, the VSM measurements do not show any detectable \nmagnetization signal. Upon RTA , single crystal YIG becomes magneti c as shown by the \nhysteresis loops in Figure 3(a) for magnetic field s parallel and perpendicular to the sample \nplane. GGG’s paramagnetic contrib ution has been removed by subtracting the linear \nbackground from the raw data. The easy axis of a ll YIG films with different thicknesses lies \nin the film plane due to the dominant shape anisotropy. The c oercivity falls in the range of 15 \n- 30 Oe for different thickness es, which is larger than the typical value ( 0.2 to 5 Oe)9-11 for \nYIG films grown on lattice -matched GGG. The inset of Figure 3(a) shows a coercive field of \n29 Oe for a 40 nm thick YIG film. The s aturation magnetic field in the p erpendicular \ndirection is ~1800 Oe which corresponds well to 4Ms for bulk YIG crystals ( 1780 Oe ). \nMagnetic hysteresis loops are measured along differe nt directions in the film plane . Figures \n3(b & c ) show the p olar angular dependence of both the coercively field (Hc) and squareness \n(Mr/Ms) where M r is the remanence and M s is the saturation magnetizations , respectively . In \nthe film plane , there is clear uniaxial magnetic anisotropy , with the in-plane easy and hard \naxes situated along <001> at φ = 145° and <110> at φ = 55° , respectively . This two-fold \nsymmetry indicates that the magneto -crystalline anisotropy is the main source of the \nanisotropy since it coincides with the lattice symmetry of (110) surface of the YIG films , \nwhich is also consistent with the magnetic anisotropy property of YIG epitaxially grown on \nGGG (110 ). 10 7 \n Ferromagnetic resonance (FMR) measurement s of YIG films are carried out using Bruker \nEMX EPR (Electron Paramagnetic Resonance) spectrometer with an X-band microwave \ncavity operated at the frequency of f = 9.32 GHz. A static magnetic field is applied parallel to \nthe film plane. Figure 3(d) shows a single FMR peak profile in the absor ption derivative. \nFrom the Lorentzian fit , the peak -peak linewidth ( Hpp) and resonance frequency (H res) are \n7.5 Oe and 2392 Oe , respec tively. In literature, both the linewidth and the saturation \nmagnetization var y over some range depen ding on the quality of YIG films. These values are \ncomparable with the reported values for epitaxial YIG films grown directly on GGG . 9-11 The \nFMR linewidth here seems to be larger than what is reported in the best YIG films grown on \nGGG . Considering the excellent film quality , it is reasonable to assume that the same YIG \nwould have similar FMR linewidth , e.g. 3 Oe . In the presence of Pt , increased damping in \nPt/YIG occurs due to spin pumping.16,17 This additional damping can explain the observed \nFMR linewidth (7.5 Oe) if a reasonable spin mixing conductance value of \n is assumed. \n The Pt layer underneath YIG allows for pure spin current generation and detection just as \nwhen it is placed on top. It is known that the interface quality is critical to the efficiency of \nspin current transmission.18,19 To characterize this property, we perform spin Hall \nmagnetoresistance (SMR) and SSE measurement s in GGG/Pt/YIG inverted heterostructures . \n SMR is a transport phenomenon in bilayers of heavy metal /magnetic insulator. 12,20,21 A \ncharge current flowing in the normal metal with strong spin -orbit coupling generates a spin \ncurrent orthogonal to the charge current via the spin Hall effect . The reflection and absorption \nof this spin current at the interface of the normal metal/magnetic insulator depends on the \norientation of the magnetization ( M) of the magnetic insulator. Due to the spin transfer torque \nmechanism, w hen M is collinear with the spin polarization , reflection of the spin current is 8 \n maximum . In contrast, when M is perpendicular to , absor ption is maximum ; therefore , the \nresistance of the normal metal is larger than that for since t he absorption behaves as \nan additional dissipation channel . Metal/magnetic insulator interface quality affects the SMR \nmagnitude . As illustrated in figure 4(a), we carr y out angle-dependent magnetoresistance \n(MR) measurement s by rotating a constant magnetic field in the xy - (H=2000 Oe), xz - (H=1 \nT), or yz-plane (H=1 T ), while the current flows along the x-axis. The angular dependence of \nthe MR ratio , \n ( ) ( ) ( \n )\n ( \n ) , for Pt film at room temperature is \nsummarized in figure 4(b). According to the SMR theory ,21 the longitudinal resistivity reads \n2\n01 ym \n (1), \nwhere \n0 and \n1 are magnetization -independent constants, and \nym is the y -component of the \nmagnetization unit vector. The red solid curves in figure 4(b) can be well described by \nequation ( 1). Here, the magnitude of SMR in xy - and yz - scans is on the same order as that in \nnormal YIG/Pt bilayer systems. Therefore , we demonstrate that the SMR mechanism \ndomina tes in our devices , which indicate s excellent interface quality for spin current \ntransport . \n SSE, on the other hand, is related to the transmi ssion of thermally excited spin current s \nthrough the heavy metal /YIG interface. 22-24 As illustrated in figure 4(c), we first deposit a \n300 nm thick Al2O3 layer atop GGG(110)/Pt(5 nm)/YIG(40 nm) , and a top heater layer \nconsist ing of 5 nm Cr and 50 nm Au. When a n electrical current ( 50 mA) flows in the Cr/Au \nlayer, a temperature gradient is established along the z-direction by joule heating , which \ngenerate s a spin current in YIG. As the spin current enters the Pt layer , it is convert ed into a \ncharge current or voltage due to the inverse spin Hall effect . A magnetic field is applied in the \ny-direction while the voltage is detected along the x-direction. In Figure 4(d), we plot the \nfield dependence of the normalized SSE signal at 300 K, which is consistent with the SSE 9 \n magnitude reported in YIG/Pt bilayer s24. Therefore, we have confirmed the excellent \ninterface quality for transmit ting thermally excited spin current s. \n In summary, single crystal YIG thin films have been grown on Pt film which is sputtered \non GGG (110) substrate. RHEED and AFM show excellent YIG surface quality and \nmorphology . XRD and HRTEM further reveal an intriguing crystal orientation locking \nbetween YIG and GGG as if no Pt were present . These YIG films exhibit similar excellent \nmagnetic properties to those of the YIG films grown epitaxially on GGG (110) . Both SMR \nand SSE results confirm that the superb structural and magnetic properties lead to excellent \nspin current transport properties . \n \n \nACKNOWLEDGMENTS \n We would like to thank Prof. J . Garay and N. Amos for the technical assistance and \nfruitful discussions. Bilayer growth control, growth characterization, device fabrication and \nelectrical transport measurements at UCR were supported as part of the SHINES, an Energy \nFrontier Research Center funded by the U.S. Dep artment of Energy, Office of Science, Bas ic \nEnergy Sciences under Award No. SC0012670 . Part of the transmission electron microscopy \nwas performed on a 300 kV FEI Titan Themis at the Central Facility for Advanced \nMicroscopy and Microanalysis at UC Riverside , supported by UCR campus funding. The \nTEM specimen preparation was performed at the Irvine Materials Research Institute (IMRI) at \nUC Irvine, using instrumentation funded in part by the National Science Foundation Center \nfor Chemistry at the Space -Time Limit under Grant No. CHE -0802913. \n \n \n \n 10 \n \n \n \nREFERENCES \n \n[1] G. Winkler , Magnetic Garnets (Vieweg, Braunschweig, Wiesbaden, 1981). \n[2] S. Geller and M. A. Gilleo, Acta Crystallogr. 10, 239 (1957) . \n[3] A. V. Chumak, A. A. Serga, and B. Hillebrands, Nat. Commun. 5, 4700 (2014) . \n[4] M. Sparks, Ferromagnetic -Relaxation Theory (Mc Graw - Hill, New York, 1964). \n[5] X. Jia, K. Liu, K. Xia, and G. E. Bauer, Europhys. Lett. 96, 17005 (2011) . \n[6] R. C. Linares, R. B. Graw, and J. B. Schroeder, J. Appl. Phys. 36, 2884 (1965) . \n[7] D. Qu, S. Y . Huang, J. Hu, R. Wu, and C. L. Chien , Phys. Rev. Lett. 110, 067206 (2013 ). \n[8] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Y. Y. Song, Y. Sun, and M. \nWu, Phys. Rev. Lett. 107, 066604 (2010). \n[9] M. C. Onbasli, A. Kehlberger, D. H. Kim, G. Jakob, M. Klaui, A. V. Chumak, B. \nHillebrands, and C. A. Ross, APL Mater. 2, 106102 (2014) . \n[10] C. Tang, M. Aldosary, Z. Jiang, H. Chang, B. Madon, K. Chan, M. Wu, J. E. Garay, and \nJ. Shi, Appl. Phys. Lett . 108, 102403 ( 2016 ). \n[11] H. Chang, P. Li, W. Zhang, T. Liu, A. Hoffmann, L. Deng, and M. Wu, IEEE Magn. \nLett. 5, 6700104 (2014) . \n[12] T. Lin, C. Tang, H. M. Alyahayaei, and J. Shi, Phys. Rev. Lett. 113, 037203 (2014) . \n[13] S. S.-L. Zhang and S. Zhang, Phys. Rev. Lett. 109, 096603 (2012) . \n[14] J. Li, Y. Xu, M. Aldosary, C. Tang, Z. Lin, S. Zhang, R. Lake, and J. Shi, Nat. Commun. \n7, 10858 (2016) . \n[15] Y. Krockenberger, H. Matsui, T. Hasegawa, M. Kawasaki, and Y. Tokura, Appl. Phys. \nLett. 93, 092505 (2008) . 11 \n [16] C. Burrowes , B. Heinrich , B. Kardasz , E. A. Montoya , E. Girt, Y. Sun, Y.-Y. Song , \nand M. Wu, Appl. Phys. Lett. 100, 092403 (2012 ). \n[17] J. Lustikova, Y. Shiomi, Z. Qiu, T. Kikkawa, R. Iguchi, K. Uchida, and E. Saitoh, J. \nAppl. Phys. 116, 153902 (2014) . \n[18] M. Weiler, M. Althammer, M. Schreier, J. Lotze, M. Pernpeintner, S. Meyer, H. Huebl, \nR. Gross, A. Kamra, J. Xiao, Y.-T. Chen, H. J. Jiao, G. E. W. Bauer, and S. T. B. \nGoennenwein, Phys. Rev. Lett. 111, 176601 (2013) . \n[19] Y. M. Lu, J. W. Cai, S. Y. Huang, D. Qu, B. F. Miao, and C. L. Chien, Phys. Rev. B 87, \n220409 (2013) . \n[20] H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida, Y. Kajiwara, D. Kikuchi, T. \nOhtani, S. Geprägs, M. Opel, S. Takahashi, R. Gross, G. E. W. Bauer, S. T. B. Goennen wein, \nand E. Saitoh , Phys. Rev. Lett. 110, 206601 (2013) . \n[21] Y.-T. Chen, S. Takahashi, H. Nakayama, M . Althammer, S.T.B. Goennenwein, E. \nSaitoh, and G.E.W. Bauer , Phys. Rev. B 87, 144411 (2013) . \n[22] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W. Bauer, R. Gross, and S. T. B. \nGoennenwein , Phys. Rev. B 88, 094410 (2013) . \n[23] S. M. Rezende, R. L. Rodr´ıguez -Suarez, J. C. Lopez Ortiz, and A. Azevedo, Phys. Rev. \nB 89, 134406 (2014) . \n[24] D. Meier, D. Reinhardt, M. van Straaten, C. Klewe, M. Althammer, M. Schreier, S. T. B. \nGoennenwein, A. Gupta, M. Schmid, C. H. Back, J.-M. Schmalhorst, T. Kuschel, and G. \nReiss; Nat. Commun. 6, 8211 (2015) . \n \n \n \n \n 12 \n \n \n \n \nFigure 1 \n \n \n \n \n \nFIG. 1. Surface characterization of YIG thin film grown on GGG(110)/Pt (5 nm) . (a)–(c) \n2 m 2 m AFM scans of GGG (110) substrate , GGG(110) /Pt(5 nm) , and GGG/ Pt(5 \nnm)/YIG(40 nm) , respectively. RHEED pattern s of as -grown (d) and annealed (f) \nGGG(110)/Pt (5 nm)/YIG(40 nm) . \n \n \n \n \n \n \n \n \n \n \n13 \n \n \nFigure 2 \n \n \n \n \n \nFIG. 2. Structure characterization of GGG/Pt/YIG heterostr ucture. (a) XRD of YIG \nfilm (40 nm) grown on GGG(110)/ Pt (5 nm). Inset: zoom -in plot of Pt 111 peak (2θ = \n40.15°). (b) TE M image of GGG (110)/Pt (5 nm)/ YIG (110) (40 nm) heterostructure . The \n <111>\n and <110> directions in GGG are shown for reference . (c) Selected area electron \n14 \n diffraction pattern along \n [112] zone axis in GGG obtained from an area containing all three \nlayers showing diffrac tion spots of YIG, GGG and Pt. T he garnet reflections are labeled with \nsubscript “g” and Pt ones with “p”. (d) HRTEM lattice image along the \n [112] zone axis in \ngarnet shows that (110) planes in both YIG and GGG are parallel to the interface with the Pt \nfilm, and the latter is composed of nanometer size crystalline domains oriented with their \n(111) lattice planes parallel to the interface as well. Slight bending and disruption of the (111) \nlattice fringes between adjacent Pt domains are visualized . \n \n \n \n \n \n 15 \n Figure 3 \n \n \n \n \n \n \nFIG. 3. Magnetic properties of GGG(110)/Pt(5 nm)/YIG (40 nm) (a) Room temperature \nnormalized magnetic hysteresis loops of YIG (40 nm)/ Pt (5nm)/GGG (110) with magnetic \nfield applied in -plane and out -of-plane. Inset: in -plane hysteresis loop at low fields . Polar \nplots of coercive field Hc (b) and squareness Mr/Ms (c) as the magnetic field H is set in \ndifferent orientations in the (110) plane (H // <112> at 0°) . (d) FMR absorption der ivative \nspectrum of YIG/Pt/GGG at an excitation frequency of 9.32 GH z. Lorentzian fit (red line) \nshows a single peak with a peak -peak distance of 7.5 Oe . \n \n \n \n \n \n \n \n16 \n Figure 4 \n \n \n \n \n \nFIG. 4. SMR and longitudinal SSE of GGG(110)/Pt(5 nm)/YIG(40 nm) . (a) Illustrations \nof measurement geometr y of SMR. , and are angles between H and y, z and z, axes , \nrespectively . The magnitude of H is 2000 Oe, 1T , and 1T for , , and scans, \nrespectively. ( b) Angular dependence of SMR ratio s for three measurement geometries at 300 \nK. (c) The sample structure and measurement geometry of longi tudinal SSE. The heater \ncurrent I is 50 mA and H is applied along the y direction . All the thicknesses are denoted in \nnanometers (nm). ( d) Field dependence of room temperature SSE signal , which is normalized \nby the heating power P and detecting length L. \n \n \n \n \n \n \n \n \n" }, { "title": "1108.4238v1.Acoustic_spin_pumping__Direct_generation_of_spin_currents_from_sound_waves_in_Pt_Y3Fe5O12_hybrid_structures.pdf", "content": "ß½±«¬·½ °·² °«³°·²¹æ Ü·®»½¬ ¹»²»®¿¬·±² ±º °·² ½«®®»²¬ º®±³ ±«²¼ ©¿ª» ·²\nЬñÇ íÚ»ëÑï¾®·¼ ¬®«½¬«®»\nÕò ˽¸·¼¿ôïô îô|Øò ß¼¿½¸·ôîô íÌò ß²ôïô îØò Ò¿µ¿§¿³¿ôïô îÓò\ṉ¼¿ôìÞò Ø·´´»¾®¿²¼ôëÍò Ó¿»µ¿©¿ôîô í¿²¼ Ûò Í¿·¬±¸ïô îô í\nïײ¬·¬«¬» º±® Ó¿¬»®·¿´ λ»¿®½¸ô ̱¸±µ« ˲·ª»®·¬§ô Í»²¼¿· çèðóèëééô Ö¿°¿²\nîÝÎÛÍÌô Ö¿°¿² ͽ·»²½» ¿²¼ Ì»½¸²±´±¹§ ß¹»²½§ô Í¿²¾¿²½¸±ô ̱µ§± ïðîóððéëô Ö¿°¿²\níß¼ª¿²½»¼ ͽ·»²½» λ»¿®½¸ Ý»²¬»®ô Ö¿°¿² ߬±³·½ Û²»®¹§ ß¹»²½§ô ̱µ¿· íïçóïïçëô Ö¿°¿²\nìÙ®¿¼«¿¬» ͽ¸±±´ ±º Û²¹·²»»®·²¹ô ̱¸±µ« ˲·ª»®·¬§ô Í»²¼¿· çèðóèëéçô Ö¿°¿²\nëÚ¿½¸¾»®»·½¸ и§·µ ¿²¼ Ú±®½¸«²¹¦»²¬®«³ ÑÐÌ×ÓßÍô\nÌ»½¸²·½¸» ˲·ª»®·¬ \\¿¬ Õ¿·»®´¿«¬»®²ô êéêêí Õ¿·»®´¿«¬»®²ô Ù»®³¿²§\nË·²¹ ¿ ЬñÇ íÚ»ëÑïîøÇ×Ù÷ ¸§¾®·¼ ¬®«½¬«®» ¿¬¬¿½¸»¼ ¬± ¿ °·»¦±»´»½¬®·½ ¿½¬«¿¬±®ô ©» ¼»³±²¬®¿¬»\n¬¸» ¹»²»®¿¬·±² ±º °·² ½«®®»²¬ º®±³ ±«²¼ ©¿ª»ò ̸· Ä¿½±«¬·½ °·² °«³°·²¹þ øßÍÐ÷ · ½¿«»¼\n¾§ ¬¸» ±«²¼ ©¿ª» ¹»²»®¿¬»¼ ¾§ ¬¸» °·»¦±»´»½¬®·½ ¿½¬«¿¬±®ô ©¸·½¸ ¬¸»² ³±¼«´¿¬» ¬¸» ¼·¬®·¾«¬·±²\nº«²½¬·±² ±º ³¿¹²±² ·² ¬¸» Ç×Ù ´¿§»® ¿²¼ ®»«´¬ ·² ¿ °«®»ó°·²ó½«®®»²¬ ·²¶»½¬·±² ·²¬± ¬¸» Ь ´¿§»®\n¿½®± ¬¸» ЬñÇ×Ù ·²¬»®º¿½»ò ײ ¬¸» Ь ´¿§»®ô ¬¸· ·²¶»½¬»¼ °·² ½«®®»²¬ · ½±²ª»®¬»¼ ·²¬± ¿² »´»½¬®·½\nª±´¬¿¹» ¼«» ¬± ¬¸» ·²ª»®» °·²óØ¿´´ »r»½¬ ø×ÍØÛ÷ò ̸» ×ÍØÛ ª±´¬¿¹» ·²¼«½»¼ ¾§ ¬¸» ßÍÐ · ¼»¬»½¬»¼\n¾§ ³»¿«®·²¹ ª±´¬¿¹» ·² ¬¸» Ь ´¿§»® ¿¬ ¬¸» °·»¦±»´»½¬®·½ ®»±²¿²½» º®»¯«»²½§ ±º ¬¸» ¿½¬«¿¬±® ½±«°´»¼\n©·¬¸ ¬¸» ЬñÇ×Ù §¬»³ò ̸» º®»¯«»²½§ó¼»°»²¼»²¬ ³»¿«®»³»²¬ »²¿¾´» « ¬± »°¿®¿¬» ¬¸» ßÍÐó\n·²¼«½»¼ ·¹²¿´ º®±³ »¨¬®·²·½ ¸»¿¬·²¹ »r»½¬ò Ñ«® ³±¼»´ ½¿´½«´¿¬·±² ¾¿»¼ ±² ¬¸» ´·²»¿® ®»°±²»\n¬¸»±®§ °®±ª·¼» « ©·¬¸ ¿ ¯«¿´·¬¿¬·ª» ¿²¼ ¯«¿²¬·¬¿¬·ª» «²¼»®¬¿²¼·²¹ ±º ¬¸» ßÍÐ ·² ¬¸» ЬñÇ×Ù\n§¬»³ò\n×ò ×ÒÌÎÑÜËÝÌ×ÑÒ\nß °·² °«³°·²¹ »r»½¬ ®»º»® ¬± ¬¸» ¬®¿²º»® ±º °·²ó\n¿²¹«´¿® ³±³»²¬«³ º®±³ ³¿¹²»¬·¦¿¬·±² ¼§²¿³·½ ·² ¿\nº»®®±³¿¹²»¬ ¬± ½±²¼«½¬·±²ó»´»½¬®±² °·² ·² ¿² ¿¬¬¿½¸»¼\n°¿®¿³¿¹²»¬åï¥ï쩸»² ¿ ³¿¹²»¬·¦¿¬·±² ³±¬·±² ·² ¬¸» º»®ó\n®±³¿¹²»¬ · »¨½·¬»¼ô ¿ °·² ½«®®»²¬ïïôïëôïê· °«³°»¼ ±«¬\n±º ¬¸» º»®®±³¿¹²»¬ ·²¬± ¬¸» °¿®¿³¿¹²»¬ò ̸» °·² °«³°ó\n·²¹ · ±º ·³³»²» ·³°±®¬¿²½» ·² °·²¬®±²·½ïé¥îî·²½» ·¬\n¿´´±© ¬¸» ¹»²»®¿¬·±² ±º °«®» °·² ½«®®»²¬ «·²¹ ¿ ·³°´»\nº»®®±³¿¹²»¬·½ñ°¿®¿³¿¹²»¬·½ ¾·´¿§»® ¬®«½¬«®»ò\n̸» ½±²ª»²¬·±²¿´ °·² °«³°·²¹ ¸¿ ¾»»² ±°»®¿¬»¼ ¾§\n³·½®±©¿ª» ±º »ª»®¿´ Ùئ º®»¯«»²½·» «²¼»® º»®®±³¿¹ó\n²»¬·½ ®»±²¿²½» øÚÓÎ÷ï¥èôïðôïî¥ïì±® °·²ó©¿ª» ®»±²¿²½»\nøÍÉÎ÷çôïï½±²¼·¬·±²ô ©¸»®» ¿ ¬»¿¼§ ³¿¹²»¬·¦¿¬·±² ³±ó\n¬·±² · ³¿·²¬¿·²»¼ ·² ¿ º»®®±³¿¹²»¬ò ̸· ³·½®±©¿ª»ó\n¼®·ª»² °·² °«³°·²¹ ¸¿ ¾»»² ±¾»®ª»¼ ·² ¿ ª¿®·»¬§ ±º\n¿³°´» §¬»³ ®¿²¹·²¹ º®±³ ³¿¹²»¬·½ ³»¬¿´ ¿²¼ »³·ó\n½±²¼«½¬±® ¬± ³¿¹²»¬·½ ·²«´¿¬±® ½±ª»®»¼ ©·¬¸ °¿®¿³ó\n¿¹²»¬ò\nײ ¿ ®»½»²¬ ´»¬¬»®ô ©» ¸¿ª» ¼»³±²¬®¿¬»¼ ¿ ²»© ¬§°»\n±º °·² °«³°·²¹æ ¿½±«¬·½ °·² °«³°·²¹ øßÍÐ÷òîíÉ»\n¸±©»¼ ¬¸¿¬ °·² ½«®®»²¬ ¿®» ¹»²»®¿¬»¼ ¾§ ¿² »¨¬»®²¿´\n·²¶»½¬·±² ±º ±«²¼ ©¿ª» ·²¬± ¿ º»®®±³¿¹²»¬ ¿²¼ ¬¸¿¬ ¬¸»\n±«²¼ó©¿ª»ó¼®·ª»² °·² ½«®®»²¬ ½¿² ¾» ¼»¬»½¬»¼ »´»½¬®·ó\n½¿´´§ ¾§ ³»¿² ±º ¬¸» ·²ª»®» °·²óØ¿´´ »r»½¬ë¥ïìôîì¥îê\nø×ÍØÛ÷ ·² ¿ °¿®¿³¿¹²»¬·½ ³»¬¿´ ¿¬¬¿½¸»¼ ¬± ¬¸» º»®®±ó\n³¿¹²»¬ò ̸» ßÍÐ ¸¿ ¾»»² ±°»®¿¬»¼ ¾§ ±«²¼ ©¿ª»\n±º »ª»®¿´ Óئ º®»¯«»²½·» ø äïðÓئô º¿® ¾»´±© ¬¸»\nÚÓÎ ±® ÍÉÎ º®»¯«»²½·»÷ ¿ ¿ ½±²»¯«»²½» ±º ¬¸» »²»®¹§\n¬®¿²º»® º®±³ ±«²¼ ©¿ª» ¬± °·² ©¿ª»ô ±® ³¿¹²±²ô ·²\n¿ º»®®±³¿¹²»¬ò ײ ¬¸· °¿°»®ô ©» ®»°±®¬ §¬»³¿¬·½ »¨ó\n°»®·³»²¬ ¿²¼ ³±¼»´ ½¿´½«´¿¬·±² ±² ¬¸» ßÍÐ ·² °¿®¿ó\n³¿¹²»¬·½ ³»¬¿´ øЬ÷ñº»®®·³¿¹²»¬·½ ·²«´¿¬±® øÇ íÚ»ëÑïîæ\nÇ×Ù÷ ¸§¾®·¼ ¬®«½¬«®»ò\n××ò ÛÈÐÛÎ×ÓÛÒÌßÔ ÐÎÑÝÛÜËÎÛ\nÚ·¹«®» ïø¿÷ ¸±© ¿ ½¸»³¿¬·½ ·´´«¬®¿¬·±² ±º ¬¸» ¿³ó\n°´» §¬»³ «»¼ ·² ¬¸» °®»»²¬ ¬«¼§ò ̸» ¿³°´» ½±²ó°°ïñ\nð°·»¦±ø¿÷\n ø¾÷\n×ÍØÛ\n±«²¼\n©¿ª»Ç×ÙЬ\nЬ\nÇ×Ù\n°·»¦±»´»½¬®·½ ¿½¬«¿¬±®ÔÑØ× ·´·½±²»\n®«¾¾»®\n°·»¦±\nÚ×Ùò ïæ ø¿÷ ß ½¸»³¿¬·½ ·´´«¬®¿¬·±² ±º ¬¸» ЬñÇ×Ù ¿³°´»ò\n̸» ¿³°´» ©¿ ¿¬¬¿½¸»¼ ¬± ¿ °·»¦±»´»½¬®·½ ÐÊÜÚ q´³ øÓ»¿ó\n«®»³»²¬ Í°»½·¿´¬·»÷ ±® ¿ ÐÆÌ ½»®¿³·½ øÚ«¶· Ý»®¿³·½ Ýó\nçîØ÷ ©·¬¸ ·´ª»® °¿¬»ò ̸» ßÝ ª±´¬¿¹» Ê°·»¦±©·¬¸ ¬¸» º®»ó\n¯«»²½§ º¿²¼ ¬¸» °»¿µó¬±ó°»¿µ ª±´¬¿¹» Ê°°©¿ ¿°°´·»¼ ¬±\n¬¸» °·»¦±»´»½¬®·½ ¿½¬«¿¬±® ¾§ «·²¹ ¿ ³«´¬·º«²½¬·±² §²¬¸»·¦»®\nøÒÚ ÉÚïçìêÞ÷ò k· ¬¸» ¿²¹´» ¾»¬©»»² ¬¸» ³¿¹²»¬·½ q»´¼ Ø\n¿²¼ ¬¸» ¨¼·®»½¬·±²ò ̸» ÜÝ ª±´¬¿¹» ʾ»¬©»»² ¬¸» »²¼ ±º\n¬¸» Ь ´¿§»® ©¿ ³»¿«®»¼ ©·¬¸ ¿ ²¿²±ª±´¬³»¬»® øÕ»·¬¸´»§\nîïèîß÷ò ø¾÷ ̸» ¿½±«¬·½ °·² °«³°·²¹ øßÍÐ÷ ¿²¼ ¬¸» ·²ó\nª»®» °·²óØ¿´´ »r»½¬ ø×ÍØÛ÷ ·² ¬¸» ЬñÇ×Ù ¿³°´»ò Û×ÍØÛô\nôÖô ¿²¼Ó¼»²±¬» ¬¸» »´»½¬®·½ q»´¼ ¹»²»®¿¬»¼ ¾§ ¬¸» ×ÍØÛô\n¬¸» °·²ó°±´¿®·¦¿¬·±² ª»½¬±® ±º »´»½¬®±² ·² ¬¸» Ьô ¬¸» °¿¬·¿´\n¼·®»½¬·±² ±º ¬¸» ßÍÐó·²¼«½»¼ °·² ½«®®»²¬ô ¿²¼ ¬¸» ³¿¹²»¬·ó\n¦¿¬·±² ª»½¬±® ±º ¬¸» Ç×Ùô ®»°»½¬·ª»´§ò\n·¬ ±º ¿ ·²¹´»ó½®§¬¿´ Ç×Ù ´¿¾ ©·¬¸ ¿ Ь q´³ ¿¬¬¿½¸»¼\n¬± ¬¸» øïðð÷ «®º¿½» ±º ¬¸» Ç×Ùò ̸» Ç×Ù «®º¿½» ©¿\n©»´´ °±´·¸»¼ ©·¬¸ ¿´«³·²¿ °¿¬»ô ¿²¼ ¬¸» ®»«´¬¿²¬ «®ó\nº¿½» ®±«¹¸²» ©¿ äî ²³ò ̸» Ь ´¿§»® ©¿ º¿¾®·ó\n½¿¬»¼ ¾§ ¿² ÎÚ ³¿¹²»¬®±² °«¬¬»®·²¹ò ̸» ´»²¹¬¸ ±º\n¬¸» Ç×Ù ´¿¾ øЬ q´³÷ ¿´±²¹ ¬¸» ¨ô§ô ¿²¼ ¦¼·®»½¬·±²\n¿®»ô ®»°»½¬·ª»´§ô ê ³³ ø ÔЬã ê ³³÷ô î ³³ øðòë ³³÷ô\n¿²¼¼Ç×Ùã ï ³³ øïë ²³÷ô »¨½»°¬ ©¸»² ½±´´»½¬·²¹ ¿\n»¬ ±º ¿³°´»ó·¦»ó¼»°»²¼»²¬ ¼¿¬¿ ¸±©² ·² Í»½ò ××× Ýò\n̸» ЬñÇ×Ù ¿³°´» · ½±ª»®»¼ ©·¬¸ ¿ ·´·½±²»ó®«¾¾»®\n¸»¿¬ ·²µ ¿²¼ · q¨»¼ ±² ¿ °·»¦±»´»½¬®·½ ¿½¬«¿¬±®æ ¿î\n°±´§ª·²§´·¼»²»óp«±®·¼» øÐÊÜÚ÷ q´³ ±º ¬¸» ¬¸·½µ²» ±º\nëîg³ ±® ¿ ´»¿¼ó¦·®½±²¿¬»ó¬·¬¿²¿¬» øоøÆ®ôÌ·÷Ñ íæ ÐÆÌ÷\n½»®¿³·½ ±º ¬¸» ¬¸·½µ²» ±º ¼ÐÆÌøãðòê ³³ô ðòì ³³ô ¿²¼\nðòí ³³÷ ø»» Ú·¹ò ïø¿÷÷ò Û¿½¸ ¿½¬«¿¬±® · ±º ïð }í ³³î\n®»½¬¿²¹«´¿® ¸¿°»ò ̸» ÐÊÜÚ q´³ ¿²¼ ¬¸» ÐÆÌ ½»®¿³·½\n©»®» «»¼ º±® ¬¸» »¨°»®·³»²¬ ·² Í»½ò ××× ßó××× Ý ¿²¼ º±®\n¬¸» »¨°»®·³»²¬ ·² Í»½ò ××× Üô ®»°»½¬·ª»´§ò ɸ»² ¿² ßÝ\nª±´¬¿¹» · ¿°°´·»¼ ¾»¬©»»² ¬¸» ¬±° ¿²¼ ¾±¬¬±³ »´»½¬®±¼»\n±º ¬¸» ¿½¬«¿¬±®ô ·¬ ª·¾®¿¬» ·² ¬¸» ¬¸·½µ²» ¼·®»½¬·±² ø ¦\n¼·®»½¬·±²÷ ¿²¼ ·²¶»½¬ ´±²¹·¬«¼·²¿´ ±«²¼ ©¿ª» ·²¬± ¬¸»\n¿¬¬¿½¸»¼ Ç×Ù ´¿¾ ø»» Ú·¹ò ï÷ò\n̸» ³»½¸¿²·³ ±º ¬¸» ³»¿«®»³»²¬ · ¿ º±´´±©ò ײ\n¬¸» ЬñÇ×Ùñ°·»¦±»´»½¬®·½ó¿½¬«¿¬±® §¬»³ô ·º ¬¸» ·²¶»½¬»¼\n±«²¼ ©¿ª» ³±¼«´¿¬» ¬¸» ³¿¹²±² ¼·¬®·¾«¬·±² º«²½¬·±²\n·² ¬¸» Ç×Ù ´¿¾ ª·¿ ¬¸» ³¿¹²±²ó°¸±²±² ·²¬»®¿½¬·±²ô ·¬ ·²ó\n¼«½» ¿ °·² ½«®®»²¬îí©·¬¸ ¬¸» °¿¬·¿´ ¼·®»½¬·±² Ö¿²¼\n¬¸» °·²ó°±´¿®·¦¿¬·±² ª»½¬±® b°¿®¿´´»´ ¬± ¬¸» ³¿¹²»¬·¦¿ó\n¬·±²Ó±º ¬¸» Ç×Ù ´¿¾ ·² ¬¸» Ь ´¿§»®ô ·²½» ¬¸» ³¿¹²»¬·½\n³±³»²¬ ·² ¬¸» Ç×Ù ¿²¼ ½±²¼«½¬·±²ó»´»½¬®±²ù °·² ·²\n¬¸» Ь ¿®» ½±«°´»¼ ª·¿ ¬¸» ·²¬»®º¿½» °·² »¨½¸¿²¹»òïï̸·\n±«²¼ó©¿ª»ó¼®·ª»² °·² ½«®®»²¬ · ½±²ª»®¬»¼ ·²¬± ¿ ÜÝ\n»´»½¬®·½ q»´¼ Û×ÍØÛ¼«» ¬± ¬¸» ×ÍØÛ ·² ¬¸» Ь ´¿§»® ±©ó\n·²¹ ¬± ¬¸» ¬®±²¹ °·²ó±®¾·¬ ·²¬»®¿½¬·±² ·² ¬¸» Ьòïðôïíôîë\nɸ»² Ó±º ¬¸» Ç×Ù ´¿¾ ø ¶¶b·² ¬¸» Ь q´³÷ · ¿´±²¹\n¬¸»§¼·®»½¬·±² ø kã çðo÷ôÛ×ÍØÛ· ¹»²»®¿¬»¼ ¿´±²¹ ¬¸»\n¨¼·®»½¬·±² ¾»½¿«» ±º ¬¸» º±´´±©·²¹ ×ÍØÛ §³³»¬®§ ø»»\nÚ·¹ò ïø¾÷÷æëôéôïí\nÛ×ÍØÛñÖ}bæ øï÷\n̸»®»º±®»ô ¾§ ³»¿«®·²¹ Û×ÍØÛô ©» ½¿² ¼»¬»½¬ ¬¸» ßÍÐ\n»´»½¬®·½¿´´§ò Ò±¬¿¾´» · ¬¸¿¬ô ·² ¬¸· »¬«°ô ©» ½¿² »¨ó\n¬®¿½¬ ¬¸» °«®» ½±²¬®·¾«¬·±² ±º ¬¸» ³¿¹²±²ó°¸±²±² ·²¬»®ó\n¿½¬·±² ·²½» Ç×Ù · ¿² ·²«´¿¬±®ô ·² ©¸·½¸ »¨¬®·²·½ ¿®¬·ó\nº¿½¬ ¼«» ¬± ½¸¿®¹» ½«®®»²¬ ¿²¼ ¸±®¬ó½·®½«·¬ »r»½¬ïí¿®»\n½±³°´»¬»´§ »¨½´«¼»¼ò ̱ ¼»¬»½¬ ¬¸» ßÍÐó·²¼«½»¼ ×ÍØÛ\n·¹²¿´ô ©» ³»¿«®»¼ ¿ ÜÝ »´»½¬®·½ ª±´¬¿¹» ¼·r»®»²½» Ê\n¾»¬©»»² ¬¸» »²¼ ±º ¬¸» Ь ´¿§»® ©·¬¸ ¿°°´§·²¹ ¿² ßÝ\nª±´¬¿¹» ©·¬¸ ¬¸» º®»¯«»²½§ º¿²¼ ¬¸» °»¿µó¬±ó°»¿µ ª±´¬ó\n¿¹»Ê°°¬± ¬¸» ¿½¬«¿¬±®ò Ü«®·²¹ ¬¸» ³»¿«®»³»²¬ô ¿²\n»¨¬»®²¿´ ³¿¹²»¬·½ q»´¼ Ø©·¬¸ ¬¸» ³¿¹²·¬«¼» Ø©¿ ¿°ó\n°´·»¼ ¿¬ ¿² ¿²¹´» k¬± ¬¸» ¨¼·®»½¬·±² ø»» Ú·¹ò ïø¿÷÷ò ß´´\n¬¸» ³»¿«®»³»²¬ ©»®» °»®º±®³»¼ ¿¬ ®±±³ ¬»³°»®¿¬«®»\n¿²¼ ¿¬³±°¸»®·½ °®»«®»ò\n×××ò ÎÛÍËÔÌÍ ßÒÜ Ü×ÍÝËÍÍ×ÑÒ\nßò ßÍÐ ·² ЬñÇ×ÙñÐÊÜÚ ¿³°´» §¬»³\nÚ·¹«®» îø¿÷ ¿²¼ îø¾÷ ®»°»½¬·ª»´§ ¸±© ¬¸» º¼»°»²ó\n¼»²½» ±º ¬¸» ÜÝ ª±´¬¿¹» ʾ»¬©»»² ¬¸» »²¼ ±º ¬¸»\nЬ ´¿§»® ·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» ¿¬ Øã ïµÑ»\n¿²¼kã çðo¿²¼ ¬¸» ¬»³°»®¿¬«®» ®·» ±º ¬¸» ÐÊÜÚ\nq´³ ~ ÌÐÊÜÚô ³»¿«®»¼ ©·¬¸ ¿°°´§·²¹ ¬¸» ßÝ ª±´¬¿¹»\n±ºÊ°°ã ïð Ê ¬± ¬¸» ÐÊÜÚ q´³ò ɸ»² º âë Óئô\n~ÌÐÊÜÚ ³±²±¬±²·½¿´´§ ·²½®»¿» ©·¬¸ ·²½®»¿·²¹ ºø»»\nÚ·¹ò îø¾÷÷ò ײ ¬¸· º®»¯«»²½§ ®¿²¹»ô Ê·¹²¿´ ±º °±·ó\n¬·ª» ·¹² ¿°°»¿® ¿²¼ ¬¸» ¸¿°» ±º ¬¸» ºóʽ«®ª» · ·³ó\n·´¿® ¬± ¬¸¿¬ ±º ¬¸» ºó~ÌÐÊÜÚ ½«®ª» ø½±³°¿®» Ú·¹ò îø¿÷\n¿²¼ îø¾÷÷ô ·²¼·½¿¬·²¹ ¬¸¿¬ ¬¸· ¾¿½µ¹®±«²¼ ±º °±·¬·ª»\n·¹² ·² ¬¸» Ê°»½¬®«³ · ¿¬¬®·¾«¬»¼ ¬± ¬¸» ¸»¿¬·²¹ ±º\n¬¸» ÐÊÜÚ q´³ô ·ò»òô ¬¸» ½±²ª»²¬·±²¿´ °·²óÍ»»¾»½µ »r»½¬\nøÍÍÛ÷ôîé¥íé½±²·¬»²¬ ©·¬¸ ¬¸» °®»ª·±« »¨°»®·³»²¬ ±²\n¬¸» ´±²¹·¬«¼·²¿´ ÍÍÛ ·² ЬñÇ×Ù §¬»³òíîײ ½±²¬®¿¬ôîðð ìðð ð\n°°îøÊî÷êððø¼÷\nïð îð ð\n°°øÊ÷ø½÷\nóíóïð\nóìóî\nóë\nóêã íòë Óئã ï µÑ»ã çðÿî ïð\nøÓئ÷ì ê èø¾÷\nðïî\n°°ã ïð Êóïðïø¿÷\nÇ×ÙЬ·´·½±²»\nÐÊÜÚÍÍÛ ·¹²¿´\nßÍÐ ·¹²¿´ ã ï µÑ»\nã çðÿ°°ã ïð Ê\nÎÌÐÊÜÚ\nÚ×Ùò îæ ø¿÷ º¼»°»²¼»²½» ±º ʾ»¬©»»² ¬¸» »²¼ ±º ¬¸» Ь ´¿§»®\n·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» ¿¬ Ê°°ã ïð Êô Øã ïµÑ»ô ¿²¼\nkã çðoò ß ¸±©² ·² Í»½ò ×××ßô ¬¸» ²»¹¿¬·ª» ø°±·¬·ª»÷\nÊ·¹²¿´ · ¼«» ¬± ¬¸» ßÍÐ ø°·²óÍ»»¾»½µ »r»½¬æ ÍÍÛ÷ò ø¾÷\nº¼»°»²¼»²½» ±º ~ ÌÐÊÜÚ ¿¬Ê°°ã ïð Êò Ø»®»ô ~ ÌÐÊÜÚ\n¼»²±¬» ¬¸» ¬»³°»®¿¬«®» ®·» ±º ¬¸» ÐÊÜÚ q´³ô ¼«» ¬± ¬¸»\n¿°°´·»¼ ßÝ ª±´¬¿¹»ô ³»¿«®»¼ ©·¬¸ ¿ ¼·r»®»²¬·¿´ ¬¸»®³±½±«ó\n°´» ¿¬¬¿½¸»¼ ¾»¬©»»² ¬¸» ÐÊÜÚ ¿²¼ ¿ ¸»¿¬ ¾¿¬¸ ¿¬ ®±±³\n¬»³°»®¿¬«®» øÎÌ÷ò ø½÷ô ø¼÷ Ê°°¿²¼Êî\n°°¼»°»²¼»²½» ±º Ê·²\n¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» ¿¬ ºã íæëÓئô Øã ïµÑ»ô ¿²¼\nkã çðoò ̸» »®®±® ¾¿® ®»°®»»²¬ çë û ½±²q¼»²½» ´»ª»´ò\n·² ¬¸» Ê°»½¬®«³ô ¿ ¸¿®° ¼·° ¬®«½¬«®» ±º ²»¹¿¬·ª»\n·¹² ©¿ º±«²¼ ¬± ¿°°»¿® ¿®±«²¼ ºã íæë Óئô ¿´¬¸±«¹¸\n~ÌÐÊÜÚ · ²»¹´·¹·¾´§ ³¿´´ ·² ¬¸· º®»¯«»²½§ ®¿²¹» ø»»\nÚ·¹ò îø¿÷ ¿²¼ îø¾÷÷ò Þ»½¿«» ±º ¬¸» ¼·r»®»²¬ ·¹²ô ¬¸·\n¼·° ¬®«½¬«®» · ½´»¿®´§ ·®®»´»ª¿²¬ ¬± ¬¸» ÍÍÛ ·²¼«½»¼ ¾§\n¬¸» ¸»¿¬·²¹ ±º ¬¸» ÐÊÜÚ q´³ ø»» ¿´± Í»½ò ××× Û÷ò É»\n½±²q®³»¼ ¬¸¿¬ ¬¸» ³¿¹²·¬«¼» ±º ¬¸» ±¾»®ª»¼ ʼ·° ·\n°®±°±®¬·±²¿´ ¬± ¬¸» »´»½¬®·½ °±©»® ¿°°´·»¼ ¬± ¬¸» ÐÊÜÚ\nq´³ øñÊî\n°°÷ô ·ò»òô ¬¸» ±«²¼ó©¿ª» ·²¬»²·¬§ ·² ¬¸» Ç×Ù\n´¿¾ ø»» Ú·¹ò îø½÷ ¿²¼ îø¼÷÷ò Í·²½» ¬¸» º®»¯«»²½§ ±º ¬¸»\nʼ·° øºã íæëÓئ÷ · º¿® ¾»´±© ¬¸» ÚÓÎ ¿²¼ ÍÉÎ\nº®»¯«»²½·» ·² Ç×Ù ø eÙئ÷ôïטּ» ·¹²¿´ ±¾»®ª»¼ ¸»®»\n· ¿´± ·®®»´»ª¿²¬ ¬± ½±²ª»²¬·±²¿´ »´¿¬·½¿´´§ó¼®·ª»² ³¿¹ó\n²»¬·½ ®»±²¿²½»òíè¥ìð\nÚ·¹«®» íø¿÷ ¸±© ¬¸» ؼ»°»²¼»²½» ±º Ê·² ¬¸»\nЬñÇ×Ù ¿³°´» º±® ª¿®·±« ª¿´«» ±º ºò ɸ»² ¬¸» ³¿¹ó\n²»¬·½ q»´¼ Ø· ¿°°´·»¼ ¿´±²¹ ¬¸» kã çðo¼·®»½¬·±²ô ¬¸»\n·¹² ±º Ê¿¬ ¬¸» ¼·° °±·¬·±² · ®»ª»®»¼ ¾§ ®»ª»®·²¹\nØò É» ¿´± ½¸»½µ»¼ ¬¸¿¬ ¬¸» Ê·¹²¿´ ¼·¿°°»¿® ·² ¬¸»\nЬñÇ×ÙñÐÊÜÚ ¿³°´» ¿¬ kã ð øÚ·¹ò íø¾÷÷ ¿²¼ ·² ¿\nÝ«ñÇ×ÙñÐÊÜÚ ¿³°´» øÚ·¹ò íø½÷÷ô ©¸»®» ¬¸» Ь ´¿§»®\n· ®»°´¿½»¼ ©·¬¸ ¿ ¿³»ó·¦»¼ Ý« q´³ ©·¬¸ ©»¿µ °·²óí\nð ð\nî ïð\nøÓئ÷ì ê è ðïòë\nóïòë\nðï\nóïЬñÇ×ÙñÐÊÜÚø¼÷\n°°ã ïð Êô ã çðÿ\nð ï óï\nøµÑ»÷ïòð ã ïðòð Óئ\nçòð\nèòð\níòëìòðëòðêòðéòð\níòð\nîòðø¿÷ЬñÇ×ÙñÐÊÜÚ\n°°ã ïð Êô ã çðÿ\nã ïðòð Óئ\nçòð\nèòð\níòëìòðëòðêòðéòð\níòð\nîòðïòðø¾÷ЬñÇ×ÙñÐÊÜÚ\n°°ã ïð Êô ã ð\nð ï óï\nøµÑ»÷ïòð\nã ïðòð Óئ\nçòð\nèòð\níòëìòðëòðêòðéòð\níòð\nîòðø½÷Ý«ñÇ×ÙñÐÊÜÚ\n°°ã ïð Êô ã çðÿÝ«\nð ï óï\nøµÑ»÷\nЬñÇ×ÙñÐÊÜÚø»÷ ïòë\nóïòë\nðï\nóï°°ã ïð Êô ã ð\nÝ«ñÇ×ÙñÐÊÜÚøº÷ ïòë\nóïòë\nðï\nóï°°ã ïð Êô ã çðÿ\nðßÍÐßÍÐ\nÚ×Ùò íæ ø¿÷ô ø¾÷ ؼ»°»²¼»²½» ±º Ê·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» º±® ª¿®·±« ª¿´«» ±º º¿¬Ê°°ã ïð Ê ¿²¼ kã çðoø¿÷ ±®\nkã ð ø¾÷ò ø½÷ ؼ»°»²¼»²½» ±º Ê·² ¬¸» Ý«ñÇ×ÙñÐÊÜÚ ¿³°´» º±® ª¿®·±« ª¿´«» ±º º¿¬Ê°°ã ïð Ê ¿²¼ kã çðoò ø¼÷ô\nø»÷ ݱ²¬±«® °´±¬ ±º Ê·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» ¿ º«²½¬·±² ±º º¿²¼Ø¿¬Ê°°ã ïð Ê ¿²¼ kã çðoø¼÷ ±® kã ð ø»÷ò\nøº÷ ݱ²¬±«® °´±¬ ±º Ê·² ¬¸» Ý«ñÇ×ÙñÐÊÜÚ ¿³°´» ¿ º«²½¬·±² ±º º¿²¼Ø¿¬Ê°°ã ïð Ê ¿²¼ kã çðoò ̸» ¾´¿½µ ¿®®±©\n®»°®»»²¬ ¬¸» Øó©»»° ¼·®»½¬·±²ò\n±®¾·¬ ·²¬»®¿½¬·±²ô ¿ ·¬«¿¬·±² ½±²·¬»²¬ ©·¬¸ ¬¸» º»¿¬«®»\n±º ¬¸» ×ÍØÛ ¼»½®·¾»¼ ¾§ Û¯ò øï÷ò ß´´ ¬¸» ®»«´¬ ¸±©²\n¿¾±ª» «°°±®¬ ¬¸¿¬ ¬¸» ʼ·° ¿®±«²¼ ºã íæëÓئ ±¾ó\n»®ª»¼ ·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» · ¿¬¬®·¾«¬»¼ ¬± ¬¸»\n°·² ½«®®»²¬ ¼®·ª»² ¾§ ¬¸» ßÍÐò ̸» »¨°»®·³»²¬¿´ ¼¿¬¿\n¸±©² ·² Ú·¹ò îø¿÷ ¿²¼ íø¿÷óíø½÷ ¿®» «³³¿®·¦»¼ ·² ¬¸»\n½±²¬±«® °´±¬ ·² Ú·¹ò íø¼÷óíøº÷ò\nÞò Ûr»½¬ ±º ·´·½±²» ®«¾¾»® ±² ¬¸» ¼»ª·½»\nײ ¬¸· «¾»½¬·±²ô ©» ¼·½« Ê·¹²¿´ ³»¿«®»¼ ©¸»²\n¿ ·´·½±²» ®«¾¾»® ©¿ ®»³±ª»¼ º®±³ ¬¸» ЬñÇ×Ù ¿³ó\n°´»ò Ú·¹«®» ìø¿÷ ¸±© Ê¿ ¿ º«²½¬·±² ±º º·² ¬¸» ¿³»\nЬñÇ×ÙñÐÊÜÚ ¿³°´» ¿¬ Ê°°ã ïð Êô ³»¿«®»¼ ©·¬¸\n¿²¼ ©·¬¸±«¬ ¬¸» ·´·½±²» ®«¾¾»®ò Ø»®»ô ¬¸» ³¿¹²»¬·½\nq»´¼ ±º ï µÑ» ©¿ ¿°°´·»¼ ¿´±²¹ ¬¸» kã çðo¼·®»½¬·±²ò\nײ ¬¸» ¿¾»²½» ±º ¬¸» ·´·½±²» ®«¾¾»®ô ¬¸» ÍÍÛ ·¹²¿´ ·²\n¬¸» ¸·¹¸»®óº®»¯«»²½§ ®¿²¹» ø º âëÓئ÷ · «°°®»»¼ô\n©¸·½¸ · ¿¬¬®·¾«¬»¼ ¬± ¬¸» ª»®§ ´±© ¬¸»®³¿´ ½±²¼«½¬·ª·¬§\n±º ¿·® ¬¸¿¬ ®»¼«½» ¬¸» ¬»³°»®¿¬«®» ¹®¿¼·»²¬ ¿½®± ¬¸»\nЬñÇ×Ù ¿³°´»ô ±® ¬¸» ÍÍÛ ·¹²¿´ò Ò»ª»®¬¸»´»ô ¬¸» Ê\n¼·° ¿®±«²¼ ºã íæë Óئ · ¿´³±¬ «²½¸¿²¹»¼ »ª»² ·²\n¬¸» ¿³°´» ©·¬¸±«¬ ¬¸» ·´·½±²» ®«¾¾»®ò ̸· ®»«´¬ ·²ó\n¼·½¿¬» ¬¸¿¬ ¬¸» ×ÍØÛ ª±´¬¿¹» ·²¼«½»¼ ¾§ ¬¸» ßÍÐ ¼±»\n²±¬ ¼»°»²¼ ±² ¬¸» ¼·®»½¬·±² ±º ·²¶»½¬»¼ ±«²¼ ©¿ª»ô ·²½»\n³±¬ ±º ±«²¼ ©¿ª» ¿®» ®»p»½¬»¼ º®±³ ¬¸» ¬±° «®º¿½»\n±º ¬¸» ¿³°´» ¾»½¿«» ±º ¬¸» ³·³¿¬½¸ ±º ¬¸» ½¸¿®¿½¬»®ó\n·¬·½ ¿½±«¬·½ ·³°»¼¿²½»ìï¾»¬©»»² ¬¸» ЬñÇ×Ù ¿³°´»¿²¼ ¿·®ò ̸»®»º±®»ô ¬¸» ßÍÐ · ¿¬¬®·¾«¬»¼ ²±¬ ¬± ¬¸» ³±ó\n³»²¬«³ ¬®¿²º»® ±® ܱ°°´»® ¸·º¬ôì¬ ¬± ¬¸» »²»®¹§\n¬®¿²º»® º®±³ ±«²¼ ©¿ª» ¬± ³¿¹²±² ·² ¬¸» Ç×Ù ´¿¾ò\n̸· ·²¬»®°®»¬¿¬·±² · º±®³«´¿¬»¼ ·² ¬»®³ ±º ¿ ³±¼»´ ½¿´ó\n½«´¿¬·±² ¸±©² ·² Í»½ò ××× Úò\nÝò Í¿³°´»ó·¦» ¼»°»²¼»²½»\nÚ·¹«®» ìø¾÷ ¸±© ¬¸» ¼»°»²¼»²½» ±º ¬¸» ºóʽ«®ª»\n±² ¬¸» ´»²¹¬¸ ±º ¬¸» Ь ´¿§»®ô ÔЬô ·² ¬¸» ЬñÇ×ÙñÐÊÜÚ\n¿³°´» ¿¬ Ê°°ã ïð Êô Øã ïµÑ»ô ¿²¼ kã çðoò ̸» ±¾ó\n»®ª»¼ Ê·¹²¿´ ¿¬ ÔЬã î ³³ · ³«½¸ ³¿´´»® ¬¸¿² ¬¸¿¬\n¿¬ÔЬã ê ³³å ¬¸» ×ÍØÛ ª±´¬¿¹» · °®±°±®¬·±²¿´ ¬± ÔЬò\nÒ±¬¿¾´» · ¬¸¿¬ ¬¸» °±·¬·±² ±º ¬¸» ʼ·° ¼±» ²±¬ ½¸¿²¹»\n»ª»² ·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» ±º ÔЬã î ³³ô ½±²ó\nq®³·²¹ ¬¸¿¬ ¬¸» ¸¿°» »r»½¬ ±º ¬¸» Ь q´³ô «½¸ ¿ ¿\n³»½¸¿²·½¿´ ®»±²¿²½» ±º ¬¸» Ь ´¿§»®ô · ·®®»´»ª¿²¬ ¬± ¬¸·\nÊó¼·° ¹»²»®¿¬·±²ò\nÉ» ¿´± ½±²q®³»¼ ¬¸¿¬ ¬¸» ¸¿°» ±º ¬¸» ºóʽ«®ª»\n¿²¼ ¬¸» Êó¼·° °±·¬·±² ¼± ²±¬ ½¸¿²¹» ·² ЬñÇ×ÙñÐÊÜÚ\n¿³°´» ±º ¼·r»®»²¬ Ç×Ùó´¿¾ ¬¸·½µ²»» ø»» ¬¸» ·²»¬ ¬±\nÚ·¹ò ìø¾÷÷ò ̸· ®»«´¬ ·²¼·½¿¬» ¬¸¿¬ ¬¸» Êó¼·° ·¹²¿´ ·\n²±¬ ·²¼«½»¼ º®±³ ¬¿²¼·²¹ ±«²¼ ©¿ª»å ¬¸» ³«´¬·°´» ®»ó\np»½¬·±² ¿²¼ ·²¬»®º»®»²½» ±º ±«²¼ ©¿ª» ¾»¬©»»² ¬¸» ¬±°\n¿²¼ ¾±¬¬±³ «®º¿½» ±º ¬¸» Ç×Ù ´¿¾ ¿®» ¿´± ·®®»´»ª¿²¬òì\nóïðï\nð î ïð\nøÓئ÷ì ê èЬñÇ×ÙñÐÊÜÚ\n©·¬¸ ·´·½±²»\n©·¬¸±«¬ ·´·½±²»\nã ï µÑ»\nã çðÿ°°ã ïð ÊЬ\nÇ×Ù\nð î ïð\nøÓئ÷ì ê èЬã ê ³³\nЬã î ³³Ð¬ñÇ×ÙñÐÊÜÚ ©·¬¸ ·´·½±²» ø Ç×Ùã ï ³³÷\nóïð\nð î ì ê è ïð\nøÓئ÷Ç×Ùã ï\nÇ×Ùã ðòé©·¬¸±«¬ ·´·½±²»\nøЬã ê÷\nóïðï\nã ï µÑ»\nã çðÿ°°ã ïð Êø¿÷ ø¾÷\nÚ×Ùò ìæ ø¿÷ º¼»°»²¼»²½» ±º Ê·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³°´» ¿¬ Ê°°ã ïð Êô Øã ïµÑ»ô ¿²¼ kã çðoô ³»¿«®»¼ ©·¬¸ ¿²¼\n©·¬¸±«¬ ¬¸» ·´·½±²» ®«¾¾»®ò ø¾÷ º¼»°»²¼»²½» ±º Ê·² ¬¸» ЬñÇ×Ù ø ¼Ç×Ùã ï³³÷ñÐÊÜÚ ¿³°´» ±º ¬¸» ¬©± ¼·r»®»²¬ Ьóq´³\n´»²¹¬¸ ø ÔЬã ê³³ ¿²¼ î ³³÷ ¿¬ Ê°°ã ïð Êô Øã ïµÑ»ô ¿²¼ kã çðoò ̸» ·²»¬ ¬± ø¾÷ ¸±© ¬¸¿¬ ¬¸» º¼»°»²¼»²½» ±º ¬¸»\n²±®³¿´·¦»¼ ÊãÊî\n°°·² ¬¸» Ь ø ÔЬã ê ³³÷ñÇ×ÙñÐÊÜÚ ¿³°´» ±º ¬¸» ¬©± ¼·r»®»²¬ Ç×Ùó´¿¾ ¬¸·½µ²»» ø ¼Ç×Ùã ï³³ ¿²¼\nðòé ³³÷ ¿¬ Øã ïµÑ» ¿²¼ kã çðoò\nÜò 绦±»´»½¬®·½ó®»±²¿²½»óº®»¯«»²½§ ¼»°»²¼»²½»\nÒ»¨¬ô ©» ¼»³±²¬®¿¬» ¬¸¿¬ ¬¸» ¸¿®° Êó¼·° ¬®«½¬«®»\n¹»²»®¿¬»¼ ¾§ ¬¸» ßÍÐ · ¼«» ¬± ¬¸» °·»¦±»´»½¬®·½ ®»±ó\n²¿²½» ±º ¬¸» ¿½¬«¿¬±® ¿¬¬¿½¸»¼ ¬± ¬¸» ЬñÇ×Ù ¿³°´»ò ̱\n¼± ¬¸·ô ©» «»¼ °·»¦±»´»½¬®·½ ÐÆÌ ½»®¿³·½ ©·¬¸ ¼·r»®»²¬\n¬¸·½µ²»» ø ¼ÐÆÌ÷ ¿²¼ ¼·r»®»²¬ °·»¦±»´»½¬®·½ó®»±²¿²½»\nº®»¯«»²½·» ø º°÷ô ·²¬»¿¼ ±º ¬¸» ÐÊÜÚ q´³ò É» ½±²q®³»¼\n¬¸¿¬ ¬¸» ÐÆÌ ±º ¼ÐÆÌã ðæê ³³ô ð æì ³³ô ¿²¼ ð æí ³³\n»¨¸·¾·¬ ¬¸» °·»¦±»´»½¬®·½ ®»±²¿²½» ¿¬ ºã íæê Óئô\nëæì Óئô ¿²¼ é æî Óئô ®»°»½¬·ª»´§ô ¾§ ³»¿² ±º ¿ ´¿»®\nܱ°°´»® ª·¾®±³»¬®§ ø»» Ú·¹ò ëø¾÷ ¿²¼ ëø½÷÷ò\nÚ·¹«®» ëø¿÷ ¸±© ¬¸» ºóʽ«®ª» º±® ¬¸» ЬñÇ×ÙñÐÆÌ\n¿³°´» º±® ª¿®·±« ª¿´«» ±º º°øã íæê Óئô ë æì Óئô\n¿²¼ éæî Óئ÷ ¿¬ Ê°°ã ïð Êô Øã ï µÑ»ô ¿²¼ kã çðoô\n·² ©¸·½¸ ¬¸» º°°±·¬·±² ¿®» ³¿®µ»¼ ©·¬¸ ¿®®±©ò ײ\n¿´´ ¬¸» ЬñÇ×ÙñÐÆÌ ¿³°´»ô ¬¸» ʼ·° ©¿ º±«²¼ ¬±\n¿°°»¿® ¿®±«²¼ ºãº°ò ̸»» Ê·¹²¿´ ¿®» ¼±³·²¿¬»¼\n¾§ ¬¸» ßÍÐ ·²½» ¬¸» ·¹² ±º Ê· ²»¹¿¬·ª»ô ±°°±·¬» ¬± ¬¸»\nÍÍÛ ·¹²¿´ ·²¼«½»¼ ¾§ ¬¸» ¸»¿¬·²¹ ±º ¬¸» ÐÆÌ ø»» Í»½ò\n××× Û÷ò ̸· ®»«´¬ ½±²q®³ ¬¸¿¬ ¬¸» ¸¿®° ʬ®«½¬«®»\n±º ²»¹¿¬·ª» ·¹² ±®·¹·²¿¬» º®±³ ¬¸» ®»±²¿²¬ ±«²¼ó©¿ª»\n·²¶»½¬·±² ¹»²»®¿¬»¼ ¾§ ¬¸» °·»¦±»´»½¬®·½ ®»±²¿²½» ±º ¬¸»\n¿½¬«¿¬±®ô ²±¬ ¬¸» ¸¿°» »r»½¬ ±º ¬¸» ЬñÇ×Ù ¿³°´»ò\nß ¿² »²¼²±¬» ¬± ¬¸· «¾»½¬·±²ô ©» ³»²¬·±² ¬¸» ¼·ºó\nº»®»²½» ·² ¬¸» ³¿¹²·¬«¼» ±º ¬¸» ßÍÐ ·¹²¿´ ±¾»®ª»¼\n·² ¬¸» ЬñÇ×ÙñÐÆÌ ¿²¼ ·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³ó\n°´»ò ß ¸±©² ·² Ú·¹ò îô íô ¿²¼ ëô ¬¸» Êó¼·° ·¹ó\n²¿´ ·² ¬¸» ЬñÇ×ÙñÐÆÌ ¿³°´» ¿®» ±²» ±®¼»® ±º ³¿¹ó\n²·¬«¼» ¹®»¿¬»® ¬¸¿² ¬¸±» ·² ¬¸» ЬñÇ×ÙñÐÊÜÚ ¿³ó\n°´»ò ̸· · ¾»½¿«» øï÷ ¬¸» °·»¦±»´»½¬®·½ ¬®¿·² ½±²¬¿²¬\n±º ¬¸» ÐÆÌ ½»®¿³·½ ø ¼ííã ééð}ïðïî³ñÊ º±® ¬¸·½µó\n²» ³±¼»÷ · ³«½¸ ¹®»¿¬»® ¬¸¿² ¬¸¿¬ ±º ¬¸» ÐÊÜÚ q´³\nø¼ííãíí}ïðïî³ñÊ÷ ¿²¼ øî÷ ¬¸» »²»®¹§ ¬®¿²³·¬ó\n¬¿²½» ±º ±«²¼ ©¿ª» ¿¬ ¬¸» Ç×ÙñÐÆÌ ·²¬»®º¿½» · ¿¾±«¬\ní ¬·³» ¹®»¿¬»® ¬¸¿² ¬¸¿¬ ¿¬ ¬¸» Ç×ÙñÐÊÜÚ ·²¬»®º¿½»òìí\n̸» ®»´¿¬·ª»´§ó³¿´´ Êó¼·° ·¹²¿´ ·² ¬¸» ЬñÇ×ÙñÐÆÌ\n¿³°´» ±º ¼ÐÆÌã ðæí ³³ ½¿² ¾» »¨°´¿·²»¼ ¾§ ¬¸» ´¿®¹»\n¬»³°»®¿¬«®» ®·» ±º ¬¸» ÐÆÌ ±º ¼ÐÆÌã ðæí ³³ ¿®±«²¼\nº°ã éæî Óئ ø»» Ú·¹ò ëø»÷÷ô ©¸»®» ¬¸» ßÍÐ ·¹²¿´ ±º²»¹¿¬·ª» ·¹² · ±r»¬ ¾§ ¬¸» ÍÍÛ ¾¿½µ¹®±«²¼ ·¹²¿´ ±º\n°±·¬·ª» ·¹²ò\nÛò Í·¹² ¼·r»®»²½» ¾»¬©»»² ßÍÐ ¿²¼ ÍÍÛ\nË·²¹ Ú·¹ò êô ©» ¼·½« ¯«¿´·¬¿¬·ª»´§ ¬¸» ±®·¹·² ±º ¬¸»\n·¹² ¼·r»®»²½» ¾»¬©»»² ¬¸» ·¹²¿´ ½±³·²¹ º®±³ ¬¸» ßÍÐ\n¿²¼ ¬¸¿¬ º®±³ ¬¸» ÍÍÛ ·²¼«½»¼ ¾§ ¬¸» ¸»¿¬·²¹ ±º ¬¸»\n°·»¦±»´»½¬®·½ ¿½¬«¿¬±® ø»» Ú·¹ò îø¿÷÷ò ߬ ¬¸» ЬñÇ×Ù\n·²¬»®º¿½»ô ¬¸»®» ¿®» ¬©± ½±²¬®·¾«¬·±² ¬± ¬¸» °·² ·²¶»½ó\n¬·±² °®±½»æ ¬¸» »¨½·¬¿¬·±² ±º ³¿¹²±² ·² ¬¸» Ç×Ù ´¿¾\n©¸·½¸ ·²¶»½¬ °·² ½«®®»²¬ ·²¬± ¬¸» Ь q´³ ¿²¼ ¬¸» »¨ó\n½·¬¿¬·±² ±º ½±²¼«½¬·±² »´»½¬®±² ·² ¬¸» Ь q´³ ©¸·½¸\n»¶»½¬°·² ½«®®»²¬ º®±³ ·¬»´ºòíë̸»²ô ·² ¬¸» ½¿» ±º ¬¸»\n±«²¼ó©¿ª»ó¼®·ª»² °·² ·²¶»½¬·±²ô ±«²¼ ©¿ª» ·²¬»®¿½¬\n©·¬¸ ³¿¹²±² ·² ¬¸» Ç×Ù ´¿¾ »o½·»²¬´§ô ©¸·´» ¬¸»§ ¼±\n²±¬ »o½·»²¬´§ ·²¬»®¿½¬ ©·¬¸ ½±²¼«½¬·±² »´»½¬®±² ·² ¬¸»\nЬ q´³ ¾»½¿«» ¬¸» ¬¸·½µ²» ±º ¬¸» Ь q´³ øïë ²³÷ ·\n¬±± ³¿´´ º±® ½±²¼«½¬·±² »´»½¬®±² ·² ¬¸» Ь q´³ ¬± º»»´\n¬¸» ±«²¼ ©¿ª» ±º »ª»®¿´ Óئ º®»¯«»²½·» ©¸·½¸ ¸¿ª»\n©¿ª»´»²¹¬¸ ±º ¬¸» ±®¼»® ±º ³·´´·³»¬»®ò ̸· ³»¿² ¬¸¿¬\n¬¸» ±«²¼ ©¿ª» ¼± »o½·»²¬´§ »¨½·¬» ³¿¹²±² ·² Ç×Ù\n´¿¾ ©¸·´» ²±¬ ½±²¼«½¬·±² »´»½¬®±² ·² ¬¸» Ь q´³ ø»»\nÚ·¹ò êø¿÷ ¿²¼ êø¾÷÷å °·² ½«®®»²¬ ¿®» ·²¶»½¬»¼ ·²¬± ¬¸»\nЬ q´³ò Ѳ ¬¸» ±¬¸»® ¸¿²¼ô ·² ¬¸» ½¿» ±º ¸»¿¬·²¹ ¾§\n¬¸» °·»¦±»´»½¬®·½ ¿½¬«¿¬±®ô ³¿¹²±² ¼»²·¬·» ·² ¬¸» Ç×Ù\n´¿¾ ¿²¼ »´»½¬®±² ·² ¬¸» Ь ´¿§»® ¿®» »¨½·¬»¼ ¾§ ¸»¿¬\n½«®®»²¬ô ·ò»òô p±© ±º ¬¸»®³¿´ °¸±²±²ò Í·²½» ¬¸» ¬¸»®ó\n³¿´ ¼» Þ®±¹´·» ´»²¹¬¸ ±º °¸±²±² · ³«½¸ ¸±®¬»® ¬¸¿²\n¿ ³·´´·³»¬»®ô ¬¸»®³¿´ °¸±²±²ô ±º ©¸·½¸ ¬¸» ¼»²»¬ º®»ó\n¯«»²½§ ¿¬ íðð Õ · eîð Ìئôìì¿®» ¿¾´» ¬± »¨½·¬» ½±²¼«½ó\n¬·±² »´»½¬®±² ·² ¬¸» Ь q´³ò Ó±®»±ª»®ô ·²½» ¬¸» ·´·½±²»\n®«¾¾»® ·² ¬¸» °®»»²¬ »¬«° ¿½¬ ¿ ¿ ¸»¿¬ ·²µ ©»´´ô ¬¸»\n¸»¿¬ ½«®®»²¬ ½¿®®·»¼ ¾§ ¬¸»®³¿´ °¸±²±² °»²»¬®¿¬» ·²¬±\n¬¸» Ь q´³ò ˲¼»® «½¸ ½·®½«³¬¿²½»ô ¬¸«ô ½±²¼«½ó\n¬·±² »´»½¬®±² ·² ¬¸» Ь q´³ ¿®» »¨½·¬»¼ ³«½¸ ¬®±²¹»®\n¬¸¿² ³¿¹²±² ·² ¬¸» Ç×Ù ´¿¾ ø»» Ú·¹ò êø½÷ ¿²¼ êø¼÷÷\n¿ ¬¸» »´»½¬®±²ó°¸±²±² ·²¬»®¿½¬·±² ·² ¬¸» Ь q´³ · ³«½¸\n¬®±²¹»® ¬¸¿² ¬¸» ³¿¹²±²ó°¸±²±² ·²¬»®¿½¬·±² ·² ¬¸» Ç×Ù\n´¿¾òíîôìëôìê̸· ³»¿² ¬¸¿¬ô ·² ¬¸» ´¿¬¬»® ½¿»ô °·² ½«®óë\nð î ïð\nºøÓئ÷ì ê èëòðЬñÇ×ÙñÐÆÌ Ê\n¼ÐÆÌ\n¼ÐÆÌã ðòí ³³\n¼ÐÆÌã ðòê ³³º°ã éòî Óئ\nº°ã íòê Óئ¼ÐÆÌã ðòì ³³\nº°ã ëòì Óئðð\nðø¿÷\níòð\nðð\nðø½÷\nîð\nðð\nðø»÷´¿»® ܱ°°´»®\nª·¾®±³»¬»®\n´¿»® ´·¹¸¬ÎÌ\nð î ïð\nºøÓئ÷ì ê è¼ÐÆÌã ðòí ³³\nðòê ³³ðòì ³³ÐÆÌ̼·ºº»®»²¬·¿´\n¬¸»®³±½±«°´»ø¾÷ ø¼÷\n¼ÐÆÌ\nð î ïð\nºøÓئ÷ì ê 踻¿¬ ¾¿¬¸\nÊ°°ã ïð Ê Ê°°ã ïð ÊØã ï µÑ»\nÿã çðÿÊ°°ã ïð Ê\n¼ÐÆÌã ðòí ³³\nðòê ³³ðòì ³³\nÚ×Ùò ëæ ø¿÷ º¼»°»²¼»²½» ±º Ê·² ¬¸» ЬñÇ×ÙñÐÆÌ ¿³°´» º±® ª¿®·±« ÐÆÌ ¬¸·½µ²»» ø ¼ÐÆÌã ðæê ³³ô ðòì ³³ô ¿²¼\nðòí ³³÷ ¿¬ Ê°°ã ïð Êô Øã ï µÑ»ô ¿²¼ kã çðoò ø¾÷ Û¨°»®·³»²¬¿´ ½±²q¹«®¿¬·±² º±® ¬¸» ³»¿«®»³»²¬ ±º ¬¸» ¬¸·½µ²»ó\nª·¾®¿¬·±² ¿³°´·¬«¼» ±º ¬¸» ÐÆÌ ½»®¿³·½ ø~ ¼ÐÆÌ÷ô ¼«» ¬± ¬¸» ¿°°´·»¼ ßÝ ª±´¬¿¹»ò ~ ¼ÐÆÌ©¿ ³»¿«®»¼ ¾§ ³»¿² ±º ¿ ´¿»®\nܱ°°´»® ª·¾®±³»¬®§ ©·¬¸ ¿ ³·½®±§¬»³ ¿²¿´§¦»® øб´§¬»½ ÓÍßóëðð÷ò ø½÷ º¼»°»²¼»²½» ±º ~ ¼ÐÆ̺±® ª¿®·±« ª¿´«» ±º ¼ÐÆÌ\n¿¬Ê°°ã ïð Êò ̸» °»¿µ °±·¬·±² ±º »¿½¸ ºó~¼ÐÆ̽«®ª» ½±®®»°±²¼ ¬± ¬¸» °·»¦±»´»½¬®·½ ®»±²¿²½» º®»¯«»²½§ º°±º »¿½¸ ÐÆÌò\nø¼÷ Û¨°»®·³»²¬¿´ ½±²q¹«®¿¬·±² º±® ¬¸» ³»¿«®»³»²¬ ±º ¬¸» ¬»³°»®¿¬«®» ®·» ±º ¬¸» ÐÆÌ ½»®¿³·½ ø~ ÌÐÆÌ÷ô ¼«» ¬± ¬¸» ¿°°´·»¼\nßÝ ª±´¬¿¹»ò ~ ÌÐÆÌ©¿ ³»¿«®»¼ ©·¬¸ ¿ ¼·r»®»²¬·¿´ ¬¸»®³±½±«°´» ¿¬¬¿½¸»¼ ¾»¬©»»² ¬¸» ÐÆÌ ¿²¼ ¿ ¸»¿¬ ¾¿¬¸ ¿¬ ÎÌò ø»÷ º\n¼»°»²¼»²½» ±º ~ ÌÐÆ̺±® ª¿®·±« ª¿´«» ±º ¼ÐÆÌ¿¬Ê°°ã ïð Êò\n®»²¬ ¿®» »¶»½¬»¼ º®±³ ¬¸» Ь q´³ ¿ ©¿ ¼»³±²¬®¿¬»¼ ·²\n®»½»²¬ »¨°»®·³»²¬òíî̸»» ½±²·¼»®¿¬·±² »¨°´¿·² ¬¸»\n¼·r»®»²½» ·² ¬¸» ·¹² ±º ¬¸» ·¹²¿´ º±® ¬¸» ßÍÐ ¿²¼ ÍÍÛ\n°®±½»» ¿ »»² ·² Ú·¹ò îø¿÷ò\nÚò Ó±¼»´ ½¿´½«´¿¬·±² «·²¹ ´·²»¿®ó®»°±²» ¬¸»±®§\nײ ¬¸· «¾»½¬·±²ô ©» °®»»²¬ ¿ ´·²»¿®ó®»°±²» ¿°ó\n°®±¿½¸ ¬± ¬¸» ßÍÐ ¿²¼ ¸±© ¬¸¿¬ ¬¸» »¨°»®·³»²¬¿´ ±¾ó\n»®ª¿¬·±² ½¿² ¾» »¨°´¿·²»¼ ¿ ¬¸» »²»®¹§ ¬®¿²º»® º®±³\n±«²¼ ©¿ª» ¬± ³¿¹²±²ò ß ¼·½«»¼ ·² Í»½ò ××× Ûô ±«®\n¬¿µ ®»¼«½» ¬± ½¿´½«´¿¬·²¹ ¬¸» Ú»§²³¿² ¼·¿¹®¿³ ¸±©²\n·² Ú·¹ò êø¿÷ô ©¸»®» ¬¸» ³¿¹²±² ·² ¬¸» Ç×Ù ´¿¾ ¿®» »¨ó\n½·¬»¼ ¾§ »¨¬»®²¿´ ±«²¼ ©¿ª» ¿²¼ô ¿¬ ¬¸» ЬñÇ×Ù ·²¬»®ó\nº¿½»ô ¬¸» ³¿¹²±² ·² ¬¸» Ç×Ù ¿²¼ ¬¸» ·¬·²»®¿²¬ °·² ¼»²ó\n·¬§ ·² ¬¸» Ь ·²¬»®¿½¬ ©»¿µ´§ ¬¸®±«¹¸ ¬¸» ó¼ »¨½¸¿²¹»\n½±«°´·²¹ ²»¿® ¬¸» ·²¬»®º¿½»ò ß ·² λºò îíô ©» ½±²·¼»®\n¸»®» ¬¸» ·²¬»®¿½¬·±² ±º »¨½¸¿²¹» ±®·¹·² ¾»¬©»»² ³¿¹²±²\n¿²¼ ±«²¼ ©¿ª» ø¬¸» ±ó½¿´´»¼ ª±´«³» ³¿¹²»¬±¬®·½¬·ª»\n½±«°´·²¹ìé÷ô ·²½» ¬¸· ½±«°´·²¹ ¸¿ ¾»»² »¬¿¾´·¸»¼ ¬±\n¹·ª» ¬¸» ´¿®¹»¬ ½±²¬®·¾«¬·±²òìèÉ» ²»¹´»½¬ ¬¸» ±ó½¿´´»¼\n·²¹´»ó·±² ³¿¹²»¬±¬®·½¬·±²ìé¿®··²¹ º®±³ ¬¸» °·²ó±®¾·¬\n·²¬»®¿½¬·±²åìç·º ¬¸» ´¿¬¬»® ½±«°´·²¹ ©¿ ®»´»ª¿²¬ ¬± ±«® »¨ó\n°»®·³»²¬ô ¬¸» ®»«´¬¿²¬ ßÍÐ ¸±«´¼ ¸¿ª» ¾» »»² ¿¬ ¬¸»\nÙئ º®»¯«»²½·»ô ¾»½¿«» ¬¸» ·²¹´»ó·±² ³¿¹²»¬±¬®·½¬·±²\n½±²¬¿·² ¬¸» ½±«°´·²¹ ´·²»¿® ·² ¬¸» ¬®¿²ª»®» ³¿¹²»¬·½\np«½¬«¿¬·±² ͨ¿²¼Í§ôì笸»®»¾§ ½±²ª»®¬·²¹ °¸±²±²\n·²¬± ³¿¹²±² ©·¬¸ ¬¸» ¿³» º®»¯«»²½§ ¿ ·² ¬¸» ½¿» ±º\nÚÓÎô ©¸»®» °¸±¬±² ¿®» ½±²ª»®¬»¼ ·²¬± ³¿¹²±² ©·¬¸\n¬¸» ¿³» º®»¯«»²½§ò\n̸» ¬¿®¬·²¹ ³±¼»´ · ¬¸» º±´´±©·²¹ »¨½¸¿²¹» Ø¿³·´¬±ó²·¿²æ\nØ»¨ãÈ\nηåζֻ¨øηζ÷Íøη÷~Íøζ÷åøî÷\n©¸»®» Ö»¨øηζ÷ · ¬¸» ¬®»²¹¬¸ ±º ¬¸» »¨½¸¿²¹» ½±«ó\n°´·²¹ ¾»¬©»»² ¬¸» ·±² ¿¬ η¿²¼Î¶ò ̸» ·²¬¿²¬¿²»±«\n°±·¬·±² ±º ¬¸» ·±² · ©®·¬¬»² ¿ η㮷õ«ø®·÷ô ©¸»®»\n¬¸» ´¿¬¬·½» ¼·°´¿½»³»²¬ «ø®·÷ · »°¿®¿¬»¼ º®±³ ¬¸» »¯«·ó\n´·¾®·«³ °±·¬·±² ®·ò ײ ¬¸» ¿¾»²½» ±º ±«²¼ ©¿ª»\nø«ãð÷ô ¬¸» »¨½¸¿²¹» Ø¿³·´¬±²·¿² ½¿² ¾» ¼·¿¹±²¿´·¦»¼\n¾§ ·²¬®±¼«½·²¹ ¬¸» ³¿¹²±² ±°»®¿¬±® ¿ø®·÷ ¿²¼ ¿§ø®·÷ ·²\n¬¸» º±´´±©·²¹ ³¿²²»®æ Íõø®·÷løïã°\nî÷øͨõ ·Í§÷ ã°Íð¿§ø®·÷ôÍø®·÷løïã°\nî÷øͨ·Í§÷ ã°Íð¿ø®·÷ô ¿²¼\nͦø®·÷ ãÍðõ¿§ø®·÷¿ø®·÷ò ̸· §·»´¼ ¬¸» ³¿¹²±²\nØ¿³·´¬±²·¿²\nس¿¹ãÈ\n¯ÿ¯¿§\n¯¿¯å øí÷\n©¸»®» ÿ¯ã îÍðÐ\noÖ»¨øo÷Ð\n¯}\nï½±ø¯~o÷|\n· ¬¸»\n³¿¹²±² º®»¯«»²½§ ©·¬¸ ¬¸» ´¿¬¬·½» ª»½¬±® oº±® ¬¸» ²»¿®ó\n»¬ ²»·¹¸¾±®ò\nÒ±© ©» ½±²·¼»® ¬¸» »r»½¬ ±º ±«²¼ ©¿ª»ò ײ ¬¸» °®»ó\n»²½» ±º ±«²¼ ©¿ª»ô ¬¸» »¨½¸¿²¹» Ø¿³·´¬±²·¿² ½¿² ¾»\n©®·¬¬»² ¿Ø»¨ãس¿¹õس¿¹±«²¼©·¬¸Ø³¿¹±«²¼\n¾»·²¹ ¬¸» ·²¬»®¿½¬·±² ¾»¬©»»² ³¿¹²±² ¿²¼ ±«²¼ ©¿ª»ò\nɸ»² ¬¸» ±«²¼ ©¿ª» °®±°¿¹¿¬» ¿´±²¹ ¬¸» §³³»¬®§\n¿¨· ±º ¬¸» ½®§¬¿´ ø¬¸· · ¬¸» ½¿» º±® ¬¸» °®»»²¬ »¨ó\n°»®·³»²¬ô »» Í»½ò »½æ××÷ô س¿¹±«²¼· ¿°°®±¨·³¿¬»´§\n¹·ª»² ¾§\nس¿¹±«²¼d»¹È\n®·åo\n®~«ø®·÷~\n¿§ø®·÷¿ø®·õo÷ øì÷ê\n°·»¦±»´»½¬®·½ ¿½¬«¿¬±®ø¿÷\n·´·½±²»\nÇ×ÙЬ ±«²¼ ©¿ª»ø¾÷\n \nßÍÐ °®±½»\nÔ±²¹·¬«¼·²¿´ ÍÍÛ °®±½»\nø½÷ ø¼÷\n¸»¿¬ ½«®®»²¬\nÚ×Ùò êæ ø¿÷ô ø¾÷ Ú»§²³¿² ¼·¿¹®¿³ º±® ½¿´½«´¿¬·²¹ ¬¸» ßÍÐó\n·²¼«½»¼ °·² ½«®®»²¬ ·² ¬¸» Ь ´¿§»® ·² ¬¸» ЬñÇ×Ù ¿³°´»ò\nײ ¬¸» ½¿» ±º ¬¸» ßÍÐô ¬¸» °®±½» ·² ø¿÷ · ¼±³·²¿²¬ò ø½÷ô\nø¼÷ Ú»§²³¿² ¼·¿¹®¿³ º±® ½¿´½«´¿¬·²¹ ¬¸» ´±²¹·¬«¼·²¿´óÍÍÛó\n·²¼«½»¼ °·² ½«®®»²¬ ·² ¬¸» Ь ´¿§»® ·² ¬¸» ЬñÇ×Ù ¿³°´»ò\nײ ¬¸» ½¿» ±º ¬¸» ´±²¹·¬«¼·²¿´ ÍÍÛô ¬¸» °®±½» ·² ø¼÷ · ¼±³ó\n·²¿²¬ò ̸» ¼±«¾´» ´·²»ô ¾±´¼ ´·²»ô ¿²¼ ¼±¬¬»¼ ´·²» ®»°®»»²¬\n°·²ó¼»²·¬§ °®±°¿¹¿¬±®ô ³¿¹²±² °®±°¿¹¿¬±®ô ¿²¼ »¨¬»®²¿´\n±«²¼ ©¿ª» ø·² ø¿÷ ¿²¼ ø¾÷÷ ±® ¸»¿¬ ½«®®»²¬ ø·² ø½÷ ¿²¼ ø¼÷÷ô\n®»°»½¬·ª»´§ò\n«° ¬± ¬¸» ´·²»¿® ±®¼»® ·² ¬¸» ¼·°´¿½»³»²¬ q»´¼ô ©¸»®» »¹ã\nøÐ\noo~®Ö»¨øo÷÷ãîÖ»¨øo÷ · ¬¸» ¼·³»²·±²´» °¸±²±²ó\n³¿¹²±² ½±«°´·²¹ ½±²¬¿²¬ò Û¯«¿¬·±² øì÷ ³»¿² ¬¸¿¬ô\n«° ¬± ¬¸» ´±©»¬ ±®¼»® ·² «ô ±²´§ ¬¸» ´±²¹·¬«¼·²¿´ ±«²¼\n©¿ª» ½±«°´» ¬± ³¿¹²±² ©¸»² ¬¸» ±«²¼ ©¿ª» °®±°¿ó\n¹¿¬» ¿´±²¹ ¬¸» §³³»¬®§ ¿¨· ±º ¬¸» ½®§¬¿´òìèÉ» »¨°®»\n¬¸» ´¿¬¬·½» ¼·°´¿½»³»²¬ q»´¼ «º±® ¿ q¨»¼ ©¿ª»²«³ó\n¾»®Õð¿«ø®·÷ ã ·Ð\nÕãyÕð¾»ÕËÕ»·Õð~®·ôë𩸻®»\n¬¸» °±´¿®·¦¿¬·±² ª»½¬±® ¾»Õ· ±¼¼ «²¼»® ¬¸» ·²ª»®·±²\nÕÿÕô ¿²¼ËÕ½¿² ¾» »¨°®»»¼ ¿ ËÕã«Õõ«|\nÕ\n¬± ¿¬·º§ ËÕãË|\nÕò Ò±¬» ¬¸¿¬ ¬¸» °¿¬·¿´ ¿ª»®¿¹» ±º\nÅ«ø®·÷Ãî· ¹·ª»² ¾§¸Å«ø®·÷Ãî·¿ªã î¶ËÕð¶îò ̸»²ô ¹±·²¹\n·²¬± ¬¸» ³±³»²¬«³ ®»°®»»²¬¿¬·±²ô س¿¹±«²¼· ©®·¬ó\n¬»² ¿\nس¿¹±«²¼ã»¹È\n¯åÕãyÕðø¢ÿ¯÷øÕ~¾»Õ÷ËÕ¿§\n¯õÕ¿¯æøë÷\nØ»®»ô ©» ½¿´½«´¿¬» ¬¸» °·² ½«®®»²¬ Ö·²¶»½¬»¼ ·²¬± ¬¸»\nЬ q´³ò ̸· ¯«¿²¬·¬§ · ¼»q²»¼ ¾§ ¬¸» ¬¿¬·¬·½¿´ ¿ª»®¿¹»\n±º ¬¸» ®¿¬» ±º ½¸¿²¹» ±º ¬¸» °·² ¼»²·¬§ ·² ¬¸» Ь q´³ ¿\nÖãÐ\n®îЬ¸à¬¦ø®å ¬÷·ò ß · ·²º»®®»¼ º®±³ ¬¸» ·³·´¿®·¬§\n¾»¬©»»² Û¯ò øë÷ ¿¾±ª» ¿²¼ Û¯ò øë÷ ·² Í«°°´»³»²¬¿®§ ײó\nº±®³¿¬·±² ±º λºò îíô ¬¸» º±´´±©·²¹ ½¿´½«´¿¬·±² · ³±¬´§\n¬¸» ¿³» ¿ ¬¸¿¬ ¹·ª»² ·² ±«® °®»ª·±« ©±®µîíôíí»¨½»°¬\nº±® ±²» ·³°±®¬¿²¬ ¼·r»®»²½» ¬¸¿¬ ¬¸» °¸±²±² q»´¼ ·² ¬¸»\n°®»ª·±« ½¿´½«´¿¬·±² · ¿ ¬¿¬·¬·½¿´ ª¿®·¿¾´» ±¾»§·²¹ ¬¸»\nÞ±» ¬¿¬·¬·½ô ©¸·´» ¬¸» °¸±²±² q»´¼ ·² ¬¸» °®»»²¬ ½¿»\n°´¿§ ¿ ®±´» ±º ¿² »¨¬»®²¿´ q»´¼ò ײ ¬¸» Ú»§²³¿² ¼·¿¹®¿³\n®»´»ª¿²¬ ¬± ¬¸» ßÍÐ øÚ·¹ò êø¿÷÷ô ¬¸» ¼±«¾´» ´·²»ô ¾±´¼ ´·²»ô\n¿²¼ ¼±¬¬»¼ ´·²» ®»°®»»²¬ ¿ °·²ó¼»²·¬§ °®±°¿¹¿¬±® ·²¬¸» Ь q´³ô ¿ ³¿¹²±² °®±°¿¹¿¬±® ·² ¬¸» Ç×Ù ´¿¾ô ¿²¼\n»¨¬»®²¿´ ±«²¼ ©¿ª»ô ®»°»½¬·ª»´§ò λ°»¿¬·²¹ »»²¬·¿´´§\n¬¸» ¿³» °®±½»¼«®» ¿ ·² ±«® °®»ª·±« ©±®µôîíôíí¬¸» °·²\n½«®®»²¬ ·²¶»½¬»¼ ·²¬± ¬¸» Ь q´³ Ö¾§ ¬¸» °®±½» ¸±©²\n·² Ú·¹ò êø¿÷ · ¬¸»² ½¿´½«´¿¬»¼ ¿\nÖã°\nî¢øÖî\n¼Íð÷\nÒÐÒÚãÒ·²¬È\nµå¯ßµå¯øfÕð÷m\n»¹ø¢ÿ¯÷¶Õð¶lî\n¶ËÕð¶îå\nøê÷\n©¸»®» Ö¼· ¬¸» ó¼ »¨½¸¿²¹» ½±«°´·²¹ ¿¬ ¬¸» ЬñÇ×Ù\n·²¬»®º¿½»ô Í𬸻 ·¦» ±º ¬¸» ´±½¿´·¦»¼ °·² ·² Ç×Ùô Ò·²¬¬¸»\n²«³¾»® ±º ´±½¿´·¦»¼ °·² ·² Ç×Ùô ÒÐøÒÚ÷ ¬¸» ²«³¾»® ±º\n´¿¬¬·½» ·¬» ·² Ь øÇ×Ù÷ò ײ Û¯ò øê÷ô ¬¸» ¯«¿²¬·¬§ ßµå¯øf÷\n· ¼»q²»¼ ¾§\nßµå¯øf÷ ãƼÿ\nîd׳^Î\nµøÿ÷׳ÈÎ\n¯Õøÿf÷¶ÈÎ\n¯øÿ÷¶î\n}Ž±¬¸ø¢øÿf÷\nîµÞÌ÷½±¬¸ø¢ÿ\nîµÞÌ÷Ãåøé÷\n©¸»®»íë^Î\nµøÿ÷ ã ^Ðãøï õhî\nºµî·ÿaº÷ · ¬¸» ®»ó\n¬¿®¼»¼ ½±³°±²»²¬ ±º ¬¸» °·²ó¼»²·¬§ °®±°¿¹¿¬±® ·² Ь\n©·¬¸^Ðôhºô ¿²¼ aº¾»·²¹ ®»°»½¬·ª»´§ ¬¸» °¿®¿³¿¹ó\n²»¬·½ «½»°¬·¾·´·¬§ô ¬¸» °·²ó¼·r«·±² ´»²¹¬¸ô ¿²¼ ¬¸»\n°·²ó®»´¿¨¿¬·±² ¬·³» ¿²¼ ÈÎ\n¯øÿ÷ ã øÿ»ÿ¯õ ·rÿ÷ï·\n¬¸» ®»¬¿®¼»¼ ½±³°±²»²¬ ±º ¬¸» ³¿¹²±² °®±°¿¹¿¬±® ©·¬¸\n»ÿ¯ãpØðõÿ¯¿²¼r¾»·²¹ ®»°»½¬·ª»´§ ¬¸» ³¿¹²±²\nº®»¯«»²½§ ¿²¼ ¬¸» Ù·´¾»®¬ ¼¿³°·²¹ ½±²¬¿²¬ò Ë·²¹\nßµå¯øf÷d ø^Ðÿ¯aº÷½±¬¸øÿ¯\nîÌï÷Åï\nÿ¯×³^µøÿ¯÷\n^ÐÃîô ©» ±¾ó\n¬¿·² ¿² ¿°°®±¨·³¿¬» »¨°®»·±² º±® ¬¸» °·² ½«®®»²¬ ·²ó\n¶»½¬»¼ ·²¬± ¬¸» Ь q´³ ¿\nÖd ë}ïðíkÖî\n¼ÍðÒ·²¬^Ð\nøhºã¿÷íj\nÞîø»¹Õð¶ËÕð¶÷îåøè÷\n©¸»®» ¿· ¬¸» ´¿¬¬·½» °¿½·²¹ ·² Ь ¿²¼ Þîã\nøÌã̳÷çãî\nìdîøµÞ̳aº\n¢÷íÎ̳ãÌ\n𼪪éãî\n¬¿²¸ø ªãî÷©·¬¸ ¬¸» ½¸¿®¿½ó\n¬»®·¬·½ »²»®¹§ ½±®®»°±²¼·²¹ ¬± ¬¸» ³¿¹²±² ¸·¹¸ó»²»®¹§\n½«¬±r ̳ò\nß ¿² ±®¼»® ±º ³¿¹²·¬«¼» »¬·³¿¬·±²ô ©» ½±³°¿®»\nÛ¯ò øè÷ ©·¬¸ ¬¸» »¨°»®·³»²¬¿´ ®»«´¬ò ɸ»² ½±³°¿®·²¹\n±«® ¬¸»±®»¬·½¿´ ¿²¼ »¨°»®·³»²¬¿´ ®»«´¬ô ¿ ½¿®» · ²»½ó\n»¿®§ ¾»½¿«» ·² ±«® ³±¼»´ ½¿´½«´¿¬·±² ©» «» »r»½¬·ª»\n¾´±½µ °·² ¼»q²»¼ ±² ¿ ½«¾·½ ´¿¬¬·½» ©·¬¸ ´¿¬¬·½» °¿½·²¹\n¶o¶ã ïæîì ²³ ±º Ç×Ùô ©¸·´» ¬¸» ¼·¬¿²½» ¾»¬©»»² ¬¸» ¬©±\n³¿¹²»¬·½ ·±² ·² Ç×Ù øÚ»íõ·±² ±² ¿·¬» ¿²¼ ¼·¬»÷ ·\nðæíì ²³ô í æê ¬·³» ¸±®¬»® ¬¸¿² ¬¸» º±®³»®òëïÒ±¬» ¿´±\n¬¸¿¬ ¬¸» »r»½¬·ª» «²·¬ ½»´´ ø ¶o¶ã ïæîì ²³÷ ½±²¬¿·² è º±®ó\n³«´¿ «²·¬ò ̸»» º¿½¬ ®»«´¬ ·² ¿ ®»²±®³¿´·¦¿¬·±² ±º ¬¸»\n¼·³»²·±²´» ³¿¹²±²ó°¸±²±² ½±«°´·²¹ »¹¼»q²»¼ ¾»´±©\nÛ¯ò øì÷ô ¿²¼ ¿ ²¿·ª» ½±²·¼»®¿¬·±² ¹·ª» »¹ã íæê}軹øð÷\n©¸»®» »¹øð÷· ¬¸» ¾¿®» ³¿¹²±²ó°¸±²±² ½±«°´·²¹ ½±²¬¿²¬ò\nײ ¬¸» »¨°»®·³»²¬ô ¬¸» °·² ½«®®»²¬ ·²¶»½¬»¼ ·²¬± ¬¸»\nЬ q´³ · ½±²ª»®¬»¼ ·²¬± ¬¸» »´»½¬®·½ ª±´¬¿¹» ¿ Êã\nkÍØø¶»¶Ö÷øcã©÷ ¼«» ¬± ¬¸» ×ÍØÛ ø»» Û¯ò øï÷÷ô ©¸»®» kÍØ\n· ¬¸» °·²óØ¿´´ ¿²¹´»ô ¶»¶¬¸» ¿¾±´«¬» ª¿´«» ±º »´»½¬®±²\n½¸¿®¹»ô c¬¸» ®»·¬·ª·¬§ ±º ¬¸» Ь q´³ô ¿²¼ ©¬¸» ©·¼¬¸\n±º ¬¸» Ь ´¿§»® ¿´±²¹ ¬¸» §¼·®»½¬·±²ò Ë·²¹ hºã é ²³ô\n¿ã ðæî ²³ô¶o¶ã ïæîì ²³ô kÍØã ðæðïôcã ðæçïgs³ô\n^Ðã ï}ïðê½³íã¹ôaºã ï °ô Íðã ïêô Ö¼ã ëð ³»Êô\n̳ã êðð Õô¶ËÕð¶ eë ²³ º±® ¼ÐÆÌã ðæê ³³ ¿¬\nÊ°°ã ïð Êô ¿²¼ ª±«²¼eë Õ³ñ º±® ¬¸» ´±²¹·¬«¼·²¿´\n±«²¼ ª»´±½·¬§ ±º Ç×Ùô ¬¸» »¨°»®·³»²¬¿´ ·¹²¿´ ¸±©² ·²\nÚ·¹ò ëø¿÷ º±® ¼ÐÆÌã ðæê ³³ ½¿² ¾» »¨°´¿·²»¼ ¾§ ¿ ª¿´«»é\n»¹øð÷eïæ𠺱® ¬¸» ¾¿®» ³¿¹²±²ó°¸±²±² ½±«°´·²¹ ½±²ó\n¬¿²¬ò Ò±¬» ¬¸¿¬ ±«® °»®¬«®¾¿¬·ª» ¿°°®±¿½¸ ·² ¬»®³ ±º\n¬¸» ³¿¹²±²ó°¸±²±² ·²¬»®¿½¬·±² · »²«®»¼ ¾§ ¬¸» ³¿´´ó\n²» ±º »¹}¼·ª«äïðîò\n×Êò ÍËÓÓßÎÇ\nײ ¬¸· °¿°»®ô ©» ®»°±®¬ ¬¸» §¬»³¿¬·½ ·²ª»¬·¹¿ó\n¬·±² ±² ¬¸» ¿½±«¬·½ °·² °«³°·²¹ øßÍÐ÷æ ¬¸» ¹»²»®¿ó\n¬·±² ±º °·² ½«®®»²¬ ¾§ ¿ ±«²¼ó©¿ª» ·²¶»½¬·±²ò Ë·²¹\nЬñÇ íÚ»ëÑïîøÇ×Ù÷ñ°·»¦±»´»½¬®·½ó¿½¬«¿¬±® ¸§¾®·¼ §ó\n¬»³ô ©» ¼»³±²¬®¿¬» ¬¸¿¬ ¬¸» ±«²¼ ©¿ª» ·²¶»½¬»¼ ·²\n¬¸» Ç×Ù ´¿¾ ¹»²»®¿¬» ¿ °·² ½«®®»²¬ ·² ¬¸» Ь q´³ ¿¬ó\n¬¿½¸»¼ ¬± ¬¸» Ç×Ùò ̸» ·²¶»½¬»¼ °·² ½«®®»²¬ · ½±²ó\nª»®¬»¼ ·²¬± ¿² »´»½¬®·½ q»´¼ ¼«» ¬± ¬¸» ·²ª»®» °·²óØ¿´´\n»r»½¬ ø×ÍØÛ÷ ·² ¬¸» Ь q´³ò ̸» ×ÍØÛ ·¹²¿´ ·²¼«½»¼\n¾§ ¬¸» ßÍÐ ¿°°»¿® ¿¬ ¬¸» °·»¦±»´»½¬®·½ ®»±²¿²½» º®»ó\n¯«»²½§ ±º ¬¸» ¿½¬«¿¬±® ½±«°´»¼ ©·¬¸ ¬¸» ЬñÇ×Ù ¿³ó\n°´»ò ̸» »¨°»®·³»²¬¿´ ®»«´¬ ¸±© ¬¸¿¬ô ·² ¬¸» °®»»²¬\nЬñÇ×Ùñ°·»¦±»´»½¬®·½ó¿½¬«¿¬±® §¬»³ô ¬¸» ·¹² ±º ¬¸»\n±«²¼ó©¿ª»ó¼®·ª»² ·¹²¿´ · ±°°±·¬» ¬± ¬¸¿¬ ±º ¬¸» ½±²óª»²¬·±²¿´ °·²óÍ»»¾»½µ »r»½¬ ¼«» ¬± ¬¸» ¸»¿¬·²¹ ±º ¬¸»\n¿½¬«¿¬±®å ¾§ ½¸»½µ·²¹ ¬¸» ·¹²¿´ ·¹²ô ±²» ½¿² ¼·¬·²¹«·¸\n¬¸» ßÍÐó·²¼«½»¼ ·¹²¿´ º®±³ »¨¬®·²·½ ¸»¿¬·²¹ »r»½¬ò\nÉ» ¸¿ª» ¼»ª»´±°»¼ ¿ ´·²»¿®ó®»°±²» ¬¸»±®§ º±® ¬¸» ßÍÐ\n¿²¼ ¸±©² ¬¸¿¬ ·¬ °®±ª·¼» « ©·¬¸ ¿ ¯«¿´·¬¿¬·ª» ¿ ©»´´\n¿ ¯«¿²¬·¬¿¬·ª» «²¼»®¬¿²¼·²¹ ±º ¬¸» ßÍÐ ±¾»®ª»¼ ·² ¬¸»\nЬñÇ×Ù ¿³°´»ò Í·²½» ¬¸» ßÍÐ »²¿¾´» ·³°´» ¿²¼ ª»®ó\n¿¬·´» °·²ó½«®®»²¬ ¹»²»®¿¬·±² º®±³ ±«²¼ ©¿ª»ô ·¬ ©·´´\n¾» «»º«´ ·² ¾¿·½ °·²¬®±²·½ ®»»¿®½¸» ¿²¼ °·²ó¾¿»¼\n¼»ª·½» ¿°°´·½¿¬·±²ò\nß½µ²±©´»¼¹»³»²¬\n̸» ¿«¬¸±® ¬¸¿²µ Ì¿µ¿¸·¬± Ѳ± º±® ª¿´«¿¾´» ¼·½«ó\n·±²ò ̸· ©±®µ ©¿ «°°±®¬»¼ ¾§ ¿ Ù®¿²¬ó·²óß·¼ º±®\nͽ·»²¬·q½ λ»¿®½¸ ß øîïîììðëè÷ º®±³ ÓÛÈÌô Ö¿°¿²ô ¬¸»\n¹´±¾¿´ ÝÑÛ º±® ¬¸» ÄÓ¿¬»®·¿´ ײ¬»¹®¿¬·±² ײ¬»®²¿¬·±²¿´\nÝ»²¬®» ±º Û¼«½¿¬·±² ¿²¼ λ»¿®½¸þ º®±³ ÓÛÈÌô Ö¿°¿²ô\nÝÎÛÍÌóÖÍÌ ÄÝ®»¿¬·±² ±º Ò¿²±§¬»³ ©·¬¸ Ò±ª»´ Ú«²½ó\n¬·±² ¬¸®±«¹¸ Ю±½» ײ¬»¹®¿¬·±²þô Ö¿°¿²ô ¿²¼ ¿ Ú«²¼¿ó\n³»²¬¿´ λ»¿®½¸ Ù®¿²¬ º®±³ ÌË×ßÎÛÑô Ö¿°¿²ò\n|Û´»½¬®±²·½ ¿¼¼®»æ µ«½¸·¼¿à·³®ò¬±¸±µ«ò¿½ò¶°\nïÎò Øò Í·´¾»»ô ßò Ö¿²±§ô ¿²¼ Ðò Ó±²±¼ô и§ò λªò Þ ïçô\nìíèî øïçéç÷ò\nîÇò Ì»®µ±ª²§¿µô ßò Þ®¿¬¿¿ô ¿²¼ Ùò Ûò Éò Þ¿«»®ô и§ò\nλªò Ô»¬¬ò èèô ïïéêðï øîððî÷ò\níÍò Ó·¦«µ¿³·ô Çò ß²¼±ô ¿²¼ Ìò Ó·§¿¦¿µ·ô и§ò λªò Þ êêô\nïðììïí øîððî÷ò\nìÇò Ì»®µ±ª²§¿µô ßò Þ®¿¬¿¿ô Ùò Ûò Éò Þ¿«»®ô ¿²¼ Þò ×ò\nØ¿´°»®·²ô λªò Ó±¼ò и§ò ééô ïíéë øîððë÷ò\nëÛò Í¿·¬±¸ô Óò Ë»¼¿ô Øò Ó·§¿¶·³¿ô ¿²¼ Ùò Ì¿¬¿®¿ô ß°°´ò\nи§ò Ô»¬¬ò èèô ïèîëðç øîððê÷ò\nêØò Çò ײ±«»ô Õò Ø¿®··ô Õò ß²¼±ô Õò Í¿¿¹»ô ¿²¼ Ûò Í¿·¬±¸ô\nÖò ß°°´ò и§ò ïðîô ðèíçïë øîððé÷ò\néÕò ß²¼±ô Çò Õ¿¶·©¿®¿ô Íò Ì¿µ¿¸¿¸·ô Íò Ó¿»µ¿©¿ô Õò Ì¿µ»ó\n³±¬±ô Óò Ì¿µ¿¬«ô ¿²¼ Ûò Í¿·¬±¸ô и§ò λªò Þ éèô ðïììïí\nøîððè÷ò\nèÕò ß²¼±ô Ìò DZ¸·²±ô ¿²¼ Ûò Í¿·¬±¸ô ß°°´ò и§ò Ô»¬¬ò çìô\nïëîëðç øîððç÷ò\nçÕò ß²¼±ô Öò ×»¼¿ô Õò Í¿¿¹»ô Íò Ì¿µ¿¸¿¸·ô Íò Ó¿»µ¿©¿ô\n¿²¼ Ûò Í¿·¬±¸ô ß°°´ò и§ò Ô»¬¬ò çìô îêîëðë øîððç÷ò\nïðÑò Ó±»²¼¦ô Öò Ûò л¿®±²ô Úò Çò Ú®¿¼·²ô Ùò Ûò Éò Þ¿«»®ô\nÍò Üò Þ¿¼»®ô ¿²¼ ßò رr³¿²²ô и§ò λªò Ô»¬¬ò ïðìô\nðìêêðï øîðïð÷ò\nïïÇò Õ¿¶·©¿®¿ô Õò Ø¿®··ô Íò Ì¿µ¿¸¿¸·ô Öò Ѹ»ô Õò ˽¸·¼¿ô\nÓò Ó·¦«¹«½¸·ô Øò ˳»¦¿©¿ô Øò Õ¿©¿·ô Õò ß²¼±ô Õò\nÌ¿µ¿²¿¸·ô Íò Ó¿»µ¿©¿ô ¿²¼ Ûò Í¿·¬±¸ô Ò¿¬«®» ìêìô îêî\nøîðïð÷ò\nïîÌò DZ¸·²±ô Õò ß²¼±ô Õò Ø¿®··ô Øò Ò¿µ¿§¿³¿ô Çò Õ¿¶·©¿®¿ô\n¿²¼ Ûò Í¿·¬±¸ô ß°°´ò и§ò Ô»¬¬ò çèô ïíîëðí øîðïï÷ò\nïíÕò ß²¼±ô Íò Ì¿µ¿¸¿¸·ô Öò ×»¼¿ô Çò Õ¿¶·©¿®¿ô Øò\nÒ¿µ¿§¿³¿ô Ìò DZ¸·²±ô Õò Ø¿®··ô Çò Ú«¶·µ¿©¿ô Óò Ó¿¬«±ô\nÍò Ó¿»µ¿©¿ô ¿²¼ Ûò Í¿·¬±¸ô Öò ß°°´ò и§ò ïðçô ïðíçïí\nøîðïï÷ò\nïìÚò Üò ݦ»½¸µ¿ô Ôò Ü®»¸»®ô Óò Íò Þ®¿²¼¬ô Óò É»·´»®ô Óò\nß´¬¸¿³³»®ô ×òóÓò ׳±®¬ô Ùò λ·ô ßò ̸±³¿ô Éò ͽ¸±½¸ô\nÉò Ô·³³»®ô Øò Ø«»¾´ô Îò Ù®±ô ¿²¼ Íò Ìò Þò Ù±»²²»²ó\n©»·²ô и§ò λªò Ô»¬¬ò ïðéô ðìêêðï øîðïï÷ò\nïëÖò Ýò Í´±²½¦»©µ·ô и§ò λªò Þ íçô êççë øïçèç÷ò\nïêÍò Ì¿µ¿¸¿¸· ¿²¼ Íò Ó¿»µ¿©¿ô Öò и§ò ͱ½ò Ö°²ò ééô\nðíïððç øîððè÷ò\nïéÍò ßò ɱ´ºô Üò Üò ß©½¸¿´±³ô Îò ßò Þ«¸®³¿²ô Öò Óò\nÜ¿«¹¸¬±²ô Íò ª±² Ó±´²¿®ô Óò Ôò α«µ»ô ßò Çò ݸ¬½¸»´µ¿ó²±ª¿ô ¿²¼ Üò Óò Ì®»¹»®ô ͽ·»²½» îçìô ïìèè øîððï÷ò\nïè×ò Æ«¬·½ô Öò Ú¿¾·¿²ô ¿²¼ Íò Ü¿ Í¿®³¿ô λªò Ó±¼ò и§ò\néêô íîí øîððì÷ò\nïçݱ²½»°¬ ·² Í°·² Û´»½¬®±²·½ ô »¼·¬»¼ ¾§ Íò Ó¿»µ¿©¿ øѨó\nº±®¼ ˲·ª»®·¬§ Ю»ô Ѩº±®¼ô îððê÷ò\nîðÝò ݸ¿°°»®¬ô ßò Ú»®¬ô ¿²¼ Úò Ò¹«§»² Ê¿² Ü¿«ô Ò¿¬«®»\nÓ¿¬»®ò êô èïí øîððé÷ò\nîïÜò Üò ß©½¸¿´±³ ¿²¼ Óò Ûò Ú´¿¬¬»ô Ò¿¬«®» и§ò íô ïëí\nøîððé÷ò\nîîßò Ú»®¬ô λªò Ó±¼ò и§ò èðô ïëïé øîððè÷ò\nîíÕò ˽¸·¼¿ô Øò ß¼¿½¸·ô Ìò ß²ô Ìò Ѭ¿ô Óò ̱¼¿ô Þò Ø·´´»ó\n¾®¿²¼ô Íò Ó¿»µ¿©¿ô ¿²¼ Ûò Í¿·¬±¸ô Ò¿¬«®» Ó¿¬»®ò øîðïï÷ô\n¼±·æ ïðòïðíèñ²³¿¬íðççò\nîìÍò Ñò Ê¿´»²¦«»´¿ ¿²¼ Óò Ì·²µ¸¿³ô Ò¿¬«®» ììîô ïéê øîððê÷ò\nîëÌò Õ·³«®¿ô Çò Ѭ¿²·ô Ìò Í¿¬±ô Íò Ì¿µ¿¸¿¸·ô ¿²¼ Íò\nÓ¿»µ¿©¿ô и§ò λªò Ô»¬¬ò çèô ïëêêðï øîððé÷ò\nîêÌò Í»µ·ô Çò Ø¿»¹¿©¿ô Íò Ó·¬¿²·ô Íò Ì¿µ¿¸¿¸·ô Øò ׳¿ó\n³«®¿ô Íò Ó¿»µ¿©¿ô Öò Ò·¬¬¿ô ¿²¼ Õò Ì¿µ¿²¿¸·ô Ò¿¬«®»\nÓ¿¬»®ò éô ïîë øîððè÷ò\nîéÕò ˽¸·¼¿ô Íò Ì¿µ¿¸¿¸·ô Õò Ø¿®··ô Öò ×»¼¿ô Éò Õ±¸·¾¿»ô\nÕò ß²¼±ô Íò Ó¿»µ¿©¿ô ¿²¼ Ûò Í¿·¬±¸ô Ò¿¬«®» ìëëô ééè\nøîððè÷ò\nîèÕò ˽¸·¼¿ô Ìò Ѭ¿ô Õò Ø¿®··ô Íò Ì¿µ¿¸¿¸·ô Íò Ó¿»µ¿©¿ô Çò\nÚ«¶·µ¿©¿ô ¿²¼ Ûò Í¿·¬±¸ô ͱ´·¼ ͬ¿¬» ݱ³³«²ò ïëðô ëîì\nøîðïð÷ò\nîçÖò È·¿±ô Ùò Ûò Éò Þ¿«»®ô Õò ˽¸·¼¿ô Ûò Í¿·¬±¸ô ¿²¼ Íò\nÓ¿»µ¿©¿ô и§ò λªò Þ èïô îïììïè øîðïð÷ò\níðÕò ˽¸·¼¿ô Öò È·¿±ô Øò ß¼¿½¸·ô Öò Ѹ»ô Íò Ì¿µ¿¸¿¸·ô Öò\n×»¼¿ô Ìò Ѭ¿ô Çò Õ¿¶·©¿®¿ô Øò ˳»¦¿©¿ô Øò Õ¿©¿·ô Ùò Ûò\nÉò Þ¿«»®ô Íò Ó¿»µ¿©¿ô ¿²¼ Ûò Í¿·¬±¸ô Ò¿¬«®» Ó¿¬»®ò çô\nèçì øîðïð÷ò\níïÝò Óò Ö¿©±®µ·ô Öò Ç¿²¹ô Íò Ó¿½µô Üò Üò ß©½¸¿´±³ô Öò Ðò\nØ»®»³¿²ô ¿²¼ Îò Ýò Ó§»®ô Ò¿¬«®» Ó¿¬»®ò çô èçè øîðïð÷ò\níîÕò ˽¸·¼¿ô Øò ß¼¿½¸·ô Ìò Ѭ¿ô Øò Ò¿µ¿§¿³¿ô Íò Ó¿»µ¿©¿ô\n¿²¼ Ûò Í¿·¬±¸ô ß°°´ò и§ò Ô»¬¬ò çéô ïéîëðë øîðïð÷ò\nííØò ß¼¿½¸·ô Õò ˽¸·¼¿ô Ûò Í¿·¬±¸ô Öò Ѹ»ô Íò Ì¿µ¿¸¿¸·ô\n¿²¼ Íò Ó¿»µ¿©¿ô ß°°´ò и§ò Ô»¬¬ò çéô îëîëðê øîðïð÷ò\níìÕò ˽¸·¼¿ô Ìò Ò±²¿µ¿ô Ìò Ѭ¿ô ¿²¼ Ûò Í¿·¬±¸ô ß°°´ò и§ò\nÔ»¬¬òçéô îêîëðì øîðïð÷ò\níëØò ß¼¿½¸·ô Öò Ѹ»ô Íò Ì¿µ¿¸¿¸·ô ¿²¼ Íò Ó¿»µ¿©¿ô и§ò\nλªò Þ èíô ðçììïð øîðïï÷ò\níêÖò Ѹ»ô Øò ß¼¿½¸·ô Íò Ì¿µ¿¸¿¸·ô ¿²¼ Íò Ó¿»µ¿©¿ô и§òè\nλªò Þ èíô ïïëïïè øîðïï÷ò\níéÝò Óò Ö¿©±®µ·ô Öò Ç¿²¹ô Íò Ó¿½µô Üò Üò ß©½¸¿´±³ô Îò Ýò\nÓ§»®ô ¿²¼ Öò Ðò Ø»®»³¿²ô и§ò λªò Ô»¬¬ò ïðêô ïèêêðï\nøîðïï÷ò\níèÍò Ó¿»µ¿©¿ ¿²¼ Óò Ì¿½¸·µ·ô ß×Рݱ²ºò Ю±½ò îçô ëìî\nøïçéê÷ò\níçßò Õò Ù¿²¹«´§ô Õò Ôò Ü¿ª·ô Üò Ýò É»¾¾ô ¿²¼ Ýò Ê·¬¬±®·¿ô\nÖò ß°°´ò и§ò ìéô îêçê øïçéê÷ò\nìðÓò É»·´»®ô Ôò Ü®»¸»®ô Ýò Ø»»¹ô Øò Ø«»¾´ô Îò Ù®±ô Óò Íò\nÞ®¿²¼¬ô ¿²¼ Íò Ìò Þò Ù±»²²»²©»·²ô и§ò λªò Ô»¬¬ò ïðêô\nïïéêðï øîðïï÷ò\nìïØò Õ«¬¬®«rô ß½±«¬·½æ ¿² ·²¬®±¼«½¬·±² øÌ¿§´±® ú Ú®¿²½·ô\nÒ»© DZ®µô îððé÷ò\nìîßò Êò ݸ«³¿µô Ðò ܸ¿¹¿¬ô ßò Ö¿²¼»®ô ßò ßò Í»®¹¿ô ¿²¼ Þò\nØ·´´»¾®¿²¼ô и§ò λªò Þ èïô ïìðìðìøÎ÷ øîðïð÷ò\nìíÛ²»®¹§ ¬®¿²³·¬¬¿²½» ±º ±«²¼ ©¿ª» ¾»¬©»»² ¬©± ¼·r»®»²¬\n³¿¬»®·¿´ ß ¿²¼ Þ · ¹·ª»² ¾§ ÌßÞã ìÆßÆÞãøÆßõÆÞ÷î\nøiï÷ô ©¸»®» ÆßøÞ÷· ½¸¿®¿½¬»®·¬·½ ¿½±«¬·½ ·³°»¼¿²½» ±º¬¸» ³¿¬»®·¿´ ß øÞ÷å ÌßÞ· ³¿¨·³·¦»¼ ©¸»² ÆßãÆÞòìï\nË·²¹ ÆÇ×Ùã íêô ÆÐÊÜÚ ã íô ¿²¼ ÆÐÆÌã ííô ÌßÞ·\n»¬·³¿¬»¼ ¬± ¾» eðòí øeï÷ ¿¬ ¬¸» Ç×ÙñÐÊÜÚ øÇ×ÙñÐÆÌ÷\n·²¬»®º¿½»ò\nììÔò Üò Ô¿²¼¿« ¿²¼ Ûò Óò Ô·º¸·¬¦ô ͬ¿¬·¬·½¿´ °¸§·½ ô í®¼\n»¼ò øл®¹¿³±² Ю»ô Ѩº±®¼ô ïçèð÷ò\nìëÞò Ô«¬¸· ¿²¼ Îò Öò б´´·²¿ô и§ò λªò ïêéô ìèè øïçêè÷ò\nìêÓò Þò É¿´µ»®ô Óò Úò ͳ·¬¸ô ¿²¼ Õò Êò Í¿³±µ¸·²ô и§ò\nλªò Þ êëô ðïìëïé øîððï÷ò\nìéØò Íò Þ»²²»¬¬ ¿²¼ Ûò Ч¬¬»ô и§ò λªò ïëëô ëëí øïçêé÷ò\nìèÞò Ô«¬¸·ô Ìò Öò Ó±®¿²ô ¿²¼ Îò Öò б´´·²¿ô Öò и§ò ݸ»³ò\nͱ´·¼ íïô ïéìï øïçéð÷ò\nìçÝò Õ·¬¬»´ô и§ò λªò ïïðô èíê øïçëè÷ò\nëðÙò Üò Ó¿¸¿²ô Ó¿²§óﮬ·½´» и§·½ øд»²«³ Ю»ô Ò»©\nDZ®µô ïçèï÷ò\nëïÊò ݸ»®»°¿²±ªô ×ò Õ±´±µ±´±ªô ¿²¼ Êò Ôùª±ªô и§ò λ°ò\nîîçô èï øïççí÷ò" }, { "title": "2302.08684v2.Entangling_ferrimagnetic_magnons_with_an_atomic_ensemble_via_opto_magnomechanics.pdf", "content": "Entangling ferrimagnetic magnons with an atomic ensemble via opto-magnomechanics\nZhi-Yuan Fan,1Hang Qian,1Xuan Zuo,1and Jie Li1,∗\n1Interdisciplinary Center of Quantum Information, State Key Laboratory of Modern Optical Instrumentation,\nand Zhejiang Province Key Laboratory of Quantum Technology and Device,\nSchool of Physics, Zhejiang University, Hangzhou 310027, China\n(Dated: June 9, 2023)\nWe show how to prepare macroscopic entanglement between an atomic ensemble and a large number of\nmagnons in a ferrimagnetic YIG crystal. Specifically, we adopt an opto-magnomechanical configuration where\nthe magnetostriction-induced magnomechanical displacement couples to an optical cavity via radiation pressure,\nand the latter further couples to an ensemble of two-level atoms that are placed inside the cavity. We show that\nby properly driving the cavity and magnon modes, optomechanical entanglement is created which is further\ndistributed to the atomic and magnonic systems, yielding stationary entanglement between atoms and magnons.\nThe atom-magnon entanglement is a result of the combined e ffect of opto- and magnomechanical cooling and\noptomechanical parametric down-conversion interactions. A competition mechanism between two mechanical\ncooling channels is revealed. We further show that genuine tripartite entanglement of three massive subsystems,\ni.e., atoms, magnons and phonons, can also be achieved in the same system. Our results indicate that the\nhybrid opto-magnomechanical system may become a promising system for preparing macroscopic quantum\nstates involving magnons, photons, phonons and atoms.\nCavity optomechanics (COM) explores the interaction be-\ntween the electromagnetic field and mechanical motion via\nradiation pressure [1]. The past decade has witnessed signifi-\ncant progress in the field of COM in experimentally preparing\nmacroscopic quantum states of massive mechanical oscilla-\ntors, including the realization of entanglement between a me-\nchanical oscillator and an electromagnetic field [2], entangle-\nment between two mechanical oscillators [3–5], and quantum\nsqueezing of mechanical motion [6], etc.\nIn analogy to cavity optomechanics, cavity magnomechan-\nics (CMM) [7–10] has recently received increasing atten-\ntion because of its potential for preparing quantum states\nat larger scales [8, 11–22], as well as its various promis-\ning applications in quantum information science and quan-\ntum technologies [23–34]. It studies interactions between mi-\ncrowave cavity photons, magnons (quanta of the spin wave),\nand magnetostriction-induced vibration phonons in magneti-\ncally ordered materials, such as yttrium-iron-garnet (YIG) [7–\n10]. The combination of COM and CMM, realized by cou-\npling the magnomechanical displacement to an optical cav-\nity via radiation pressure, forms the new system of opto-\nmagnomechanics (OMM) [32, 35, 36]. Such a hybrid system\nenables us to optically read out magnon population [32] and\narbitrary magnonic quantum states in solids [35], and prepare\noptomagnonic [35] and microwave-optics [36] entanglement.\nThus, the system would find promising applications in quan-\ntum information processing and quantum networks.\nIn this article, we show how to prepare macroscopic entan-\nglement between ferrimagnetic magnons and an atomic en-\nsemble by using such a novel OMM system. Specifically, we\nfurther couple the optical cavity of the OMM to an ensemble\nof two-level atoms that are initially prepared in their ground\nstate. Keeping the atoms and magnons in the low-excitation\nlimit, which allows us to bosonize the atomic polarization\n∗jieli007@zju.edu.cnand the collective spins, the system then becomes a four-\nmode bosonic system. By strongly driving the cavity with\na red-detuned laser, the mechanical motion scatters the driv-\ning photons onto two sidebands. When the cavity resonance\nand atomic frequencies match the anti-Stokes and Stokes side-\nbands, respectively, an entangled state between atoms and\nvibration phonons can be created. By further driving the\nmagnons with a relatively weak red-detuned microwave field,\nwhich activates the magnon-phonon state-swap interaction,\nthe atom-phonon entanglement is then partially distributed to\nmagnons, yielding a macroscopic entangled state of magnons\nand atoms. The entangled state is stationary and robust against\nFIG. 1: (a)-(b) Sketch of the atom-OMM system. An optical cavity\nmode ( c) driven by a laser at frequency ωLcouples to an ensemble\nof two-level atoms ( a) and a magnon mode ( m) in a YIG crystal by\nthe mediation of a mechanical vibration mode ( b) induced by magne-\ntostriction. (c) Mode frequenies and linewidths adopted in the proto-\ncol. In the resolved sideband limit ( ωb≫κc,m), when the cavity and\natomic frequencies match the anti-Stokes sideband at ωL+ωband\nthe Stokes sideband at ωL−ωbof the laser drive field, respectively,\nand when further the magnon mode is resonant with the anti-Stokes\nsideband at ω0+ωbof the microwave drive field, stationary entan-\nglement between atoms and magnons is established.arXiv:2302.08684v2 [quant-ph] 8 Jun 20232\nbath temperature. A strategy is provided to detect the entan-\nglement.\nThe system we consider is depicted in Fig. 1(a), which con-\nsists of optical cavity photons, magnons in a YIG crystal,\nmagnetostriction-induced vibration phonons, and an ensemble\nof two-level atoms. The magnomechanical displacement cou-\nples to the optical cavity via radiation pressure (a dispersive\ninteraction), e.g., by attaching a small highly reflective mirror\npad onto the surface of a YIG micro bridge [35–37]. The YIG\nbridge is micron-sized and can support long-lived spin-wave\nexcitations with the frequency in gigahertz and mechanical vi-\nbration phonons with the frequency ranging from tens to hun-\ndreds of megahertz [37]. The large frequency mismatch of the\nmagnon and mechanical modes permits a dominant magnon-\nphonon dispersive coupling [35]. Note that the attached mir-\nror pad should be fabricated su fficiently small compared to the\nYIG bridge, such that there is negligible bending displacement\n(relative motion), and the YIG bridge and the attached mir-\nror can stick together tightly, which oscillate approximately\nwith the same frequency. Alternatively, one may adopt the\n‘membrane-in-the-middle’ configuration [38] by placing the\nYIG bridge in the middle of the optical cavity, which can also\nrealize the dispersive coupling between the magnomechanical\ndisplacement and the optical cavity.\nThe Hamiltonian of the system is given by\nH/ℏ=ωcc†c+ωmm†m+ωa\n2Sz+ωb\n2\u0010\nq2+p2\u0011\n+ga\u0010\nS+c+S−c†\u0011\n−gcc†cq+gmm†mq+Hdri/ℏ,(1)\nwhere c(c†) and m(m†) are the annihilation (creation) op-\nerators of the cavity and magnon modes, respectively, satis-\nfying [ k,k†]=1 (k=c,m).qandp([q,p]=i) are the di-\nmensionless position and momentum of the mechanical mode.\nThe collective spin operators of an ensemble of Natwo-level\natoms with natural frequency ωa,S±,z= ΣNa\ni=1σ(i)\n±,z, withσ±,z\nbeing the Pauli matrices, which satisfy the commutation re-\nlations [ S+,S−]=Szand [ Sz,S±]=±2S±.ωc,ωmand\nωbare the resonance frequencies of the cavity, magnon and\nmechanical modes, respectively, and the magnon frequency\ncan be adjusted in a large range by varying the strength of\nthe bias magnetic field B0. The atom-cavity coupling strength\nga=µ√ωc/2ℏϵ0Vc, withµbeing the atomic dipole moment,\nVcthe cavity mode volume, and ϵ0the vacuum permittivity.\ngc(gm) denotes the bare optomechanical (magnomechanical)\ncoupling strength, which can be greatly enhanced by strongly\ndriving the cavity (magnon) mode. The last term is the driv-\ning Hamiltonian, Hdri=iℏE(c†e−iωLt−H.c.)+iℏΩd(m†e−iω0t−\nH.c.), where E=√2κcPL/ℏωLrepresents the coupling\nstrength between the cavity and the laser drive field, with PL\n(ωL) being the power (frequency) of the laser, and κcthe cav-\nity decay rate. The Rabi frequency Ωd=√\n5\n4γ√\nNB d[8] de-\nnotes the coupling between the magnon mode and the drive\nmagnetic field with amplitude Bdand frequency ω0, andγis\nthe gyromagnetic ratio and Nis the number of spins in the\nYIG crystal. Note that the magnons are assumed in the low-\nexcitation limit,⟨m†m⟩≪ 2Ns, where s=5\n2is the spin num-\nber of the ground state Fe3+ion in YIG [8]. This validates thebosonic description of the spins, where the system can be well\ndescribed by a harmonic oscillator [23].\nThe dynamics of the system governed by the Hamiltonian\n(1) is generally complicated. It, however, can be simplified\nby assuming the atoms in the low-excitation limit, where the\nexcitation probability of a single atom is small [39]. We\nassume that the atoms are initially prepared in their ground\nstate, so that Sz≃⟨Sz⟩≃− Na, and are off-resonantly cou-\npled to the optical cavity. In this case, the dynamics of the\natomic polarization can be described by bosonic operators.\nSpecifically, the atomic annihilation operator can be defined\nasa=S−/p\n|⟨Sz⟩|, and it satisfies the bosonic commuta-\ntion relation [ a,a†]=1. Consequently, we obtain the fully\nbosonized Hamiltonian, given by\nH/ℏ=X\nj=a,c,mωjj†j+ωb\n2\u0010\nq2+p2\u0011\n+Hdri/ℏ\n+gN\u0010\na†c+ac†\u0011\n−gcc†cq+gmm†mq,(2)\nwhere gN=ga√Nais the e ffective atom-cavity coupling\nstrength.\nBy including dissipation and input noise of each mode and\nworking in the interaction picture with respect to ℏωL(a†a+\nc†c)+ℏω0m†m, we obtain the following quantum Langevin\nequations (QLEs) of the system:\n˙a=−i∆aa−γaa−igNc+p\n2γaain,\n˙c=−i∆cc−κcc+igccq−igNa+E+p\n2κccin,\n˙m=−i∆mm−κmm−igmmq+ Ω d+p\n2κmmin,\n˙q=ωbp,˙p=−ωbq−γbp+gcc†c−gmm†m+ξ,(3)\nwhere ∆a(c)=ωa(c)−ωLand∆m=ωm−ω0.γais the decay rate\nof the atomic excited level and γb(κm) is the dissipation rate\nof the mechanical (magnon) mode. jin(t) (j=a,c,m) denote\nthe zero-mean input noise operators, which obey the follow-\ning correlation functions ⟨j†\nin(t)jin(t′)⟩=Nj(ωj)δ(t−t′) and\n⟨jin(t)j†\nin(t′)⟩=[Nj(ωj)+1]δ(t−t′).ξ(t) is the Hermitian Brow-\nnian noise operator acting on the mechanical oscillator, which\nis intrinsically non-Markovian, but a Markovian approxima-\ntion can be taken for a large mechanical quality factor Qb=\nωb/γb≫1 [40]. In this case, ξ(t) takes aδ-autocorrelation:\n⟨ξ(t)ξ(t′)+ξ(t′)ξ(t)⟩/2≃γb[2Nb(ωb)+1]δ(t−t′). Here,\nNk(ωk)=[exp( ℏωk/kBT)−1]−1(k=a,c,m,b) are the mean\nthermal excitation number of each mode at bath temperature\nT, with kBas the Boltzmann constant.\nThe creation of strong quantum correlations, like entan-\nglement, in the system requires su fficiently strong opto- and\nmagnomechanical interactions. To this end, we drive the\ncavity (magnon) mode with a strong laser (microwave) field,\nwhich leads to large steady-state amplitudes |⟨c⟩|,|⟨m⟩|≫ 1.\nThis allows us to linearize the nonlinear opto- and mag-\nnomechanical dynamics around the steady state, which is\nimplemented by writing each mode operator as the sum of\nits classical average and quantum fluctuation operator, i.e.,\nk=⟨k⟩+δk, and neglecting small second-order fluctuation\nterms. We aim to study quantum correlations among the3\nmacroscopic subsystems, and thus we focus on the dynam-\nics of the quantum fluctuations (around the steady-state aver-\nages). The linearized QLEs describing the quantum fluctua-\ntions (δxa,δya,δxc,δyc,δq,δp,δxm,δym), whereδxj=(δj+\nδj†)/√\n2 andδyj=i(δj†−δj)/√\n2 (j=a,c,m) denote the\nfluctuations of the amplitude and phase quadratures of the cor-\nresponding mode, can be written in the matrix form of\n˙u(t)=Au(t)+n(t), (4)\nwhere u=(δxa,δya,δxc,δyc,δq,δp,δxm,δym)Tand n=\u0000p\n2γaxin\na,p\n2γayin\na,√2κcxin\nc,√2κcyin\nc,0,ξ,√2κmxin\nm,√2κmyin\nm\u0001T,\nand the drift matrix Ais given by\nA=−γa∆a0 gN 0 0 0 0\n−∆a−γa−gN0 0 0 0 0\n0 gN−κc˜∆cGc 0 0 0\n−gN0−˜∆c−κc0 0 0 0\n0 0 0 0 0 ωb 0 0\n0 0 0−Gc−ωb−γb0Gm\n0 0 0 0 −Gm0−κm˜∆m\n0 0 0 0 0 0 −˜∆m−κm,(5)\nwhere the e ffective detunings ˜∆c= ∆ c−gc⟨q⟩and ˜∆m=\n∆m+gm⟨q⟩, which include the frequency shifts due to the me-\nchanical displacement ⟨q⟩=\u0000gc|⟨c⟩|2−gm|⟨m⟩|2\u0001/ωb, jointly\ncaused by the opto- and magnomechanical interactions. The\neffective opto- and magnomechanical coupling strengths are\nGc=i√\n2gc⟨c⟩andGm=i√\n2gm⟨m⟩, which are significantly\nenhanced by the large amplitudes of the cavity and magnon\nmodes:\n⟨m⟩=Ωd\nκm+i˜∆m,⟨c⟩=E(γa+i∆a)\ng2\nN+(γa+i∆a)(κc+i˜∆c).(6)\nThe amplitude of the atomic mode can be obtained by ⟨a⟩=\n−igN⟨c⟩/(γa+i∆a). It should be noted that the drift matrix A\nin Eq.(5) is derived under the condition that |∆a|,|˜∆c|,|˜∆m|≫\nγa,κc,κm. This yields simpler approximate expressions ⟨m⟩≃\n−iΩd/˜∆mand⟨c⟩≃iE∆a/(g2\nN−∆a˜∆c), which are pure imag-\ninary numbers and thus give rise to approximately real cou-\nplings GmandGc. In fact, as will be shown later, the condition\n|∆a|,|˜∆c|,|˜∆m|≃ωb≫γa,κc,κm(c.f. Fig. 1(c)) corresponds to\nthe resolved sideband limit, and is optimal to generate entan-\nglement in the system [8, 12, 27, 35].\nSince the dynamics of the system is fully linearized and\nthe input noises are Gaussian, the state of the system at any\ngiven time is Gaussian, which can be characterized by an 8 ×8\ncovariance matrix (CM) V, with its entries defined as Vi j=\n⟨ui(t)uj(t′)+uj(t′)ui(t)⟩/2 (i,j=1,2,...,8). To get the steady-\nstate CMV, one can directly solve the Lyapunov equation\nAV+VAT=−D, (7)\nwhere the di ffusion matrix D=diag[γa,γa,κc,κc,0,γb(2Nb+\n1),κm(2Nm+1),κm(2Nm+1)], which is defined by Di jδ(t−t′)=\n⟨ni(t)nj(t′)+nj(t′)ni(t)⟩/2. In obtaining D, we take Na(c)≃0\ndue to their high mode frequencies. When the CM Vis\nachieved, we can then quantify the entanglement between any\nFIG. 2: Density plot of steady-state (a) photon-phonon entanglement\nEcb, (b) atom-phonon entanglement Eab, and (c) atom-magnon en-\ntanglement Eamversus detunings ∆aand ˜∆c(in units of ωb). (d)\nAtom-magnon entanglement Eamversus ∆aand magnomechanical\ncoupling strength Gm(in units of Gc). We take Gc/2π=8 MHz and\n˜∆m=ωbin all plots, ˜∆c=0.5ωbin (d), and Gm/2π=2.5 MHz in\n(a)-(c). See text for the other parameters.\ntwo subsystems using the logarithmic negativity EN[41], de-\nfined as\nEN=max\u00020,−ln(2η−)\u0003, (8)\nwhereη−≡2−1/2\u0002Σ−\u0000Σ2−4 detV4\u00011/2\u00031/2, andV4=\u0002Ve,Ve f;VT\ne f,Vf\u0003is the 4×4 CM of the bipartite system\ninvolving mode eandf(e,f=a,c,m,b), withVe,Vfand\nVe fbeing the 2×2 blocks ofV4, and Σ≡detVe+detVf−\n2detVe f. In our highly hybrid system, tripartite entanglement\nmay also be present. We adopt the minimum residual con-\ntangle Rmin\nτto quantify the tripartite entanglement, which is\ndefined as [42]\nRmin\nτ≡min[Rα|βγ\nτ,Rβ|αγ\nτ,Rγ|αβ\nτ], (9)\nwhereα,β,γdenote any three modes of the system, and\nRα|βγ\nτ≡Cα|βγ−Cα|β−Cα|γ≥0 is the residual contangle,\nwith Cu|v(vcontains one or two modes) being the contangle\nof the uandvsubsystems and defined as the squared logarith-\nmic negativity [8]. A nonzero Rmin\nτ>0 indicates the presence\nof genuine tripartite entanglement of the corresponding three\nmodes.\nThe mechanical mode has the lowest resonance frequency\nin the system, typically in megahertz [7–10, 37], and is highly\nthermally populated even at cryogenic temperatures. There-\nfore, cooling the mechanical mode close to the ground state\nis a requisite for preparing quantum states in the system [8].\nTo achieve this, we drive the cavity with a red-detuned laser,\nwhich activates the optomechanical anti-Stokes scattering and\ncan significantly cool the mechanical mode in the resolved\nsideband limit ωb≫κc[1]. We use a relatively strong laser4\npower, which yields a strong optomechanical coupling Gc\nand breaks the weak-coupling condition Gc≪ωbfor tak-\ning the rotating-wave (RW) approximation to obtain the opti-\nmal beam-splitter interaction ∝c†b+cb†(b=q+ip√\n2) for cool-\ning. The counter-RW terms ∝c†b†+cb, corresponding to\nthe parametric down-conversion (PDC) interaction, then start\nto play the role and generate the optomechanical entangle-\nment [43], as shown in Fig. 2(a). The anti-crossing around\n˜∆c=ωbin the figure is a signature of the strong coupling.\nThe entanglement can be distributed to the atomic system\nwhen the atomic frequency matches the Stokes sideband, i.e.,\n∆a=−ωb[39] (c.f. Fig. 1(c)), giving rise to the atom-phonon\nentanglement, as confirmed by Fig. 2(b). A similar mecha-\nnism has been adopted to prepare entangled states in CMM\nsystems [8, 12, 27]. By further driving the magnon mode with\na red-detuned microwave field with detuning ˜∆m=ωb, the\nmagnomechanical anti-Stokes scattering is activated, which\nrealizes the magnon-phonon state-swap operation. As a re-\nsult, the atom-phonon entanglement is further distributed to\nthe magnonic system, yielding stationary atom-magnon en-\ntanglement, as seen in Fig. 2(c). This entanglement transfer\nbecomes e fficient only when the magnomechanical coupling\nis sufficiently strong. This is clearly shown in Fig. 2(d) that the\natom-magnon entanglement Eamincreases as the grow of the\ncoupling Gm, which increases the magnon-phonon state-swap\nefficiency.\nIn view of the whole process, from the perspective of en-\ntanglement as a finite quantum resource, it is originally gener-\nated in the optomechanical system, and then distributed to the\natom-phonon system via the cavity-atom linear coupling, and\nfurther to the atom-magnon system via the phonon-magnon\nstate-swap interaction. The complementary distributions of\nthe entanglement in Figs. 2(a), 2(b) and 2(c) are a clear sign\nof such an entanglement transfer process. This feature was\nalso observed in other multipartite systems [8, 36].\nIn plotting Fig. 2, we have used feasible parameters [7–\n10, 35, 37]: ωm/2π=10 GHz,ωb/2π=40 MHz,λL=852\nnm (optical wavelength), κm/2π=1 MHz,γa=κm,κc=2κm,\nγb/2π=102Hz, Gc/2π=8 MHz, gN/2π=8 MHz,\nGm/2π=2.5 MHz, ˜∆m=ωb, and T=10 mK. The strong op-\ntomechanical coupling Gc/2π=8 MHz can be achieved with\na laser power PL≃5.5 mW for gc/2π=1 kHz at detunings\n˜∆c≃0.5ωband∆a=−ωb. The magnomechanical coupling\nGm/2π=2.5 MHz corresponds to a microwave drive power\nP0≃1.44 mW for a 5×2×1µm3YIG bridge (approximated\nas a cuboid) with gm/2π=20 Hz [35].\nIn our system, since the cavity and magnon modes are\nsimultaneously driven by red-detuned laser and microwave\nfields (Fig. 1(c)), both the opto- and magnomechanical anti-\nStokes scatterings are present in the system and these two me-\nchanical cooling channels may compete when the drive fields\nare su fficiently strong. Such competition e ffect is revealed in\nFig. 3(a), where we plot the atom-magnon entanglement Eam\nand the corresponding optimal cavity-laser detuning ˜∆opt\ncver-\nsus the magnomechanical coupling Gm. When Gmis small,\nthe optomechanical cooling channel is dominant and thus the\noptimal detuning ˜∆opt\nc(for the entanglement) is around ωb, at\nwhich the cooling e fficiency is maximized [1]. As Gmgrows,\nFIG. 3: (a) Stationary atom-magnon entanglement Eam(dashed) and\nthe corresponding optimal cavity detuning ˜∆opt\nc(solid, in unit of ωb)\nversus Gm. (b) Eamversus bath temperature T. We take ˜∆m=−∆a=\nωbin both plots, and ˜∆c=0.5ωbandGm/2π=2.5 MHz in (b). The\nother parameters are the same as in Fig. 2(c).\nthe magnon-phonon state-swap e fficiency increases yielding\nan increasing Eam(dashed line). Besides, the contribution\nof the magnomechanical anti-Stokes scattering in mechani-\ncal cooling increases, which leads to a decreasing optimal de-\ntuning ˜∆opt\nc(solid line). Such a shift of the optimal detuning\nimplies that the strength of the optomechanical beam-splitter\ninteraction reduces (its role in mechanical cooling is gradually\nreplaced by the magnomechanical beam-splitter interaction),\nwhile the strength of the optomechanical PDC interaction in-\ncreases. The optimal detuning ˜∆opt\ncis the result of the trade-\noffamong the magnomechanical cooling, the optomechanical\ncooling and PDC. It is worth noting that the steep drop of ˜∆opt\nc\nasGmgrows in Fig. 3(a) is due to the anti-crossing associ-\nated with the strong coupling (c.f. Fig. 2), corresponding to\nthe sudden transition of ˜∆opt\ncbetween two branches near the\nanti-crossing. The generated stationary macroscopic atom-\nmagnon entanglement can exist for bath temperature up to\nhundreds of millikelvin, as show in Fig. 3(b), under a mod-\nerate coupling Gm/2π=2.5 MHz.\nWe now study the e ffect of the dissipations of each mode\non the entanglement. In Fig. 4, we plot the stationary atom-\nmagnon entanglement versus four dissipation rates of the sys-\ntem. Clearly, the entanglement is robust against all the dissi-\npation rates and exists in a wide range of the dissipation rates.\nIt is, however, more sensitive to the atomic and magnonic de-\ncay ratesγaandκm, and the entanglement is present only when\nγa,κm<2π×10 MHz. By contrast, it is much more robust\ntowards the cavity decay rate κcand the mechanical damping\nFIG. 4: Stationary atom-magnon entanglement Eamversus dissipa-\ntion rates (a) γaandκm; and (b)γbandκc. We take bath temperature\nT=10 mK and the other parameters are the same as in Fig. 3(b).5\nFIG. 5: (a) Bipartite entanglements Eab(dot-dashed), Ebm(dashed),\nandEam(solid) versus ∆a. (b) Tripartite atom-magnon-phonon en-\ntanglement in terms of the minimum residual contangle Rmin\nτversus\n∆a. We take Gc/2π=2.3 MHz and T=10 mK. The other parame-\nters are the same as in Fig. 3(b).\nrateγb. Forκcbeing up to tens of MHz within the resolved-\nsideband limit κc< ω b, andγbup to∼2π×4×105Hz (cor-\nresponding to a quality factor Qb∼100), the entanglement\nis still present. Note that Fig. 4 is plotted using the exact\ndrift matrix Ain Eq. (4), without assuming real couplings Gm\nandGc. The exact form of the drift matrix Awith generally\ncomplex couplings GmandGcis provided in Ref. [44].\nApart from the presence of many bipartite entanglements\nin the system, as displayed in Fig. 2, the complex quadripar-\ntite system may exhibit multipartite entanglement. Despite\nthe atom-cavity-phonon entanglement that has been studied\nin a simpler configuration [39], here we reveal that consider-\nable tripartite entanglement is shared among the three massive\nsubsystems, i.e., atoms, magnons and phonons, as illustrated\nin Fig. 5. The simultaneous existence of all bipartite entangle-\nments Eab,EbmandEamand genuine tripartite entanglement\nRmin\nτ>0 in the atom-magnon-phonon system is clear evi-\ndence for the strong quantum correlation shared by the three\nmacroscopic systems. There are also other forms of tripartite\nentanglement in the system, but their degree of entanglement\nis small. Therefore, those results will not be presented here.\nLastly, we discuss the validity of our model and provide a\nstrategy to detect and verify the entanglement. The bosonic\ndescription for the spin systems is valid in the low-excitation\nlimit, i.e.,⟨m†m⟩≪ 2Nsand⟨a†a⟩≪ Na. Under the param-eters of Fig. 3(b), we obtain ⟨m†m⟩=7.7×109≪2Ns=\n2.1×1011and⟨a†a⟩=1.3×106≪Na=6.4×107. In\nestimating Na, we take ga/2π=103Hz [39]. Clearly, the\nlow-excitation condition is well fulfilled. For the entangle-\nment detection, the magnon state can be accessed by coupling\nto a microwave cavity that is driven by a weak probe field.\nDue to the magnon-cavity beam-splitter coupling [45, 46], the\nmagnon state can be read out in the cavity output field. By\nhomodyning the microwave output field, two quadratures of\nthe magnon mode can be measured. Similarly, the atomic\npolarization quadratures can be measured by coupling to an\nadditional optical cavity that is driven by a weak laser field.\nHaving measured the magnonic and atomic quadratures, one\ncan then build the CM, based on which the atom-magnon en-\ntanglement is computed [2, 5, 43].\nIn conclusion, we present a protocol to prepare sta-\ntionary entangled states of two macroscopic systems, an\natomic ensemble and ferrimagnetic magnons, in an opto-\nmagnomechanical system. The atom-magnon entanglement\nis established as a result of the combination of opto- and\nmagnomechanical cooling and optomechanical PDC interac-\ntions, and the entanglement distribution among di fferent sub-\nsystems. A competition mechanism between two opto- and\nmagnomechanical cooling channels is revealed. We further\nconfirm the presence of genuine tripartite entanglement in\nthe atom-magnon-phonon system, where all three subsystems\nare massive. The protocol may find potential applications\nin preparing macroscopic quantum states, given that macro-\nscopic entangled states of two atomic ensembles [47] and of\nan atomic ensemble and a mechanical oscillator [48] have\nbeen successfully generated. The atom-magnon entanglement\ncan also be used to prepare microwave-optics entanglement by\ncoupling atoms (magnons) to an optical (a microwave) field,\nwhich is of particular importance in building a hybrid quan-\ntum network [49].\nThis work has been supported by National Key Re-\nsearch and Development Program of China (Grant No.\n2022YFA1405200) and National Natural Science Foundation\nof China (No. 92265202).\n[1] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt, Rev. Mod.\nPhys. 86, 1391 (2014).\n[2] T. A. Palomaki, J. D. Teufel, R. W. Simmonds, and K. W. Lehn-\nert, Science 342, 710 (2013).\n[3] R. Riedinger et al. , Nature 556, 473 (2018).\n[4] C. F. Ockeloen-Korppi et al., Nature 556, 478 (2018).\n[5] S. Kotler et al. , Science 372, 622 (2021)\n[6] E. E. Wollman et al. , Science 349, 952 (2015).\n[7] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Sci. Adv. 2,\ne1501286 (2016).\n[8] J. Li, S.-Y . Zhu, and G. S. Agarwal, Phys. Rev. Lett. 121,\n203601 (2018).\n[9] C. A. Potts, E. Varga, V . Bittencourt, S. V . Kusminskiy, and J.\nP. Davis, Phys. Rev. X 11, 031053 (2021).\n[10] R.-C. Shen, J. Li, Z.-Y . Fan, Y .-P. Wang, and J. Q. You, Phys.\nRev. Lett. 129, 123601 (2022).\n[11] J. Li, S.-Y . Zhu, and G. S. Agarwal, Phys. Rev. A 99, 021801(R)(2019).\n[12] J. Li and S.-Y . Zhu, New J. Phys. 21, 085001 (2019).\n[13] H. Tan, Phys. Rev. Res. 1, 033161 (2019).\n[14] M.-S. Ding, L. Zheng, and C. Li, J. Opt. Soc. Am. B 37, 627\n(2020).\n[15] J. Li and S. Gr ¨oblacher, Quantum Sci. Technol. 6, 024005\n(2021).\n[16] W. Zhang, D.-Y . Wang, C.-H. Bai, T. Wang, S. Zhang, and H.-F.\nWang, Opt. Exp. 29, 11773 (2021).\n[17] Y .-T. Chen, L. Du, Y . Zhang, and J.-H. Wu, Phys. Rev. A 103,\n053712 (2021).\n[18] J. Li, Y .-P. Wang, J. Q. You, and S.-Y . Zhu, National Science\nReview, nwac247 (2022).\n[19] B. Hussain, S. Qamar, and M. Irfan, Phys. Rev. A 105, 063704\n(2022).\n[20] W. Qiu, X. Cheng, A. Chen, Y . Lan, and W. Nie, Phys. Rev. A\n105, 063718 (2022).6\n[21] H. Qian, Z.-Y . Fan, J. Li. Quantum Sci. Technol. 8, 015022\n(2023).\n[22] M. Asjad, J. Li, S.-Y . Zhu, and J. Q. You. Fundamental Re-\nsearch 3, 3 (2023).\n[23] D. Lachance-Quirion, Y . Tabuchi, A. Gloppe, K. Usami, and Y .\nNakamura, Appl. Phys. Express 12, 070101 (2019).\n[24] H. Y . Yuan, Y . Cao, A. Kamra, R. A. Duine, and P. Yan, Phys.\nRep. 965, 1 (2022).\n[25] C. Kong, B. Wang, Z.-X. Liu, H. Xiong, and Y . Wu, Opt. Exp.\n27, 5544 (2019).\n[26] M.-S. Ding, L. Zheng, and C. Li, Sci. Rep. 9, 15723 (2019).\n[27] M. Yu, H. Shen, and J. Li, Phys. Rev. Lett. 124, 213604 (2020).\n[28] C. A. Potts, V . A. S. V . Bittencourt, S. V . Kusminskiy, and J. P.\nDavis, Phys. Rev. Applied 13, 064001 (2020).\n[29] S.-F. Qi and J. Jing, Phys. Rev. A 103, 043704 (2021).\n[30] B. Sarma, T. Busch, and J. Twamley, New J. Phys. 23, 043041\n(2021).\n[31] T.-X. Lu, H. Zhang, Q. Zhang, and H. Jing, Phys. Rev. A 103,\n063708 (2021).\n[32] Z.-Y . Fan, R.-C. Shen, Y .-P. Wang, J. Li, and J. Q. You, Phys.\nRev. A 105, 033507 (2022).\n[33] D. Hatanaka, M. Asano, H. Okamoto, Y . Kunihashi, H. Sanada,\nand H. Yamaguchi, Phys. Rev. Appl. 17, 034024 (2022).\n[34] H. Xiong, Fundamental Research 3, 8 (2023).\n[35] Z. Fan, H. Qian and J. Li, Quantum Sci. Technol. 8, 015014\n(2023).\n[36] Z.-Y . Fan, L. Qiu, S. Gr ¨oblacher, J. Li. arXiv:2208.10703.\n[37] F. Heyroth et al. , Phys. Rev. Applied 12, 054031 (2019).\n[38] J. D. Thompson et al. , Nature 452, 72 (2008).\n[39] C. Genes, D. Vitali, and P. Tombesi, Phys. Rev. A 77,\n050307(R) (2008).[40] V . Giovannetti and D.Vitali, Phys. Rev. A 63, 023812 (2001).\n[41] G. Adesso, A. Serafini, and F. Illuminati, Phys. Rev. A 70,\n022318 (2004).\n[42] G. Adesso and F. Illuminati, J. Phys. A 40, 7821 (2007); G.\nAdesso and F. Illuminati, New J. Phys. 8, 15 (2006).\n[43] D. Vitali et al. , Phys. Rev. Lett. 98, 030405 (2007).\n[44] The exact form of the drift matrix Ais given by\nA=−γa∆a 0 gN 0 0 0 0\n−∆a−γa−gN 0 0 0 0 0\n0 gN−κc˜∆c−ImGc0 0 0\n−gN0−˜∆c−κcReGc 0 0 0\n0 0 0 0 0 ωb 0 0\n0 0 Re GcImGc−ωb−γb−ReGm−ImGm\n0 0 0 0 Im Gm 0−κm˜∆m\n0 0 0 0 −ReGm0−˜∆m−κm,\nwhere the e ffective opto- and magnomechanical coupling\nstrengths are redefined as Gc=√\n2gc⟨c⟩andGm=√\n2gm⟨m⟩,\nbecause of the complex averages ⟨c⟩and⟨m⟩as provided in\nEq.(6). Figure 4 is plotted with fixed amplitudes of the cou-\nplings|Gc|/2π=8 MHz and|Gm|/2π=2.5 MHz.\n[45] Y . Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and\nY . Nakamura, Phys. Rev. Lett. 113, 083603 (2014).\n[46] X. Zhang, C. L. Zou, L. Jiang, and H. X. Tang, Phys. Rev. Lett.\n113, 156401 (2014).\n[47] B. Julsgaard, A. Kozhekin, and E. S. Polzik, Nature 413, 400\n(2001).\n[48] R. A. Thomas et al. , Nat. Phys. 17, 228 (2021).\n[49] R. Sahu, L. Qiu, W. Hease, G. Arnold, Y . Minoguchi, P. Rabl,\nJ. M. Fink, Science 380, 718 (2023)." }, { "title": "2007.16062v2.Manipulating_the_photonic_Hall_effect_with_hybrid_Mie_exciton_resonances.pdf", "content": "arXiv:2007.16062v2 [cond-mat.mes-hall] 12 Nov 2020Manipulating the photonic Hall effect with hybrid Mie-excit on resonances\nP. Elli Stamatopoulou,1,2Vassilios Yannopapas,2N. Asger Mortensen,1,3and Christos Tserkezis1,∗\n1Center for Nano Optics, University of Southern Denmark, Cam pusvej 55, DK-5230 Odense M, Denmark\n2Department of Physics, National Technical University of At hens, GR-15780 Athens, Greece\n3Danish Institute for Advanced Study, University of Souther n Denmark, Campusvej 55, DK-5230 Odense M, Denmark\n(Dated: November 13, 2020)\nWe examine the far-field optical response, under-plane wave excitation in the presence of a static\nmagnetic field, of core-shell nanoparticles involving a gyr oelectric component, either as the inner\nor the outer layer, through analytic calculations based on a ppropriately extended Mie theory. We\nfocus on absorption and scattering of light by bismuth-subs tituted yttrium iron garnet (Bi:YIG)\nnanospheres and nanoshells, combined with excitonic mater ials such as organic-molecule aggregates\nor two-dimensional transition-metal dichalcogenides, an d discuss the hybrid character of the modes\nemerging from the coupling of the two constituents. We obser ve the excitation of strong magneto-\noptic phenomena and explore, in particular, the response an d tunability of a magneto-transverse\nlight current, indicative of the photonic Hall effect. We sho w how interaction between the Bi:YIG\nand excitonic layers leads to a pair of narrow bands of highly directional scattering, emerging from\nthe aforementioned hybridization, which can be tuned at wil l by adjusting the geometrical or optical\nparameters of the system. Our theoretical study introduces optically anisotropic media as promising\ntemplates for strong coupling in nanophotonics, offering a m eans to combine tunable magnetic and\noptical properties, with potential implications both in th e design of all-dielectric photonic devices\nbut also in novel clinical applications.\nI. INTRODUCTION\nScattering and absorption by composite multilayered\nnanoparticles (NPs) have long been at the forefront of\ninterest in nanophotonics, with plasmonicstructures pro-\nviding so far the most prominent and fertile template [1–\n6], aimingto manipulateelectromagnetic(EM) fields and\ngenerate new, hybrid elements with unique optical prop-\nerties [7–9]. Plasmons, i.e., collective oscillations of the\nconduction-band electrons in metals, are known to ex-\nhibit a resonant behavior, tunable through the geomet-\nrical and optical parameters of the NP and its environ-\nment, triggering impressive optical phenomena, such as\nhuge enhancement and confinement of light in subwave-\nlength volumes [10]. Nevertheless, high inherent Ohmic\nlosses hinder the widespread use of metals in everyday\nphotonics [11], and focus has recently turned towards\nhigh-index dielectrics [12, 13]. In this context, single or\ncomposite silicon NPs have been the subject of renewed\ntheoreticalandexperimentalinterest, exposingarichness\nof optical modes, of both electric and magnetic charac-\nter, generated by oscillating polarization charges and cir-\nculating displacement currents inside the particle [13–\n15]. In contrast to plasmonic assemblies that usually\nsupport negligible magnetic resonances, dielectrics can\nbe fabricated to combine strong magnetic response with\nlow intrinsic losses and enhancement of light compara-\nble to their plasmonic counterparts [16, 17]. Moreover,\ndue to their compatibility with existing technologies in\nmicroelectronics and the relative ease of fabrication, all-\ndielectric nanodevices have been proposed as a promis-\ning alternative to nanoplasmonics with possible applica-\n∗ct@mci.sdu.dktions in biosensing [18], metamaterials [19–21], nanoan-\ntennas [22, 23] and slow light [24].\nOf particular interest is the case of composite NPs\nconsisting of a dielectric component and an excitonic\nlayer sustained by J-aggregates of organic molecules or\ntwo-dimensional (2D) transition-metal dichalcogenides\n(TMDs), operating at or close to the strong coupling\nregime. Such architectures offer even broader function-\nality and flexibility in applications, while also provid-\ning crucial new insight into the nature and mechanisms\ngoverning light-matter interactions. Recently, silicon– J-\naggregate heterostructures were explored, from both a\ntheoretical and an experimental aspect, as an alterna-\ntiveto plasmon-excitonhybridstermedplexcitons [7, 25],\nrevealing the formation of hybrid modes of photonic-\nexcitonic character, termed, in an equivalent manner,\nMie-excitons [15, 26–29]. In particular, since their emer-\ngence in literature, it has been envisaged that the com-\nplex, magnetic nature of their modes, would eventually\nallowtoexternallymanipulatethem with static magnetic\nfields [26] in analogywith active magnetoplasmonics[30],\na feature that has not, however, been explored as yet.\nAt the same time, composite magnetic NPs with\ncore-shell morphology—usually a magnetic core coated\nwith a biocompatible organic dye—are proposed as suit-\nable building blocks for novel clinical applications in\nnanomedicine, for a diversity of purposes including imag-\ning, drug delivery and photothermal therapy [31–37]. A\nkey advantageofmagnetic NPs is their ability to respond\nto multiple external stimuli (light, magnetic field, tem-\nperature, etc) in a non-invasive manner, i.e. without\nperturbing the biological system. However, the coex-\nistence of light and magnetism in a system character-\nized by its ability to respond to both gives rise to op-\ntical anisotropy, and thus to magneto-optic phenomena,2\na thorough study of which is required when consider-\ning medical applications. The formulation of Mie scat-\ntering by optically anisotropic spheres has already been\nanalytically developed [38] and explicitly performed for\nplasmon-coated Bi:YIG and magnetite particles of vari-\nous geometries, exposing strong magnetochirality and a\nprominent plasmon-driven photonic Hall effect [39–42]—\nnot to be confused with the spin-Hall effect which is\npurely based on the polarization of light and no mag-\nnetic field is needed [43]. In analogy to the classical\nHall effect, in its photonic counterpart an incident EM\nwave propagating through a gyroelectric medium along\na direction perpendicular to the applied magnetic field\nis deflected transversely to both the propagation and the\nmagnetic field direction. Although being essential forun-\nderstanding the underlying physics and for the design of\nall-dielectricdevices, athoroughinvestigationofthe pho-\ntonic Hall effect and ways to control it in non-plasmonic\ncore-shellassembliesisstill missing. Here, weanalyzethe\nphotonic Hall effect in composite nanospheres, consisting\nof a gyroelectric and an excitonic layer, showing that the\ninteraction of Mie resonances with excitonic modes leads\nto a hybridization manifested through the splitting of\nthe observed magneto-optical response into two narrow\nbands.\nThe paper is structured as follows. In Sec. IIwe sum-\nmarize and extend Mie theory for scattering and ab-\nsorption by coated gyroelectric NPs and nanoshells, and\npresent the scattering cross section formula for the Hall\nphoton current. In Sec. IIIwe present our theoretical\nresults regarding two specific examples, i.e., a bismuth-\nsubstituted yttrium iron garnet (Bi:YIG) nanosphere\nwith an excitonic coating, and an excitonic core coated\nwith a Bi:YIG nanoshell. Our main findings are summa-\nrized in the last section of the article.\nII. THEORETICAL METHOD\nLet us assume a time-harmonic, monochromatic plane\nEM wave of angular frequency ω, incident on a gyroelec-\ntric sphere of radius Rembedded in an infinite homoge-\nneous host medium that is characterized by scalar per-\nmittivity and permeability ǫ2andµ2, in the presence of a\nstatic magnetic field. The presence of the magnetic field\ninduces a Lorentz force, which needs to be added in the\nequations of motion of electrons in the sphere, leading to\nan anisotropic permittivity tensor [44]. If the orientation\nof the magnetic field is along the zaxis, the permittivity\nof the sphere is given by\nǫ1=ǫz\nǫr−iǫκ0\niǫκǫr0\n0 0 1\n (1)\nwhile the permeability µ1is scalar, practically equal to\nunity in the optical regime [45]. The tensor components\nare in general complex functions of frequency, taking dis-persionanddissipativelossesintoaccountwhilenaturally\nbeing causal and fulfilling Kramers-Kronig relations.\nThe fields inside and outside the sphere can be ex-\npressed in the basis of vector spherical harmonics. Given\nthe electric field component E0of the incoming plane\nwave, the incident electric field can be written as\nEinc=E0eik·r=/summationdisplay\nPlma0\nPlmFPlm, (2)\nwhereP=H,Erefers to the transverse magnetic (TM)\nand transverse electric (TE) polarization, landmare\nthe angular-momentum indices, FHlm,FElmare the TM\nand TE wave functions respectively, explicitly given later\non,a0= [a0\nHlma0\nElm]Twithl∈[1,∞) andm∈[−l,l]\nis the amplitude of the incident wave (see the Appendix)\nanda+=Ta0is the amplitude ofthe scatteredspherical\nwave, where Tis the scattering matrix. The infinite ex-\npansion series describing the fields inside and outside the\nparticle can be truncated in practice at a certain value\nlmaxand in this case the amplitudes are ( nd×1) column\nvectors, where nd=lmax(lmax+ 2). In our calculations\nlmax= 5 is adequate to provide convergedspectra. It can\nbe shown that Ttakes the form [46]\nT=Z(U+ΛZ)−1(3)\nwith\nZ= (Λ−Λ′)−1(V−U), (4)\nwhere the matrices Λ,Λ′,V,Uare provided in the Ap-\npendix.\nHaving calculated the scattering matrix T, the extinc-\ntion, scatteringandabsorptioncrosssections, normalized\nto the geometric cross section πR2, are obtained by [47]\nσsc=1\n(k2R)2π|E0|2/summationdisplay\nPlm|a+\nPlm|2(5a)\nσabs=−1\n(k2R)2π|E0|2/braceleftBig/summationdisplay\nPlm|a+\nPlm|2(5b)\n+Re/parenleftBig/summationdisplay\nP′l′m′a0\nP′l′m′†/summationdisplay\nPlma+\nPlm/parenrightBig/bracerightBig\nσext=σsc+σabs= (5c)\n−1\n(k2R)2π|E0|2Re/parenleftBig/summationdisplay\nP′l′m′a0\nP′l′m′†/summationdisplay\nPlma+\nPlm/parenrightBig\n,\nwherek2is the wavenumber in the host environment.\nWe now consider the above gyroelectric sphere of ra-\ndiusR1, coated with a concentric spherical shell of ra-\ndiusR2and optical parameters ǫ2andµ2—index 3 now\nrefers to parameters of the host medium, as shown in the\nschematics of Fig. 1. Boundary conditions at the outer\nsurfaceS2of the composite sphere require continuity of3\n•1: Gyroel. Core\n•2: Shell\n•3: Host MediumR2\nε3\nε2ε1R1hω \nB\nFIG. 1. A nanosphere consisting of a gyroelectric core and\nan isotropic shell of inner radius R1and outer R2illuminated\nby a plane EM wave in the presence of an external static\nmagnetic field B.\nthe tangential components of the electric and the mag-\nnetic field, yielding\na+=˜Λa0+˜Ua0(6a)\na+=˜Λ′a0+˜Va0, (6b)\nwhere\n˜U=UAT+UB (7a)\n˜V=VAT+VB (7b)\n(see Appendix for matrices ˜Λ,˜Λ′,UA,UB,VA,VB).\nEquations ( 6) lead to the following expression for the\nscattering matrix of the core-shell system:\n˜T=˜Z˜R (8)\nwith\n˜Z= (˜U−1−˜V−1)−1(9)\n˜R= (˜U−1˜Λ−˜V−1˜Λ′). (10)\nWe will now derive the scattering matrix of the inverse\ncore-shell configuration, that is, a gyroelectric shell with\na homogeneousmedium both inside the cavity and as the\nhost environment, as shown in Fig. 2. The waveequation\nfor the electric displacement vector D2inside the gyro-\nelectric shell can be obtained by substituting Eq. ( 1) into\nthe source-free Maxwell equations, yielding\n∇×∇×/bracketleftBig\nǫzǫ−1\ngD(r)/bracketrightBig\n−k2\n2D(r) = 0,(11)\nwithk2=ω\nc√ǫzµ2being the wave number in medium 2\nandcthe the speed of light in vacuum.\nSimilarly to the procedure followed in [46], one can\nshow now that the fields in the second layer are•1: Core\n•2: Gyroel. Shell\n•3: Host MediumR2\nε3\nε2ε1R1hω \nB\nFIG. 2. A nanosphere of excitonic-gyroelectric core-shell con-\nfiguration, of inner radius R1and outer R2, illuminated by a\nplane EM wave in the presence of an external static magnetic\nfieldB.\nE(r) =/summationdisplay\njbj/braceleftBigk2\nj\nk2\n2w00;jJL00+/summationdisplay\nlm/bracketleftBigk2\nj\nk2\n2wlm;jJLlm(12)\n+aHlm;jJHlm+aElm;jJElm/bracketrightBig/bracerightBig\n+/summationdisplay\njcj/braceleftBigk2\nj\nk2\n2w00;jHL00+/summationdisplay\nlm/bracketleftBigk2\nj\nk2\n2wlm;jHLlm\n+aHlm;jHHlm+aElm;jHElm/bracketrightBig/bracerightBig\nand\nH(r) =/summationdisplay\njbjk2\nj\nωµ0µ2/summationdisplay\nlm/bracketleftBig\naElm;jJHlm−aHlm;jJElm/bracketrightBig\n(13)\n+/summationdisplay\njcjk2\nj\nωµ0µ2/summationdisplay\nlm/bracketleftBig\naElm;jHHlm−aHlm;jHElm/bracketrightBig\nwithF=J,Hsatisfying\nFHlm(r) =fl(kr),Xlm(ˆr) (14)\nFElm(r) =i\nk∇×fl(kr),Xlm(ˆr) (15)\nFLlm(r) =1\nk∇[fl(kr)Ylm(ˆr)], (16)\nrepresenting transverse magnetic, transverse electric and\nlongitudinalwavefunctionsrespectively,while fl=jl,h+\nl\ncorrespondsto either the sphericalBesselorHankel func-\ntion of the first kind, Xlmare the vector spherical har-\nmonics,Ylmare the ordinary spherical harmonics, and\nˆrrepresents the dependence on the polar and azimuthal\nangle collectively [48].\nBoundary conditions at the inner ( S1) and outer ( S2)\nsurfacedeterminetheexpressionforthescatteringmatrix\nT:\nZ= (L−L′)−1(V−U), (17)\nR= (U+LZ)−1, (18)\nT=ZR, (19)4\nwhere\nU=US2\n1M+US2\n2, (20a)\nV=VS2\n1M+VS2\n2, (20b)\nM=/parenleftBig\nUS1\n1−VS1\n1/parenrightBig−1/parenleftBig\nVS1\n2−US1\n2/parenrightBig\n.(20c)\nThe matrices entering the above formulas can be found\nin the Appendix.\nAn incident EM wave with linear polarization causes\ndisplacement of charge carriers along the direction of the\nelectric field oscillation. The Lorentz force that acts on\nthis movement in the presence of the magnetic field is\nperpendicular to both the magnetic field and the electric-\nfield polarization( FL∝v×B), where vis the velocityof\nthe carriers. In our case, if a y-polarizedwavepropagates\nalong the xaxis and the magnetic field is along the z\naxis, the Lorentz force induces a polarization of charges\nalong the ˆy׈z=ˆxaxis, corresponding to the photonic\nHall effect. As a result, there is a component of light\nscattered along the ˆydirection. It has been shown by\nVarytiset al.[39] that the scattering cross section of this\ntransverse component, σHall, along the ˆyaxis is given by\nthe following exact analytic expression:\nσHall=1\nπ|E0|22\n(k2R)2Re/braceleftBigg\n/summationdisplay\nlm/bracketleftBiga−m\nl\nl(l+1)(a+\nHlma+∗\nElm−1−a+\nElma+∗\nHlm−1)\n−ξm−1\nl−1(a+\nHlma+∗\nHl−1m−1+a+\nElma+∗\nEl−1m−1)\n−ξ−m−1\nl−1(a+\nHlma+∗\nHl−1m+1+a+\nElma+∗\nEl−1m+1)/bracketrightBig/bracerightBigg\n,\n(21)\nwhere the amplitudes a+\nPlmcompose the column vector\nof the scattered wave, with\nam\nl=1\n2/radicalbig\n(l−m)(l+m+1) (22)\nand\nξm\nl=1\n2(l+1)/radicalBigg\nl(l+2)(l+m+1)(l+m+2)\n(2l+1)(2l+3).(23)\nIII. RESULTS AND DISCUSSION\nTo design a Mie-excitonic system with strong photonic\nHall effect, comparable to that emerging in plasmonic-\ngyroelectric structures [39], we will perform an analytic\nstudy of composite core-shell NPs consisting of a gyro-\nelectric and an excitonic layer embedded in air. Unlike\nplasmonic layers, whose main function is to enhance the\nnear-field and hence any observable effect, the presence\nofanexcitoniclayerisexpectedto leadto ahybridization(a)0.150.2σext /(πR 12) єzєr0.1\n ħω (eV)(b)\n678\n1 1.5 2 2.5 3 3.5 4єzєk\n00.05\n-0.1\n-0.15\n-0.2-0.05\n1 1.5 2 2.5 3 3.5 4Re(єzєr)\nIm(єzєr)\nScattering \nAbsorption Extinction \n01234567\n1 1.5 2 2.5 3 3.5Extinction \nElectric Dipole \nMagnetic Dipole \nElectric Quadrupole \nMagnetic Quadrupole \n1 1.5 2 2.5 3 3.5B = 0 B = 0(c) (d)\n01234567012345\nRe(єzєr)\nIm(єzєr)(b)\nFIG. 3. The real (orange line) and imaginary (green line) par t\nof the (a) diagonal and (b) non-diagonal elements of the per-\nmittivity tensor [Eq. (1)] of Bi:YIG [49]. (c) Extinction (b lack\nsolid line), scattering (grey dashed line) and absorption ( grey\ndotted line) cross sections normalized to the geometric cro ss\nsection of a Bi:YIG nanosphere of radius R1= 100nm sub-\njectedtoastaticmagneticfieldembeddedinair. (d)Magneti c\n(blue) and electric (red) dipolar (solid lines) and quadrup o-\nlar (dotted lines) contributions to the extinction cross se ction\n(black solid line) for the particle of (c) in the absence of th e\nmagnetic field.\nand an emergence of a tunable double-resonance feature,\nas we will show below. We assume, to begin with, a\nplane wave propagating along the xaxis, incident on a\nBi:YIG sphere of radius R1= 100nm, while subjected to\na static magnetic field oriented along the zaxis. Bi:YIG\nis chosen as a typical gyroelectric high-index dielectric\nmaterial, characterized by the experimental optical pa-\nrameters of [49] (measured at saturation) reproduced in\nFigs.3(a)-(b).\nAs shown in Fig. 3(c), the extinction and scattering\ncross sections of this particle, in the visible part of the\nspectrum, are characterized by a pronounced resonance\nat 2.24eV, attributed to the magnetic dipolar Mie mode,\nover a wide but weak electric dipolar background [for\nthe decomposition of the extinction spectrum into its\nmultipolar contributions see Fig. 3(d)], whereas higher-\norder contributions are almost negligible. This behavior\nis quite reminiscent of the response of Si NPs [14]; what\nit offers additionally, however, is the non-negligible re-\nsponse to an external magnetic field, contrary to what\none might at first anticipate from Figs. 3(c) and (d).\nWhile comparison between the extinction spectra in the\npresence and absence of the static magnetic field shows\nthat the position and width of the magnetic dipolar\nresonance is practically unaffected, one should not for-\nget that, first, Bi:YIG reaches saturation at relatively\nweak magnetic fields [50] and, secondly, any magneto-\noptic properties, including the photonic Hall effect, are\ncompletely eliminated when the field is turned off. The5\n1234567σext /(πR 22)\n0.005\n0\n-0.005\n-0.01\n-0.015\n-0.02\n-0.025σHall /(πR 22)\nħω (eV)\n1 1.5 2 2.5 3 3.5 \n(a)\n(b)\nFIG. 4. (a) Extinction cross section of a Bi:YIG NP of radius\nR1= 100nm (grey dashed line), an excitonic shell of inner\nradiusR1= 100nm and outer R2= 110nm (grey dotted\nline), and a core-shell NP consisting of the Bi:YIG core and\nthe excitonic shell (black solid line). The extinction cros s\nsection (black line) along with the scattering (dark blue li ne)\nandtheabsorption(darkredline)crosssections ofthecoup led\nstructure are shown in the inset. (b) Cross section of the\nmagneto-transverse scattered light of the Bi:YIG NP (black\ndashed line) and the exciton-coated Bi:YIG core (blue solid\nline), as depicted in the schematics. In all panels air is the\nhost medium.\nabsorption spectrum does not exhibit a Lorentzian-like\npeak, but a plateau instead, as one could expect from\nthe permittivity data of Figs. 3(a) and (b). The large\npositive value in the imaginary part of the diagonal ele-\nments of the permittivity tensor for energies larger than\n2.5eV reveals that this plateau appears most probably\ndue to interband transitions.\nThe magneto-optical properties arising in gyrotropic\nmedia owe their existence to the non-diagonal com-\nponents of the permittivity tensor and vanish above\nthe Curie temperature (here TC≈590K [51]). For\nthe Bi:YIG sphere the non-diagonal elements are large\nenough to produce magneto-optic phenomena [Fig. 3(b)].\nIn the present work we shall only be concerned with the\nphotonic Hall effect, but similar conclusions should, in\n16\n5\n4\n3\n2\n1.8 22.22.42.62.8\n1.2 1.6 2 2.4 2.8 1.8 22.22.42.62.80\n-0.004\n-0.008\n-0.012\n-0.016\n-0.02(a)\n(b)\n0123456\n|H|/|H0|\n00.5 11.5 22.5 3\n|E|/|E0|(c)\n ħωexc(eV) ħω (eV) ħω (eV) \nFIG. 5. (a) Contour plot of the extinction and (b) the\nmagneto-transverse scattered light cross section as a func tion\nof the exciton transition energy of the coupled Bi:YIG core-\nexcitonic shell system (c) From top to bottom: Electric near\nfield profile at the energy of the first ( /planckover2pi1ω= 2.01eV) and sec-\nond mode ( /planckover2pi1ω= 2.28eV) and the magnetic near field profile\nat the same energies.\nprinciple, apply to any other manifestations of magneto-\noptics, such as the Faraday, Kerr, or magnetochiral ef-\nfects [42, 52, 53]. As displayed in Fig. 4(b) with the black\ndashed line, a strong component of magneto-transverse\nscattered light arises at 2 .21eV, close to the magnetic\ndipolar mode, also exhibiting a resonant behavior.\nLet us now consider a composite particle consisting of\na spherical Bi:YIG core and a concentric excitonic shell\nof thickness R2−R1= 10nm. Such a design can be\nsynthesized relatively easily in the laboratoryand consti-\ntutes a flexible platform for engineering the hybrid Mie-\nexcitons [26]. In practice, an intermediate or outer shell\nof silica is usually required in fabrication for the protec-\ntion of the the organic dye [34–37]. For the excitonic\nmaterial we use the following generic dielectric function:\nǫexc(ω) =ǫ∞−fω2\nexc\nω2−ω2exc−iωγexc,(24)\nwhereωexcis the excitonic transition frequency, γexcthe\ncorresponding damping rate, fthe oscillator strength\nandǫ∞the background permittivity. For our calcula-\ntion we choose /planckover2pi1ωexc= 2.12eV,/planckover2pi1γexc= 0.1eV,f= 0.65\nandǫ∞= 3, values which correspond to an absorption\nspectrum similar to that of 1,1’-diethyl-2,2’-cyanine io-\ndide (PIC) J-aggregates [54]. The parameters of the\nexcitonic layer have been chosen so that its resonance\nfrequency lies close to the dipolar magnetic Mie mode of\nthecore. Fig. 4(a)illustratestheextinctionspectraofthe\ntwo constituents individually (grey lines), together with6\nthespectrumresultingfromthecouplingofthetwolayers\n(black solid line). The interaction of the two components\nleads to the hybridization of their modes in analogy to\nthe formation ofbonding and antibonding electron states\nin molecules, which manifests in the spectra by the emer-\ngenceoftworesonances[55], separatedbyananticrossing\nof width /planckover2pi1Ω = 0.27eV. As a result of the addition of the\nexcitonic layer, the pronounced peak of the Hall pho-\nton current splits in two peaks with an energy difference\nof 0.28eV of only slightly lower intensity [blue line in\nFig.4(b)], following the double peak behavior of the ex-\ntinction spectrum, already indicating the hybrid nature\nof the Mie-exciton, since it arises from the coupling of\ntwo layers, one of which is an otherwise non-gyroelectric\nmaterial. The negative cross section values are associ-\nated with the direction of choice at which the scattered\nlight is computed, since it is not scattered isotropically.\nA clear picture of the avoided crossing is provided in\nFig.5(a) by adjusting the values of the exciton transition\nenergy and by extension the detuning of the uncoupled\nmodes. Since it has been demonstrated in Ref. [56] that\nthe avoided crossing can also emerge due to enhanced\nabsorption or induced transparency, far from the strong\ncoupling regime, one should also evaluate the absorption\nspectrumofthesetupandthefieldprofileattheenergyof\nthe hybrid modes for a more reliable conclusion. Indeed,\nthe strong coupling is verified by the double peak in the\nabsorption spectrum shown in the inset of Fig. 4(a) and\nthe field profiles of Fig. 5(c) of the two coupled modes,\nwhich look very similar, but not identical, due to the\nasymmetry coming from the electric dipolar background.\nThe anticrossing behavior is reproduced in a similar way\nby the two modes of the Hall photon current, as shown\nin Fig.5(b).\nIn what follows, we invert the arrangement of the two\nlayers and study the photonic Hall effect of an exci-\ntonic core-gyroelectric shell configuration of inner and\nouter radius R1= 60nm and R2= 110nm, respectively.\nRecently, NPs comprising of gyroelectric shells encap-\nsulating non-gyroelectric cores have been proposed as\npromising templates for hyperthermia applications [57].\nIt should be noted here that architectures involving an\norganic-dye core surrounded by a magnetic shell, chal-\nlenging as they might be in both fabrication and applica-\ntion in nanobiotechnology, are beneficial in the theoreti-\ncal search for strongly coupled systems, and they usually\nprovide a clearerphysical picture which facilitates under-\nstanding of the origin of each spectral feature [26].\nThe excitonic material is described by the dielectric\nfunction of Eq. ( 24) with/planckover2pi1ωexc= 2.05eV,/planckover2pi1γexc=\n0.04eV,f= 0.3 andǫ∞= 3. When the excitonic\nresonance is disregarded, i.e. f= 0 in the dielectric\nfunction of Eq. ( 24), the far-field optical response of the\nBi:YIG shell [grey dashed line in Fig. 6(a)] does not\ndiffer significantly from that of the Bi:YIG sphere of\nFig. 3(c), exhibiting a well-defined magnetic Mie reso-\nnance at 2 .18eV. As depicted in Fig. 6(a), the excitonic\ncore and the gyroelectric shell have been constructed so\nσext /(πR 22) σHall /(πR 22)×10 -3 (a)\n(b)123456\n024\n-2 \n-4 \n-6 \n-8 \n-10\n-12\n-141 1.5 2 2.5 3 3.5 \nħω (eV)(a)\n(b)\nFIG. 6. (a) Extinction cross section of an excitonic NP of\nradiusR1= 60nm (grey dotted line), a core-shell NP con-\nsisting of a Bi:YIG shell and the excitonic NP of inner radius\nR1= 60nm and outer R2= 110nm, disregarding the ex-\ncitonic resonance (grey dashed line) and same configuration\nas taking into account the excitonic transition (black soli d\nline). The extinction cross section (black line) along with\nthe scattering (dark blue line) and the absorption (dark red\nline) cross sections of the coupled structure are shown in th e\ninset. (b) Cross section of the magneto-transverse scatter ed\nlight of the Bi:YIG shell (black dashed line) and the Bi:YIG\nshell-excitonic core NP (blue solid line), as depicted in th e\nschematics illustrations. In all panels air is the host medi um.\nthat the resulting resonances appear at similar energies,\nleading once again to the double-peak spectrum of the\ncoupled system. Similarly, the Hall photon current of the\ngyroelectric nanoshell exhibits resonant spectral features\nabout the Mie mode, as expected. However, Fig. 6(b)\nshows that it is weaker in comparison to the case of the\nsolid gyroelectric nanosphere. Taking the excitonic res-\nonance into account [ f= 0.3 in Eq. ( 24)] has similar\neffect on the σHallspectrum as before; namely, the single\nbroad resonance of Bi:YIG at 2 .10eV has been split into\ntwo narrower ones, which can be important in applica-\ntions requiring highly directional scattering in a narrow\nfrequency window. In comparison to the inverse configu-\nration of Fig. 4(b), this arrangement exhibits two narrow\npeaks comparable to each other in both width and mag-\nnitude. In this case, the splitting of the two branches7\n16\n5\n4\n3\n2\n1.8 1.922.12.22.32.42.5\n(a)\n1.2 1.6 2 2.4 2.8 1.8 1.922.12.22.32.42.5\n 4\n0\n-4 \n-8 \n-12\n×10 -3 (b) ħω (eV) ħω (eV) \n ħωexc (eV)\nFIG. 7. (a) Contour plot of the extinction and (b) the\nmagneto-transverse scattered light cross section as a func -\ntion of the exciton transition energy of the coupled exciton ic\ncore-Bi:YIG shell system.\nin the anticrossing diagrams of Fig. 7both in the ex-\ntinction spectrum and the Hall cross section is barely\ndiscernible and, in addition to the absence of the dou-\nble peak in the absorption spectrum [inset of Fig. 6(a)],\nthe response resembles a different type of coupling, i.e.\nwhat hasbeen termed enhanced absorption[56]. Regard-\nless of the coupling characterization, the double peak of\nFig.6(b) reveals the hybrid nature of the two-layered\nsphere, although not strictly operating in the strong-\ncoupling regime.\nTunability of these modes is a major advantage. An\nincrease or a decrease in the radius of the composite\nparticle results in redshifting or blueshifting of the Mie\nmodes respectively. It is therefore straightforward that\nthe maximum of the magneto-transverse scattered light\nshifts accordingly. A rather interesting aspect of the\nflexibility of such assemblies, especially in strong light-\nmatter interaction studies, is the fact that wider splits\nof the hybrid modes can be achieved by increasing the\noscillator strength of the excitonic dielectric function, as\ndisplayed in Fig. 8(b). In particular, in the case of the\ngyroelectric core–excitonic shell NP, increasing the oscil-\nlator strength to f= 0.95—an increase in the dye thick-\nness would in general have a similar effect [26]—leads to\nslightlylowerscatteringcrosssectionaswellastoawider\nsplit up to 0 .34eV, which is 0 .06eV larger compared to\nthat of Fig. 4(b). At the same time, the resonance is\nnot substantially sensitive to the reduction of the oscil-\nlator strength, since it has to go below f= 0.07 for the2σHall /(πR 22)×10 -3 0\n-2 \n-4 \n-6 \n-8 \n-10\n-12\n-14\nf=0.65\nf=0.95\nf=0.072σHall /(πR 22)×10 -3 0\n-2 \n-4 \n-6 \n-8 \n-10\n-12\n-14\n-16\n-18\n1 1.5 2 2.5 3 3.5 \n ħω (eV)(a)\n(b)\nFIG. 8. (a) Comparison between NPs with different damping\nrates and (b) oscillator strengths. In both panels the blue\nsolid line corresponds to the cross section of the magneto-\ntransverse scattered light of the Bi:YIG core-excitonic sh ell\nNP of Fig 4.\nhybridization to cease completely. On the other hand,\nthe nature of the coupling is drastically altered by the\nincrease of the damping rate. Fig. 8(a) shows that for\n/planckover2pi1γ= 0.3eV the energy loss mechanism of the excitonic\nlayer is much faster than the energy transfer between the\ntwo constituents and, consequently, the composite con-\nfiguration is no longer under a coupling regime. Alterna-\ntive routes for higher tunability can be achieved by con-\nsidering more sophisticated architectures of more layers\nor of various geometries. Magneto-optical properties of\ncomplexplasmon-gyroelectricstructures, such asclusters\nandhelices, havealreadybeenstudied [41,42], butanon-\nplasmonic approachis still missing. A tri-layeredsystem,\ncomprising all three kinds of components (plasmonic, ex-\ncitonic and magneto-optic) could be a promising route to\nfurther enhance the observed effects, although analyzing\nthe hybridization of three different modes in that case\nmight not be straightforward.\nIV. CONCLUSIONS\nIn summary, an analytic method, based on an ex-\ntended Mie scattering theory, to calculate the Hall pho-\nton current for core-shell NPs comprising a gyroelec-\ntric layer is provided. Previous work on the photonic\nHall effect has been limited to single gyrotropic spheres\nand metal-coated gyrotropic nanospheres characterized\nby plasmonic-driven magneto-optical phenomena. Here,8\nstrong magnetically induced optic phenomena in di-\nelectrics coupled to excitons are reported by studying\nthe photonic Hall effect in two–layered Bi:YIG-excitonic\nnanospheres. We show that these composite particles ex-\nhibit a richoptical responseand a prominent photonHall\ncurrent, opening new opportunities for multifunctional\ndielectric-based photonic platforms, tunable by their ge-\nometrical and optical parameters, capable to respond to\nvarious external stimuli. Rigorous investigation of the\nHall activity is important for clinical applications, espe-\ncially for techniques in which directionality is a key issue.\nACKNOWLEDGMENTS\nWe thank P. Varytis for sharing the interpolated data\nfor the optical parametersof Bi:YIG and C. Wolff for dis-\ncussions. P. E. S. acknowledges support from an Eras-\nmus+ Scholarship. N. A. M. is a VILLUM Investiga-\ntor supported by VILLUM FONDEN (Grant No. 16498)\nand Independent ResearchFunding Denmark (Grant No.\n7026-00117B). The Center for Nano Optics is financially\nsupported by the University of Southern Denmark (SDU\n2020 funding).\nAPPENDIX\nThe amplitudes of the incident field are determined by\nexpanding exp(i k·r) in spherical harmonics [48]:\na0\nElm=4πil(−1)m+1\n/radicalbig\nl(l+1)\n×/bracketleftBig\n{am\nlYl−(m+1)(ˆk)+a−m\nlYl−(m−1)(ˆk)}(k×E0)x+\nil{am\nlYl−(m+1)(ˆk)−a−m\nlYl−(m−1)(ˆk)}(k×E0)y−\nmYl−m(ˆk)(k×E0)z/bracketrightBig\n(A1)\nand\na0\nHlm=4πil(−1)m+1\n/radicalbig\nl(l+1)\n×/bracketleftBig\n{am\nlYl−(m+1)(ˆk)+a−m\nlYl−(m−1)(ˆk)}E0x+\nil{am\nlYl−(m+1)(ˆk)−a−m\nlYl−(m−1)(ˆk)}E0y−\nmYl−m(ˆk)E0z/bracketrightBig\n, (A2)\nwheream\nlis given by Eq. ( 22).\nMatrices Λ,Λ′,V,Uused in the calculation of the\nscattering matrix Tof a single gyroelectric sphere aregiven by [46]\nΛPlm;P′l′m′=−Hl2\nJl2δll′δmm′δPP′(A3a)\nΛ′\nPlm;P′l′m′=−H′\nl2\nJ′\nl2δll′δmm′δPP′ (A3b)\nUHlm;j=Jl;j\nJl2aHlm;j (A3c)\nUElm;j=µ2kj\nµ1k2Jl;j\nJl2aElm;j (A3d)\nVHlm;j=µ2\nµ1J′\nl;j\nJ′\nl2aHlm;j (A3e)\nVElm;j=k2\nkjJ′\nl;j\nJ′\nl2aElm;j−/radicalbig\nl(l+1)kjk2\nk2\n1Jl;j\nJ′\nl2wlm;j.\n(A3f)\nIn the above formulas we have used the notation\nJli=jl(kiR),Hli=h+\nl(kiR),J′\nli=∂\n∂r[rjl(kir)]|r=R\nandH′\nli=∂\n∂r[rh+\nl(kir)]|r=R, where jlandh+\nlare the\nspherical Bessel and Hankel functions of the first kind,\nrespectively and k1=ω\nc√ǫzµ1is the wave number of the\ngyroelectric core. The wave numbers kjand amplitudes\naPlm;jare obtained from the solution of the eigenvalue\nproblem\n/summationdisplay\nP′l′m′APlm;P′l′m′aPlm;j=k2\n1\nk2aPlm;j,(A4)\nwhere the subscript j= 1,2,...,2ndenumerates the\neigenvalues and eigenvectors of matrix Aandbjis a\nscalar coefficient. Explicit expressions for the matrix el-\nements of A and for wlm;jentering the formulas are pro-\nvided in Ref. [46].\nFor the scattering matrix of the coated gyroelectric\nsphere, the following matrices enter into the calculation:\n˜ΛPlm;P′l′m′=−Jl3\nHl3δll′δmm′δPP′(A5a)\n˜Λ′\nPlm;P′l′m′=−J′\nl3\nH′\nl3δll′δmm′δPP′ (A5b)\nUA,Hlm=Hl2\nHl3δll′δmm′ (A5c)\nUA,Elm=/radicalbiggµ3ǫ2\nµ2ǫ3Hl2\nHl3δll′δmm′(A5d)\nUB,Hlm=Jl2\nHl3δll′δmm′ (A5e)\nUB,Elm=/radicalbiggµ3ǫ2\nµ2ǫ3Jl2\nHl3δll′δmm′(A5f)9\nVA,Hlm=µ3\nµ2H′\nl2\nH′\nl3δll′δmm′ (A5g)\nVA,Elm=k3\nk2H′\nl2\nH′\nl3δll′δmm′ (A5h)\nVB,Hlm=µ3\nµ2J′\nl2\nH′\nl3δll′δmm′ (A5i)\nVB,Elm=k3\nk2J′\nl2\nH′\nl3δll′δmm′. (A5j)\nFor the scattering matrix of the inverse configuration\nthe matrices used are calculated by\nUS1\n1,Hlm=Jl;j\nJl1aHlm;jδll′δmm′ (A6a)\nUS1\n1,Elm=kjµ1\nk1µ2Jl;j\nJl1aElm;jδll′δmm′ (A6b)\nUS1\n2,Hlm=Hl;j\nJl1aHlm;jδll′δmm′(A6c)\nUS1\n2,Elm=kjµ1\nk1µ2Hl;j\nJl1aElm;jδll′δmm′ (A6d)\nVS1\n1,Hlm=µ1\nµ2J′\nl;j\nJ′\nl1aHlm;j (A6e)\nVS1\n1,Elm=k1\nkjJ′\nl;j\nJ′\nl1aElm;j−kjk1\nk2\n2/radicalbig\nl(l+1)wlm;jJl;j\nJ′\nl1\n(A6f)\nVS1\n2,Hlm=µ1\nµ2H′\nl;j\nJ′\nl1aHlm;j (A6g)\nVS1\n2,Elm=k1\nkjH′\nl;j\nJ′\nl1aElm;j−kjk1\nk2\n2/radicalbig\nl(l+1)wlm;jHl;j\nJ′\nl1.\n(A6h)LPlm;P′l′m′=−Hl3\nJl3δll′δmm′δPP′(A6i)\nL′\nPlm;P′l′m′=−H′\nl3\nJ′\nl3δll′δmm′δPP′(A6j)\nUS2\n1,Hlm=Jl;j\nJl3aHlm;jδll′δmm′ (A6k)\nUS2\n1,Elm=kjµ3\nk3µ2Jl;j\nJl3aElm;jδll′δmm′(A6l)\nUS2\n2,Hlm=Hl;j\nJl3aHlm;jδll′δmm′(A6m)\nUS2\n2,Elm=kjµ3\nk3µ2Hl;j\nJl3aElm;jδll′δmm′ (A6n)\nVS2\n1,Hlm=µ3\nµ2J′\nl;j\nJ′\nl3aHlm;j (A6o)\nVS2\n1,Elm=k3\nkjJ′\nl;j\nJ′\nl3aElm;j−kjk3\nk2\n2/radicalbig\nl(l+1)wlm;jJl;j\nJ′\nl3\n(A6p)\nVS2\n2,Hlm=µ3\nµ2H′\nl;j\nJ′\nl3aHlm;j (A6q)\nVS2\n2,Elm=k3\nkjH′\nl;j\nJ′\nl3aElm;j−kjk3\nk2\n2/radicalbig\nl(l+1)wlm;jHl;j\nJ′\nl3.\n(A6r)\n[1]S. J. Oldenburg, R. D. Averitt, S. L. Westcott, and N. J.\nHalas, Chem. Phys. Lett. 288, 243 (1998).\n[2]T. V. Teperik, V. V. Popov, and F. J. Garc´ ıa de Abajo,\nPhys. Rev. B 69, 155402 (2004).\n[3]E.HaoandG.C.Schatz, J.Chem.Phys. 120, 357(2004).\n[4]C. Tserkezis, G. Gantzounis, and N. Stefanou, J. Phys.:\nCondens. Matter 20, 075232 (2008).\n[5]F. Le, D. W. Brandl, Y. A. Urzhumov, H. Wang, J.\nKundu, N. J. Halas, J. Aizpurua, and P. Nordlander,\nACS Nano 2, 707 (2008).\n[6]T. Christensen, A.-P. Jauho, M. Wubs, and N. A.\nMortensen, Phys. Rev. B 91, 125414 (2015).\n[7]N. T. Fofang, T.-H. Park, O. Neumann, N. A. Mirin, P.\nNordlander, and N. J. Halas, Nano Lett. 8, 3481 (2008).\n[8]J. A. Schuller, E. S. Barnard, W. Cai, Y. C. Jun, J. S.\nWhite, andM. L. Brongersma, Nat.Mater. 9, 193 (2010).\n[9]C. Tserkezis, M. Wubs, and N. A. Mortensen, ACS Pho-\ntonics5, 133 (2018).\n[10]F. Benz, M. K. Schmidt, A. Dreismann, R. Chikkaraddy,\nY. Zhang, A. Demetriadou, C. Carnegie, H. Ohadi, B.\nD. Nijs, R. Esteban, J. Aizpurua, and J. J. Baumberg,Science354, 726 (2016).\n[11]J. B. Khurgin, Nat. Nanotechnol. 10, 2 (2015).\n[12]D. G. Baranov, D. A. Zuev, S. I. Lepeshov, O. V. Kotov,\nA. E. Krasnok, A. B. Evlyukhin, and B. N. Chichkov,\nOptica4, 814 (2017).\n[13]A. B. Evlyukhin, S. M. Novikov, U. Zywietz, R. L. Erik-\nsen, C. Reinhardt, S. I. Bozhevolnyi, and B. N. Chichkov,\nNano Lett. 12, 3749 (2012).\n[14]A. Garc´ ıa-Etxarri, R. G´ omez-Medina, L. S. Froufe-P´ erez ,\nC. L´ opez, L. Chantada, F. Scheffold, J. Aizpurua, M.\nNieto-Vesperinas, and J. J. S´ aenz, Opt. Express 19, 4815\n(2011).\n[15]F. Todisco, R. Malureanu, C. Wolff, P. A. D. Gon¸ calves,\nA. S. Roberts, N. A. Mortensen, and C. Tserkezis,\nNanophotonics 9, 803 (2020).\n[16]P. Albella, M. A. Poyli, M. K. Schmidt, S. A. Maier, F.\nMoreno, J. J. S´ aenz, and J. Aizpurua, J. Phys. Chem. C\n117, 13573 (2013).\n[17]E. Almpanis and N. Papanikolaou, J. Opt. Soc. Am B\n33, 99 (2016).\n[18]O. Yavas, M. Svedendahl, P. Dobosz, V. Sanz, and R.10\nQuidant, Nano Lett. 17, 4421 (2017).\n[19]S. Jahani and Z. Jacob, Nat. Nanotechnol. 11, 23 (2016).\n[20]I. Staude and J. Schilling, Nat. Photonics 11, 274 (2017).\n[21]X. Zhu, W. Yan, U. Levy, N. A. Mortensen, and A. Kris-\ntensen, Sci. Adv. 3, e1602487 (2017).\n[22]A. E. Krasnok, A. E. Miroshnichenko, P. A. Belov, and\nY. S. Kivshar, Opt. Express 20, 20599 (2012).\n[23]S. V. Li, D. G. Baranov, A. E. Krasnok, and P. A. Belov,\nAppl. Phys. Lett. 107, 171101 (2015).\n[24]S. Raza, Opt. Lett. 45, 1260 (2020).\n[25]T. K. Hakala, J. J. Toppari, A. Kuzyk, M. Pettersson,\nH. Tikkanen, H. Kunttu, and P. T¨ orm¨ a, Phys. Rev. Lett.\n103, 053602 (2009).\n[26]C. Tserkezis, P. A. D. Gon¸ calves, C. Wolff, F. Todisco,\nK. Busch, and N. A. Mortensen, Phys. Rev. B 98, 155439\n(2018).\n[27]H. Wang, Y. Ke, N. Xu, R. Zhan, Z. Zheng, J. Wen, J.\nYan, P. Liu, J. Chen, J. She, Y. Zhang, F. Liu, H. Chen,\nand S. Deng, Nano Lett. 16, 6886 (2016).\n[28]G. W. Castellanos, S. Murai, T. Raziman, S. Wang, M.\nRamezani, A. G. Curto, and J. G´ omez Rivas, ACS Pho-\ntonics7, 1226 (2020).\n[29]R. Heilmann, A. I. V¨ akev¨ ainen, J.-P. Martikainen, and\nP. T¨ orm¨ a, Nanophotonics 9, 267 (2020).\n[30]K.Lodewijks, N. Maccaferri, T. Pakizeh, R.K. Dumas, I.\nZubritskaya, J. ˚Akerman, P. Vavassori, and A. Dmitriev,\nNano Lett. 14, 7207 (2014).\n[31]D. K. Kim and J. Dobson, J. Mat. Chem. 19, 6294\n(2009).\n[32]Q.A.Pankhurst, J.Connolly, S.K.Jones, andJ.Dobson,\nJ. Phys. D: Appl. Phys. 36, R167 (2003)\n[33]S. P. Mornet, S. B. Vasseur, F. Grasset, and E. Duguet,\nJ. Mat. Chem. 36, 2161 (2004).\n[34]D. Vollath, Adv. Mater. 22, 4410 (2010).\n[35]S. Hong, H. Chen, L. Wang, L. Wang, Spectrochim. Acta\nA70, 449 (2008).\n[36]Q. Chang, L. Zhu, C. Yu, H. Tang, J. Lumin. 128, 1890\n(2008).\n[37]Y. Lu, Y. Yin, B. T. Mayers, and Y. Xia, Nano Lett. 2,\n183 (2001).[38]Z. Lin and S. T. Chui, Phys. Rev. E 69, 056614 (2004).\n[39]P. Varytis and N. Stefanou, J. Opt. Soc. Am. B 33, 1286\n(2016).\n[40]P. Varytis and N. Stefanou, Opt. Commun 360, 40\n(2016).\n[41]V. Yannopapas and A. G. Vanakaras, ACS Photonics 2,\n1030 (2015).\n[42]V. Yannopapas, Solid State Commun. 217, 47 (2015).\n[43]X. Yin, Z. Ye, J. Rho, Y. Wang, and X. Zhang, Science\n339, 1405 (2013).\n[44]C. Wolff, R. Rodr´ ıguez–Oliveros, and K. Busch, Opt. Ex-\npress21, 12022 (2013).\n[45]L. D. Landau, E. M. Lifshitz, and L. P. Pitaevskii, Elec-\ntrodynamics of Continuous Media , 2nd ed. (Butterworth-\nHeinemann, 1984).\n[46]A. Christofi and N. Stefanou, Int. J. Mod. Phys. B 28,\n1441012 (2013).\n[47]C. F. Bohren and D. R. Huffman, Absorption and Scat-\ntering of Light by Small Particles (Wiley, 1983).\n[48]J. D. Jackson, Classical Electrodynamics , 3d ed. (Wiley,\n1999).\n[49]V.Doormann, J.P.Krumme, andH.Lenz, J.Appl.Phys.\n68, 3544 (1990).\n[50]T. Kim, S. Nasu, and M. Shima, J. Nanopart. Res. 9,\n737 (2006).\n[51]P. Hansen, K. Witter, and W. Tolksdorf, Phys. Rev. B\n27, 6608 (1983).\n[52]P. Varytis, N. Stefanou, A. Christofi, and N. Papaniko-\nlaou, J. Opt. Soc. Am. B 32, 1063 (2015).\n[53]P. Varytis, P. A. Pantazopoulos, and N. Stefanou, Phys.\nRev. B93, 214423 (2016).\n[54]I. Struganova, J. Phys. Chem. A 104, 9670 (2000).\n[55]P. T¨ orm¨ a andW. L. Barnes, Rep. Prog. Phys. 78, 013901\n(2014).\n[56]T. J. Antosiewicz, S. P. Apell, and T. Shegai, ACS Pho-\ntonics1, 454 (2014).\n[57]A. Lappas, G. Antonaropoulos, K. Brintakis, M. Vasi-\nlakaki, K. N. Trohidou, V. Iannotti, G. Ausanio, A.\nKostopoulou, M. Abeykoon, I. K. Robinson, and E. S.\nBozin, Phys. Rev. X 9, 041044 (2019)." }, { "title": "2112.11348v1.Fast_long_wavelength_exchange_spin_waves_in_partially_compensated_Ga_YIG.pdf", "content": "Fast long-wavelength exchange spin waves in partially -compensated Ga:YIG \nT. Böttcher,1, 2 M. Ruhwedel,1 K. O. Levchenko,3 Q. Wang,3 H. L. Chumak,4 M. A. Popov,4 I. V. Zavislyak,4 \nC. Dubs,5 O. Surzhenko,5 B. Hillebrands,1 A. V. Chumak,3 and P. Pirro1 \n \n1)Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universität Kaiserslautern, \nGottlieb -Daimler -Straße 46, 67663 Kaiserslautern, Germany \n2)MAINZ Graduate School of Excellence, Staudingerweg 9, 55128 Mainz, Germany \n3)Faculty of Physics, University of Vienna, A-1090 Wien, Austria \n4)Faculty of Radiophysics, Electronics and Computer Systems, Taras Shevchenko National University of Kyiv, \nKyiv, 01601, Ukraine \n5)INNOVENT e.V. Technologieentwicklung, Prüssingstrasse 27B, 07745 Jena, Germany \n (Dated: 20 December 2021) \nSpin waves in yttrium iron garnet (YIG) nano -structures attract increasing attention from the perspective of novel \nmagnon -based data processing applications. For short wavelengths needed in small -scale devices, the group \nvelocity is directly proportional to the spin -wave exchange stiffness constant λex. Using wave vector resolved \nBrillouin Light Scattering (BLS) spectroscopy, we directly measure λex in Ga -substituted YIG thin films and \nshow that it is about three times larger than for pure YIG. Consequently, the spin -wave group velocity overcomes \nthe one in pure YIG for wavenumbers 𝑘 > 4 rad/ µm, and the ratio between the velocities reaches a constan t value of \naround 3.4 for all 𝑘 > 20 rad/µm. As revealed by vibrating -sample magnetometry (VSM) and ferromagnetic \nresonance (FMR) spectroscopy, Ga:YIG films with thicknesses down to 59 nm have a low Gilbert damping ( α < \n10−3 ), a decreased saturation magn etization 𝜇0𝑀S ≈ 20 mT and a pronounced out -of-plane uniaxial anisotropy \nof about 𝜇0𝐻u1 ≈ 95 mT which leads to an out -of-plane easy axis. Thus, Ga:YIG opens access to fast and \nisotropic spin -wave transport for all wavelengths in nano -scale systems independently of dipolar effects. \n \n \nWave -based logic concepts1-3 are expected to come \nalong with major advantages over information processing \nbased on current CMOS -based information technology4,5. \nIn particular, coherent spin waves6 are envisaged to allow \nfor the realization of efficient wave -based logic devices3, 7-\n12. However, progress in this field places high demands on \nthe materials used. Specifically, spin-wave elements \noperating at large clock frequencies demand materials \nwhich exhibit a small Gilbert damping constant13, large \nspin-wave velocities and good processing properties. \nYttrium Iron Garnet (YIG) has a very small damping \nconstant14 and nano -structures of 50 nm lateral sizes have \nbeen demonstrated recently15-17. However, dipolar spin \nwaves in YIG waveguides feature velocities that are \nsignificantly reduced compared to plane YIG films16 which \nis caused by the flattening of the dispersion curve. The \nfastest dipolar waves in these structures are magnetostatic \nsurface waves which offer a group velocity of around \n0.2 µm/ns17. Exchange spin waves with wavelengths in \nthe range of 100 nm or shorter are faster18 but the \nexcitation of such short wavelengths is a separate \nchallenge16. It can be addressed by the utilization of: \nnanoscopic antennas with increased Ohmic loss; strongly \nnon-uniform ma gnetic patterns19; hybrid nanostructures \nutilizing different magnetic materials20-22; or more complex \nphysical phenomena like magnon Cherenkov radiation23. \nTo operate with waves of maximized speed in nano - \nstructures, materials with large spin -wave exchange \nstiffness λex=2𝐴/(𝜇0𝑀S) are mandatory since the \nexchange contribution to the group velocity is directly \nproportional to λex. Here, 𝐴 is the Heisenberg exchange \nconstant, MS the saturation magnetization and 𝜇0 is the \npermeability of the vacuum. In addition, the possibility to \noperate with fast exchange -dominated spin waves of larger \nwavelengths would not only allow for the operations with \n\"standard\" micro -scaled antennas11,16, but also would give \nthe freedom required for the engineering of data-processing \nunits1,24 since the exchange -dominated dispersion relation is \nhighly isotropic. \n In this context, it is promising to study ferrimagnetic in - \nsulators which are close to the magnetic compensation since \nlow 𝑀S tends to increase λex. For this, Liquid Phase Epitaxy \n(LPE) based14 growth of Ga -substituted YIG25-28, which has \nbeen adopted for the deposition of Y 3Fe5-xGaxO12 single \ncrystalline films of sub-100 nm thicknesses, is very \ninteresting. In these films, non -magnetic Ga3+ ions \npreferentially substitute the magnetic Fe3+ ions in the \ntetrahedral coordinated magnetic sub-lattice (0 < x < 1.5), \ndecreasing the MS of this ferrimagnetic material down to \nthe fully compensated antiferromagnetic state (for the single \ncrystals grown from the high-temperature solutions at \ncontents x of about 1.27 formula units at room temperature \nT = 295K)25,26. Besides, the Ga substitution induces a strong \nout-of-plane uniaxial anisotropy enabling the easy axis of \nthe thin film perpendicular to the film surface. \nHere, we report on the investigation of the spin waves in 2 \n \nFIG. 1. (a) Ferromagnetic resonance frequency fFMR as a function \nof the in -plane magnetic field H || [112̅]. Experimental data (blue \ndots) is fitted by a modified Kittel equation (solid red line). \n(b) Recalculated full width at half maximum (FWHM) field \nlinewidth µ0∆H as a function of fFMR. Measured data (dark red \nsquares) is fitted by a linear regression (solid red line). A full \nevaluation (see Supplementary Materials) provides the values \nshown in the Table (c). (c) Parameters obtained from FMR -VNA \ndata of 59 nm Ga:YIG and 97 nm YIG films grown on GGG(111). \n \nGa:YIG films of sub -100 nm thickness. Ga substitution \nx ≈ 1 was chosen so that the magnetization of the sample \nis decreased to one tenth of the pure YIG value. This was \ndone in order to change the easy magnetization orientation \nfrom the in-plane to out -of-plane, simultaneously \navoiding an excessive increase in the magnetic damping. \nFirst, a thorough characterization is performed using \nferromagnetic resonance spectroscopy (FMR) in \ncombination with vibrating -sample magnetometry (VSM) \nto obtain the saturation magnetization, the anisotropy \nconstants and the damping parameters. Afterward, wave \nvector resolved29 Brillouin light scattering (BLS) \nspectroscopy is used to measure the dispersion relation of \nthermal spin waves ω(k) and the spin-wave exchange \nstiffness λex directly. The resulting group velocity dω/dk \nis compared to the velocities of spin waves in pure YIG \nfilms. Our presented results are complementary and in \ngood agreement with recent results from an indirect \nmeasurement of the dispersion relation at low wave \nvectors using electrical spectroscopy in Ga:YIG reported \nby Carmiggelt et al.30 \nIn the following, we present exemplary Broadband Fer - \nromagnetic Resonance – Vector Network Analyser (FMR \n- VNA) spectroscopy data for a LPE -grown 59 nm thick \nfilm of Ga:YIG/GGG(111). Data for the othe r films \nthicknesses of 105 nm -thick Ga:YIG/GGG(111), 95 nm -\nthick Ga:YIG/GGG(001), and a reference film of 97 nm -\nthick YIG/GGG(111) are provided in the Supplementary \nMaterials. The films grown on GGG(111) were cut into the square specimens with the edges o riented along [112̅] \nand [11̅0] crystallographic directions, and samples on \nGGG (001) – along [100] and [010] (see Figs. S1 and S5 \nin the Supplementary Materials). For magnetic \ncharacterization, FMR -VNA was performed in the \nfrequency range up to 20 GHz and at the rf power of \n0 dBm. To define the crystallographic parameters of the \nsamples, theoretical model of Bobkov and Zavislyak was \nused31. The model differentiates three m ain anisotropy \nfields – a cubic field 𝐻c, a uniaxial anisotropy field of the \nfirst order 𝐻u1, and a uniaxial anisotropy field of the \nsecond order 𝐻u2. The cubic anisotropy originates from \nthe magnetization along the preferred crystallographic \ndirections in the garnet lattice14,31, while the uniaxial \nanisotropy consists of cubic, growth -induced and strain -\ninduced contributions resulting in an effective uniaxial \nanisotropy14,32,33. In addition to the direction of a magnetic \nfield H, the FMR frequency also depends on the \ncrystallographic orientation of the GGG substrate31. To \ndefine all the anisotro py fields experimentally, a magnetic \nfield was applied in -plane (IP) along the two orthogonal \ncrystallographic axes [112̅] and [11̅0] (corresponding to \nthe sample’s edges), and out -of-plane (OOP) along the \n[111] direction normal to the film plane. The satura tion \nmagnetization 𝑀S was measured by VSM. Detailed \ndescriptions of the employed theoretical model, the \nmeasurement procedure, and the FMR analyses for the film \nunder the investigation are given in the Supplementary \nMaterials. \nThe dependence of the FMR frequency on the in -plane \nmagnetic field is shown in Fig. 1(a). The Gilbert damping \nparameter α and the inhomogeneous linewidth broadening \n∆𝐻(0) were found according to the standard approach \ndescribed in Ref.34 (see Fig. 1(b)), taking into consideration \nthat 𝐻u1 > 𝑀S. All obtained parameters are summarized \nin Fig. 1(c) along with the results of a reference 97 nm-\nthick YIG/GGG(111) film. A comparison of these values \ndemonstrates that the Ga doping led to a ~ 9 times \nreduction in the saturation magnetization 𝑀S, a ~ 27 times \nincrease in the uniaxial anisotropy 𝐻u1, and a ~ 4.7 times \nincrease in the Gilbert damping α. A strong increase of the \nuniaxial anisotropy in dicates the out-of-plane easy axis of \nGa:YIG thin film. While an increase in α was observed, \nit is still significantly lower compared to metallic \ncompounds2. The obtained values are in good agreement \nwith those reported in Ref 30. \nAfter the characterization via FMR, the dispersion \nrelation of thermally excited, magnetostatic surface spin \nwaves propagating perpendicularly to the applied field is \nprobed by Brillouin light scattering spectroscopy (BLS)29 \nto obtain the exchange stiffness λex. An external field of \n𝜇0𝐻= 300 mT is applied in the film plane along the \nsample edge which ensures an in -plane magnetization. For \nthe spectral analysis of the scattered light, a 6 -pass tandem \nFabry -Pérot interferometer is used35. In all measurements \npresented here, a laser with a wavelength of 𝜆Laser = \n3 \n \nFIG. 2. (a) Schematic BLS setup. (b) Three exemplary BLS spectra obtained for the different in-plane wave vectors from a 59 nm \nthick Ga:YIG film at an applied field of 300 mT. Two spin-wave modes as well as a phonon mode can be observed. (c) Dispersion \nrelations for the spin-wave modes extracted from all the measured BLS spectra. The solid lines are fits according to the model given in \nEqn.1. The dashed lines are linear fits of the phonon mode. \n \n \n491 nm is used. The in -plane component 𝑘𝜁 of the wave \nvector of the probed spin wave is varied by changing the \nangle of light incidence Θ, 𝑘𝜁=4𝜋 sin(Θ) / 𝜆Laser – see \nFig. 2(a). The results for the 59 nm thick Ga:YIG film \nare presented in Fig. 2. Fig. 2(b) shows three exemplary \nBLS spectra (anti -stokes part). Data for the three different \nin-plane wave vectors are presented. Besides the quite \nstrong phonon signal, one can distinguish the fundamental \nspin-wave mode and the first perpendicular standing spin-\nwave mode (PSSW). For wave vectors between \n12 rad µm−1 and 20 rad µm−1 no fundamental mode could \n \n be observed because of the strong signal of the phonon \nmode that crosses the fundamental spin-wave mode in \nthis area (see, e.g., the spectrum for 𝑘𝜁 = 14.7 rad µm−1). \n The corresponding analytical description of the spin -\nwave dispersion relation for the case of a \nferromagnetic film in (111) orientation having uniaxial \nand cubic anisotropy with unpinned surface spins has \nbeen obtained by Kalinikos et al.36. The wave vector \nquantization along the film normal, which results in the \nappearance of the PSSWs37, is described by the index n \nsuch that the dispersion relation is given by: \n \n \n𝑓n(𝐤)=𝛾𝜇0\n2𝜋√(𝐻+λex𝑘𝑛2+𝑀S−𝑀S𝑃𝑛𝑛(𝑘𝜁𝑡)−𝐻c−𝐻u1)(𝐻+λex𝑘𝑛2+𝑀S𝑃𝑛𝑛(𝑘𝜁𝑡)sin2𝜙)−2𝐻c2cos23𝜙𝑀 (1) \n \n \nwhere 𝑘𝑛=√𝑘𝜁2+ĸ𝑛2 is the total spin wave vector \nconsisting of the in -plane spin wave vector 𝑘𝜁 and the out -\nof-plane spin wave vector 𝜅𝑛 with 𝜅𝑛=𝑛𝜋\n𝑡,𝑛 =\n 0,1,2,… Here, t is the thickness of the film, 𝜙 is the \nangle between the static magnetization and the in-plane \nwave vector 𝑘𝜁, 𝜙𝑀 is the angle between the static \nmagnetization and the [11̅0] axis, H is the applied \nmagnetic field and 𝛾 is the gyromagnetic ratio which we \ntake from the FMR measurements. The matrix element 𝑃𝑛𝑛 \nis a function of 𝑘𝜁𝑡 (0≤𝑃𝑛𝑛< 1 if 0≤𝑘𝜁𝑡<∞). In the \nlong wavelength limit (𝑘𝜁𝑡≪ 1) and for unpinned surface \nspins the following approximations have been obtained: \n𝑃00 =𝑘𝜁𝑡\n2 for 𝑛=0 and (𝑘𝜁𝑡\n𝑛𝜋)2\n for 𝑛≠038. \nThe dispersion relations of the respective modes \nextracted from the BLS spectra are shown in Fig. 2(c) \ntogether with fit curves according to Eqn. 1. FMR \nmeasurements show that 𝐻c is about one order of \nmagnitude smaller than 𝐻u1 (compare Fig. 1(c)). \nConsequently, the last term in Eqn.1 that is quadratic \nin 𝐻c can be safely neglected. For the fits we have fixed \nthe saturation magnetization to 𝜇0𝑀S= 20.2 mT as \nobtained from VSM, and the gyromagnetic ratio to 𝛾=\n179 rad T−1ns−1 as obtained from the FMR measurements. \nThe extracted values from the simultaneous fits of the \nfundamental mode and the first PSSW mode are: exchange \nstiffness λex= (13.54 ± 0.07) × 10−11 Tm2, exchange \nconstant 𝐴 = (1.37 ± 0.01) pJm−1, respectively. The sum \nof the anisotropy fields is 𝜇0(𝐻u + 𝐻c) = \n(91.3 ± 0.4) mT, in very good agree ment with the values \nobtained from FMR (compare to Fig. 1(c)). \n The exchange stiffness in the film under investigation in \nthis work is about three times as large as the one for pure \n4 YIG39. This results in a much higher group velocity than \nin pure YIG as can be seen in Fig . 3. There the fitted \ndispersion relation of the fundamental mode for the \ninvestigated Ga:YIG film and the corresponding \ndispersion relation for a pure YIG film of the same \nthickness of 59 nm and at the same applied field of \n300 mT is shown. Here the standard parameters of \nYIG14,39 have been used: 𝛾= 177 rad T−1ns−1 (from \nFMR), λex= 4.03·10−11 Tm2, 𝜇0𝑀S= 177.2 mT (all \nanisotropy contributions are neglected). The \ncorresponding group velocities calculated by 𝑣𝑔𝑟=\n 2𝜋𝜕𝑓𝑛(𝒌)/𝜕𝑘𝑛 are plotted in the lower part of Fig. 3(b). \nThe exchange dominated region is characterized by a \nlinear dependence of the group velocity on the wave \nvector. Thus, spin waves in the Ga:YIG can be considered \nas exchange dominated down to very low wave vectors as \nit is directly visible from Fig. 3(b). For wave vectors \nabove 𝑘 > 4 rad/µm, spin -waves in Ga:YIG are faster \ncompared to pure YIG. \n \nFIG. 3. (a) Dispersion fitted to the measured data from the \ninvestigated 59 nm thick Ga:YIG film at an applied field of 300 mT \naccording to Eqn. 1 (red) and a theoretical dispersion calculated \naccording to Eqn. 1 for a pure YIG film (green) of t he same \nthickness at the same ap plied field using standard YIG parameters \n(see text)14,39. (b) Group velocity calculated from the dispersion \nrelation in (a) for Ga:YIG (red) and pure YIG (green). The ratio r \nof the group velocities for Ga:YIG and pure YIG is plotted by a grey \ndashed line . For wave vectors 𝑘 > 30 rad/ µm, both dispersion \nrelations are dominated and the ratio r of the group \nvelocities is converging to the ratio of the exchange \nstiffness constants 𝑟 ≈ λex(Ga:YIG)/λex(YIG) ≈ 3.4. \nIn conclusion, we have investigated spin-wave \nproperties in Ga-substituted YIG with significantly \ndecreased saturation magnetization 𝜇0𝑀S ≈20.2 mT and \nincreased exchange stiffness λex = (13.54 ± 0.07)·10−11 \nTm2. The saturation magnetization MS was measured using \nVSM, the three anisotropy constants 𝐻c, 𝐻u1, 𝐻u2 and the \ngyromagnetic ratio 𝛾 were determined using FMR, and the \nexchange stiffness λex was determined from BLS \nmeasurements of the dispersion relation of the fundamental \nand the first PSSW mode. We find that even spin waves of \nrelatively small wave vector 𝑘 ≈ 4 rad/µm exhibit an \nexchange nature, and their velocities are higher than in \npure YIG, reaching a ratio of approximately 3.4 as defined \nby the ratio of the individual exchange stiffness constants. \nAs a further consequenc e, waves in Ga:YIG have a \nsignificantly more isotropic dispersion relation than waves \nof the same wavelength in YIG. Thus, for magnonic \nwaveguides structured from Ga:YIG, only a weak \ndependence of important parameters, such as the wave \nvelocity and the wave phase accumulation, on the structure \nsizes and on the magnetization orientation can be expected. \nThe small saturation magnetization and the uniaxial \nanisotropy lead to an out -of-plane easy axis which \nfacilitates also the use of the entirely isotropic Forward \nVolume waves. Since the relative drop of the exchange \nconstant 𝐴 with Ga substitution x is weaker than the drop \nof the saturation magnetization 𝑀S, one can expect that a \nfurther reduction of 𝑀S by an increased Ga substitution will \nlead to even faster and more isotropic spin waves. \nEventually, a fully compensated Ga:YIG film might serve \nas a model system for antiferromagnetic magnonics. Thus, \nGa:YIG opens access to the operation with fast and \nisotropic exchange spin waves of variable wavelengths in \nfuture magnonics networks. \n \n \nACKNOWLEDGMENTS \n \nThis research has been funded by the Deutsche Forschungs - \ngemeinschaft (DFG, German Research Foundation) - \n271741898, by the DFG Collaborative Research Center \nSFB/TRR 173 -268565370 (Projects B01 and B11), by the \nAustrian Science Fund (FWF) through the project I 4696 -N, \nand by the European Research Council project ERC Starting \nGrant 678309 MagnonCircuits. The authors thank Volodymyr \nGolub (Institute of Mag netism, National Academy of Sci - \nences of Ukraine) for support and valuable discussions, as \nwell as M. Lindner and T. Reimann (INNOVENT e.V.) for \nthe production of the YIG reference sample and R. Meyer for \nthe technical assistance. \n \n5 DATA AVAILABILITY \n \nThe data that support the findings of this study are available \nfrom the corresponding author upon reasonable request. \n \n REFERENCES \n \n1 A. Mahmoud, F. Ciubotaru, F. Vanderveken, A. V. Chumak, S. Hamdioui, \n C. Adelmann, and S. Cotofana, J. Appl . Phys . 128, 161101 (2020) . \n2 A. Barman, G. Gubbiotti, S. Ladak, A. O. Adeyeye, M. Krawczyk, J. Gräfe, \n C. Adelmann, S. Cotofana, A. Naeemi, V. I. Vasyuchka, B. Hillebrands, \n et al., J. Phys .: Condens . Matter 33 (2021) . \n3 A. V. Chumak, P. Kabos, M. Wu, C. Abert, C. Adelmann, A. Adeyeye, \n J. Åkerman, F. G. Aliev, A. Anane, A. Awad, C. H. Back, et al. , (2021), \n arXiv:2111.00365 . \n4 M. M. Waldrop, Nature 530, 144 (2016) . \n5 B. Dieny, I. L. Prejbeanu, K. Garello, P. Gambardella, P. Freitas, R. Lehn - \n dorff , W. Raberg, U. Ebels, S. O. Demokritov, J. Akerman, et al., Nat. \n Electron . 3, 446 (2020) . \n6 P. Pirro, V. Vasyuchka, A. A. Serga, and B. Hillebrands, Nat. Rev. \nMater .6,1114 (2021) . \n7 A. Khitun, M. Bao, and K. L. Wang , J. Phys . D: Appl . Phys . 43, 264005 \n(2010) . \n8 A. V. Chumak, A. A. Serga, and B. Hillebrands, Nat. Commun . 5, 4700 \n(2014). \n9 T. Fischer, M. Kewenig, D. A. Bozhko, A. A. Serga, I. I. Syvorotka, \nF. Ciubotaru, C. Adelmann, B. Hillebrands, and A. V. Chumak, Appl . \n Phys . Lett. 110, 152401 (2017) . \n10 G. Talmelli, T. Devolder, N. Träger, J. Förster, S. Wintz, M. Weigand, \n H. Stoll, M. Heyns, G. Schütz, I. P. Radu, et al., Sci. Adv. 6, eabb4042 \n(2020) . \n11 Q. Wang, M. Kewenig, M. Schneider, R. Verba, F. Kohl, B. Heinz, \n M. Geilen, M. Mohseni, B. Lägel, F. Ciubotaru, et al., Nat. Electron . 3, \n765 (2020) . \n12 A. N. Mahmoud, F. Vanderveken, C. Adelmann, F. Ciubotaru, S. Hamdi - \n oui, and S. Cotofana, IEEE Trans . Magn . 57, 1 (2021). \n13 T. L. Gilbert, IEEE Trans . Magn . 40, 3443 (2004). \n14 C. Dubs, O. Surzhenko, R. Thomas, J. Osten, T. Schneider, K. Lenz, \n J. Grenzer, R. Hübner, and E. Wendler, Phys. Rev. Mater . 4, 024416 \n(2020) . \n15 Q. Wang, B. Heinz, R. Verba, M. Kewenig, P. Pirro, M. Schneider, \n T. Meyer, B. Lägel, C. Dubs, T. Brächer, et al., Phys . Rev. Lett. 122, \n247202 (2019) . \n16 B. Heinz, T. Brächer, M. Schneider, Q. Wang, B. Lägel, A. M. Friedel, \n D. Breitbach, S. Steinert, T. Meyer, M. Kewenig, C. Dubs, P. Pirro, and \n A. V. Chumak, Nano Lett. 20, 4220 (2020) . \n17 B. Heinz, Q. Wang, M. Schneider, E. Weiß, A. Lentfert, B. Lägel, \n T. Brächer, C. Dubs, O. V. Dobrovolskiy, P. Pirro, and A. V. Chumak, \nAppl . Phys . Lett. 118, 132406 (2021) . \n18 A. V. Chumak, in Spintronics Handbook: Spin Transport and Magne - \ntism, 2nd ed (CRC Press, 2019) , pp. 247–302. (1983) \n19 S. Wintz, V. Tiberkevich, M. Weigand, J. Raabe, J. Lindner, A. Erbe, \n A. Slavin, and J. Fassbender, Nature Nanotech . 11, 948 (2016) . \n20 H. Yu, O. d. Kelly, V. Cros, R. Bernard, P. Bortolotti, A. Anane, F. Brandl, \n F. Heimbach, and D. Grundler, Nat. Commun . 7, 11255 (2016) . \n21 P. Che, K. Baumgaertl, A. Kúkol’ová, C. Dubs, and D. Grundler, Nat. \nCommun . 11, 1445 (2020) . \n22 C. Liu, J. Chen, T. Liu, F. Heimbach, H. Yu, Y. Xiao, J. Hu, M. Liu, \n H. Chang, T. Stueckler, et al., Nat. Commun . 9, 738 (2018) . \n23 O. Dobrovolskiy, Q. Wang, D. Y. Vodolazov, B. Budinska, R. Sachser, \n A. Chumak, M. Huth, and A. Buzdin, arXiv:2103.10156 . \n24 U. Garlando, Q. Wang, O. Dobrovolskiy, A. Chumak, and F. Riente, \narXiv:2109.12973 . \n25 P. Hansen, P. Röschmann, and W. Tolksdorf, J. Appl . Phys . 45, 2728 \n(1974) . \n26 P. Görnert and C. d’Ambly, Phys . Stat. Sol. (a) 29, 95 (1975) . \n27 J. Guigay, J. Baruchel, D. Challeton, J. Daval, and F. Mezei, J. Magn. \nMagn. M ater. 51, 342 (1985) . \n28 P. Röschmann, IEEE Trans . Magn . 17, 2973 (1981) . \n \n \n \n \n \n \n \n \n \n29 T. Sebastian, K. Schultheiss, B. Obry, B. Hillebrands, and H. Schultheiss, \nFront . Phys . 3, 1589 (2015) . \n30 J. J. Carmiggelt, O. C. Dreijer, C. Dubs, O. Surzhenko, and T. van der Sar, \n Appl . Phys . Lett. 119, 202403 (2021) . \n31 V. Bobkov and I. Zavislyak, Phys . Stat. Sol. (a) 164, 791 (1997). \n32 B. D. Volkerts, Yttrium: Compounds, production and applications (Nova \nScience Publishers, Incorporated, 2011). \n33 P. Röschmann and W. Tolksdorf, Mater . Res. Bull. 18, 449 \n34 S. S. Kalarickal, P. Krivosik, M. Wu, C. E. Patton, M. L. Schneider, P. Ka- \nbos, T. J. Silva, and J. P. Nibarger, J. Appl . Phys . 99, 093909 (2006) . \n35 B. Hillebrands, Rev. Sci. Instrum . 70, 1589 (1999) . \n36 B. A. Kalinikos, M. P. Kostylev, N. V. Kozhus, and A. N. Slavin, J. Phys. : \nConden s. Matter 2, 9861 (1990) . \n37 M. H. Seavey and P. E. Tannenwald, Phys . Rev. Lett. 1, 168 (1958) . \n38 B. A. Kalinikos and A. N. Slavin, J. Phys. C: Solid State Phys. 19, 7013 \n(1986) . \n39 S. Klingler, A. V. Chumak, T. Mewes, B. Khodadadi, C. Mewes, C. Dubs, \n O. Surzhenko, B. Hillebrands, and A. Conca, J. Phys . D: Appl . Phys . 48, \n015001 (2015) . \n \n S1 SUPPLEMENTAL MATERIALS: FMR CHARACTERIZATION \n \nFast long-wavelength exchange spin waves in partially -compensated Ga:YIG \nT. Böttcher,1, 2 M. Ruhwedel,1 K. O. Levchenko,3 Q. Wang,3 H. L. Chumak,4 M. A. Popov,4 I. V. Zavislyak,4 \nC. Dubs,5 O. Surzhenko,5 B. Hillebrands,1 A. V. Chumak,3 and P. Pirro1 \n \n1)Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universität Kaiserslautern, Gottlieb -\nDaimler -Straße 46, 67663 Kaiserslautern, Germany \n2)MAINZ Graduate School of Excellence, Staudingerweg 9, 55128 Mainz, Germany \n3)Faculty of Physics, University of Vienna, A-1090 Wien, Austria \n4)Faculty of Radiophysics, Electronics and Computer Systems, Taras Shevchenko National University of Kyiv, \nKyiv, 01601, Ukraine \n5)INNOVENT e.V. Technologieentwicklung, Prüssingstrasse 27B, 07745 Jena, Germany \n \n \nFerromagnetic Resonance – Vector Network Analyzer (FMR -VNA) spectroscopy is a fast and non -destructive \ntechnique that provides access to the fundamental magnetic properties of a material. This spectroscopy proved to be \nespecially useful in the study of the magnetization in quaternary compounds of a partially compensated ferrimagnet \nGa:YIG/GGG. By changing the concentration of GaS1-S4, it is possible to tune the saturation magnetization and the \ndemagnetizing fields, opening a materials perspective towards magnonic logic devicesS5-S7 with isotropic spin -wave \npropagationS8. Considered as a milestone towards fully compensated antiferromagnetic Ga:YIG, i t was discovered that the \ncurrent samples already exhibit advantageous properties such as an induced perpendicular anisotropy. \nHence, the primary aim of this section is to establish a concise and precise interpretation of the ferromagnetic resonance \ndata obtained for the thin epitaxial films of Ga:YIG/GGG and for the reference YIG/GGG film cut into 5 x 5 x 0.5 mm3 \npieces. To give our interpretation a better degree of flexibility, in the present analysis we have included samples grown by \nliquid phase epitaxy (LPE) on the substrates with different crystallographic directions – GGG (111) and GGG (001). The \nresults pre sented in the sections below were obtained for several films: a 59 nm thick Ga:YIG/GGG(111) film, which was \nin the focus of interest for the BLS investigations in the main manuscript, a 105 nm thick Ga:YIG/GGG(111) film, a 95 \nnm thick Ga:YIG/GGG(001) film, and a reference 97 nm thick YIG/GGG(111) film. The Ga concentration in the \ninvestigated films is approximately xGa≈1.0, corresponding to saturation magnetization values of about 20 mTS1, although \nthe precise value is challenging to determine for such thin films on GGG substrate due to technical limitations. \nFMR -VNA measurements were carried out in the frequency range of up to 20 GHz. To avoid non -linear contributions \nfrom ma gnon -magnon scattering processes that would contribute to the FMR linewidth broadening, we kept the RF power \nat 0 dBm. The measurement set -up consists of a VNA (Anritsu MS4642B) connected to an H -frame electromagnet GMW \n3473 -70 with an 8 cm air gap for var ious measurement configurations and magnet poles of 15 cm diameter to induce a \nsufficiently uniform biasing magnetic field. The electromagnet is powered by a bipolar power supply BPS -85-70-EC, \nallowing to generate ≈0.9 T at 8 cm air gap. The calibrated VNA signal was transferred via SMA cables/non -magnetic \nSMA end -launch connectors to a straight Southwest Microwave RO4003.8mil microstrip with the sample mounted on top. \nAll the measurements were performed at 𝑇r≈295 𝐾. The measurements of the Ga:YIG samples proved to be challenging \nregarding lower applied magnetic fields. Therefore, to enhance the precision and the reliability of the results, an averaging \nprocedure of the measured data was applied. \nFor the films grown on G GG(111), in -plane measurements (IP) were carried out with the magnetic field 𝐻 applied along \nthe two orthogonal crystallographic axes [112̅] and [11̅0] corresponding to the sides of the samples, while for the film \ngrown on GGG(001), 𝐻 was oriented along [100] and [11̅0] (along the side and diagonal of the rectangular samples). Out -\nof-plane (OOP) measurements were performed by applying the magnetic field normal to the surface of the films. \nA model of the magnetic permeability tensor for the ferritesS9 was adopted in order to determine the fundamental \nmagnetic properties, such as the gyromagnetic ratio 𝛾, the anisotropy fields 𝐻c, 𝐻u1,𝐻u2, and the effective magnetization \n𝑀eff. Furthermore, the Gilbert damping parameter 𝛼 and the inhomogeneous linewidth broadening ∆𝐻(0) were extracted \nfrom the FMR -VNA measurements. \n S2 1. MODEL USED TO ANALYZE THE DATA \n \nFor YIG films, a detailed description of the magnetocrystalline anisotropy (MCA) energy 𝑈A for different values of the \nmagnetization together with a derivation of the specific anisotropy fields ansatz is found in the work of Bobkov and \nZavislyakS9. \nThe authors made an important conclusion regarding the influence of the substrate’s crystallographic orientation and \nthe direction of the applied magnetic field 𝐻. The work is mainly focused on a magnetostatic wave (MSW) analysis \ndescribing the frequency -dependent component s of the dynamic permeability tensor μ. The permeability tensor is derived \nin the linear approximation regarding the magnetization variables and includes the anisotropy constants up to the fourth -\norder terms. \nWe can adopt this approach to the IP FMR analysis usingS9: \n \n𝑓2=(𝛾𝜇0\n2𝜋)2\n[(𝐻i+𝐻A1+𝑀s)(𝐻i+𝐻A2)−𝐻A32] , (Eq. S1) \n \nwhere 𝐻i=𝐻0 – the internal magnetic field which is equal to the applied external field 𝐻0 in this case, 𝛾=𝑔𝜇B\nℏ − the \ngyromagnetic ratio with 𝑔 the g-factor, 𝜇B the Bohr magneton, and ℏ the reduced Planck constant, 𝑀s− the saturation \nmagentization. 𝐻A1, 𝐻A2,𝐻A3 are the combinations of the anisotropy terms derived from the magnetocrystalline (and \nmagnetostrictive) energy densityS10 dependent on the magnetic field dir ection and the substrate’s crystallographic \norientations – see Tables S1, S2 . For practical reasons, the original model was adjusted from the CGS to SI system of units, \nand the circular frequency 𝜔 was converted to the linear frequency 𝑓= 𝜔/2π. \nThe main mode for the OOP FMR is expressed as: \n \n𝑓2=(𝛾𝜇0\n2𝜋)2\n[(𝐻i+𝐻A1)(𝐻𝑖+𝐻A2)−𝐻A32] , (Eq. S2) \n \nwhere 𝜇0𝐻i=𝜇0𝐻0−𝜇0Ms. \n \n \n Table S1. Expressions for the 𝐻A1,𝐻A2, 𝐻A3 for ferrites on GGG(111) (adopted from [ S9]). \n 𝐻A1 𝐻A2 HA3 \n𝑰𝑷: 𝐻‖ [110 ] −𝐾c\n𝜇0Ms−2𝐾u1\n𝜇0Ms 0 −√2𝐾c\n𝜇0Ms \n𝑶𝑶𝑷 : 𝐻‖ [001 ] −4\n3𝐾c\n𝜇0Ms+2𝐾u1\n𝜇0Ms+4𝐾u2\n𝜇0Ms 0 \n \n S3 Table S2. Expressions for the 𝐻A1,𝐻A2,𝐻A3 for ferrites on GGG(001) (adopted from [ S9]). \n \n \n \n \n \n \n \n \n \n \n \nThe MCA of the YIG -based epitaxial films consists of the cubic ( 𝑈Acubic), and the non-cubic part (𝑈Anon cubic). For the \nequilibrium magnetization under the linear approximation assumption, this leads to three major anisotropy fields \ncontributing to an effective magnetization 𝜇0𝑀eff – a cubic field (𝐻c=𝐾c\n𝜇0Ms), a first order uniaxial field (𝐻u1=2𝐾u1\n𝜇0𝑀s) , \nand a second order uniaxial field (𝐻u2=4𝐾u2\n𝜇0𝑀s)S9,S11. The latter term, 𝐻u2 appears only for the out -of-plane applied \nmagnetic field, while the former two, 𝐻u1 and 𝐻c, contribute to the sample’s magnetization in both IP and OOP \nconfigurations. \n𝐾c is the cubic anisotropy constant (denoted as 𝐾4 in other sourcesS12,S13). 𝐾u1 and 𝐾u2 are the uniaxial out -of-plane \nanisotropies of first and second order, respectively. 𝐾u1 can al so be found as 𝐾2⊥ in the literatureS12,S13, while 𝐾u2 (and, \nconsequently, 𝐻u2) is a term, distinguished separately from 𝐾u1 within the framework of the currently discussed model. \nHere, we define the cubic anisotropy as 𝐻c=𝐾c\n𝜇0Ms, following the approach of Bobkov and ZavislyakS9, however, in other \narticlesS12,S13 it is defined as 𝐻c∗=𝟐 𝐾c\n𝜇0Ms. However, the dependencies of the ferromagnetic resonance frequency on the cubic \nanisotropy are identical. \nThe cubic anisotropy originates from the preferred orientation of the magnetization along the crystallographic axis in \nthe garnet latticeS9, S12. In the case of YIG, the negative 𝐾c has a positive contribution to the anisotropy field in (111) and a \nnegative contribution in (001) films. For stoichiometric garnets grown under near -equilibrium conditions via the LPE \ntechnique, uniaxial anisotropy is mainly caused by the misfit strain be tween the lattice constants of the film and the substrate \n(see, e.g. S12 and Supplemental Materials of S12 for the strain calculations). This leads to a tensile stress and a stress -\ninduced out -of-plane anisotropy contribution in the case of GGG substrates, dominating in Ga:YIG films over the weaker \ncubic and shape anisotropies. \nIt is also worth exploring the 𝐻A3 anisotropy term more closely in the case of iron garnet/GGG (111) ferromagnetic \nresonance under IP magnetic field . For these films, as the [100 ] axis lies outside the film’s plane, complementary \nmeasurements should be pe rformed along the [ 112̅] direction. Based on Baselgia et al.S15 2𝐻c2= 2(𝐾4\n𝜇0Ms)2\ncos2(3𝜑), \nwhere 𝜑 is the angle between the [11̅0] crystallographic axis, corresponding to the sample’s side (see Fig. S1), and the \nmagnetization 𝑀. For the 𝐻‖ [11̅0] case 𝜑=0°, cos2(3𝜑)=1 → 2(𝐾4\n𝜇0Ms)2\ncos2(3𝜑)=2𝐻c2, which holds true with a \ncurrent model. However, for the 𝐻‖ [112̅] case 𝜑=90°, cos2(3𝜑)=0 → 2(𝐾4\n𝑀)2\ncos2(3𝜑)=0 and the 2𝐻c2 \ncontribution vanishes. This simple dependency allows to quickly express the cubic field 𝐻c for iron garnet/GGG(111) \nthrough the measurements of two well -defined directions, corresponding in our case to the sample’s side s, opposing to the \nmore demanding angle -resolved measurements. \n \n 𝐻A1 𝐻A2 HA3 \n𝑰𝑷: 𝐻‖ [100 ] 2𝐾c\n𝜇0𝑀s−2𝐾u1\n𝜇0Ms 2𝐾c\n𝜇0𝑀s 0 \n𝑰𝑷: 𝐻‖ [110 ] 𝐾c\n𝜇0𝑀s−2𝐾u1\n𝜇0𝑀s −2𝐾c\n𝜇0𝑀s 0 \n𝑶𝑶𝑷 : 𝐻‖ [001 ] 2𝐾c\n𝜇0𝑀s+2𝐾u1\n𝜇0𝑀s+4𝐾u2\n𝜇0𝑀s 0 S4 2. IRON GARNET/GGG(111) \n \nLet us consider thin YIG and GaYIG films grown \non a GGG(111) substrate ( Fig. S1). Then, based on \n(Eq. S1, S2) with substituted corresponding \nanisotropy terms from Table S1, S2 we obtain \nequations for the FMR frequency 𝑓|| under the IP \nmagnetic field 𝐻||: \n \n \n \nFigure S1. Crystallographic orientations of YIG/GGG(111) \nand Ga:YIG/GGG(111). \n \n \n𝑓|| [11̅0]=𝛾𝜇0\n2π√𝐻||(𝐻||−𝐻c−𝐻u1+𝑀s)−2𝐻c2 (Eq. S3) \n𝑓|| [112̅]=𝛾𝜇0\n2π√𝐻||(𝐻||−𝐻c−𝐻u1+𝑀s) (Eq. S4) \n𝑓⊥=𝛾𝜇0\n2π(𝐻⊥−4\n3𝐻c+𝐻u1+𝐻u2−𝑀s), (Eq. S5) \n \nThe 2𝐻c2 term is usually very small compared to the product before it. For currently investigated Ga:YIG film, it \nintroduces an approximate shift in the FMR frequency (rounded to the highest value to incorporate a measurement error) \nof ∆𝑓||≈110 MHz for µ0𝐻||≈ 110 mT (@𝑓||≈2 GHz ) and ∆𝑓||≈3 MHz for µ0𝐻||≈ 700 mT (@𝑓||≈19.5 GHz ). \nTherefore, the term 2𝐻c2 can be neglected for frequencies above 5 GHz as it is done in the analysis of the BLS data in the \ncurrent manuscript. \nSimilar results with slightly different approximations are presented in a recent work of Dubs et al.S12, where sub -100 \nnm YIG films were analyzed via the angle -resolved broadband FMR -VNA. There, only results for samples grown on (111) \nsubstrates are shown and the main focus was made on the influence of the YIG film thickness on static and dynamic \nmagnetic properties. The main difference between the theoretical model used in the aforementioned publication and our \napproach stems from a slightly different interpretation of the uniaxial / stress -induced anisotropy. However, assuming that \n𝐻c=𝐾c\n𝜇0𝑀s=𝐾4\n𝜇0𝑀s, 𝐻u1=2𝐾u1\n𝜇0𝑀s=𝐻2⊥−𝐻c , adding the second -order uniaxial anisotropy, and omitting the relatively weak \nin-plane anisotropy field 2𝐾u||\n𝜇0𝑀s, we will get to the same set of equations describing the ferromagnetic resonance in both the \nIP and OOP configurations. \nA thorough investigation of the static and dynamic magnetic properties of Ga:YIG (111) was performed in a recent work \nof Joris J. Carmiggelt et al.S13. The authors have also underlined the role of anisotropy in switching the easy magnetization \naxis to OOP, as seen in the FMR measurements performed on their 45 nm thick sample. Precise measurements in the IP \nand OOP FMR configurations were performed, and the accumulated data was fit with the respective Kittel equations, \nmodified to incorporate both the cu bic and the uniaxial anisotropy. Similar to the earlier discussed work of Dubs et al.S12, \nthe authors do not consider the uniaxial anisotropy of the second order for OOP resonance, but analytically determine the \nuniaxial out -of-plane anisotropy 2𝐾2⊥\n𝜇0𝑀s=𝐻2⊥, which includes both the cubic and the stress -induced anisotropy \ncontributions. Considering different thicknesses and slightly different xGa concentrations in Carmiggelt’s work, the \nexpected slight change in cubic ( −4.1 mT) and uniaxial anisotropy ( 104 .7 mT) is observedS13. \nOtherwise, both models are in good agreement and lead to similar results. \n \n \n \n \nS5 2.1. Determining 𝑯𝐜 from FMR \n \nConsidering the many unknown parameters 𝛾,𝑀s,𝐻c,𝐻u1, and 𝐻u2 in the Equations S3 – S5, the fitting will yield \nhigh error margins and poor convergence. Hence, a more elaborated treatment is required to specify the terms. \nTo determine the cubic anisotropy, samples are measured while magnetized along the [11̅0] and th e [112̅] \ncrystallographic directions. The applied in -plane magnetic field was selected in a specific range higher than the saturation \nfield 𝐻s (at which the sample is getting homogenously magnetized in -plane) but still low enough to deduce the 2𝐻c2 term. \nThe saturation field is discussed in the next section and can also be extracted from Fig. S2 . \nSubtracting Equations S4 and S3, we obtain: \n \n𝐻c=√1\n2(2π𝑓|| [112̅]\n𝛾 𝜇0)2\n−1\n2(2π𝑓|| [11̅0]\n𝛾 𝜇0)2\n (Eq. S6) \n \nUsually, the cubic anisotropy field 𝜇0𝐻c varies from ≈−4..5 mT for micrometer -thick and bulk YIGS16 or about \n−4.2 mT for sub -40 nm LPE YIG filmsS12. Evaluation of our experimental data using (Eq. S6), gives the values 𝜇0𝐻c ≈\n−5.05 ±0.5 mT for 97 nm thick YIG film, −4.2 ±0.7 mT and −6.5 ±1.3 mT for 105 nm and 59 nm thick Ga:YIG \nsamples correspondingly. This is in good agreement with −4.1 mT found for the 45 nm Ga:YIG filmS13. \n \n \nFigure S2. Cubic anisotropy 𝐻c fitting for the 97 nm YIG (a) and 105 nm Ga:YIG (b) films grown on GGG (111). For Ga:YIG @ 𝜇0𝐻≈\n50 mT, a kink in the low field regime in (b) indicates a transition from unsaturated (multidomain state) to a homogeneous magnetization, while \nfor YIG such a transition is barely visible (in -plane bias field). \n \n \n2.2. Determining 𝑯𝐮𝟏 from FMR \n \nThe uniaxial anisotropy of the first order can be fitted directly to the measured data for the in -plane resonance (Eq. S3 -\nS4) if the saturation magnetization 𝜇0𝑀s is known. In the absence of this value, it is better to use complementary equations \nto incr ease the fitting reliability and specify the range in which to search for 𝐻u1. Here, we propose an equationS9,S11,S17, \nthat includes anisotropy fields relevant for the in -plane configuration: \n \n𝜇02𝐻s(𝐻s−𝐻c−𝐻u1)−2(𝜇0𝐻c)2=0 (Eq. S7) \n \nwhere 𝜇0𝐻𝑠 is the saturation field required to rotate the magnetization of the film in plane by suppressing the strong \nperpendicular anisotropy in Ga:YIGS13. Equation S7, was derived for iron garnet/GGG(111), 𝑓@ 𝐻 || [11̅0] assuming \n𝜇0𝐻=𝜇0𝐻s and 𝑓=0S9. \n \n(a) \n (b) S6 The unsaturated region is identified on the 𝑓FMR(𝐻||) plot as an inverse dependence of the FMR frequency on the \napplied magnetic field. The critical field 𝐻s is indicated by the lowest extremum on this dependenceS13. The signal below \nthe field 𝐻s is hardly distinguishable from the noise background. Typical values for the micrometer thick YIG are around \n5 mT. For the 45 -nm thick Ga:YIG film, the magnetization reac hes saturation at about 87 mTS13. \nIn our measurements, the saturation field 𝜇0𝐻s of Ga:YIG/GGG(111) was about 50±5 mT for the 105 nm film (see \nFig. S2 b ) and about 81±2 mT for the 59 nm film. An alternative Ga:YIG sample of a relatively similar thickness (56 nm), \nmatching 𝑀s (20.2 mT), and grown via the LPE under the same conditions clearly shows ( Fig. S3 a ) a negative derivative \nof the frequency with respect to the ma gnetic field, 𝜕𝑓\n𝜕𝐻<0, until 𝜇0𝐻s≅78±2 mT. Above this field, the resonances are \nmore pronounced and the derivative is positive, 𝜕𝑓\n𝜕𝐻>0 (Fig. S3 a ). The 59 nm thick Ga:YIG film had shown the same \nbehavior and reached saturation at a similar field 𝜇0 𝐻s ≅81±2 mT, corresponding to the resonance in a slightly lower \nfrequency 𝑓FMR ≅1.0 GHz. For YIG/GGG(111) the transition was below 2 mT, and was hard to define . \nHaving both 𝐻s and 𝐻c fields, we may derive 𝐻u1 from (Eq. S7) and increase precision of the obtained value through \nthe fit (Eq. S3 -S4). Uniaxial anisotropy was estimated to be around 74.8±1 mT for the 105 nm thick Ga:YIG and around \n94.1±0.5 mT for 59 nm thick Ga:YIG films. \n \nFigure S3. Magnetization saturation of the 56 -nm Ga:YIG/GGG(111) thick film. (a) Color map of the data collected from the S 21 VNA trace \nas a function of the in -plane magnetic field, 𝐻|| || [112̅], increasing with a step ∆𝜇0𝐻=1mT . (b) Ferromagnetic resonance 𝑓FMR as a function \nof the applied magnetic field obtained from the fit of the corresponding resonance curves in (a) with a Lorentzian. \n \n \n2.3. Determining 𝜸, 𝑴𝐬, and 𝑯𝐮𝟐 from FMR \n \nThe saturation magnetization 𝑀s can be derived from the FMR measurements using (Eq. S3 – S4) if the fields 𝐻c and \n𝐻u1 are known. \nIn the case of pure YIG, anisotropy contributions 𝐻u1 and 𝐻c are usually two orders of magnitude smaller than the \nsaturation magnetization 𝑀s. Hence, th ese two terms are often either neglected or combined in one termS18. However, they \nstart to play a crucial role in Ga:YIG films, leading to the negative effective magnetization 𝜇0𝑀eff=𝜇0(𝑀s−𝐻c−𝐻u1) \n(Fig. S4 ) as determined from the direct Kittel fitting of 𝑓FMR(𝐻||). One of the reasons behind the negative values of 𝑀eff \nin Ga:YIG is a substitution o f the magnetic Fe3+ ions with the non -magnetic Ga3+ ions in a tetrahedral sub -lattice leading \nto a decreased 𝑀s. The second reason is the pronounced uniaxial anisot ropy 𝐻u1 of the Ga:YIG films due to a large lattice \nmisfit strain between the film and the GGG substrate. \n \n(a) \n (b) S7 Figure S4. Simplified Kittel equation fit (red and green dashed lines) to \n105 nm thick Ga:YIG /GGG (111) experimental FMR -VNA data (blue \ncircles). The red line corresponds to the fitted gyromagnetic ratio 𝛾, while the \ngreen dashed line – to the fixed value 𝛾=176 rad\nns∙T. In both assumptions, the \nterm 𝜇0𝑀eff yields a negative value hinting a dec reased saturation \nmagnetization and a strong anisotropy contribution. \n \n \nDomination of the uniaxial anisotropy over the saturation magnetization in Ga -substituted YIG samples suggests a \nperpendicular magnetic anisotropy with the easy magnetization pointing out of plane. \nThe saturation magnetization 𝑀s determined using FMR was compared to the values obtained using vibrational sample \nmagnetometry (VSM). The values differ only by 2% for the 105 nm -thick and by 19.8 % for the 59 nm -thick Ga:YIG films. \nMoreover , the described methodology does not allow for the determination of 𝑀s for the films grown on (001) GGG \nsubstrates. In the following, we use the VSM values to determine the saturation magnetization 𝑀s, and the FMR -VNA \nspectroscopy to define all the aniso tropy fields contributions. \nThe gyromagnetic ratio 𝛾 could be fixed to the free -electron value 176 rad\nT ∙ ns, as it is typically done for the YIG films, \nor could be fitted experimentally. The difference in the results for the effective magnetization 𝑀eff was reaching quite a \nsubstantial value of 20 % – see Fig. S4 . The obtained 𝛾 values are given in Table 3 , and are around 179 rad\nT ∙ ns for Ga:YIG \nand 177 rad\nT ∙ ns for YIG. \nThe uniaxial anisotropy of the second order, 𝜇0𝐻u2, is obtained through the OOP FMR measurements and the \nsubsequent fitting with Equation S5. \nThe parameters obtained following the described procedure are given in Tables S3 . \n \n \n3. IRON GARNET/GGG(001) \n \nThe crystallographic orientation ( Fig. S5) of the \nsubstrate influences the anisotropy ansatz (see \nTable S2 ). For Ga:YIG/GGG(001), the in -plane \nand out -of-plane ferromagnetic resonances are \ndescribed through a system of Equations S8 – S10. \n \n \n \n \n \nFigure S5. Crystallographic orientations of Ga:YIG /GGG(001). \n \n \n \n \nS8 𝑓|| [11̅0]=𝛾𝜇0\n2π√(𝐻||−2𝐻c)( 𝐻||+𝐻c−𝐻u1+𝑀s) (Eq. S8) \n𝑓|| [100 ]=𝛾𝜇0\n2π√(𝐻||+2𝐻c)(𝐻||+2𝐻c−𝐻u1+𝑀s) (Eq. S9) \n𝑓⊥=𝛾𝜇0\n2π(𝐻⊥+𝐻u1+2𝐻c+𝐻u2−𝑀s) (Eq. S10) \n \nThe case of (001) crystallographic anisotropy is more complex to analyze compared to the (111) case since (1) it is not \npossible to introduce the same effective magnetization 𝑀eff for IP and OOP configurations, and (2) the algorithm developed \nfor the FMR extraction of the saturation magnetization 𝑀s is not applicable. The VSM values for 𝑀s are used in the \nfollowing. \n \n \n3.1 Determining 𝛾,𝑯𝐜,𝑯𝐮𝟏,𝑯𝐮𝟐 from FMR \n \nSince the cubic anisotropy field 𝐻c could not be separately expressed like in the case of (111) films, 𝐻c was fitted \nsimultaneously with 𝐻u1 and 𝛾 for IP measurements with (Eq. S8-S10). If there is a need to increase the precision of the \nfitting, the c omplementary equations derived from the saturation magnetization under the assumptions 𝐻||=𝐻s,𝑓=0 \ncan be used: \n \n{𝐻|| [11̅0]: 𝜇02(𝐻s−2𝐻c)(𝐻s+𝐻c−𝐻u1)=0 \n 𝐻|| [100 ]: 𝜇02(𝐻s+2𝐻c)(𝐻s+2𝐻c−𝐻u1)=0 (Eq. S11) \n \nAn important difference in the measurement approach for the sample on GGG(001) is based upon a different set of IP \ncrystallographic axes, [11̅0] (diagonal) and [100 ] (side), along which the magnetic field 𝐻|| is applied. \nConclusively, the parameters obtai ned according to the procedures described above are summarized in Table S3 for all \nthe samples under the investigation. \n \nTable S3 . The parameters obtained from FMR -VNA analyses for YIG/GGG (111), Ga:YIG/GGG(111) and \nGa:YIG/GGG(001) films. 𝜇0𝑀s (Ga:YIG) obtained from VSM. \nGarnet/ \nsubstrate Thickness, \n𝒕 Gyromagnetic \nratio, 𝜸 𝝁𝟎𝑴𝐬 𝝁𝟎𝑯𝐜 𝝁𝟎𝑯𝐮𝟏 𝝁𝟎𝑯𝐮𝟐 \nrad/ns·T mT mT mT mT \nYIG \nGGG (111) 97 nm Fit: 177 Fit: 182 .4 \n± 1.8 −5.1 \n± 0.5 −3.5 \n± 0.5 3.6 \n± 0.5 \nGa:YIG \nGGG (111) 59 nm Fit: 179 Fix: 20.2 −6.5 \n± 1.3 94.1 \n± 0.5 2.4 \n± 0.1 \nGa:YIG \nGGG (111) 105 nm Fit: 179 Fix: 24.4 −4.2 \n± 0.7 74.8 \n± 1.0 2.1 \n± 0.1 \nGa:YIG \nGGG (001) 96 nm Fit: 179 Fix: 21.7 −5.3 \n± 0.6 92.4 \n± 1.7 5.2 \n± 0.2 \n \n S9 4. DETERMINING α, ∆𝑯(𝟎) FROM FMR \n \nThe FMR linewidth ∆𝐻 depends on the ferromagnetic resonance 𝑓FMR(𝐻||) frequency according toS19,: \n \n𝜇0 ∆𝐻= 𝜇0 ∆𝐻(0)+𝛼 4π 𝑓FMR\n𝛾, (Eq. S12) \n \nwhere 𝜇0 ∆𝐻 is the FMR full width at half maximum (FWHM), 𝜇0 ∆𝐻(0) – the inhomogeneous linewidth broadening, 𝛼 \n– the Gilbert damping parameter, and 𝜇0 – the permeability of free space. \nIn order to recalculate ∆𝑓, obtained from the broadband frequency FMR -VNA meas urements, into ∆𝐻 in (Eq. S12), \none can use the approach introduced by Kalarickal et al. : \n \n2𝜋 ∆𝑓=∆𝐻 𝜕 𝑓Kittel (𝐻||)\n𝜕𝐻|| |\n𝐻|| = 𝐻Kittel (𝑓FMR )=𝜇0 ∆𝐻 𝛾 𝑃A(𝑓FMR) (Eq. S13) \n \n𝜇0 ∆𝐻= 2π ∆𝑓\n𝛾 𝑃A(𝑓FMR ), (Eq. S14) \n \nwhere 𝑃A(𝑓FMR)= √1+(𝛾 𝜇0 𝑀s\n4π 𝑓FMR)2\n. The term 2π was included in the Equation S14 to recalculate the linear frequency 𝑓 \nfrom the angular frequency 𝜔. \nThe original formulas (Eq. S12-S14) were derived for YIG/GGG(111) considering 𝑀s≫|𝐻u1|,|𝐻c|. Hence, in the \ndifferentiated ferromagnetic resonance equation 𝜕 𝑓Kittel (𝐻||)\n𝜕𝐻||, the effective magnetization 𝑀eff was substituted with the \nsaturation magnetization 𝑀s. However, for the Ga:YIG films, as shown earlier in this section, 𝑀s<|𝐻u1|, and the direction \nof the applied magnetic field 𝐻|| with respect to the specific GGG substrate influences the resonance equa tion. Therefore, \nto obtain an appropriate field swept linewidth, each of the specific ferromagnetic resonance equations (Eq. S3-S4, Eq. S8-\nS9) should be differentiated separately with the anisotropy fields included ( Table S4 ). \nHere, we discuss the results only for the in -plane configuration, as the damping constant 𝛼 is enhanced in the out -of-\nplane measurements. This is attributed to the influence of a magnetically inhomogeneous transient layer near the substrate \ninterfaceS12. \n \n \nTable S4. The expressions for the recalculated field linewidth 𝜇0∆𝐻 from the frequency linewidth ∆𝑓 based upon the \nmagnetic field orientation with respect to the crystallographic axis of the GGG substrate. \nSubstrate Direction of 𝝁𝟎𝑯|| 𝝁𝟎 ∆𝑯 (recalculated from ∆𝑓) \nGGG (111) 𝜇0𝐻|| || [11̅0] 8π2 ∆𝑓∙𝑓FMR [11̅0]\n𝜇0𝛾2 (2𝐻||−𝐻c−𝐻u1 +𝑀s) \n𝜇0𝐻|| || [112̅] 8π2 ∆𝑓∙𝑓FMR [112̅]\n𝜇0𝛾2 (2𝐻||−𝐻c−𝐻u1 +𝑀s) \nGGG (001) 𝜇0𝐻|| || [11̅0] 8π2 ∆𝑓∙𝑓FMR [11̅0]\n𝜇0𝛾2 (2𝐻||−𝐻c−𝐻u1 +𝑀s) \n𝜇0𝐻|| || [100] 8π2 ∆𝑓∙𝑓FMR [100 ]\n𝜇0𝛾2 (2𝐻||+4𝐻c−𝐻u1+𝑀s) \n \n \n S10 In a specific case for the iron garnet/GGG (111) films under the in -plane magnetic field 𝜇0𝐻|| || [112̅] or under \n𝜇0𝐻|| || [11̅0] field in the frequency range above 5 GHz, it is possible to derive 𝜇0 ∆𝐻 similar to Kalarickal et al. . Because \nthe anisotropy fields ensemble in the ferromagnetic resonance equation (Eq. S4) assumes plain form 𝜇0(−𝐻c−𝐻u1+\n𝑀s)= 𝜇0 𝑀eff, the expression given in the second row in Table S4 could be re -written as: \n \n2π ∆𝑓\n𝛾 √1+(𝛾 𝜇0 𝑀eff\n4π 𝑓FMR [112̅])2 (Eq. S15) \n \nBased on the (Eq. S12) and expressions from Table S4 , the Gilbert damping constant 𝛼 and the inhomogeneous \nlinewidth broadening 𝜇0∆𝐻(0) were calculated, and, subsequently, summarized in Table S5 . The errors were calculated \nbased on the corresponding fits convergences. \n \n \nTable S5. The damping parameters obtained from the FMR -VNA analyses for the thin films. \nIron garnet/ \nsubstrate Thickness , \n𝒕 (nm) 𝜶, 𝟏𝟎−𝟒 𝝁𝟎∆𝑯(𝟎) 𝝁𝟎∆𝑯 (mT) \n @ 𝑓≈10.5 GHz ∆𝒇 (MHz) \n @ 𝑓≈10.5 GHz \nGGG (111) [112̅] [11̅0] [112̅] [11̅0] [112̅] [11̅0] [112̅] [11̅0] \nYIG 97 nm 1.3 \n±0.15 0.6 \n±0.16 0.1 \n±0.01 0.2 \n±0.01 0.195 \n±0.003 0.313 \n±0.008 5.7 \n±0.1 9.1 \n±0.23 \nGa:YIG 59 nm 6.1 \n±0.62 4.3 \n±1.02 0.4 \n±0.05 0.7 \n±0.08 0.786 \n±0.017 0.934 \n±0.024 22.5 \n±0.49 26.9 \n±0.69 \nGa:YIG 105 nm 4.6 \n±0.28 4.9 \n±0.52 0.4 \n±0.02 0.4 \n±0.04 0.637 \n±0.009 0.727 \n±0.017 18.2 \n±0.27 20.8 \n±0.50 \nGGG(001) [100 ] [11̅0] [100 ] [11̅0] [100 ] [11̅0] [100 ] [11̅0] \nGa:YIG 96 nm 8.4 \n±0.85 6.7 \n±0.68 0.4 \n±0.06 0.4 \n±0.05 1.066 \n±0.055 0.804 \n±0.037 30.9 \n±1.60 23.4 \n±1.06 \n \n \nDivergence between the Gilbert damping constant 𝛼 along the different crystallographic directions hints a pronounced \ninfluence of the inhomogeneous linewidth broadening 𝜇0∆𝐻(0), but might be also associated with a relatively large error \nbar. Therefore, a more detailed investigation is required to verify the origins if this phenomenon. \nTo compare these values with the literature, it is worth to mention that the typical values of Gilbert damping parameter \n𝛼 of discs made from the bulk crystalsS21 are 𝛼=0.4∙10−4 for YIG and 𝛼=1.25 ∙10−4.. 2.44∙10−4 for Ga:YIG \n(𝑥Ga=0.78..0.88) [respectivelyS22,S21]. Epitaxially -grown micrometer -thick YIG LPE films have slightly higher \ndamping. Their Gilbert parameters range from 𝛼=0.4∙10−4 (𝑡= 23 μm)S21 to 0.5∙10−4 (𝑡= 3 μm)S14. High -quality \nLPE YIG films with thickness down to hundreds of nanometers are reported to possess 𝛼=1.0..2.0∙10−4 (𝑡=\n 200 nm)S23,S24, 𝛼=1.7∙10−4 (𝑡= 100 nm)S14. A new dimensionality milestone was achieved with the high -quality \nsub-100 nm LPE -grown YIG filmsS12, that were shown to exhibit low ferromagnetic losses and relatively low Gilbert \ndamping 𝛼=1.0∙10−4.. 1.2∙10−4 (𝑡= 42..11 nm)S12. Just recently, a 45 nm thick Ga:YIG film was reported to have \n𝛼=1.0∙10−3 S13, which is higher compared to the 𝛼=6.1∙10−4 presented in this study. However, considering thinner \nsample with a slightly lower saturation magnetization in the work of Carmiggelt et al.S13, both damping constants are in \nrelatively good agreement. S11 LITERATURE : \n \n[S1] P. Hansen, P. Röschmann, W. Tolksdorf “Saturation magnetization of gallium -substituted yttrium iron garnet”, J. Appl. Phys. 45, 2728 -27-32 (1974). \nDOI: 10.1063/1.1663657 \n[S2] P. Görnert and C. d’Ambly “Investigations of the growth and the saturation magnetization of garnet single crystals Y 3Fe5-xGaxO12 and Y 3Fe5-xAlxO12”, PSS \n(a) 29 (1975). DOI: 10.1002/pssa.2210290111 \n[S3] J. Guigay, J. Baruchel, D. Challeton, J. Daval and F. Mezei “Local measurement of magnetization in two Ga -YIG single crystals grown by di fferent \nmethods”, J. Magn. Magn. Mater 51, 342 (1985). DOI: 10.1016/0304 -8853(85)90034 -4 \n[S4] P. Röschmann “Annealing effects on FMR linewidth in Ga substituted YIG”, IEEE Transactions on Magnetics 17(6), 2973 (1981). \nDOI: 10.1109/TMAG.1981.1061632 \n[S5] A. Mahmoud, F. Ciubotaru, F. Vanderveken, A. V. Chumak, S. Hamdioui, C. Adelmann and S. C otofana “Introduction to spin wave computing (Tutorial \nArticle)”, J. of Appl. Phys. 128, 161101 (2020). DOI: 10.1063/5.0019328 \n[S6] P. Pirro, V. I. Vasyuchka, A. A. Serga, et al., “Advances in coherent magnonics”, Nat. Rev. Mater. (2021). DOI : 10.1038/s41578 -021-00332 -w \n[S7] A. V. Chumak, et al., “ Roadmap on spin -wave computing ”, (2021). arXiv:2111.00365 \n[S8] S. Klingler, P. Pirro, T. Brächer, B. Leven, B. Hillebrands, A. V. Chumak “Spin -wave logic devices based on isotropic forward volume magnetostatic \nwaves”, Appl. Phys. Lett ., 106(2), 2124 06 (2015). DOI: 10.1063/1.4921850 \n[S9] V. B. Bobkov, I. V. Zavislyak “Equilibrium State and Magnetic Permeability Tensor of the Epitaxial Ferrite Films”, Phys. Stat. Sol . (a) 164, 791 (1997). \nDOI: 10.1002/1521 -396X(199712)164:2<791::AID -PSSA791>3.0.CO;2 -7 \n[S10] H. Szymczak and N. Tsuya “Phenomenological Theory of Magnetostriction a nd Growth -Induced Anisotropy in Garnet Films”, Phys. Stat. Sol. (a) 54, 117 \n(1979). DOI: 10.1002/pssa.2210540115 \n[S11] I. V. Zavislyak and M. A. Popov “Yttrium: Compounds, Production and Applications ” edited by B. D. Volkerts, Chapter 3 , Nova Science Publishers, \n(2009). \n[S12] C. Dubs, O. Surzhenko, R. Thomas, J. Osten, T. Schneider, K. Lenz, J. Grenzer, R. Hübner, and E. Wendler “Low damping a nd microstructural perfection \nof sub -40nm -thin yttrium iron garnet films grown by liquid phase epitaxy”, Phys. Rev. Materials 4, 024416 (2020). \nDOI: 10.1103/PhysRevMaterials.4.024416 \n[S13] J. J. Carmiggelt, O.C. Dreijer, C. Dubs, O.Surzhenko , T. van der Sar “Electrical spectroscopy of the spin -wave dispersion and bistability in gallium -doped \nyttrium iron garnet”, Appl. Phys. Lett. 119, 202403 (2021). DOI: 10.1063/5.0070796 \n[S14 ] C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky, U. Brckner, and J. Dellith “Sub -micrometer yttrium iron garnet LPE films with low ferromagnetic \nresonance losses”, J. Phys. D: Appl. Phys. , 50(20), 204005 (2017). DOI: 10.1088/1361 -6463/aa6b1c \n[S15] L. Baselgia, M. Warden, F. Waldner, Stuart L. Hutton, John E. Drumheller, Y. Q. He, P. E. Wigen, and M. Maryško, “Derivation of the resonance frequency \nfrom the free energy of ferromagnets”, Phys. Rev. B 38, 2237 (1988). DOI : 10.1103/PhysRevB.38.2237 \n[S16] S. A. Manuilov, S. I. Khartsev, and A. M. Grishin \"Pulsed laser deposited Y 3Fe5O12 films: Nature of magnetic anisotropy I\", J. Appl. Phys. 106, 123917 \n(2009) DOI: 10.1063/1.3272731 \n[S17] I. V. Zavislyak, M. A. Popov, G. Sreenivasulu , and G. Srinivasan “Electric field tuning of domain magnetic resonances in yttrium iron garnet films”, Appl. \nPhys. Lett. 102, 222407 (2013). DOI: 10.1063/1.4809580 \n[S18] M. C. Onbasli, A. Kehlberger, D. H . Kim, G. Jakob, M. Kläui, A. V. Chumak, B. Hillebrands, and C. A. Ross “Pulsed laser deposition of epitaxial yttrium \niron garnet films with low Gilbert damping and bulk-like magnetization”, APL Mater. 2, (2014). DOI : 10.1063/1.4896936 \n[S19] P. Pirro, T.Brächer, A. V. Chumak, B. Lägel, C. Dubs, O. Surzhenko, P. Görnert, B. Leven, and B. Hillebrands “Spin -wave excitation and propagation in \nmicrostructured wave guides of yttrium iron garnet/Pt bilayers”, Appl. Phys. Lett. 104, 012402 (2014). DOI : 10.1063/1.4861343 \n[S20] S. S. Kalarickal, P. Krivosik, M. Wu, C. E. Patton, M. L. Schneider, P. Kabos, T. J. Silva, an d J. P. Nibarger “Ferromagnetic resonance linewidth in metallic \nthin films: Comparison of measurement methods”, J. Appl. Phys. 99, 093909 (2006). DOI: 10.1063/1.2197087 \n[S21] P. Röschmann and W. Tolksdorf “Epitaxial growth and annealing control of FMR properties of thick homogeneous Ga substituted yttrium iron garnet \nfilms”, Mat. Res. Bull. 18, 449 (1983). DOI: 10.1016/0025 -5408(83)9013 7-X \n[S22] P. R öschmann \"Annealing effects on FMR linewidth in Ga substituted YIG\", in IEEE Transactions on Magnetics , 17 (6), 2973, (1981). \nDOI: 10.1109/TMAG.1981.1061632 . \n[S23] S. Maendl , I. Stasinopoulos, D. Grundler “Spin waves with large decay length and few 100 nm wavelengths in thin yttrium iron garnet grown at the wafer \nscale”, APL 111, 012403 (2017). DOI: 10.1063/1.4991520 \n[S24] C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Naletov, and J. Ben Youssef “Comparative measurements of inverse spin Hall effects and \nmagnetoresistance in YIG/Pt and YIG/Ta”, Phys. Rev. B 87(17), 174417 (2013). DOI: 10.1103/PhysRevB.87.174417 " }, { "title": "2402.14444v1.Laser_patterning_of_magnonic_structure_via_local_crystallization_of_Yittrium_Iron_Garnet.pdf", "content": " \n1 \n Title : Laser patterning of magnonic structure via local crystallization of Yittrium Iron \nGarne t \n \nA. Del Giacco, F. Maspero, V. Levati, M. Vitali, E. Albisetti, D. Petti, L. Brambilla, V. \nPolewczyk, G.Vinai, G. Panaccione, R. Silvani, M. Madami, S. Tacchi, R. Dreyer, S. R. Lake, \nG. Woltersdorf, G . Schmidt, Riccardo Bertacco* \n \nAndrea Del Giacco, Federico Maspero, Valerio Levati, Matteo Vitali, Edoardo Albisetti, \nDaniela Petti, Riccardo Bertacco * \nDipartimento di Fisica, Politecnico di Milano, Via G. Colombo 81 , 20133 Milano (Italia) \n* E-mail: riccardo.bertacco@polimi.it \n \nLuigi Brambilla \nDipartimento di Chimica, Materiali e Ingegneria Chimica Giulio Nat ta, Politecnico di Milano, \nP.za L. da Vinci 32, 20133 Milano (Italia) \n \nVincent Polewczyk, Giovanni Vinai, Giancarlo Panaccione \nIstituto Officina dei Materiali del CNR (CNR -IOM), SS. 14, km 163,5, 34149 – Trieste, Italy \n \nRaffaele Silvani, Marco Madami \nDipartimento di Fisica e Geologia, Università di Perugia, Via A. Pascoli , 06123 Perugia, \nItaly \nSilvia Tacchi \nIstituto Officina dei Materiali del CNR (CNR -IOM), Unità di Perugia, Via A. Pascoli , 06123 \nPerugia, Italy \n \nRouven Dreyer, Stephanie R. Lake, Georg Woltersdorf, Georg Schmidt \nMartin Luther University Halle -Wittenberg, Institute of Physics, Von -Danckelmann -Platz 3, \n06120 Halle (Saale), Germany \nGeorg Schmidt also: \nMartin Luther University Halle -Wittenberg, Interdisziplinäres Zentrum für \nMaterialwissenschaften, Heinrich -Damerow Straße 4, 06120 Halle (Saale), Germany \n \n \n2 \n This is the pre -peer reviewed version of the following article: \n\"Patterning magnonic structures via laser induced crystallization of Yittrium Iron \nGarnet\", by A. Del Giacco et al. https://doi.org/10.1002/adfm.202401129 , \nwhich has been accepted for publication in Advanced Functional Materials. This article may \nbe used for non -commercial purposes in accordance with Wiley Terms and Conditions \nfor Use of Self -Archived Versions. \n \n \nKeywords: Yittrium Iron Garnet, magnonics, laser annealing \n \nAbstract \n \nThe fabrication and integration of high -quality structures of Yttrium Iron Garnet (YIG) is \ncritical for magnonics. Films with excellent properties are obtained only on single crystal \nGadolinium Gallium Garnet (GGG) substrates using high -temperature processes . The \nsubsequent realization of magnonic structures via lithography and etching is not straightforward \nas it require s a tight control of the edge roughness , to avoid magnon scattering , and \nplanarization in case of multilayer devices . \nIn this work we describe a different approach based on local laser annealing of amorphous YIG \nfilms , avoiding the need for subjecting the entire sample to high thermal budgets and for \nphysical etching . Starting from amorphous and paramagnetic YIG films grown by pulsed laser \ndeposition at room temperature on GGG , a 405 nm laser is used for patterning arbitrary shaped \nferrimagnetic structures by local crystallization. In thick films (160 nm) the laser induced \nsurface corrugation prevents the propagation of sp in-wave modes in patterned conduits . For \nthinner films (80 nm) coherent propagation is observed in 1.2 m wide conduits displaying an \nattenuation length of 5 m which is compatible with a damping coefficient of about 5· 10-3. \nPossible routes to achieve damping coefficients compatible with state -of-the art epitaxial YIG \nfilms are discussed . \n \n1. Introduction \nMagnonics is nowadays considered a promising technology for implementing wave -computing \nstrategies and high -frequency analog signal processing. [1] The much shorter wavelength of \nspin-waves with respect to free -space propagation of electromagnetic waves paves the way to \nminiaturization of devices working in the GHz and THz regime .[2][3][4][5][6] Non-linear \nphenomena can be easily exploited to modulate spin -wave transmission and implement logic, \ncomputing or signal processing functionalities. [7][8][9][10][11] Furthermore, spin currents \nassociated to coherent magnon propagation can be used to efficiently drive domain -wall motion, \n3 \n thus opening the way to the local storage of the information carried by spin waves. [12] \nNevertheless some bottlenecks still hamper the development of a mature magnonic technology \nwith industrial applications. High insertion losses, the need for bulky electromagnets to bias \ndevices and the difficulties related to the fabrication of devices with long propagation length \nfor SWs ar e the main obstacles to be overcome . \nThe low damping coefficient ( ) of Yttrium Iron Garnet (YIG) is the main reason for the wide \nusage of this materials in magnonic structures. Record values of 3·10-5 and 6.5·10-5 have been \nreported for bulk single crystals and thin films grown on Gadolinium Gallium Garnet (GGG) \nsubstrates. [13][14] Epitaxial films grown by liquid phase epitaxy on GGG, can reach values of \n on the order of 1· 10-4 . [15][16] Similar values are found in films deposited by Pulsed Laser \nDeposition on GGG, displaying as low as 2·10-4.[17][18][19] Record values of =5.2·10-5 \nhave been recently reported for 75 nm YIG films grown by sputtering on GGG followed by \npost-annealing up to 900°C. [20] \nNoteworthy, these numbers can be achieved only on GGG(111) single crystal substrates, due \nto the extremely small lattice mismatch which promotes pseudomorphic growth. So far , \nattempts to integrate high quality YIG films on silicon using optimized templates failed in \nreproducing the quality of films grown on GGG single crystals. In the best case , on the order \nof 2·10-3 are reported for YIG films on Si/SiO x.[21] Furthermore, processes for the synthesis \nof epitaxial YIG films require high temperatures ( > 600°C) , either during the growth or post \nannealing, which are not compatible with the thermal budget acceptable for integration on \ncomplementary metal oxides semiconductor ( CMOS ) platforms. \nAnother critical aspect to be considered is the fabrication of YIG micro or nanostructures for \nspin wave propagation. Conventional top -down processes based on lithography and etching \nmust be carefully optimized to avoid YIG degradation and the introduction of extrinsic magnon \nscattering by edge roughness. [22] In addition, for relatively thick structures, planarization \nprocesses are needed to avoid the formation of steps in the metallic antennas at the edges of the \nconduits or in case of devices requiring additional overlayers . Some solutions to overcome these \ndifficulties have been proposed. Nanochannels for SW propagation have been demonstrated in \nYIG via dipolar coupling to ferromagnetic metal nanostripes. [23] Recently, a method for the \nfabrication of free -standing YIG structures has been reported , based on a post -growth annealing \ninducing the crystallization of three -dimensional amorphous structures defined by room -\ntemperature PLD and lift off using electron beam lithography with only one or two contact \npoints with the GGG substrate .[24] Low values of damping coefficients (2 ·10-4) have been \nreported, essentially due to the expulsion of dislocation at the bended portions of the suspended \n4 \n structures in proximity to the anchor points on the GGG substrate. The crystallized suspended \nstructures can be released from the GGG substrate and transferred on another substrate such as \na silicon wafer, in view of integration with CMOS electronics. [25] \n \nHere we propose a different method for patterning magnonic structures with arbitrary shape \nembedded in a continuous film of amorphous YIG, by local laser-induced crystallization. Under \nthe right conditions of irradiation, the area exposed to the laser beam undergoes a phase \ntransition from the amorphous (paramagnetic) to the crystalline (ferr imagnetic) phas e which \nallow s for spin wave propagation. Arbitrary shaped ferrimagnetic magnonic structures can be \npatterned by scanning the laser beam according to a p re-defined layout, without need of \nphysical etching of the paramagnetic YIG out of the patterned area and avoiding the exposure \nof the entire sample (wafer) to a high thermal budget not compatible with integration. Our \nmethod is complementary to other s starting from epitaxial YIG and producing a local change \nof the magnetic properties, e.g. via ion -irradiation, so as to introduce a sort of grey -scale \nmagnonics. [26] However, we stress here that in our case we don’t need any physical fabrication \nstep of pre -patterning as the local crystallization intrinsically defines the geometry of the \nmagnonic structure embedded in a non -magnetic amorphous film . \nThe paper is organized as follows. We first describe the laser writing technique by pointing out \nthe critical parameters influencing the performances of patterned structures. Then we report on \nthe optimization of the writing parameters with reference to two YIG thickness es (160 and 80 \nnm), showing that only for 80 nm the laser annealing gives rise to corrugation -free patterned \nareas with uniform magnetic properties leading to a well -defined FMR precession mode . \nFinally, we demonstrate the suitability of the proposed technique for patterning magnonic \nstructures by investigating spin -wave propagation in rectangular conduits . For conduits \npatterned in 80 nm thick YIG films we found an attenuation length on the order of 5 microns, \ncompatible with a damping coefficient of about 5·10-3. Possible routes to overcome critical \nissues currently limiting the propagation length are discussed . \n \n2. Experimental results \n \n2.1 Critical parameters for laser patterning \nLaser patterning is performed using the Nanofrazor Explore apparatus by Heidelberg, featuring \na 405 nm laser , with minimum spot size 2·w0 = 1.2 m (where w0 is the waist radius) , which is \nshone onto a substrate mounted on an interferometric stage. A sketch of the process is shown \n5 \n in Figure 1. The intensity (I) at the sample surface can be tuned by varying both the laser power \n(P) and focus distance (z), corresponding to the sample height with respect to that ensuring the \noptimal focus (minimum spot size w0). The pattern is created using a raster scanning mode, \nwhose essential parameters are: (i) pixel size dx,y (minimum step of the grid used for the image \ndiscretization), (ii) pixel time p (exposure time for each point in the grid). In this work dx=dy \nso that, from now on, we will just use a unique value for the pixel size: d p=dx=dy. The laser is \noperated in the continuous mode, so that for a given intensity the dose (D) and time-dependent \nintensity (I(t)) for each pixel size in a line of the pattern can be easily evaluated (see Methods \nfor details ) as a function of the writing parameters . \nOf course, the local maximum temperature and time profile strongly depend on the optical and \nthermal properties of film and substrate. In the present case, at 405 nm wavelength the photon \nenergy (3.06 eV) is higher than the gap of YIG and smaller than that of GGG ( 2.8 eV and 5.6 \neV, respectively ) so that efficient energy absorption takes place only in the volume of the YIG \nfilm. [27][28][29] Since the thickness of films under consideration is smaller ( 80-160 nm) than \nthe photon penetration depth in YIG at 405 nm (about 0.2 m) crystallization is expected to \nstart from the GGG substrate, acting as a template for the achievement of single phase structures \nwith (111) orientation. Noteworthy , the maximum temperature reached in the film during the \nlocal heating cycle also depends on the film thickness. For film thickness es lower than the \nabsorption length and transparent substrates, as in our case, the maximum temperature increases \nwith thickness as more heat is absorbed in the film while dissipation channels are unchanged , \napart from the lateral heat flow which is not so relevant in this thickness regime . [30] \nFinally notice that the shape of the patterned area also influences the laser induced \nmodifications in the film. The ultimate dose in each pixel depends on the superposition of the \nspots along a single writing line but also on the superpositions of adjacent lines spaced by dy. \nFor the same laser power pixel s of a simple one -dimensional line undergo a single heating cycle, \nwhile pixels of a true two -dimensional patterned area undergo multiple annealing due to the \nsuperposition of adjacent writing lines. With the same laser writing conditions, we thus expect \na much stronger impact in circles, squares or wide conduits t han in narrow conduits . This must \nbe taken into account when comparing data taken with different experimental techniques on \npatterned areas adapted to the specific experiment. \n \n2.2. Optimization of patterning conditions \nA careful investigation of the impact of the different parameters on the capability of inducing a \nlocal crystallization has been carried out by creating 2D arrays of circular and square dots with \n6 \n diameter and side of 20 m, respectively, spanning different ranges of writing parameters along \nrows and columns. Their properties have been investigated by optical microscopy, microRaman , \nelectro n back scatter diffraction (EBSD), and AFM. In the following we present this analysis \nfor two representative thickness, 160 nm and 80 nm, corresponding to two distinct regimes: (i) \na high-thickness regime where the laser irradiation induces a peculiar corrugation with \nassociated spatial modulation of the magnetic properties, (ii) a low-thickness regime where said \ncorrugation disappears and the patterned areas display more uniform magnetic properties . \n \n2.2.1 . 160 nm thick films \nIn Figure 1b, we show a typical 2D array created during the optimization of the writing \nparameters , where we scanned the laser power in the 25 -55 mW range on the horizontal axis \nand dp, between 10 and 90 nm, on the vertical axis . The other parameters were p=70s, z= 0. \nIn these conditions the line-dose (average intensity) var ies from 0.23 to 0.51 mJ/ m2 (13.8 to \n30.3 mW/ m2) when going from left to right in the first row of Figure 1b and from 0.23 to \n0.026 mJ/ m2 (13.8 to 13.6 mW/ m2) when going from top to bottom in the first column, while \nthe average duration t of the heating cycle (constant in each row) goes from 17 to 3.4 ms when \nmoving from the first to the last row. We report this case as it turned out that the power and \npixel size are the more effective parameters inducing changes in the local crystal properties . \nThe change in color in the optical microscopy images under white light illumination, from grey \nto pink and finally light purple , is associated to amorphous -crystalline phase transition, as \nconfirmed by microRaman (see below) . Interestingly enough, in the array of Fig. 1b we notice \nthat the induced crystallization is not always uniform in the dot. For some combinations of \npower and pixel size (e.g. 45mW - 40 nm) we observe that only the upper part of the dots is \ncrystallized , corresponding to the light -purples regions with a tip pointing to the bottom . This \nis more evident in Fig. 1c, where we report some patterns obtained for P= 47.5 mW, dp=30 nm, \np=70 s, representing a sort of “threshold condition” for crystallization . The phase transition \nstarts at the apex of the tip which corresponds to the initial nucleation center for crystallization \n(randomly placed in the different dots and probably corresponding to defects increasing local \nabsorption) and then propagates towards the upper part, as the raster scan of the laser proceeds \nfrom left to right and from the bottom to the top. Above th is threshold , however, the \ncrystallization is more uniform in the dot , as indicated by the quite uniform light-purple color \nobserved in optical microscopy on the right upper part of the array of Figure 2a. \nIn Figure 1b we observe that local crystallization is favored at high laser power and small pixel \nsize, as these conditions lead to a higher average laser intensity (𝐼𝑎𝑣=𝐷/∆𝑡) during the heating \n7 \n cycle (see Methods ). As the crystallization is driven by thermally activated nucleation and \ngrowth of crystal grains, the process is mainly determined by the temperature profile during \nlaser heating. The evaluation of the actual temperature evolution in our YIG films requires a \ncareful modelling starting from accurate estimates of the thermal and optical parameters of \namorphous or crystalline YIG and GGG, [31] which is beyond the scope of this work. Here we \njust point out that the maximum temperature is more directly related to the average intensity \nthan to the dose . For this reason, I av can be used as an empirical parameter describing the \nefficiency of laser induced crystallization for a fixed shape of the patterned area , in agreement \nwith the trend of Figure 1b . This is confirmed also by the fact that we did not find a sizable \ndependence of crystallization on the pixel time p, as Iav does not depends on p even though \nthe dose depends linearly on it (See Methods ). \n \nFigure 1 d shows Micro -Raman spectra from dots written in the same conditions of those of the \nfirst row of Fig. 1b. As the laser power increases above 40 mW, i.e. in conditions leading to a \nclear transition towards a light -purple color in the optical images, a YIG T 2g feature (C) at about \n187.5 cm-1 appears , which is a fingerprint of crystalline YIG. [32] The prominent T2g peak s \n(A,B) at 168.5 and 178.5 cm-1 are instead arising from the GGG substrate . The intensity of the \nYIG T 2g peak (C), normalized to that of the adjacent GGG T 2g peak (B), is shown in the inset \nof Figure 1d. These intensities have been calculated by subtracting from each spectrum a \nreference from an amorphous YIG film and fitting the curve with three peaks to obtain the \ncorresponding amplitudes. We observe a relative increase of the weight of crystalline YIG with \nrespect to the signal from GGG up to 60 mW . For higher laser power the average intensity is \nso high that crystallization is accompanied by other phenomena, leading to evident \nmorphological transformation (see Figure 2) and chemical modifications (Figure 3) . \nAn intriguing phenomenon observed in 160 nm thick films of YIG is the creation of a peculiar \ncorrugation in areas patterned above threshold, i.e. in conditions leading to crystallization. A \nregular ripple appears with parallel valleys always perpendicular to the writing directions, as \nreported in Figure 1e,f,g, where the AFM topography of square areas patterned with a writing \ndirection at 45, 0 and 90 degrees with respect to the [1-10] direction of GGG is shown . This \ncorrugation appears only upon crystallization, as demonstrated in Figure 1e, where we can \ndistinguish the full square area first patterned in the raster -scan mode with P=45 mW ( dp=30 \nnm, p=70 s, z=0 ) and then with a second partial raster scan starting from the top -left corner. \nFor this threshold value of writing power, below which we do not observe crystallization and \nabove which crystallization becomes deterministic, t he regular ripple appears only in the region \n8 \n patterned twice , where the second localized annealing is capable to induce full crystallization . \nThe effect of the first irradiation is still visible in the AFM topography as a reduction of the \nfilms thickness by 5 nm due to the increase of YIG density upon laser annealing , but we have \nno evidence for crystallization as no magnetic signal was detected in this area (see below) . Even \nthough the front of the fully crystallized area is not linear , most probably due to the non -uniform \ndistribution of nucleation centers, the ripple is quite regular, with a peak-to-peak distance of \nabout 1 micron and a n amplitude of 10-20 nm. The same kind of corrugation is observed a lso \nin figures 1f,g where the writing direction is differently oriented with respect to the GGG crystal \naxes and we used a power level well above threshold ( P=55 m W), while the other parameters \nare unchanged. Noteworthy, magnetic force microscopy (MFM) (see figure 1, panels h,i,l) \nindicates that a magnetic contrast is found only in corrugated areas, thus confirming that the \nripple is associated to crystallization, as amorphous YIG is paramagnetic. Because the MFM is \nperformed in the lift mode at 200 nm heig ht, we can rule out a strong influence of morphology \non the magnetic signal, which must instead be ascribed to the stray field from magnetic domains \ncorrelated with the corrugation . This is fully consistent with the magnetic dynamics observed \nin 160 nm thick films, showing a non -uniform behavior which can be associated with the \nsuperposition of magnetic excitations originating from the spatial corrugation (see section \n2.3.1 ). The appearance of this ripple in laser irradiated films has been already reported for other \nmaterials and is usually ascribed to the formation of capillary waves due to continuous melting \nand crystallization of the film during irradiation. [33] The observed corrugation is similar to \nLaser Induced Periodic Surface Structures which are mainly investigated using fs -lasers but can \nbe also produced with continuous lasers leading to quasi -periodic ripples with low spatial \nfrequency on the order of the laser wavelength. [34][35] \nA more detailed analysis of the film transformation induced by laser irradiation at increasing \npower is reported in Figure 2 . In the top row we present optical an d AFM images showing the \nmorphology of the patterned areas on YIG films with 160 nm thickness , in the 40 -110 mW \npower range. Below 50 mW the main effect is a laser cleaning of the sample surface which \npresented some spurious particles . At 47.5 mW (Fig. 2a) we are at threshold so that only a \nsecond laser scan can induce the formation of a clear ripp le, as reported in Figure 1 e,h. From \n50 to 60 mW (Fig. 2b) we see the appearance of a long-range corrugation decorated with some \ncrystallites of about 200 nm lateral size and 10 nm height, whose areal density increases with \npower. At 70 mW (Fig. 2 c) we observe a fully developed ripple with perfect coalescence of \ncrystallites and the appearance of elongated protrusions of about 10 -15 nm height perpendicular \nto the laser writing directions, mainly parallel to each other but with some bifurcations , \n9 \n separating regions with very low roughness (< 1 nm rms) . These are probably the result of the \nformation of capillary waves during the solidification of molten material upon irradiation .[33] \nFrom 80 to 100 mW (Fig. 2d and 2e corresponding to 80 and 95 mW) we see the appearance \nof another regime, with holes having increasing depth (50 -100 nm) which tend to align in rows . \nAt 110 mW instead (Fig. 2f) , we see some elongated bumps with typical height of 200 nm, \nalways presenting some preferential orientat ion. X-ray absorp tion spectroscopy (XAS) on \nirradiated areas of the 160 nm thick film (see Figure 3) reveals that also at 100 mW, the Fe L 2,3 \nedge is clearly visible, thus signaling the presence of YIG. However, the line -shape of the L 2,3 \nfeatures reported in Figure 3 clearly shows an evolution of the oxidation state of Fe with \nannealing. At 40 mW and 60 mW the XAS spectrum is identical to that of the as -deposited \namorphous film, displaying a ratio between the A and B peaks of the L 3 edge which is on the \norder of 0.5, in nice agreement with the expected value of 0.46 for nominal YIG. [37]. At 80 \nmW this ratio increases while at 100 mW it becomes larger than one, th us indicat ing the \npresence of Fe2+, as confirmed by the appearance of the pre -edge feature of L 2 (indicated with \nan arrow in figure 3) in the spectra corresponding to 80 mW and 100 mW. [38] This can be \nattributed to oxygen vacancies formation at the high temperatures reached in thick YIG films \nirradiated with 80 -110 mW, where we observe a sort of de -wetting of the GGG substrate and \nYIG accumulation on GGG terraces, associated to a major chemi cal change of the film which \nprobably involves a surface tension modification. \nTo summarize this part, writing conditions preserving the YIG stoichiometry and maximiz ing \nthe crystallinity for 160 nm thick YIG correspond to a pixel size of about 30 nm and a laser \npower of 60-70 mW, but in this condition the film develops a ripple which results in magnetic \ninhomogeneity. \n \n2.2.2. 80 nm thick YIG \nMoving to smaller thickness, namely 80 nm, the formation of the characteristic ripple discussed \nabove is strongly suppressed. The AFM and optical images of amorphous YIG films 80 nm \nthick , irradiated in the same conditions as for 160 nm thick films , are presented in the bottom \nrow of Figure 2, for a direct comparison. It is immediately cl ear from the optical microscope \nimages that in this case the irradiated areas with power up to 130 mW never display the same \nblack contrast as the patterns written with 110 mW in 160 nm thick YIG . All transition s are \nsomehow shifted to higher power s. The AFM images shown in panels 2g,h,i, corresponding to \n60, 80 and 100 mW of lase r power, just show some crystallites with 5 -10 nm height on a flat \nsurfac e and some spurious particles already present on the surface of the film before patterning . \n10 \n At 110mW we see the appearance of some holes with depth of about 80 nm, compatible with \nthe YIG thickness, which tend to align to the GGG terraces as it happens in the case of thicker \nfilms (see panels 2d,e). At 130 mW the roughness definitely increases and we see some YIG \nbumps with 200 nm height similar to those found for 160 nm thick YIG and reported in panel \n2f. \nThe observed shift at higher laser power of the phase transitions is not surprising as in this \nthickness range, much smaller than the absorption length, the absorbed power is essentially \nproportional to the film thickness while thermal dissipation channels are unchanged, so that a \nlower temperature is expected for the same laser power in 80 nm thick YIG .[30] On the other \nhand, the formation of the ripple is not simply delayed but mainly suppressed, due to the \nmodification of the peculiar laser absorption, thermodynamic and hydrodynamic conditions \nleading to this phenomenon in thin films. [33][35] \nAlso for 80 nm thickness we checked by microRaman that above 70 mW of writing power, the \ncharacteristic peak of crystalline YIG at about 187.5 cm-1 appears , even though the reduced \nthickness makes the signa l less intense (data not shown). Overall, the laser induced \ncrystallization of 80 nm thick YIG requires a higher laser intensity with respect to thicker films, \nbut the topography of patterns is much more uniform. Furthermore, EBSD (see Figure 5 below) \nshows that a uniform crystallization can be achieved within a patterned area with 20 m \ndiameter , thus indicating that thin films are good candidates for an effective excitation and \npropagation of magnetic perturbations. \n \n2.3 Magnetization dynamics in patterned dots \nTo assess the magnetization dynamics in patterned dots on 160 and 80 nm thick YIG films we \ndesigned a frequency -resolved Magneto Optical Kerr Effect (Super-Nyquist sampling MOKE \nor SNS-MOKE ) experiment with cw RF-excitation provided by a coplanar wave guide \n(CPW). [39] Different groups of circular dots with 20 µm diameter have been patterned with \ndifferent writing conditions in the gap region of the CPW (see figure 4a). A RF-current is fed \nin the CPW to excite magnetization dynamics within the dots via an out -of-plane RF-field in \nthe GHz range and SNS -MOKE is used to sample the amplitude and phase information of the \ndynamics with its diffraction limited spot size of 300 nm. \n \n2.3.1 Magneti zation dynamics of structures patterned in 160 nm thick YIG \nIn Figures 4d,e,f,g,h,i the locally obtained Kerr signals proportional to the real and imaginary \npart of the dynamic magnetic susceptibility are presented versus the in -plane bias field . In the \n11 \n measurements the magnetic field is aligned perpendicular to the signal line of the CPW while \na fixed RF frequency of 2.001 GHz is applied . We investigated patterned dots which correspond \nto different power levels (50, 60, 70, 80, 90, 100 mW) in an amorphous film with 160 nm \nthickness as a function of the magnetic bias field applied in plane . The other parameters used \nfor patterning are p=70 s , d p=30 nm, z=0. Below 60 mW no magnetic signal has been \ndetected , in fair agreement with Raman spectra showing the appearance of a sizable T2g YIG \npeak only at 60 mW (see Figure 1). Starting from 60 mW of laser power some coherent \nmagnetic signal s appear, with increasing intensity up to 80 mW, while above 80 mW the MOKE \nsigna l vanishes again. This is consistent with the XAS analysis presented above, showing a \nsubstantial modification of the film chemistry starting to develop above 80 mW, with the \nappearance of a prominent Fe2+ signal. However, also from 60 to 80 mW laser power, the line \nshape of the dynamic MOKE signal is quite complex and does not display a unique resonance, \nas could be expected for a homogeneous dot excited close to its ferromagne tic resonance \nconditions (about 22 mT for an excitation frequency of 2 GHz ). In fact, the spatially resolved \nphase images reconstructed from the real and imaginary part data in Figures 4b,c from a dot \npatterned with 80 mW, taken at 2 GHz with 23 and 27 mT, show a quite inhomogeneous phase \ndistribution which is not compatible with a uniform FMR mode. In both cases the phase of the \nsignal varies in the range of 2𝜋 across the patterned dot. Noteworthy some regions with uniform \nphase aligned vertically, along the same direction of the ripple described above as the writing \ndirection here is horizontal (see the left part of Figure 4c ). Clearly the FMR un iform mode is \nsuppressed by such morphological and magnetic non-uniformity. \nThis picture is confirmed by micro -focused Brillouin Light Scattering (micro -BLS) \ninvestigation of SWs propagation in magnonic conduits patterned in 160 nm thick films by laser \nirradiation with typical parameters leading to sizable ripple, as discussed below . \n \n2.3.2 Magneti zation dynamics of structures patterned in 80 nm thick YIG \nIn a second set of experiments, we repeated the measurements shown in the previous section \nfor a 80 nm thick YIG layer. The real and imaginary parts of the magnetic susceptibility \nmeasured by SNS-MOKE are shown in Figures 5a -f, as a function of in -plane field for different \nlaser power levels between 55 and 80 mW . As in the last set of measurements, a n RF-frequency \nof 2 GHz has been applied to the CPW. The other writing parameters were p=70 s , d p=30 \nnm, z=0. A tiny signal with the shape of a single resonance appears at 60 mW, while at 65 mW \nthe clear signature of FMR resonance is visible. Here, the real (dispersive) and imaginary \n(dissipative) parts of the MOKE signal, proportional to the magnetic susceptibility, show the \n12 \n 90 degrees phase shift expected for FMR . As the writing power becomes larger, the signal starts \nto deviate from the ideal FMR line shape , while at 80 mW it appears as the superposition of \nmultiple modes. This has a counterpart in the spatial ly resolved phase images presented in the \ninset s of panels 5c and 5f. While in the case of 65 mW the phase of the MOKE signal is \nconsistent with the FMR uniform mode, for the dot patterned at 80 mW we clearly see that the \nphase is highly non -uniform , possibly arising fro m the superposition of higher order modes \ncoupled to some sample inhomogeneity. The analysis of the FMR curves can be used to estimate \nindividual linewidths as well as the saturation magnetization and the Gilbert damping \ncoefficient in a frequency dependent manner . Figure 5g reports the FMR frequency vs the in -\nplane applied field for the dot patterned with 65 mW, together with a Kittel fit; by assuming \n= 28 GHz/T we find that 0Ms is equal to 0.183±0.001 T, in nice agreement with nominal \nvalues for YIG. F rom the linear fit of the corresponding linewidth of the resonance vs applied \nfield shown in Figure 5h we can extract the damping = (5.8±0.4)·10-3. As we compare this \npatterned structure with the one irradiated with 75 mW, we obtain just a slight ly larger 𝛼 = \n(6.3±0.4 )·10-3, as depicted in Fig. 5i. However, by investigating the zero -frequency linewidth \n𝜇0Δ𝐻0 for these two irradiation powers we see a marked increase , from 34.5±30.7 µT to \n174.2±41.2 µT as we enhance the laser power from 65 mW to 75 mW. These values are \nobtained in the low -frequency regime up to 3.2 GHz since for higher frequencies the \ninterpretation of distinguishable Lorentzian peaks becomes challenging due to the onset of \ndifferent spin wave modes superimposing the quasi -uniform mode. T he enhanced \ninhomogeneous line broadening for larger laser intensities is in agreement with the observation \nof the inhomogenous phase distribution in the dot patterned with 75 mW (see Figure 3f) arising \nfrom laser induced roughness or even tiny damages in the YIG layer. \nInterestingly enough, the dot patterned with 65 m W and displaying an ideal FMR lineshape \nappear s essentially black in the EBSD image of Figure 5j, thus indicating the absence of a well -\ndefined crystal orientation. The fact that it displays a magnetic resonance, however, rules out \nthe possibility that it is just amorphous, as we never measured a SNS-MOKE signal on the \nunpatterned film , displaying a paramagnetic behavior. We conclude that for 65 mW the film is \npolycrystalline , with small magnetic crystal grains randomly oriented. Also at 75 mW, when \nthe FMR resonance is well visible, the EBSD image ( Figure 5k) shows a polycrystalline \ncomposition, with a few small areas having well-defined orientation. A uniform (111) \norientation of YIG, compatible with an epitaxial film on the GGG(111) substrate, is found only \nin the dot patterned with 80 mW, which displays a superpositio n of modes in MOKE analysis. \nHowever, i n this case the presence of different spin wave modes superimposing the uniform \n13 \n response prevents the determination of a Gilbert damping parameter 𝛼 due to indistinguishable \nresonance lines in the spectrum. \n \n2.4 Spin wave propagation in patterned magnetic conduits \nTo assess the potential of our technique for fabricating magnonic structures we investigated the \npropagation of SWs excited by a RF -antenna in conduits patterned by local laser annealing . For \n160 nm thick YIG films the patterning creates a characteristic ripple which strongly affects SW \npropagation (data not shown) . SWs display a sort of channeling in regions aligned to the ripple, \nwith a longer propagation distance when the valleys of the ripple are aligned to the wave vector \nof the excited spin waves. However, in the best case the propagation length is on the order of 1 \nmicron, so that the ripple appears as a severe obstacle to the p ropagation of SWs. These findings \nsuggests that the corrugation is associated to a magnetic inhomogeneity in agreement with SNS -\nMOKE measurements of section 2.3.1. \nBetter results are obtained on 80 nm thick YIG films , as in this case we can achieve full \ncrystallization without sizable corrugation . To avoid the formation of the characteristic ripple \nperpendicular to the writing direction seen in thicker films, we first patterned el ongated conduits \nwith 1.2 m width and 100 m length in the 80 nm thick YIG film , using a raster -scan mode \nwith longitudinal writing lines . \nDifferent patterning conditions have been investigated, by varying the focus and the laser power, \nwhile keeping d p=30 nm and t p=70 s fixed . By changing the focus around the optimal \ncondition (z = 0) by ± 2 m we did not find a sizable change apart from what is expected from \nthe increase of the beam spot size, leading to a decreased intensity and thus to the need to \nincrease the power to retrieve similar results with respect to the optimal focusing conditions. \nThe impact of the laser power is summarized in Figure 6. Panel 6a shows the EBSD map taken \non a group of four conduits written with decreasing power, from 100 to 8 5 mW. The central \nporti on of the conduit displays a single crystal structure with (111) orientation, corresponding \nto epitaxial YIG on GGG(111), whose width decreases with the laser power until it disappears \nat 85 mW. Noteworthy, there is a second effect of laser annealing which is visible also at 85 \nmW. This is evident in Figure 6b, where the EBSD signal (color scale) is super imposed to the \nsecondary electron microscopy ( SEM ) morphological signal (grey scale) . A rectangular conduit , \ncontaining the crystallized area and whose wid th scales with the laser power, clearly emerges \nfrom the surroundings, most probably reflecting an amorphous -polycrystalline phase transition. \nDue to the gaussian profile of the laser beam, only in the central part of the conduit the laser \nintensity overcomes the threshold for full crystallization while on the sides there are two stripes \n14 \n where the intensity is still enough to promote the creation of polycrystalline grains with random \norientation. Of course, the width of these regions scales with the laser power , as the same \nthreshold is achieved for larger distances from the center of the conduit at higher power . This \npicture is corroborated by the fact that the total width of the conduit seen in SEM images is \nlarger than the beam spot -size, thus indicating that the tails of the laser beam play a role. \nIn order to investigate SW propagation m icro-stripline antennas were fabricated on both sides \nof the conduits, with groups of 4 conduits crossed by the same stripline connecting the signal \nand ground of the RF circuit in the ground -signal -ground ( GSG ) configuration. SW dynamics \nhave been studied by means of micro -BLS measurements applying an external magnetic field \nof μ0Hext= 100 mT parallel to the antenna and to the short axis of the conduits , corresponding \nto the Damon Eschbach (DE) geometry. \nFirst micro-BLS characterization was performed measuring the SW intensity as a function of \nexcitation frequency in the range between 3 and 12 GHz . A micro-BLS signal is not detected \nfor the conduits written using a power from 40 mW to 70 mW. Interestingly enough, for \nconduits written with a laser power in the 75 -85 mW range , where a crystalline phase with well -\ndefined orientation is not observed in the EBSD , a sizable BLS signal is found . This confirms \nthat also the polycrystalline phase is magnetic and can sustain SW propagation , as already \ndemonstrated by SNS-MOKE measurements . On the other hand, we find that the lowest power \nfor measuring a BLS signal in these conduits (75 mW) is larger than that needed (65 mW) to \nobserve a sizable signal in SNS-MOKE experiments on YIG films with the same thickness \n(Figure 5). This can be explained by the different shape of the patterned area: the total local \ndose in a dot with 20 𝜇𝑚 diameter is larger than that in a conduit with 1.2 𝜇𝑚 nominal width, \ncorresponding to the laser spot size , due to the multiple superposition of writing lines in the \nraster -scan mode . We thus expect that the threshold condition for crystallization can be \nachieved at lower laser power in a large dot with respect to a narrow conduit. \nIn BLS spectra we observe a single peak whose frequency is about 4.3±0.3 GHz for writing \npower in the 75-105 mW range and decreases to about 3.6 GHz for a power of 110 and 120 \nmW (Fig.6 h ) As it can be seen in Fig. 6f, where the micro -BLS intensity measured at fixed \nfrequency (4.22 GHz) along the transverse x-axis with a step size of 250 nm for the 100 mW \nsample is reported , this peak correspond s to the fundamental mode of the conduits . \nTwo dimensional maps of the BLS intensity of the fundamental mode acquired at fixed \nfrequency as a function of the distance from the antenna over an area of about 3×1 2 μm2 with \n250 nm step size for the conduits written at 100, 95 and 90 mW are plotted in Figures 6c,d,e, \nrespectively. As it can be seen a micro -BLS signal is detectable up to a distance of about 12 \n15 \n m. The decay length for the SW intensity, has been estimated from the fit of the micro -BLS \nintensity profile taken along the y direction at the center of the mode, as shown in figure 6g for \nthe conduit patterned with 100 mW, using the equation 𝐼(𝑦)=𝐼1exp (−2𝑦\n𝜆𝐷)+𝐼0, where 𝑦 is \nthe position along the waveguide, 𝐼1 the initial intensity , and I0 the offset intensity . \nAs visible in Fig. 6 h the large st values of the decay length (approx imately 5 m) are observed \nfor the conduits patterned with a laser power between 90 and 105 mW, while the decay length \nslightly decreases for lower writing power, consistent with the decreasing width of the single \ncrystal phase in the central portion of the conduit s observed in EBSD measurements . Much \nsmaller values of the decay length (down to 1.3 m) are found for the conduits patterned with \n110 and 120 mW. This, together with the decrease of the frequency of the fundamental mode, \nsuggests a major degradation of the magnetic properties at high laser power which can be \nascribed both to the chemical modifications observed by XAS and to an increased density of \ndefects and edge c orrugation due to grain boundaries. As a matter of fact, EBSD on conduits \npatterned at 120 mW (data not shown) indicates a larger width of the inner crystal region \nassociated to some interruptions of the conduits, probably arising from local re -amorphization \nupon over -heating, similarly to what happens in phase -change materials. Notice that the \nchemical modification seen by XAS in Figure 3 are already evident at 100 mW, where we \nmeasured the maximum attenuation length . This apparent inconsistency results fr om the fact \nthat the laser induced modification does not only depend on the laser power, but also on the \nshape of the patterned area. F or XAS experiments we patterned square pads with 50 m side, \nso that the effective heating for the same nominal power was larger than in case of 1.2 m wide \nconduits due to the superposition of multiple adjacent lines in the raster scan mode used for \nwriting. \n \n3. Discussion \nUpon optimization of the writing conditions, good crystalline quality and uniform magnetic \nproperties have been achieved only in thin YIG films (80 nm), as thicker films (160 nm) tend \nto develop a morphological corrugation which is associated also to a mag netic non -\nhomogeneity. Well-defined FMR lines were found by SNS-MOKE in dots patterned in 80 nm \nthick YIG films, from which a damping parameter = (5.8±0.4)·10-3 has been estimated , with \na low zero -frequency linewidth of (34.5±30) µT, and a saturation mag netization \n0Ms=(0.183±0.001)T corresponding to Ms = (146±0.7) kA/m . Spin waves propagation in the \n16 \n DE configuration has been observed by micro -BLS in 1.2 m wide conduits patterned on 80 \nnm thick YIG, with an attenuation length of about 5 microns in optimized conditions. \nTo correlate these experimental findings, we simulated the SWs spectrum for a YIG conduit \nhaving a thickness of 80 nm and a width of 1.5 m (larger than the nominal width of 1.2 m \nbut corresponding to the width of the crystallized region reported in Fig. 5b for the conduit \nwritten with 100 mW) using nominal values for the saturation magnetization and exchange \nstiffness: Ms =146 kA/m (in agreement with SNS -MOKE) and A=0.42 10-6 erg/cm . The \nsimulated frequency of the uniform mode turns out to be 4.4 GHz, in nice agreement with the \nexperimental values (4.3±0.3 GHz for writing power in the 75 -105 mW range) . This indicates \nthat YIG structures patterned with optimized conditions are characterized by a saturation \nmagnetization and exchange constant within the typical range for high quality Y IG films . \nThe damping parameter within patterned structures can be also extracted from micro -BLS data \nand compared to that estimated by SNS -MOKE . First, we calculated the SWs group velocity \n𝑣𝑔 at k=0 from the simulated dispersion curve of the fundamental SW mode in the YIG \nwaveguide, obtaining a value of 683 m/s. Using the relation 𝜆𝐷=𝑣𝑔\n𝛼𝜔 and the experimental \nvalues for the decay length and frequency measured for the sample irradiated at 100mW, we \nobtain = 5.610-3 in good agreement with that found by SNS -MOKE (5.8±0.3 10-3). This \nvalue is above the best values reported for epitaxial films grown on GGG by liquid -phase \nepitaxy and PLD [13-17] or free -standing structure obtained by re -crystallization [22], both in \nthe low 10-4. However , this work represents just a first proof -of-concept indicating the \nfeasibility of local patterning of YI G by laser induced local crystallization , while there is still \nplenty of room for further optimization. \nHere we have shown that, b y properly tuning the critical writing parameters suitable conditions \nfor inducing the crystallization without altering the stoichiometry can be found, thus inducing \nthe transition towards a polycrystalline or single -crystal phase , which can sustain magnetic \nexcitations. Noteworthy, t hese conditions strongly depend on the Y IG thicknes s. In thick films \nthe crystallization is associated to the development of a ripple which is detrimental to the \ncoherent propagation of spin waves but could be engineered to tune the YIG anisotropy and \nexploit spin -wave channeling . In thinner films shapes with flat topography and uniform \nmagnetic properties sustaining coherent propagation of spin -waves can be patterned. Next steps \nrequire a careful investigation of the quality of patterned crystalline structures , which can be \nobtained in YIG films even t hinner than 80 nm and in writing condition avoiding sizable \nsuperposition of adjacent writing lines in the currently used raster -scan mode for writing \n17 \n arbitrary shapes. A fine analysis of the edge roughness of patterned areas is another key \nparameter to avoid extrinsic scattering of spin waves during propagation in conduits. Finally, \ndifferent combination of doping and substrates should be carefully investigated to tune the \nmicromagnetic properties of patterned structures. \n \n4. Conclusion \nTo summarize , in this paper we investigated the patterning of magnonic structures via laser \ninduced local crystallization of amorphous YIG films on GGG(111). For thick films (160 nm) \nthe writing conditions leading to the formation of a crystalline and ferrimagnetic phase without \nsizable modification of the stoichiometry also give rise to a surface ripple with valley and ridges \naligned perpendicularly to the writing direction. This in turns causes a magnetic non -\nhomogeneity which is detrimental for uniform magnetic excitations. At reduced thickness th is \ncorrugation is suppressed . On 80 n m thick films we patterned single crystal and poly crystalline \ndots suitable for FMR measurements , from which a damping coefficient = (5.8±0.4)·10-3 was \nestimated. Magnonic conduits have been patterned on 80 nm films and investigated by micro -\nBLS, showing an attenuation length on the order of 5 m for the fundamental DE mode , which \nis compatible with the damping parameter estimated from FMR. Beyond these promising \nresults, next steps involve a careful optimization of the laser -induced crystallization process in \nfilms with controlled thickness below 100 nm, pushing the damping parameter towards the \nvalues of epitaxial films on GG G in this thickness range . \n \n5. Methods \nLaser patterning with Nanofrazor Explore: For local crystallization we exploited the laser -\nwriting option featured by the Nanofrazor Explore tool provided by Heidelberg. The laser is \noperated in the continuous mode, so that for a given intensity the dose (D) for each point of the \ngrid in the scan of a single line along the x direction can be calculated as follows, assuming a \ngaussian beam profile : 𝐷=∑2𝑃\n𝜋𝑤(𝑧)2+𝑁\n−𝑁 𝑒𝑥𝑝 (−2𝑑𝑥2 𝑛2\n𝑤(𝑧)2)𝜏𝑝. Here t he summation over n considers \nthat each point sees an intensity which first increases and then decreases during the motion of \nthe laser beam. N can be safely chosen in such a way that Ndx= 2w(z) , while w(z) is the radius \nof the laser beam where the intensity is reduced by a factor 1/e2 with respect to the intensity at \nthe center (I 0). \nThis dose is delivered in a time t on the order of 2N p, so that the average intensity is \nIav=D/(2N p) while the actual power versus time displays a gaussian profile replicating the \n18 \n spatial profile in the time domain: 𝐼(𝑡)=𝐼(𝑛𝜏𝑝)=2𝑃\n𝜋𝑤(𝑧)2 𝑒𝑥𝑝 (−2(𝑑𝑥𝜏𝑝⁄)2 𝑡2\n𝑤(𝑧)2 ). As we are \ndealing with a laser annealing process, this means that each point undergoes a heating cycle of \nduration Δ𝑡=4𝑤(𝑧)𝜏𝑝𝑑𝑥⁄. Notice that the average intensity increases when decreasing d x, as \nin the ratio D/ t the exponential dependence of the dose on −2(𝑑𝑥𝑤(𝑧) ⁄ )2 dominates over the \nincrease of t which is just proportional to 𝑤(𝑧)𝑑𝑥⁄.These estimates are valid for a single line, \nwhile in the patterning of an arbitrary shape by raster scan we must consider also the dose \narising from the overlap of the laser spot of the neighbor lines spaced by d y, which in this work \nis choses equal to d x. This can happen after a considerable time from the heating cycle due the \nwriting of the first line, so that for a two-dimensional area each point undergoes many \nsubsequent thermal cycles . The microstructure of the crystallized phase thus depends also on \nthe shape as discussed in the main text . \nMicro -RAMAN : The phase transition induced on amorphous YIG films by laser annealing was \nconfirmed with micro -Raman analysis using a Horiba Jobin Yvon LabRam HR800 Raman \nspectrometer, coupled to an Olympus BX41 microscope. The spectra were recorded in back -\nscattering geometry, using a 50X objective an d a Laser Quantum diode -pumped solid -state laser \nTorus emitting at 532nm. We set the power of the laser line at 30 mW which allowed us to \nobtain reliable data without inducing changes or photodegradation of the samples durin g the \nmeasures. Each spectrum has been obtained as an average of three acquisitions lasting 180 \nseconds each in the range 150 -650 cm-1. \nX-ray Absorption : XAS measurements at Fe L2,3 edges were taken a t the NFFA APE -HE \nbeamline ( Elettra synchrotron, Italy ) [40] at room temperature in total electron yield (TEY) \nmode in linear horizontal polarization , with the sample surface at 45° with respect to the \nincident photon beam . Two orthogonal mechanical slits in front of the endstation were used to \nminimize the spot dimensions on the patterned samples . \nSNS-MOKE : In order to map the dynamic properties of the laser written structures within the \nYIG layer we employ a special type of time -resolved magneto -optical Kerr microscopy (TR -\nMOKE). In this approach with continu ous wave RF-excitation we sample the GHz dynamics \nwith ultrashort laser pulses (with a wavelength of 515 nm) at a repetition rate f rep of 80 MHz \nlocally. The diffraction limited spot size is on the order of 300 nm. In this type of experiment , \nwe u se undersampling effects to generate alias frequencies at |f rf – n*f rep| whereas the lowest \norder frequency component is the difference of the rf -frequency with the nearest comb line n \nof the ultrashort laser pulse train. This frequency within the lowest order Nyquist zone is \naccessible by a balanced photodetector with MHz ba ndwidth and can be analyzed by means of \n19 \n Lock -In detection. The direct demodulation at alias frequencies corresponds to an intrinsic \nfrequency modulation and thus no further modulation technique is required. The in -phase and \nquadrature signals which are demodulated simultaneously at the alias fre quency represent the \nreal and imaginary part of the dynamic susceptibility and yield amplitude and phase information. \nSince we overcome the Nyquist criterion we refer to this technique as Super -Nyquist -Sampling \nMOKE (SNS -MOKE) .[39] \nBrillouin Light Scattering : Micro -BLS measurements are performed by focusing a single -mode \nsolid -state laser (with a wavelength of 532 nm ) at normal incidence onto the sample using an \nobjective with numerical aperture of 0.75, giving a spatial resolution of about 300 nm. A (3+3) -\npass tandem Fabry -Perot interferometer is used to analyze the inelastically scattered light. A \nnanopositioning stage allows us to position the sample with a precision down to 10 nm on all \nthree axes. A micro -stripline antenna, havi ng a width of 3 m, is used to excite SWs with a \nmicrowave source generator. A dc/ac electrical probe station ranging from dc up to 20 GHz is \nused for spin -wave pumping. The microwave power is set at +16 dBm on the rf generator output. \nMicro -BLS measurements were carried out in Damon -Eshbach (DE) geometry applying a \nmagnetic field parallel to the antenna. \n \nAcknowledgements \nA. Del Giacco, F . Maspero, R . Bertacco and S. Tacchi acknowledge funds from the EU project \nMandMEMS, grant 101070536. E. Albisetti acknowledges funding from the European Union's \nHorizon 2020 research and innovation programme under grant agreement number 948225 \n(project B3YOND) and from the FARE programme of the Italian Ministry for University and \nResearch (MUR) under grant agreement R20FC3PX8R (project NAMASTE) . E. Albisetti and \nS. Tacchi acknowledge funding from the European Union - Next Generation EU - “PNRR - \nM4C2, investimento 1.1 - “Fondo PRIN 2022” - TEEPHANY - ThreEE -dimensional \nProcessing tecHnique of mAgNetic crYstals for magnonics and nanomagnetism ID \n2022P4485M CUP D53D23001400001”. D. Petti acknowledges funding from the European \nUnion - Next Generation EU - “PNRR - M4C2, investimento 1.1 - “Fondo PRIN 2022” - PATH \n- Patterning of Antiferromagnets for THz operation id 2022ZRLA8F – CUP D53D23002490006” \nand from Fondazione Cariplo and Fondazione CDP, grant n° 2022 -1882. M. Madami, R. \nSilvani and S.Tacchi acknowledge financial support from the Italian n ational project \nTEEPHANY -(PRIN2022P4485M), and NextGenerationEU National Innovation Ecosystem \ngrant ECS00000041−VITALITY (C UP B43C22000470005 and CUP J97G22000170005 ), \n20 \n under the Italian Ministry of University and Research (MUR). S. Lake and G. Schmidt \nacknowledge support by the DFG in the project Harmony \nThis work has been partially done at Polifab, the micro and nanofabrication facility of \nPolitecnico di Milano. \n \nData \nAll the data regarding the figure in this work can be find on Zenodo in the repository : \n“Patterning magnonic structures via laser induced crystallization of Yittrium Iron Garnet_Data ” \naccessible through the fo llowing link: \nhttps://doi.org/10.5281/zenodo.10692071 \n \n \nReferences \n[1] A. Barman et al. , ‘The 2021 Magnonics Roadmap’, J. Phys.: Condens. Matter , vol. \n33, no. 41, p. 413001, Oct. 2021, doi: 10.1088/1361 -648X/abec1a. \n[2] E. Albisetti et al. , ‘Optically Inspired Nanomagnonics with Nonreciprocal Spin Waves \nin Synthetic Antiferromagnets’, Adv. Mater. , vol. 32, no. 9, p. 1906439, Mar. 2020, doi: \n10.1002/adma.201906439. \n[3] E. Albisetti et al. , ‘Nanoscale spin -wave circuits based on engineered reconfigurable \nspin-textures’, Commun Phys , vol. 1, no. 1, p. 56, Sep. 2018, doi: 10.1038/s42005 -018-0056 -\nx. \n[4] R. Salikhov et al. , ‘Coupling of terahertz light with nanometre -wavelength magnon \nmodes via spin –orbit torque’, Nat. Phys. , vol. 19, no. 4, pp. 529 –535, Apr. 2023, doi: \n10.1038/s41567 -022-01908 -1. \n[5] P. Vaidya et al. , ‘Subterahertz spin pumping from an insulating antiferromagnet’, \nScience , vol. 368, no. 6487, pp. 160 –165, Apr. 2020, doi: 10.1126/science.aaz4247. \n[6] A. El Kanj et al. , ‘Antiferromagnetic magnon spintronic based on nonreciprocal and \nnondegenerated ultra -fast spin -waves in the canted antiferromagnet α-Fe 2 O 3’, Sci. Adv. , vol. \n9, no. 32, p. eadh1601, Aug. 2023, doi: 10.1126/sciadv.adh1601. \n[7] Q. Wang et al. , ‘A magnonic directional coupler for integrated magnonic half -adders’, \nNat Electron , vol. 3, no. 12, pp. 765 –774, Oct. 2020, doi: 10.1038/s41928 -020-00485 -6. \n[8] A. Mahmoud et al. , ‘Introduction to spin wave computing’, Journal of Applied \nPhysics , vol. 128, no. 16, p. 161101, Oct. 2020, doi: 10.1063/5.0019328. \n[9] S. Klingler et al. , ‘Combined Brillouin light scattering and microwave absorption \n21 \n study of magnon -photon coupling in a split -ring resonator/YIG film system’, Applied Physics \nLetters , vol. 109, no. 7, p. 072402, Aug. 2016, doi: 10.1063/1.4961052. \n[10] G. Csaba, Á. Papp, and W. Porod, ‘Perspectives of using spin waves for computing \nand signal processing’, Physics Letters A , vol. 381, no. 17, pp. 1471 –1476, May 2017, doi: \n10.1016/j.physleta.2017.02.042. \n[11] A. V. Chumak et al. , ‘Advances in Magnetics Roadmap on Spin -Wave Computing’, \nIEEE Trans. Magn. , vol. 58, no. 6, pp. 1 –72, Jun. 2022, doi: 10.1109/TMAG.2022.3149664. \n[12] Y. Fan et al. , ‘Coherent magnon -induced domain -wall motion in a magnetic insulator \nchannel’, Nat. Nanotechnol. , vol. 18, no. 9, pp. 1000 –1004, Sep. 2023, doi: 10.1038/s41565 -\n023-01406 -2. \n[13] L. R. Walker, ‘Ferromagnetic -Relaxation Theory. Marshall Sparks. McGraw -Hill, \nNew York, 1964. xii + 227 pp. Illus. $12.50’, Science , vol. 148, no. 3667, pp. 218 –218, Apr. \n1965, doi: 10.1126/science.148.3667.218. \n[14] C. Hauser et al. , ‘Yttrium Iron Garnet Thin Films with Very Low Damping Obtained \nby Recrystallization of Amorphous Material’, Sci Rep , vol. 6, no. 1, p. 20827, Feb. 2016, doi: \n10.1038/srep20827. \n[15] J. E. Mee, J. L. Archer, R. H. Meade, and T. N. Hamilton, ‘CHEMICAL VAPOR \nDEPOSITION OF EPITAXIAL YIG ON YAG AND EPITAXIAL GdIG ON YAG’, Applied \nPhysics Letters , vol. 10, no. 10, pp. 289 –291, May 1967, doi: 10.1063/1.1754815. \n[16] C. Dubs et al. , ‘Low damping and microstructural perfection of sub -40nm -thin yttrium \niron garnet films grown by liquid phase epitaxy’, Phys. Rev. Materials , vol. 4, no. 2, p. \n024416, Feb. 2020, doi: 10.1103/PhysRevMaterials.4.024416. \n[17] C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Naletov, and J. Ben Youssef, \n‘Comparative measurements of inverse spin Hall effects and magnetoresistance in YIG/Pt and \nYIG/Ta’, Phys. Rev. B , vol. 87, no. 17, p. 174417, May 2013, doi: \n10.1103/PhysRevB.87.174417. \n[18] M. C. Onbasli et al. , ‘Pulsed laser deposition of epitaxial yttrium iron garnet films \nwith low Gilbert damping and bulk -like magnetization’, APL Materials , vol. 2, no. 10, p. \n106102, Oct. 2014, doi: 10.1063/1.4896936. \n[19] G. Schmidt, C. Hauser, P. Trempler, M. Paleschke, and E. Th. Papaioannou, ‘Ultra \nThin Films of Yttrium Iron Garnet with Very Low Damping: A Review’, Physica Status \nSolidi (b) , vol. 257, no. 7, p. 1900644, Jul. 2020, doi: 10.1002/pssb.201900644. \n[20] J. Ding, T. Liu, H. Chang, and M. Wu, ‘Sputtering Growth of Low -Damping Yttrium -\nIron-Garnet Thin Films’, IEEE Magn. Lett. , vol. 11, pp. 1 –5, 2020, doi: \n22 \n 10.1109/LMAG.2020.2989687. \n[21] A. Krysztofik, S. Ozoglu, and E. Coy, ‘Magnetization Damping in Nanocrystalline \nYttrium Iron Garnet Thin Films Grown on Oxidized Silicon’, IEEE Magn. Lett. , vol. 12, pp. \n1–5, 2021, doi: 10.1109/LMAG.2021.3086454. \n[22] B. Heinz et al. , ‘Propagation of Spin -Wave Packets in Individual Nanosized Yttrium \nIron Garnet Magnonic Conduits’, Nano Lett. , vol. 20, no. 6, pp. 4220 –4227, Jun. 2020, doi: \n10.1021/acs.nanolett.0c00657. \n[23] H. Qin, R. B. Holländer, L. Flajšman, and S. Van Dijken, ‘Low -Loss Nanoscopic \nSpin-Wave Guiding in Continuous Yttrium Iron Garnet Films’, Nano Lett. , vol. 22, no. 13, \npp. 5294 –5300, Jul. 2022, doi: 10.1021/acs.nanolett.2c01238. \n[24] F. Heyroth et al. , ‘Monocrystalline Freestanding Three -Dimensional Yttrium -Iron-\nGarnet Magnon Nanoresonators’, Phys. Rev. Applied , vol. 12, no. 5, p. 054031, Nov. 2019, \ndoi: 10.1103/PhysRevApplied.12.054031. \n[25] P. Trempler, R. Dreyer, P. Geyer, C. Hauser, G. Woltersdorf, and G. Schmidt, \n‘Integration and characterization of micron -sized YIG structures with very low Gilbert \ndamping on arbitrary substrates’, Applied Physics Letters , vol. 117, no. 23, p. 232401, Dec. \n2020, doi: 10.1063/5.0026120. \n[26] M. Kiechle et al. , ‘Spin‐Wave Optics in YIG Realized by Ion‐Beam Irradiation’, \nSmall , vol. 19, no. 21, p. 2207293, May 2023, doi: 10.1002/smll.202207293. \n[27] K. Ghimire, H. F. Haneef, R. W. Collins, and N. J. Podraza, ‘Optical properties of \nsingle -crystal Gd 3 Ga 5 O 12 from the infrared to ultraviolet: Optical properties of single -\ncrystal Gd 3 Ga 5 O 12 from IR to UV’, Phys. Status Solidi B , vol. 252, no. 10, pp. 2191 –2198, \nOct. 2015, doi: 10.1002/pssb.201552115. \n[28] S. H. Wemple, S. L. Blank, J. A. Seman, and W. A. Biolsi, ‘Optical properties of \nepitaxial iron garnet thin films’, Phys. Rev. B , vol. 9, no. 5, pp. 2134 –2144, Mar. 1974, doi: \n10.1103/PhysRevB.9.2134. \n[29] G. B. Scott, D. E. Lacklison, and J. L. Page, ‘Absorption spectra of Y 3 Fe 5 O 12 \n(YIG) and Y 3 Ga 5 O 12: Fe 3 +’, Phys. Rev. B , vol. 10, no. 3, pp. 971 –986, Aug. 1974, doi: \n10.1103/PhysRevB.10.971. \n[30] I. D. Calder and R. Sue, ‘Modeling of cw laser annealing of multilayer structures’, \nJournal of Applied Physics , vol. 53, no. 11, pp. 7545 –7550, Nov. 1982, doi: \n10.1063/1.330123. \n[31] C. Peng, L. Cheng, and M. Mansuripur, ‘Experimental and theoretical investigations \nof laser -induced crystallization and amorphization in phase -change optical recording media’, \n23 \n Journal of Applied Physics , vol. 82, no. 9, pp. 4183 –4191, Nov. 1997, doi: 10.1063/1.366220. \n[32] I. I. Syvorotka et al. , ‘Investigation of the Interface of Y 3 Fe 5 O 12 /Gd 3 Ga 5 O 12 \nStructure Obtained by the Liquid Phase Epitaxy’, Crystal Research and Technology , vol. 57, \nno. 5, p. 2100180, May 2022, doi: 10.1002/crat.202100180. \n[33] D. K. Fork, G. B. Anderson, J. B. Boyce, R. I. Johnson, and P. Mei, ‘Capillary waves \nin pulsed excimer laser crystallized amorphous silicon’, Applied Physics Letters , vol. 68, no. \n15, pp. 2138 –2140, Apr. 1996, doi: 10.1063/1.115610. \n[34] J. Bonse, ‘Quo Vadis LIPSS? —Recent and Future Trends on Laser -Induced Periodic \nSurface Structures’, Nanomaterials , vol. 10, no. 10, p. 1950, Sep. 2020, doi: \n10.3390/nano10101950. \n[35] J. Z. P. Skolski, ‘Modeling of Laser Induced Periodic Surface Structures’, JLMN , vol. \n5, no. 3, pp. 263 –268, Dec. 2010, doi: 10.2961/jlmn.2010.03.0015. \n[36] B. B. Krichevtsov et al. , ‘Magnetization reversal in YIG/GGG(111) \nnanoheterostructures grown by laser molecular beam epitaxy’, Science and Technology of \nAdvanced Materials , vol. 18, no. 1, pp. 351 –363, Dec. 2017, doi: \n10.1080/14686996.2017.1316422. \n[37] H. B. Vasili et al. , ‘Direct observation of multivalent states and 4 f → 3 d charge \ntransfer in Ce -doped yttrium iron garnet thin films’, Phys. Rev. B , vol. 96, no. 1, p. 014433, \nJul. 2017, doi: 10.1103/PhysRevB.96.014433. \n[38] V. Hari Babu, R. K. Govind, K. -M. Schindler, M. Welke, and R. Denecke, ‘Epitaxial \ngrowth and magnetic properties of ultrathin iron oxide films on BaTiO3(001)’, Journal of \nApplied Physics , vol. 114, no. 11, p. 113901, Sep. 2013, doi: 10.1063/1.4821259. \n[39] R. Dreyer, N. Liebing, E. R. J. Edwards, A. Müller, and G. Woltersdorf, ‘Spin -wave \nlocalization and guiding by magnon band structure engineering in yttrium iron garnet’, Phys. \nRev. Materials , vol. 5, no. 6, p. 064411, Jun. 2021, doi: 10.1103/PhysRevMaterials.5.064411. \n[40] G. Panaccione et al. , ‘Advanced photoelectric effect experiment beamline at Elettra: A \nsurface science laboratory coupled with Synchrotron Radiation’, Review of Scientific \nInstruments , vol. 80, no. 4, p. 043105, Apr. 2009, doi: 10.1063/1.3119364. \n \n \n \n24 \n \n \n \n \n \n \n \nFigure 1: a) Sketch of the laser patterning process; b) Optical microscopy of a 2D matrix of \n20 microns circular dots patterned with different conditions on 160 nm thick amorphous YIG; \nc) Zoom on dots patterned at threshold conditions for crystallization. d) mic roRaman spectra \non areas patterned with different laser power; e -g ) AFM images and h -l MFM images showing \nthe peculiar ripple perpendicular to the writing direction. \n \n \n25 Figure 2: Optical (top) and AFM (bottom) images from square patterns with 20 microns side, \nwritten on 160 nm (top row ) and 80 nm thick (bottom row) amorphous YIG, as a function of \nthe laser power (P) used for writing. The writing direction is horizontal for all patterned areas. \n \n26 \n \n \n \n \nFigure 3: XAS spectra from areas patterned on 160 nm thick amorphous YIG at different laser \npower . Vertical dashed lines correspond to the photon energies of A and B peaks at L 3 edge. \nThe vertical arrow indicates the shoulder of the L 2 edge which is associated to Fe2+. \n \n704 712 720 728Fe-L2Fe-L3\nBXAS signal (arb.u)\nPhoton energy (eV) 100mW\n 80mW\n 60mW\n 40mW\n 0mW\nA \n27 \n \n \n \nFigure 4: TRMOKE analysis on circular dots patterned on 160 nm thick YIG. a) Optical image \nof the coplanar waveguide (Au/Ti – yellow) fabricated on top of YIG (brown); at the bottom a \nzoom in the region between signal and ground where series of 5 circular dots wit h 20 m \ndiameter (outlined by red circles) have been patterned for each laser power. The bias field was \napplied along the horizontal x direction. b -c) two -dimensional map of the phase of MOKE \nsignal recorded at 2 GHz on a dot patterned with 80 mW, for 23 a nd 27 mT of applied field, \nrespectively. d -i) real and imaginary part of the MOKE signal as a function of the applied \nmagnetic field for a fixed excitation frequency of 2 GHz as a function of the writing power \nreported on the top -right of each panel . \n \n \n \n28 \n \n \n \n \n \n \n \n \nFigure 5: TRMOKE data on circular dots patterned at different laser power on 80 nm thick \nYIG, taken from experiments carried out using the same layout of figure 4a. a -f) real and \nimaginary part of the TRMOKE signal as a function of the applied magnetic field for a fixed \nfrequency of 2 GHz in the excitation waveguide; in the inset of panels c and f representative \nmaps of the instantaneous phase recorded in the dots are reported. g) resonance frequency vs. \napplied field of for the dot patterned with 65 mW and showing a clear FMR line -shape; h) plot \nof the FMR linewidth vs. frequency for the dots patterned with 65 mW; the damping parameter \n() has been estimated from the slope of the linear fit (red line). i) same analysis as in panel h \nfor a dot written with 75 mW (panel e). j -k-l) EBS images taken from dots written at 65, 75 and \n80 mW. \nj) \n k) \nl) \n65 mW \n 75 mW \n 80 mW \n10 m \n 10 m \n 10 m \n80 mW \n001 \n111 \n101 \n29 \n \n \n \n \n \n \nFigure 6 : BLS analysis of magnonic conduits with nominal width of 1.2 m patterned on 80 \nnm thick YIG. a) EBSD image from conduits patterned with a laser power between 85 and 100 \nmW, the blue color indicates a crystalline zone with (111) orientation; b) EBSD image (red \ncolor for (111) orientation), superposed to a conventional SEM image (grey scale) where white \nsegments are used to highlight the borders of the conduits containing the crystallized areas; c-\nd-e) two -dimensional maps of the BLS intensity on co nduits patterned with 100, 95 and 90 \nmW; on the y axis the distance from the antenna ( corresponding to the red line at the top) along \nthe propagation direction (SW wave -vector k) is reported, while x gives the transverse \ncoordinate; f) transverse section of the map in panel c at 6 m distance from the antenna; g) \nexponential fit of the BLS intensity decay reported in panel c; h) attenuation length (black dots) \nand frequency of the propagating mode (red dots) vs. the laser power used for patterning. \n \n" }, { "title": "1610.08402v2.Time_resolved_measurements_of_surface_spin_wave_pulses_at_millikelvin_temperatures.pdf", "content": "Time-resolved measurements of surface spin-wave pulses at millikelvin temperatures\nA. F. van Loo,1R. G. E. Morris,1and A. D. Karenowska1\n1Clarendon Laboratory, Department of Physics, University of Oxford, OX1 3PU, Oxford, United Kingdom\n(Dated: April 8, 2019)\nWe experimentally investigate the propagation of pulsed magnetostatic surface spin-wave\n(magnon) signals in an yttrium iron garnet (YIG) waveguide at millikelvin temperatures. Our\nmeasurements are performed in a dilution refrigerator at microwave frequencies. The excellent\nsignal-to-noise ratio a\u000borded by the low-temperature environment allows the propagation of the\npulses to be observed in detail. The evolution of the envelope shape as the spin-wave travels is\nfound to be consistent with calculations based on the known dispersion relation for YIG. We ob-\nserve a temperature-dependent shift of the ferromagnetic resonance frequency below 4K which we\nsuggest is due to the low-temperature properties of the substrate below the \flm, gallium gadolinium\ngarnet. Our measurement and the accompanying calculations give insight into both low-temperature\nmagnon dynamics in YIG and the feasibility of the use of propagating magnons in solid-state quan-\ntum information processing.\nThe unusual and highly tunable dispersion of propa-\ngating magnons in yttrium iron garnet (Y 3Fe5O12; YIG)\nhas recently excited the interest of the superconduct-\ning circuit quantum electrodynamics (QED) community.\nThe frequencies at which superconducting quantum de-\nvices typically operate overlap with the band in which\nmagnons can be excited in YIG and, as superconduct-\ning quantum bit (qubit) structures are generally sensitive\nto electromagnetic \felds, magnons and superconducting\nqubits can be made to communicate. So far, magnons\nin spheres of YIG have been shown to couple strongly to\nthree-dimensional cavities [1{4], reentrant cavities [5, 6],\nand superconducting qubits via such cavities [7]. Fur-\nthermore, magnons in YIG \flms have been shown to\ninteract with superconducting coplanar waveguides and\nthree-dimensional resonators [8, 9].\nIn this work, we investigate the propagation of mag-\nnetostatic surface magnons in a YIG waveguide made\nfrom a high-purity monocrystalline \flm grown by liquid-\nphase epitaxy on a gallium gadolinium garnet (GGG)\nsubstrate. Our measurements are performed in a dilution\nrefrigerator at approximately 20 mK. At this tempera-\nture, thermal excitations of gigahertz-frequency magnons\nand photons are negligible. We previously investigated a\nsimilar system [10], focusing on achieving signal limits\nequivalent to the propagation of a single magnon. In\nthis paper, we study the propagation of the excitations\nin signi\fcantly greater detail, comparing our experimen-\ntal measurements with the predictions of theory. Un-\nderstanding low-temperature magnon propagation is an\nessential step toward the integration of systems of propa-\ngating magnons with circuit QED systems. Work in this\narea sets its sights not only on the development of new\nquantum devices but also on the use of the sophisticated\ntechnology and methods developed for superconducting\nquantum computing to investigate the physics of single\nmagnons.\nTo excite propagating magnons in a waveguide, a mag-\nnetic bias \feld must be applied. The dynamics of theparticular modes that can be observed depend on the ori-\nentation of the bias \feld relative to the waveguide axis:\nin this study, we focus on magnetostatic surface spin\nwaves (MSSWs; also called \\Damon-Eshbach modes\")\nthat propagate perpendicular to an in-plane magnetic\n\feld.\nThe dispersion relation for MSSWs [11] can be written\nas\n!=r\n!H(!H+!M) +!2\nM\n4(1\u0000e\u00002kd) (1)\nwhere!M=\u0000\r\u00160MSand!H=\u0000\rB. Here,dis the \flm\nthickness,\ris the gyromagnetic ratio, \u00160is the vacuum\npermeability, Bis the applied magnetic \feld, and MS\nthe saturation magnetization (197 :4 kA m\u00001in our \flm\nat approximately 20 mK). From the dispersion relation,\nthe group and phase velocities ( vgrandvphrespectively)\ncan be derived:\nvgr=@!\n@k=d\u0000\n(2!H+!M)2\u00004!2\u0001\n4p\n!2(2)\nvph=!\nk=\u00002dp\n!2\nlogh\n(\u00002!+2!H+!M)(2(!+!H)+!M)\n!2\nMi (3)\nThe YIG waveguide used in our experiments is\n9\u0016m thick, 2 mm wide, and approximately 15 mm long.\nTo prevent coherent re\rections of spin waves from the\nends, both are cleaved at a 45 degree angle. The\nwaveguide is a\u000exed to a PCB in close contact with\ntwo lithographed stripline antennae [Fig. 1(a)] which are\n50\u0016m wide and 6 mm apart. The complete assembly is\nhoused in a copper sample box attached to the cold plate\nof a dilution refrigerator. A superconducting magnet is\nconnected to the 4K plate of the refrigerator and posi-\ntioned so that the homogeneity of the \feld it producesarXiv:1610.08402v2 [cond-mat.mes-hall] 5 Apr 20192\nFIG. 1. (a) The YIG waveguide is attached to a printed cir-\ncuit board with two inductive antennae on its surface (an in-\nput and an output). The complete experimental assembly is\nhoused in a copper box attached to the cold plate of a dilution\nrefrigerator. (b) Measured transmission of a continuous-wave\nsignal through the sample in a magnetic bias \feld of 167 mT.\nThe dashed line indicates the position of the ferromagnetic\nresonance frequency.\nis maximal at the position of the waveguide. The orien-\ntation of the \feld relative to the waveguide [Fig. 1(a)] is\nsuch that only MSSWs are excited. Because of the non-\nreciprocal nature of these excitations, they are only able\nto travel in one direction on the \flm surface adjacent to\nthe antennae: from the input port to the output port of\nthe experiment [11, 12].\nTo ensure that room-temperature noise cannot couple\ninto the waveguide, the line connected to the input an-\ntenna is heavily attenuated inside the refrigerator [Fig. 2].\nThe output antenna is connected to a low-temperature\nHEMT ampli\fer via two isolators. The ampli\fed signal is\n\fltered and down-converted to an intermediate frequency\nof 500 MHz at room temperature. A fast data acquisition\ncard (Spectrum M4i-2234-x8) records the resulting volt-\nage as a function of time at a rate of 2 :5 GHz for 800 ns.\nTypically 211to 216such traces are recorded and aver-\naged, although much higher numbers are can be used if\ndesired (e.g. when the input signal is reduced to very low\nlevels). Through this step, incoherent and inelastically\nscattered signals cancel out, leaving only the coherently\nscattered radiation at the drive frequency. After a dig-\nital down-conversion and \fltering step, the envelope of\nthe transmitted microwave signal is recovered.\nWhen a microwave-frequency signal is applied to the\ninput antenna, there are two routes by which it can reach\nthe output: via the vacuum in the sample box as mi-\ncrowave photons at the speed of light c, and as MSSWs\nin the magnetic waveguide at the group velocity deter-\nmined by eq. 2. The MSSW group velocity is typically\nseveral orders of magnitude slower than the speed of light.\nTo perform an initial characterization of the YIG \flm,\nwe connect the cold setup [center part in Fig. 2] to a\nnetwork analyzer and measure the transmission (S21) of\nthe experimental system between 4 and 8 GHz. Results\nfrom such a measurement are shown in Fig. 1(b). The\nlow-frequency limit of the magnon passband is the ferro-\nLO 10mK100mK4Kw300K\nRFSpectrum\nM4i-2234\nUp-conversion Coldwsetup DownconversionArbitrary\nWave\nGenerator70K\nLowpassI QRF\nLO-20dB -20dB\n-20dBSample\n50Ω50Ω I QRF\nLO50ΩBandpassFIG. 2. The microwave setup used in our experiments can\nbe divided into three parts. In the up-conversion section,\nshort microwave pulses are created by mixing the output of a\ncontinuous-wave microwave source with envelope shapes gen-\nerated by an arbitrary-waveform generator. Inside the dilu-\ntion refrigerator, the input line to the sample is heavily atten-\nuated to thermalize the signal, such that the electronic noise\ntemperature is decreased to a level similar to the phonon\nnoise temperature. The down-conversion system allows the\nrecovery of the envelope of the signal transmitted through\nthe waveguide.\nmagnetic resonance (FMR) frequency (around 7 :4 GHz in\nFig. 1(b)); this is the k= 0 mode of the magnon system\nin which the spins throughout the YIG \flm precess in-\nphase. The oscillations in the signal transmittance as a\nfunction of frequency are caused by interference between\nthe vacuum and magnon signals.\nHaving performed our initial characterization in the\ncontinuous-wave regime, we investigate the dynamics of\nthe magnetic system by driving it with short microwave\npulses. The di\u000berence in propagation speed between the\nMSSW and the signal propagating through the vacuum\nhere works to our advantage, allowing us to separate\nthese two responses in time for su\u000eciently short pulses.\nThe short microwave pulses also have a relatively wide\nspectral bandwidth, and as such allow the investigation\nof a range of magnetostatic surface spin-waves with dif-\nferent wave numbers at a single magnetic \feld. Figure 2\nshows the experimental setup used to generate and mea-\nsure the transmittance of short microwave pulses through\nthe YIG sample.\nFigure 3a shows the spin-wave output signal in re-\nsponse to the application of a square 30 ns microwave\npulse of constant carrier frequency (7 GHz) as the mag-\nnetic bias \feld is swept across the magnon band. In oder\nto investigate magnons with a variety of k-values, we can\neither keep the bias \feld constant and vary the carrier\nfrequency, or keep the carrier frequency constant and\nsweep the bias \feld. Here we choose to sweep the mag-\nnet current at a \fxed carrier frequency to eliminate the\ne\u000bects that the frequency-dependent attenuation of the\nmicrowave lines and components have on the data. The\nhorizontal stripe of high signal intensity from 0 to 30 ns\nis due to direct electromagnetic interaction between the\ntwo antennae. The magnon signal begins to appear at3\napproximately 70 ns. For each vertical slice, the di\u000ber-\nence in shape between the initial pulse and the magnon\nresponse is due to the di\u000berent frequency components\nwithin the pulse traveling at di\u000berent velocities, given\nby the dispersion relation. For each slice, therefore, we\nsample the dispersion relation with a simultaneous range\nof frequencies given by the spectrum of our input pulse,\nwhich is limited by the 300 MHz output bandwidth of our\narbitrary-waveform generator. The \feld at which FMR\noccurs is 155 mT. For clarity, the FMR position is in-\ndicated in Fig. 3. When the \feld is reduced below that\ncorresponding to the FMR frequency (moving from right\nto left along the horizontal axis in Fig. 3), the carrier fre-\nquency in the pulse excites modes of increasingly higher\nkwhich have a lower group velocity [Eq. 2] and therefore\ntake longer to reach the output antenna. As the \feld\nis reduced further, the region of the dispersion relation\nsampled by the input pulse is shifted. The power used for\nthese measurements is on the order of 105photons per\npulse at the input antenna. We previously studied the\npossibility of reducing the power to the single-magnon\npower level in our setup for both thin \flms [10] and YIG\nspheres[13].\nFigure 3(b) shows the results of a simulation of the\nresponse of the experimental system calculated on the\nbasis of Eq. 1. These calculations are performed as fol-\nlows: Like the experimental pulse, the simulated input\nsignal is constructed by our multiplying a continuous-\nwave carrier signal with a 30 ns square envelope. A very\nsmall o\u000bset is added to the square pulse (before multi-\nplication) to account for imperfect mixer calibration in\nthe experiment. This input signal is Fourier transformed\nand, for each frequency component, the phase velocity\nis calculated. The arrival time of each frequency com-\nponent at the output antenna is then determined from\nthe phase velocity and the distance between the anten-\nnae. A delay in time is equivalent to a phase shift in\nFourier space, and thus the k-dependent travel time is\nimplemented by multiplying the Fourier component by\ne\u0000i!d=v p,dbeing the inter-antenna distance (6 mm for\nthe sample in Fig. 3), and vpthe phase velocity of the\nmagnon at that particular frequency. The propagation\nloss of surface waves is expected to be a function of time\nonly [14]. Therefore, waves of di\u000berent kvalues expe-\nrience di\u000berent amounts of loss when traveling the same\ninter-antenna distance because of their di\u000berent phase ve-\nlocities. This is taken into account in the simulations by\nmultiplying the Fourier components by e\u0000d=(vpTL), where\nTLis the characteristic frequency-independent [14] loss\ntime. The time-dependent signal at the output antenna\nis reconstructed via an inverse Fourier transform, after\nwhich the analog and digital signal processing applied to\nthe data as described above is mimicked. To avoid over-\n\ftting, the antennae and sample box are treated as being\nideal, save for a k-dependent coupling factor between the\nantennae and the YIG, which we take, according to [14],\nFIG. 3. Measurements and simulations of pulsed propagating\nmagnon signals. (a) Experimental data showing the transmit-\nted signal amplitude due to a square 30 ns microwave pulse\nwith a carrier frequency of 7 GHz. The magnetic bias \feld\n(horizontal axis) is swept across the magnon band. (b) Re-\nsults of a simulation under the same conditions.\nto be of the form J0(kw=2), whereJ0is the zeroth-order\nBessel function. The ratio between antenna-YIG cou-\npling and antenna-antenna coupling is tuned manually\nto resemble the data.\nAs predicted by eq. 2, the speed of the magnons re-\nduces as their wavenumber increases, resulting in an\nupward curve of the magnon signal on the left side of\nFigs. 3(a) and 3(b). For these data, the bandwidth of\nthe magnon signal (measured by the range of magnetic\n\felds for which we observe a magnon signal at a con-\nstant frequency) is not limited by the bandwidth of the\nantenna. Rather, the width of the measurable magnon\nband is limited by the propagation loss rate of magnons\ntraveling in YIG. This was con\frmed by measuring a sim-\nilar system with a smaller inter-antenna distance that is\nfound to have a higher signal bandwidth. Fitting our\nsimulations to the data, we \fnd that the characteristic\nloss timeTL= 0:85\u0006:05 ns. Note that this does not\nmean that magnons typically decay within this time: TL\nis referenced to the phase rather than the group velocity\nand is used to model the di\u000berent amounts of loss that4\n{ by virtue of the di\u000berent phase velocities { the di\u000ber-\nent frequency components are subject to. The typical\ntimescale for the pulse to lose 1 =eof its energy is approx-\nimately 30 ns. Overall, it can be seen that there is good\nagreement between experiment and theory, especially re-\ngarding the structure and shape of the magnon response\nto the left of the FMR in the plot. There are, however,\nalso some di\u000berences that warrant discussion.\nOne di\u000berence between simulation and experiment is\nthe vertical line close to the FMR frequency seen only in\nthe theoretical plot. This line is an artifact of the sim-\nulation that comes about from the phase velocity tend-\ning to in\fnity as kreduces to zero. In the real physi-\ncal system the phase velocity diverges close to the FMR\nfrequency, producing a signal between the magnon and\nthe directly-coupled pulses. We also see some ringing\nin the experiments, appearing as low-amplitude oscilla-\ntions following the directly-coupled signal. This feature\nis not exactly reproduced in the simulations and occurs\nas a result of unmodeled nonidealities of the antennae\nand sample box. A further di\u000berence exists at magnetic\n\felds above 155 mT: in Fig. 3(a) there is a structure in\nthe measurement that is not reproduced in the simula-\ntions. This signal disappears when the magnetic bias \feld\nis reversed, con\frming it must be due to a surface mode\nrather than a (reciprocal) backward or forward volume\nexcitation. We have investigated several e\u000bects as poten-\ntial origins of this signal, including the \fnite width of the\nwaveguide and the inhomogeneity of the magnetic bias\n\feld. To exclude the e\u000bect of a \fnite waveguide width\nas an explanation, we used a modi\fed dispersion relation\ngiven in Ref. [15] to calculate the phase velocity in our\nsimulations. An inhomogeneous magnetic bias \feld was\nimplemented in our model by performing the simulations\nfor a small range of \felds simultaneously, mimicking the\ne\u000bects of di\u000berent parts of the YIG \flm being subject\nto di\u000berent bias \felds. Neither of these alterations to\nthe simulations produced the signal observed in Fig. 3\nat \felds above 155 mT. E\u000bects due to the presence of\na ground plane close to the sample, which can be calcu-\nlated from Ref. [16], are expected to be very small for\nour experimental setup, and likewise did not produce the\nobserved signal when they were included in the simula-\ntions. A possible explanation for this sub-FMR signal is\nthat the inhomogeneous magnetic \felds close to the edges\nof the waveguide cause localized modes [17]. It should be\nnoted that evidence of this signal is also found in the\ncontinuous-wave experiment [to the left of the FMR line\nin Fig. 1b], and similar signals have also been reported in\nroom-temperature data in the literature (e.g., Ref. [18]).\nData such as shown in Fig. 3(a) were obtained at sev-\neral carrier frequencies and powers across the measure-\nment bandwidth of our system (between 4 and 8 GHz).\nFigure 3 is representative in both the agreements and\ndisagreements between the experiments and simulations.\nOur microwave setup can be used to inject pulsesof arbitrary envelope into the experimental system. In\nFig. 4(a), we see the output signal in response to a Gaus-\nsian input with \u001b= 12 ns, and in Fig. 4(b), a train of\nthree 20 ns pulses separated by 10 ns. In Fig. 4(b), the\nsignal produced by the direct coupling of the last of the\ninput pulses from the input antenna to the output an-\ntenna overlaps with the \frst magnon pulse. The result\nis an interference pattern akin to that seen in Fig. 1(b).\nThe clear separation between the individual pulses in the\ntrain underlines the promising potential of magnon sys-\ntems as a platform for the transmission of information\nsignals.\nFIG. 4. Experimental data showing the propagation of (a)\na Gaussian pulse with \u001b= 12 ns and (b) a train of three\n20 ns pulses separated by 10 ns.\nWhen comparing the FMR frequency with the fre-\nquency predicted by Eq. 1, we \fnd a slight di\u000berence be-\ntween observation and theory. Repeating measurements\nsimilar to those shown in Fig. 1(b) for di\u000berent magnetic\n\felds, we \fnd that the frequency di\u000berence as a function\nof the magnetic \feld is well \ftted with a linear function.\nThis shift in frequency could be due to the \feld at the\nYIG being slightly di\u000berent from the \feld that we apply,\nor the YIG FMR frequency being di\u000berent from that pre-\ndicted by Eq. 1. If we assume that the \feld at the YIG\nis di\u000berent from the applied \feld, we can use the dif-\nference between the expected and observed FMR to ex-5\ntract the o\u000bset magnetic \feld needed to explain this fre-\nquency di\u000berence. The total magnetic \feld is then given\nbyBtot=Bmag+\u000bBmag+\f, with\u000b=\u00000:052\u00060:002\nand\f=\u00000:0112\u00060:0002T. These values for \u000band\f\nare extracted from data taken at base temperature (ap-\nproximately 20 mK). The same procedure is used over a\nrange of temperatures up to 10 K in our dilution refriger-\nator. The results are shown in Fig. 5. As can be seen in\nthe inset, the values for \u000band\fdo not measurably vary\nup to 0:5 K. Beyond that, the magnitude of both \ftted\nparameters reduces, seemingly converging toward zero at\nhigher temperatures. The value of the YIG's saturation\nmagnetization is expected to not change signi\fcantly at\nthese temperatures [19, 20], and is here taken to be con-\nstant at 197 :4 kA=m, . The values in the horizontal axes\nof Fig. 3(a) and Fig. 4 were adjusted such that Btotis\nshown rather than Bmag.\nOur prime suspect for the measured deviation of the\nFMR frequency is the substrate for the YIG \flm, GGG.\nWhile GGG is a convenient magnetically inert material\nto use for spin-wave experiments at room temperature,\nat cryogenic temperatures down to 1 :5 K, it is param-\nagnetic, and displays Curie-Weiss susceptibility [21]. In\nthis regime the short relaxation time of the paramag-\nnetic GGG dampens spin-wave propagation of YIG de-\nposited on its surface. The exchange interaction be-\ntween neighboring spins in paramagnetic GGG is approx-\nimately 1:5 K=kB[22], and its behavior is therefore ex-\npected to be di\u000berent below this temperature but is not\nwell documented. There are suggestions that GGG un-\ndergoes a spin glass transition around 200 mK [23] or en-\nters a complex magnetic state involving locally correlated\nspin loops [24]. While it is beyond the scope of this work\nto establish the low-temperature behaviour of GGG, as-\nsuming the observed shift in FMR to be caused by this\nmaterial, we can make a few observations. First, \u000bbeing\nnonzero should not surprise us as GGG is known to be-\ncome paramagnetic at lower temperatures. The nonzero\nvalue of\f, however, is more interesting: this would im-\nply a magnetic ordering of the GGG substrate. Note that\nour measurements were not extended to zero \feld as we\ncannot measure the FMR frequency outside 4 \u00008 GHz,\nthe band of our measurement setup.\nWe suggest that the relatively high measured loss ex-\nperienced by the magnon signal during propagation is\nrelated to the observed low-temperature magnetic prop-\nerties of GGG. Coupling YIG to a magnetic material with\nhigher spin-wave damping certainly would be expected\nto result in such an e\u000bect. It should be noted that we\nignore anisotropy in these considerations. E\u000bects due\nto anisotropy are generally expected to be too small to\naccount for the irregularities we record in our measure-\nments, but as far as we are aware, this has not been\nexperimentally con\frmed at these temperatures.\nIn conclusion, in this work we present a detailed study\nof the propagation of pulsed magnetostatic surface spin-\n0 2 4 6 8 10\nTem perature (K)0.06\n0.05\n0.04\n0.03\n0.02\n0.01\n0.00Gradient \nGradient\n12\n10\n8\n6\n4\n2\n0\nOffset (m T)\nOffset\n0.0 0.2 0.4 0.6 0.058\n0.054\n0.050\n0.046\n12\n10\n8\nFIG. 5. At each temperature, the deviation of the observed\nFMR frequency from the theoretical value can be \ftted as a\nlinear function of the applied magnetic \feld. The o\u000bset and\ngradient of these linear functions are plotted here for a range\nof di\u000berent temperatures.\nwave signals in an yttrium iron garnet waveguide at mil-\nlikelvin temperatures. Calculations using a simple model\nbased on the dispersion relation of MSSWs agree well\nwith our experimental \fndings. Our investigations con-\n\frm that trains of short spin-wave pulses can readily\nbe excited and detected inductively in YIG waveguides\ngrown on gallium gadolinium garnet substrates. We ob-\nserve a temperature- and \feld-dependent deviation from\nthe theoretically expected FMR frequency, which we at-\ntribute to the low-temperature magnetic ordering in the\nsubstrate GGG. As well as being of interest in their own\nright, these results are an important step toward the\ncombination of systems of propagating magnons with mi-\ncrowave superconducting quantum circuit technology.\nThe authors acknowledge Bob Watkins for preparing\nthe waveguide and the EPSRC for funding this research\nwith Grant EP/K032690/1.\n[1] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y. Nakamura, Phys. Rev. Lett. 113, 083603\n(2014).\n[2] J. Bourhill, N. Kostylev, M. Goryachev, D. L. Creedon,\nand M. E. Tobar, Phys. Rev. B 93, 144420 (2016).\n[3] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Phys.\nRev. Lett. 113, 156401 (2014).\n[4] D. Zhang, X.-M. Wang, T.-F. Li, X.-Q. Luo, W. Wu,\nF. Nori, and J. Q. You, Npj Quantum Inf. 1, 15014\n(2015).\n[5] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, Phys. Rev. Applied 2,\n054002 (2014).\n[6] N. Kostylev, M. Goryachev, and M. E. To-\nbar, Appl. Phys. Lett. 108, 062402 (2016),6\nhttp://dx.doi.org/10.1063/1.4941730.\n[7] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Science (2015),\n10.1126/science.aaa3693.\n[8] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen-\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nPhys. Rev. Lett. 111, 127003 (2013).\n[9] X. Zhang, C. Zou, L. Jiang, and H. X.\nTang, J. Appl. Phys. 119, 023905 (2016),\nhttp://dx.doi.org/10.1063/1.4939134.\n[10] A. D. Karenowska, A. D. Patterson, M. J. Peterer, E. B.\nMagn\u0013 usson, and P. J. Leek, arXiv:1502.06263 (2015).\n[11] B. A. Kalinikos, Sov. Phys. J. 24, 718 (1981).\n[12] A. Gurevich and G. Melkov, Magnetization Oscillations\nand Waves (CRC Press, Inc, 1996).\n[13] R. G. E. Morris, A. F. van Loo, S. Kosen, and A. D.\nKarenowska, Scienti\fc Reports 7, 11511 (2017).\n[14] D. D. Stancil and A. Prabhakar, Spin Waves (Springer\nNature, 2009).\n[15] T. W. O'Kee\u000be and R. W. Patterson, J. Appl. Phys. 49,4886 (1978).\n[16] T. Yukawa, J. ichi Yamada, K. Abe, and J. ichi Ikenoue,\nJpn. J. Appl. Phys. 16, 2187 (1977).\n[17] K. Y. Guslienko, R. W. Chantrell, and A. N. Slavin,\nPhys. Rev. B 68, 024422 (2003).\n[18] A. A. Serga, A. V. Chumak, and B. Hillebrands, Journal\nof Physics D: Applied Physics 43, 264002 (2010).\n[19] E. E. Anderson, Phys. Rev. 134, A1581 (1964).\n[20] P. Hansen, P. Roeschmann, and W. Tolksdorf, J. Appl.\nPhys. 45, 2728 (1974).\n[21] P. Schi\u000ber, A. P. Ramirez, D. A. Huse, P. L. Gammel,\nU. Yaron, D. J. Bishop, and A. J. Valentino, Phys. Rev.\nLett. 74, 2379 (1995).\n[22] P. Schi\u000ber, A. P. Ramirez, D. A. Huse, and A. J.\nValentino, Phys. Rev. Lett. 73, 2500 (1994).\n[23] P. P. Deen, O. Florea, E. Lhotel, and H. Jacobsen, Phys.\nRev. B 91(2015), 10.1103/physrevb.91.014419.\n[24] J. A. M. Paddison, H. Jacobsen, O. A. Petrenko, M. T.\nFernandez-Diaz, P. P. Deen, and A. L. Goodwin, Science\n350, 179 (2015)." }, { "title": "1909.13605v1.Switching_the_Optical_Chirality_in_Magneto_plasmonic_Metasurfaces_Using_Applied_Magnetic_Fields.pdf", "content": "1 \n Switching the Optical Chirality in Magneto -plasmonic \nMetasurfaces Using Applied Magnetic Fields \nJun Qin ,1 Longjiang Deng ,1 Tongtong Kang ,1 Lixia Nie ,1 Huayu Feng ,2 Huili Wang ,1 \nRun Yang ,1 Xiao Liang ,1,5 Tingting Tang ,5 Chaoyang Li ,4 Hanbin Wang ,3 Yi Luo ,3 \nGaspar Armelles2 and Lei Bi1,* \n \n \n1National Engineering Research Center of Electromagnetic Radiation Control Materials, University \nof Electronic Science and Technology of China, Chengdu 610054, China \n2Instituto de Micro y Nanotecnologí a (INM -CNM -CSIC), Isaac Newton 8, PTM, E -28760, Tres Ca ntos, \nMadrid, Spain \n3Microsystem and Terahertz Research Center, China Academy of Engineering Physics, Chengdu, \n610200, China \n4Hainan University, No. 58, Renmin Avenue, Haikou, Hainan Province, 570228, P. R. China \n5College of Optoelectronic Technology, Chengdu University of Information Technology Chengdu, \n610225, China \n \n*Corresponding author : bilei@uestc.edu.cn \n \n \nABSTRACT \nChiral nanophotonic devices are promising candidates for chiral molecules sensing, polariza tion \ndiverse nanophotonics and display technologies. Active chiral nanophotonic devices, where the \noptical chirality can be controlled by an external stimulus has triggered great research interest. \nHowever, efficient modulation of the optical chirality has been challenging. Here, we demonstrate \nswitching of the extrinsic chirality by applied magnetic fields in a magneto -plasmonic metasurface \ndevice based on a magneto -optical oxide material, Ce 1Y2Fe5O12 (Ce:YIG ). Thanks to the low optical \nloss and strong magneto -optical effect of Ce:YIG, we experimentally demonstrated a giant and \ncontinuous far -field circular dichroism (CD) modulation by applied magnetic fields from -0.65° to \n+1.9° at 950 nm wavelength under glancing incident conditions. The far field CD modulation is due \nto both magneto -optical circular dichroism and near -field modulation of the superchiral fields by \napplied magnetic fields. Finally, we demonstrate magnetic field tunable chiral imaging in \nmillim eter-scale magneto -plasmonic metasurfaces fabricated using self -assembly. Our results 2 \n provide a new way for achieving planar integrated, large -scale and active chiral metasurfaces for \npolarization diverse nanophotonics. \nKEYWORDS: Magnetoplasmonic , Metasurf ace, Optical Chirality , Magneto -optical effect \n \nChirality describes the symmetry property of a structure, that its mirror image cannot be \nsuperimpos ed with itself through translation and rotation operations, like our two hands. The \nchirality of biomolecules is universal in our living body, such as amino acid and proteins , which has \nsignificance in biomolecules recognition .1,2 However, the chiroptical si gnal of chiral biomolecules \nis very weak. Recently, chiral plasmonic3,4 and all dielectric structures5,6 with large chiroptical \nresponse have attracted great research interest. Benefitted from advanced nano -fabrication \ntechnolog ies, 3D or planar chiroptica l nanostructures, such as helices ,7,8 shurikens ,9 \ngammadions ,6,10 and twisted split -rings11 have been fabricated. On the other hand, extrinsic chirality \ncan also be observed in achiral photonic nano structure s under obliquely incidence conditions. The \nextrinsic chirality is originated from asymmetric distributions of electromagnetic fields, i.e. the \nelectromagnetic near field distribution is chiral .12 Nanophotonic structures such as nanoholes ,12 \nsquares13 and split ring resonators14,15 showed large extrinsic chirality. For instance, Ben et al. \ndemonstrated a ~4 times stronger optical chirality in achiral periodic nanoholes compared to the \ngammadion structure .12 Recently, Abraham et. al. experimentally demonstrated that the achiral \nnanohole structures can also show superchiral near -fields even at perpendicular incidence \nconditions .16 In that case, the far -field circular dichroism (CD) background signals from the \nplasmonic structures is el iminated, improving the sensitivity for chiral molecule sensing \napplications. These reports demonstrate a promising potential of utilizing extrinsic chirality for 3 \n integrated biomedical sensing and polarization diverse photonic devices. \n Recently, act ive chiral metamaterials have attracted great research interest. Unlike \nbiomolecules showing fixed optical chirality, the chiroptical response of an artificial nanostructure \ncan be switched by an external stimulus. Several methods have been demonstrated fo r chiroptical \nswitching, such as phase -change materials (VO 2, Ge 3Se2Te6),18,19 DNA origami ,20,21 mechanical \ndeformation ,22 chemical reactions23 and magneto -optical effects .10,24-26 Among these methods, \nactive control of the optical chirality using magneto -optical effects have attracted particular strong \nresearch interest .27,28 Compared to other mechanisms, modulation of the optical chirality using \nmagnetic fields shows advantages of high speed, low power consumption, continuous tunablity and \nease fo r integration .10,26 For instance, a far field CD modulation amplitude up to 150% is observed \nin an Au -Au-Ni trimer chiral plasmonic device .26 However, the weak magneto -optical effect and \nstrong optical absorption in ferromagnetic metals limited the modulat ion efficiency . The magnetic \nfield induced CD modulation is usually much weaker than the structural CD, resulting in small \nmodulation amplitudes of the far field CD. Therefore, e fficient control of the optical chirality in \nmagneto -optical chiroptical metas urfaces is yet to be demonstrated. \n Here, we demonstrate continuous switching of the extrinsic chirality in a magneto -optical \nmetasurface by applied magnetic fields. In particular, we observed a sign reversal for the far field \nCD upon reversing the ma gnetic field with a large CD modulation amplitude up to ~2.5°, which is \nmore than one order higher compared to previous reports .10,24,26 By using self -assembly fabrication \nmethods, we also demonstrate large scale integration and chiral imaging properties of such \nstructures. The large optical chirality modulation in such structures is fundamentally due to the \nstrong magneto -optical effect and low optical loss of Ce:YIG thin films, therefore demonstrating 4 \n their promising potential for active chiroptical meta surface applications. \n \nRESULTS AND DISCUSSION \n \n \nFigure 1. Device structures of the magneto -plasmonic metasurface. (a) Device schematics and \noperation mechanism. An out -of-plane magnetic field (PMOKE configuration) modulates the far \nfield extrinsic chirality under oblique incidence conditions. (b) Cross sectional image of the device \ncharacterized by TEM showing the structu re and thicknesses of each layer. (c) Surface morphology \nof the device measured by SEM showing hexagonal periodic nanohole structures in the Au thin film \nfabricated by PS sphere self -assembly. \n \nDevice structures of the magneto -plasmonic metasurface. Figure 1a shows the device structure \nand operation mechanism. From the top surface to the bottom . The device is composed of a thin Au \n5 \n membrane fabricated on top of a Ce:YIG/YIG/SiO 2/TiN multilayer, deposited on top of a SiO 2 \nsubstrate The operation mechanism can be understood as follows. Due to a centrosymmetric \nhexagonal structure of the nanoholes, the device shows extrinsic chirality when circular polarized \nlight is obliquely incident on the metasurface .12 This optical chirality is originated from an \nasymmet ric electromagnetic field distribution in the nanohole structure when plasmonic resonant \nmodes are excited .12 On the other hand, and due to the MO activity of the Ce:YIG layer, a magnetic \nfield applied along the surface normal induces a difference in the optical response of the system for \nleft and right circularly polarized light, allowing magnetic field modulation of the CD signal. \nMoreover, due to the metal (Au) -dielectric -metal (TiN) (MIM) cavity structure of the multilayer \nfilm stack, this magnetic mod ulation is enhanced by the strong localizatio n of the electromagnetic \nfield in the Ce:YIG layer at the cavity mode. Note that the YIG layer acting as a seed layer for \nCe:YIG crystallization also shows magneto -optical effect. But the amplitude is about one order of \nmagnitude lower than Ce:YIG ,29 which induces minor contribution to the CD modulation. For \ndevice fabrication, the Ce:YIG and YIG thin films are deposited by pulsed laser deposition (PLD), \nwhile TiN, SiO 2 and Au thin films are deposited by sputteri ng (see methods). The perforated Au thin \nfilm is fabricated by PS sphere self -assembly, thermal evaporation and a lift -off process (see \nSupporting Information Figure S1). The crystal structures are characterized by X -ray diffraction \n(XRD), confirming the f ormation of garnet phases in YIG and Ce:YIG29 (see Supporting \nInformation Figure S2a). The thickness of each thin film layer is TiN (129 nm)/SiO 2 (5 nm)/YIG \n(49 nm)/Ce:YIG (47 nm)/Au (51 nm), as characterized by cross -sectional transmission electron \nmicros copy (TEM) shown in Fig ure 1b. Energy dispersive spectroscopy (EDS) characterization in \nTEM shows sharp interfaces and little inter -diffusion between layers during the fabrication process 6 \n (see Supporting Information Figure S2c). Figure 1c shows the surface morphology of the perforated \nAu thin film measured by scanning electron microscopy (SEM). A uniform hexagonal periodic \nstructure is observed. The period and radius of the nanoholes are 540 nm and 185 nm, respectively, \nwhich are also c onfirmed by atomic force microscopy (AFM) images in Supporting Information \nFigure S2b. \n \nExtrinsic chiroptical properties of the metasurface. We firstly measure the CD spectrum of the \ndevices under oblique incidence and zero applied magnetic fields using the Mueller matrix method \non a spectroscopic ellipsometer, as shown in Fig ure 2a. Here, The CD is calculated by :30 \n (1) \nwhere M14 is the matrix element of the normalized Mueller matrix ,30 ARCP and ALCP are the \nabsorbance of the right and left circular polarized light respectively. 1 -M14 and 1+ M14 represent the \nreflection for left and right circularly polarized light , respectively .30 Because our structure show no \ntransmittance at the tested wavelength ra nge due to the thick TiN layer, the absorbance is calculated \nby , where R is the reflectance of the device . Here, we use the equation 33(log(1 -\nM14)-log(1+ M14)) to convert the CD unit to degrees .19 For normal incidence, the CD spectra is \nmeasured by the free -space CD characterization set -up. With increasing the incident angle from 45° \nto 60°, the CD peak is redshifted to longer wavelengths. A maximum CD of 1. 5° is observed at \naround 960 nm wavelength with 60° incident angle. The redshift is due to coupling of the cavity \nmode and the surface plasmon mode of Au/CeYIG (1,0) at high incident angles, where (1,0) stands \nfor the diffraction order of the hexagonal nano hole grating structure, as shown in Supporting \nInformation Figure S3a. For the surface plasmon mode (SPP) of a hexagonal periodic structure, the \n14\n10\n141log ( )1RCP LCPMCD A A AM \n10log ( ) AR7 \n wavevector of the surface plasmon mode can be expressed as :31 \n (2) \nwhere is free space wave -vector, P is the grating period, i and j are the diffraction orders of the \ngrating , is the incident angle, and are unit vector of reciprocal hexagonal lattice, m and \nd are the dielectric constants of the metal and dielectric layer respectively. The CD value is \nproportion to the incident angles, which is due to the large electric field asymmetry at high incident \nangles .14,32 The calculated CD spectra are shown in Fig ure 2b, which is consistent with experim ental \nresults. The spectrum is redshifted with increasing the incident angle, agreeing with experimental \nresults. The experimental CD spectrum shows wider peak widths compared to the simulation results, \npossibly due to an underestimation of the optical los s in polycrystalline Au and Ce:YIG thin films. \nAs stated before, the large extrinsic optical chirality is due to an asymmetric distribution of the \nelectromagnetic field under oblique incidence. To show this, we simulated the near -field distribution \nof the normalized electric field for 0° and 60° incident angles at 950 nm wavelength, as shown in \nFigure 2c-2f. For perpendicular incidence, the electric field distribution of RCP and LCP incident \nlight are almost identical, leading to zero CD value. However, for 60° incidence, the normalized \nelectric fields of RCP and LCP incident light are different, leading to large CD signals in the far -\nfield. In order to confirm the correctness of the Mueller matrix method, we also measure the CD \nspectrum by a home -built free -space C D characterization set -up (see Supporting Information Figure \nS4). In this case, the CD measured by free space optics is defined as: \n (3) \nwhere ARCP and ALCP are the absor bance of RCP and LCP incident light , respectively. The results \nfor both experiments agree with each other. The experimental absorption spectra of right (RCP) and \n0 0 1 244sin\n33md\nmdk k i b j b\nPP \n0k\n1b\n2b\nRCP LCP CD A A8 \n left (LCP) circular polarized light with incident angles changing from 45° to 60° are also shown in \nSupporting Information Figure S6a, indicating a cavity mode excitation at around 950 nm \nwavelength. \n \n \nFigure 2. Experimental and simulated CD spectrum at zero applied magnetic field. (a) \nExperimental CD spectra of the metasurface device with different incident angles. (b) Simulated \nCD spectra of the metasurface device with different incident angles. (c-f) Electric field distribution \n(normalized to free space field intensity of the incident light) at 950 nm wavelength for RCP and \nLCP light at 0° and 60° incidence angles. Th e field is plotted at the Au and Ce:YIG thin film \ninterface. \n9 \n \nMagnetic field tunable far field optical chirality. Next , we characterized the effect of applying a \nmagnetic field to switch the extrinsic chirality. Upon the application of a magnetic field, the CD \nsignal can be expressed by33,34 \n (4) \nwhere CD(B=0) is the extrinsic chirality of the nanohole structure under zero applied magnetic field, \nMCD is the magnetic circular dichroism originated from Ce:YIG. Note the index change of Ce:YIG \nunder applie d magnetic fields also lead to modulation of the near field electromagnetic field \ndistribution ,26 which modulates the extrinsic chirality and is included in the MCD term. Thanks to \nthe field localization in Ce:YIG, the far field CD is strongly influenced b y the magneto -optical effect \nof Ce:YIG, therefore leading to a significant modulation of the CD signal by applied magnetic fields. \nFigure 3a shows the magnetic field modulation of the CD measured by the Mueller matrix method \nwith different out -of-plane app lied magnetic fields for the 45° incidence condition. To apply \nmagnetic fields, we placed a small permanent magnet (NdFeB) behind the sample with the surface \nmagnetic field up to 3.1 kOe as measured by a gauss meter. By flipping the polarity of the permane nt \nmagnet, negative magnetic fields can also be applied. By switching the magnetic field polarity, the \nCD signal at 950 nm wavelength is switched from -0.65° to +1.9°. To demonstrate continuously \ntunable CD signals by applied magnetic fields, we measured t he CD spectra by applying different \nstrengths of magnetic fields, as shown in Fig ure 3b. This is achieved by placing the sample at \ndifferent distances from the permanent magnet. The CD signal gradually changes from negative to \npositive with changing the ma gnetic field from -3.1 kOe to +3.1 kOe, indicating a continuously \ntunable CD spectrum. In Fig ure 3c, we plot CD versus the applied magnetic field at 950 nm \n( ) ( 0)CD B CD B MCD 10 \n wavelength. In this field range, the CD signal scales almost linearly with the applied magnetic fiel d \nboth for the positive and negative side, which is consistent with the magneto -optical hysteresis loops \nshown in Supp orting Information Figure S8. The error bar indicates the standard deviation of five \nmeasurements. Fig ure 3d shows the simulated CD spectra at 950 nm wavelength. The simulation \nresults are consistent with the experimental results, with a CD tuning range from -1° to +1.9° at 950 \nnm wavelength. The difference between experiment and simulation is possibly due to a lower \napplied magnetic field compared to the saturation magnetic field required for Ce:YIG (the out plane \nsaturation magnetic field is about 4 kOe, as shown in Supporting Information Figure S8). We also \nsimulated and measured the CD spectra at different incident angles and under positive and negative \napplied magnetic fields, as shown in Supporting Information Figure S9 and Figure S10. As we \nincrease the incident angle the MCD decreases . On the other hand, the CD(B=0) signal increases \nwith increasing the incident angles due to a stronger asymmetry of the electromagnetic field \ndistribution, as shown in Fig ure 2a. Hence, for small incident angles, a lower CD(B =0) signal and \nlarger magnetic field modulation amplitude is observed. Whereas for large incident angles, a higher \nCD(B =0) is observed, and a lower modulation amplitude by the magnetic fields is observed. 11 \n \nFigure 3. Switching the extrinsic chirality by appl ied magnetic fields. (a) The experimental CD \nspectra under 0 and 3.1 kOe applied magnetic fields at 45° incidence. (b) The experimental CD \nspectra for the 45° incidence condition and under applied magnetic fields changing from -3.1 kOe \nto +3.1 kOe. (c) CD as a function of applied magnetic fields at 950 nm wavelength. The error bar \nrepresents the standard deviation of five measurements. (d) The simulated CD spectra with an \nincident angle of 45° under zero, positive, and negative saturation magnetic fields. \n \nMagnetic field tunable near field the optical chirality . To investigate the modulation of the near \nfield chiroptical response by magnetic fields, we quantify this phenomenon by investigating the \noptical chirality (OC) parameter modulated by magnetic fields, which is defined as :10 \n (5) \nwhere is the permittivity of vacuum, is the angular frequency , E* and B are the complex \n* 0\n0Im( )2C E BC 12 \n conjugate of the electric field and the magnetic field, C0 is the modulus of the optical chirality of \ncircularly pol arized wave (left or right) in the vacuum ( C0=/(2c)*E02). In Fig ure 4a, we \nsimulated the OC distribution of RCP light at 950 nm wavelength where the cavity mode was excited \nand the largest CD is observed. To be consistent with far field CD characterization experiments, the \nincident angle was set as 45°. The OC is investigated at the Au/Ce:YIG interface in our simulations, \nwhere the cavity mode is the strongest in the Ce:YIG layer. An OC up to 10 is observed with the \nlargest amplitude concentrated in the middle and edge of the holes, consistent with the electric field \ndistribution of a cavity mode. Compared to the RCP light, the optical chirality is reversed in sign \nand comparable in amplitude for the LCP incident light, as shown in Fig ure 4b. The difference of \nthe OC between the RCP and LCP incident light contribute to the high extrinsic chirality of the \nachiral structure. As shown in Fig ure 4c, the difference of the OC is in the range of -20~20, which \nis comparable to intrinsic chiroptical structures such as gammadions .1 Magnetic field modulation of \nthe superchiral field can be simulated by considering the permittivity tensor of Ce:YIG as a function \nof the material mag netization along the out -of-plane (z) direction: \n (6) \nwhere n0 is the complex refractive index of Ce:YIG without applying magnetic fields, is the \ncomplex off -diagonal permittivity tensor element when Ce:YIG is magnetized to saturation. Ms is \nthe saturation magnetization of Ce:YIG, and M ( ) is the magnetic moment of Ce:YIG , \nwhich is a function of applied magnetic field H determined by the magnetization hysteresis. Fig ure \n4d and 4e shows the simulated OC difference between +M s and –Ms magne tization condition of \n2\n0\n2\n0\n2\n00\n=0\n00s\nsMniM\nMinM\nn\n\n\n\n\n\n\n\n|M| MS13 \n Ce:YIG for the RCP and LCP light respectively. The modulation amplitude reaches 0.6, which is \nabout an order of magnitude higher compared to Au/Co gammadions nanostructures10 (CR/LCP/ \nrepresent the OC of right /left circular light with positive /negative magnetic fields . C is equal to \n(CRCP-CLCP)-(CRCP-CLCP)). Therefore, the magnetic field modulation amplitude of the local OC \n(2 (C-C)/(C+C)) is around 6%. Unlike the non -magnetic component (Fig ure 4a, b, c) where a \nlarge OC is observed at the hole center, the highest amplitude of magnetic field modulation of the \nOC is observed at the edge of the hole, due to a larger field intensity in Ce:YIG at the edge. Finally, \nin Fig ure 4f, we also simulated the magnetic component of t he extrinsic chirality, which was also \nabout an order of magnitude higher compared to previous reports .10,26 Therefore, the large tuning \nrange of the OC by magnetic fields makes our device potentially useful for active chiral photonic \ndevice applications. \n \n \nFigure 4. Optical chirality of the metasurface s. (a) OC distribution of metasurface with RCP \nincident light at 45° incident angle. (b) OC distribution of metasurface with LCP incident light at \n45° incident angle. (c) The difference of the OC between RCP and LCP incident light. (d) The \ndifference of the OC of RCP incident light under applied saturation magnetization field along \nopposite directions. (e) The difference of the OC of the LCP incident light under applied saturation \n14 \n magnetization fi eld along opposite directions. (f) Magnetic field modulation of the OC difference. \nThe dashed circles outline the boarder of one perforated nanohole. All simulations are performed at \nthe interface of Au nanohole and Ce: YIG layer. \n \nMagnetic field tunable chiral images. To visualize magnetic field switching of the optical chirality \nof the metasurface, we fabricate a school badge pattern of “UESTC” on the Ce:YIG/YIG/SiO 2/TiN \nmultilayer films in a 2 mm by 2 mm area using photolithography and self -assembly of PS spheres. \nFigure 5a shows the device image taken by lenses and an infrared CCD for the RCP incident light \nwith the wavelength of 950 nm and incident angle of 45°. The reflectivity was recorde d by an image \ncapture card as greyscale values and replotted in MATLAB. The blue regions with lower reflectivity \nare patterned with Au nanohole structures, i.e. the same structure as Fig ure 1a, as shown in the \nenlarged view. Whereas the golden regions with higher reflectivity are the bare \nCe:YIG/YIG/SiO 2/TiN multilayer thin films. Fig ure 5b shows the reflectivity spectra of the hole \narea and bare multilayer thin films . In Figure 5c, we extract the CD image from the RCP and LCP \nlight images, which is plotted by calculating reflectance circular dichroism ( RCD =(RRCP-\nRLCP)/(RRCP+RLCP)) at each pixel point .35,36 Where the RRCP and RLCP represent the reflectivity of \nright and left circular polarization incident light , respectively . Upon applying upward or downward \nmagnetic fields of 3.1 kOe, we observe obvious RCD sign reversal in the whole image at the \nmetasurface regions indicated by color chang ing from yellow (positive RCD) to blue (negative \nRCD) . The CD modulation amplitude reaches 6 ° in most metasurface regions, which is comparable \nto the single point measurement results. This result demonstrates the possibility to fabricate large \nscale active chiroptical metasurfaces using magneto -optical materials, which is promising for 15 \n imaging an d sensing applications. \n \nFigure 5. Magnetic field modulation of the chiral image of the “UESTC” school badge pattern. \n(a) The device image under RCP incident light measured by a CCD and replotted in greyscale \nreflectivity values. The blue regions are metasurface nanostructures as shown in the zoom -in image. \n(b) Reflectivity spectrum on and off the metasurface . The green dash line indicates the wavelength \nused for imaging. (c) RCD image without applied magnetic fields. (d) RCD image with out -of-plane \napplied magnetic field of +3.1 kOe. (e) RCD image with out -of-plane applied magnetic field of -3.1 \nkOe. \n \nCONCLUSIONS \nIn summary, we report switching of the extrinsic optical chirality in magneto -optical metasurfaces \nusing magne tic fields. Thanks to the strong cavity mode excited in low loss magneto -optical oxide \nthin films, we demonstrate a sign reversal and large tuning range of the far -field CD spectrum in \nmagnetoplasmonic metasurfaces by applied magnetic fields from -0.65° to +1.9° , accompanied with \n16 \n a large modulation amplitude of the near -field optical chirality up to 0.6, which is one order higher \ncompared to previous reports . We also demonstrate large scale fabrication capability of such tunable \nmetasurfaces by presenting a millimeter scale metasurface device and magnetic field tunable chiral \nimages. Our results demonstrate a promising potential of magnetic field tunable chiral metasurfaces \nbased on low loss magnetic oxides for integrated polarization control , sensing and display \napplications . \n \nMETHODS \nSample Fabrication. The multilayer thin film stack of 129 nm TiN/5 nm SiO 2/49 nm YIG/47 nm \nCeYIG/51 nm Au was deposited on silica substrates using pulsed laser deposition (PLD) and \nmagnetron sputtering. The bottom TiN film was first deposited by PLD (TSST) equipped with a 248 \nnm KrF excimer laser in 0.5 Pa nitrogen ambient at 800 ºC. The base pressure before deposition \nwas 1×10-6 Pa. The laser fluence was 3 J/cm2. After depositi on, the sample was cooled down in \nflowing nitrogen at a rate of 5 ºC/min to room temperature . Then the sample was transferred to a \nsputtering chamber with the base pressure of 5×10-4 Pa. A thin layer of SiO 2 was sputtered onto the \nTiN film to prevent TiN oxidation and promote YIG and Ce :YIG crystallization . A 49 nm thick YIG \nthin film was deposited on the SiO 2 layer at room temperature by PLD and rapid thermal annealed \nin nitrogen ambient at 860 ºC for 5 min to crystallize. The YIG acted as a seed layer for Ce:YIG \nthin film to crystallize which showed a higher magneto -optical effect. After YIG thin film \ncrystalliz ation , the Ce:YIG thin film was deposited at room temperature on YIG, and rapid thermal \nanneal ed in nitrogen at 800 ºC for 3 min. \n 17 \n The perforated Au thin film was fabricated by polystyrene (PS) sphere self -assembly and lift -off \ntechnology. Firstly, PS sphere powders were dispersed in a mixture solution of water and ethanol \n(volume ratio 1:1) to prepare the PS sphere dispersed solution with a concentration of 15 wt %. \nThen, the PS sphere dispersed solution was uniformly dispersed under ultrasonic cleaning for 1 hour. \nTo assemble the PS spheres, we slowly drop the PS sphere dispersed solution onto a water surface \nusing a pipette. After that, a few drops of 0. 1 wt % s odium dodecyl sulfate (SDS) were added to \npromote a dense self -assembly of PS spheres. After the PS sphere was self -assembled into a dense \npacked hexagonal lattice on the still water surface, we transferred the self -assembled PS spheres \nfrom water to sample surface. The multilayer film sample was placed into the water, underneath the \nPS sphere layer. Afterwards, the water was slowly pumped out using a peristaltic pump. After \npumping the water, the PS sphere was transferred to the surface of the film s. Then we dried the \nsample in ambient conditions for 2 hours followed by baking the sample for 1 min on a hot plate at \n100 ºC. Then, the PS sphere was oxidized to smaller diameters from 547 nm to 360 nm in oxygen \nplasma (80 W, 250 s). Au thin film was the n deposited on the sample surface by thermal evaporation \n(Leybold UNIVEX250) at room temperature with a base pressure of 1 10-4 Pa. The perforated Au \nthin film was then fabricated by rinsing off the PS sphere, by soaking into a methylbenzene solution \nwith ultrasonic cleaning for 3 min, and then rinsed in deionized water for 1 min. \n \nNumerical Simulations. Commercial numerical software (COMSOL MULTIPHYSICS®) based on \nfinite element method was used to simulate the far and near field response of the device. In our \nmodel, we used a hexagonal nanohole structure unit with periodic boundary conditions. The circular \npolarization light was defined in periodic port. A perfectly matched layer (PML) was set below the 18 \n TiN layer along the propagation direction to absorb the scattered light. The reflectance spectra were \ncalculated by the S -parameters in COMSOL. The OC was simulated at the interface between Au \nand Ce:YIG la yer. For permittivity of Au and TiN, we use the Drude model37 and Drude -Lorentz \ndispersion model38 to fit the permittivity. The refractive index of YIG is obtained from our \nexperimental data measured by the spectroscopic ellipsometer. The permittivity tens or of Ce:YIG \nis obtained from Ref 39,40 . \n \nMeasurement Procedure. The reflectance and CD spectra were measured by a home -built free \nspace CD characterization set-up as shown in Supporting Information Figure S4. The \ncharacterization set -up includes a s upercontinuum laser (NKT Photonics), a 1/4 wave plate, a \ncompensated full -wave liquid crystal retarder (Thorlabs, LCC1413 -B) and a rotation table. The right \nand left circular polarization were controlled by the LCC1413 -B by applying different voltages to \ncause birefringence of the liquid crystal. The reflection spectrum as a function of wavelength was \ncollected at 5° angle intervals. The reflectance as a function of the wavelength and incident angles \nwas plotted, indicating the dispersion relation of the sa mple. The CD spectra were also characterized \nby measuring the Mueller matrices using a spectroscopic ellipsometer (J. Woollam RC2) in a \nwavelength range from 230 to 1690 nm. Kerr spectra and Kerr Loops were obtained at nearly normal \nincidence with a magnet ic field, generated by an electromagnet, applied perpendicular to the sample \nsurface (see supplementary information). To measure the magnetic field modulation of CD, we used \na NdFeB permanent magnet with the surface magnetic field up to 3.1 kOe confirmed b y a Gauss \nmeter. For chiral imaging, we replaced the photodetector with a CCD. A black silicon CCD with \nresponse wavelength up to 1310 nm and 400,000 pixels was used to detect the chiral images. 19 \n Author Contributions \nJ. Q. conceived the idea. J. Q., X. L., and T. T. performed the theoretical calculation and numerical \nsimulations. J. Q., T. K., L. N., R. Y., H. W., and G. A. fabricated the samples and performed \nexperiments. H. F., C. L., H. W, and Y. L. helped with the theoretical interpretation. L. D., G. A., \nand L. B. supervised the project. All authors discussed the results and prepared the paper. \n \nNotes \nThe authors declare no conflict of interest. \n \nACKNOWLEDGEMENTS \nMinistry of Science and Technology of China MOST (2016YFA0300802 ), International \ncollaboration platform supported by Ministry of Education (“111 Project” of China) (B18011) are \nacknowledged. \n \nREFERENCES \n1. Hendry, E. ; Carpy, T. ; Johnston, J. ; Popland, M. ; Mikhaylovskiy, R. V. ; Lapthorn, A. J. ; Kelly, \nS. M. ; Barron, L. D. ; Gadegaard, N.; Kadodwala, M. Ultrasensitive detection and \ncharacterization of biomolecules using superchiral fields. Nat. Nanotechnol. 2010 , 5, 783 -787. \n2. Zhao, Y. ; Askarpour, A. N. ; Sun, L. ; Shi, J. ; Li, X. ; Alu, A. Chirality detection of en antiomers \nusing twisted optical metamaterials. Nat. Commun. 2017 , 8, 14180. \n3. Sakellari, L. ; Yin, X. ; Nesterov, M. L. ; Terzaki, K. ; Xomalis, A. ; Farsari , M. 3D chiral \nplasmonic metamaterials fabricated by direct laser writing: the twisted omega particle. Adv. \nOpt. Mater. 2017 , 5, 1700200 . 20 \n 4. Li, W. ; Coppens, Z. J. ; Besteiro, L. V. ; Wang, W. ; Govorov, A. O. ; Valentine, J. Circular \npolarized light detectio n with hot electrons in chiral plasmonic metamaterials. Nat. Commun. \n2015 , 6, 8379. \n5. Ma, Z. ; Li, Y. ; Li, Y. ; Gong, Y. ; Maier, S. A ; Hong, M. All -dielectric planar chiral metasurface \nwith gradient geometric phase. Opt. Express 2018 , 26, 6067 -6078 . \n6. Zhu, A. Y. ; Chen, W. ; Zaidi, A. ; Huang, Y. -W.; Khorasaninejad, M. ; Sanjeev, V. ; Qiu, C. -W; \nCapasso, F. Giant intrinsic chiro -optical activity in planar dielectric nanostructures. Light: \nScience & Applications 2018 , 7, 17158. \n7. Kaschke, J. ; Blume, L. ; Wu, L.; Thiel, M. ; Bade, K. ; Yang, Z. ; Wegener, M. A helical \nmetamaterial for broadband circular polarization conversion. Adv. Opt. Mater. 2015 , 3, 1411 –\n1417 . \n8. Kaschke, J. ; Wegener, M. Optical and infrared helical metamaterials, Nanophotonics 2016 , 5, \n38–51. \n9. Karimullah, A. S. ; Jack, C. ; Tullius, R. ; Rotello, V. M. ; Cooke, G. ; Gadegaard, N. ; Barron, L. \nD.; Kadodwala, M. Disposable plasmonic: plastic templated plasmonic metamaterials with \ntunable chirality. Adv. Mater. 2015 , 27, 5610 -5616. \n10. Armell es, G. ; Caballero, B. ; Prieto, P. ; Garcia, F. ; Cebollada, A. ; Gonzalez, M. U. ; Garcia -\nMartin, A. Magnetic field modulation of chirooptical effects in magnetoplasmonic structures. \nNanoscale 2014 , 6, 3737 -3741 . \n11. Liu, N. ; Liu, H. ; Zhu, S. ; Giessen, H. Stereometerials. Nature Photonics 2009 , 3, 157 -162. \n12. Maoz, B. M. ; Moshe, A. B. ; Vestler, D. ; Bar-Elli, O. ; Markovich, G. Chiroptical effects in \nplanar achiral plasmonic oriented nanohole arrays. Nano Lett. 2012 , 12, 2357 -2361. 21 \n 13. Cao, T. ; Wei, C. ; Mao, L. ; Li, Y. Extrinsic 2D chirality: giant circular conversion dichroism \nfrom a metal -dielectric -metal square array. Sci. Rep. 2014 , 4, 7442. \n14. Plum, E. ; Fedotov, V. A. ; Zheludev, N. I. Metamaterials: optical activity without chirality. Phys. \nRev. Lett. 2009 , 102, 113902 -113907 . \n15. Plum, E. ; Fedotov, V. A. ; Zheludev, N. I. Optical activity in extrinsically chiral metamaterial. \nAppl. Phys. Lett. 2008 , 93, 191911 -191914 . \n16. Vazquez -Guardado, A. ; Chanda, D. Superchiral light generation on degenera te achiral surface. \nPhys. Rev. Lett. 2018 , 120, 137601 -137607 . \n17. Wu, Z. ; Chen, X. ; Wang, M. ; Dong, J. ; Zheng, Y. High -performance ultrathin active chiral \nmetamaterials. ACS Nano 2018 , 12, 5030 -5041. \n18. Wang, S. ; Kang, L. ; Werner, D. H. Active terahertz chiral metamaterials based on phase \ntransition of vanadium dioxide (VO 2). Sci. Rep. 2018 , 8, 189. \n19. Yin, X. ; Schaferling, M. ; Michel, A. U. ; Tittl, A. ; Wuttig, M. ; Taubner, T. ; Giessen, H. Active \nchiral plasmonic. Nano Lett. 2015 , 15, 4255 -4260. \n20. Kuzyk, A. ; Yang, Y. ; Duan, X. ; Stoll, S. ; Govorov, A. O. ; Sugiyama, H. ; Endo, M. ; Liu, N. A \nlight-driven three -dimensional plasmonic nanosystem that translates molecular motion into \nreversible chiroptical function. Nat. comm un. 2016 , 7, 10591. \n21. Kuzyk, A. ; Urban, M. J. ; Idili, A. ; Ricci, F. ; Liu, N. Selective control of reconfigurable chiral \nplasmonic metamolecules. Sci. Adv. 2017 , 3, e1602803. \n22. Kim, Y. ; Yeom, B. ; Arteaga, O. ; Yoo, S. J. ; Lee, S. ; Kim, J. ; Kotov , N. A. Reconfigurable \nchiroptical nanocomposites with chirality transfer from the macro -to the nanoscale. Nat. Mater . \n2016 , 15, 461 -468. 22 \n 23. Matuschek, M. ; Singh, D. P. ; Jeong, H. ; Nesterov, M. ; Weiss, T. ; Fischer, P. ; Neubrech, F. ; Liu, \nN. Chiral plasmonic Hydrogen sensors. Small 2018 , 14, 1702990. \n24. Armelles, G. ; Cebollada, A. ; Feng, H. ; Carcia -Martin, A. ; Meneses -Rodriguez, D. ; Zhao, J. ; \nGiessen, H. Interaction effects between magnetic and chiral building block: a new route for \ntunable magneto -chiral plasmonic structures. ACS Photonics 2015 , 2, 1271 -1277. \n25. Feng, H. ; Dios, C. D. ; Garcia, F. ; Cebollada, A. ; Armelles, G. Analysis and magnetic \nmodulation of chir o-optical properties in anisotropic chiral and mag neto-chiral plasmonic \nsystems. Opt. Express 2017 , 25, 31045 -31055 . \n26. Zubritskaya, I. ; Maccaferri, N. ; Ezeiza, X. I. ; Vavassori, P. ; Dmitriev, A. Magnetic control of \nthe chiroptical plasmonic surface. Nano Lett. 2018 , 18, 302 -307. \n27. Huang, B. ; Gao, X. ; Lv, J. ; Tang, Z. Magnetic Circular Dichroism in Nanomaterials: New \nOpportunity in Understanding and Modulation of Excitonic and Plasmonic Resonances. Adv. \nMater. 2018 , 1801491. \n28. Floess, D. ; Giessen, H. Nonreciprocal hybrid magnetoplasmonic. Rep. Prog. Phys. 2018 , 81, \n116401 -116449 . \n29. Zhang, Y. ; Wang, C. ; Liang, X. ; Peng, B. ; Lu, H. ; Zhou, P. ; Zhang, L. ; Xie, J. ; Deng, L. ; \nZahradnik, M. ; Beran, L. ; Kucera, M. ; Veis, M. ; Ross, C. A. ; Bi, L. Enhanced magneto -optical \neffect in Y 1.5Ce1.5Fe5O12 thin films deposited on silicon by pulsed laser deposition. J. Alloy \nCompd . 2017 , 703, 591 -599. \n30. Querejeta -Fernández, A. ; Kopera, B. ; Prado, K. S. ; Klinkova, A. ; Methot, M. ; Chauve, G. ; \nBouchard, J. ; Helmy, A. S. ; Kumacheva, E. Circular dichroism of chiral nematic films of \ncellulose nanocrystals loaded with plasmonic nanoparticles. ACS Nano 2015 , 9, 10377 -10385. 23 \n 31. Ctistis, G. ; Patoka, P. ; Wang, X. ; Kempa, K. ; Giersig , M. Optical transmission through \nhexagonal arrays of subwavelength holes in thin metal films. Nano Lett. 2007 , 7, 2926 -2930. \n32. Plum, E. ; Fedotov, V. A. ; Zheludev, N. I. Extrinsic electromagnetic chirality in metamaterials. \nJ. Opt. A: Pure Appl. Opt . 2009, 11, 074009 -074016 . \n33. Yannopapas, V. ; Vanakaras, A. G. Strong magnetochiral dichroism in suspensions of \nmagnetoplasmonic nanohelices, ACS Photonics 2015 , 2, 1030 -1038. \n34. Eslami, S. ; Gibbs, J. G. ; Rechkemmer, Y. ; Slagren, J. V . ; Alarcon -Correa, M. ; Lee, T. ; Mark, \nA. G. ; Rikken, G. L. J. A. ; Fischer, P. Chiral nanomagnets. ACS Photonics 2014 , 1, 1231 -1236. \n35. Bilotti, I. ; Biscarini, P. ; Castiglioni, E. ; Ferranti, F. ; Kuroda, R. Reflectance circular dichroism \nof solid -state chiral coordination compo unds. Chirality 2002 , 14, 750 -756. \n36. Ahrenkiel, R. K. ; Lyu, S. L. ; Coburn, T. J. Reflectance -circular dichroism of the magnetic \ninsulator Co xCd1-xCr2S4. J. Appl. Phys . 1975 , 46, 894 -899. \n37. Ordal, M. A. ; Long, L. L. ; Bell, R. J. ; Bell, S. E. ; Bell, R. R. ; Alexander, R. W. ; Ward, C. A. \nOptical Properties of the Metals Al, Co, Cu, Au, Fe, Pb, Ni, Pd, Pt, Ag, Ti, and W in the Infrared \nand Far Infrared. Appl. Opt. 1983 , 22, 1099−1119. \n38. Patsalas, P. ; Kalfagiannis, N. ; Kassavetis, S. Optical pro perties and plasmonic performance of \ntitanium nitride. Mater. 2015 , 8, 3128 -3154. \n39. Goto, T. ; Onbash, M. C. ; Ross, C. A. Magneto -optical properties of cerium substituted yttrium \niron garnet films with reduced thermal budget for monolithic photonic inte grated circuits. Opt. \nExpress 2012 , 20, 28507 -28517 . \n40. Gomi, M. ; Furuyama, H. ; Abe, M. Strong magnetooptical enhancement in highly Ce substituted \niron garnet films prepared by sputtering. J. Appl. Phys. 1991 , 70, 7065 -7067 . " }, { "title": "1503.07282v1.Rigorous_numerical_study_of_strong_microwave_photon_magnon_coupling_in_all_dielectric_magnetic_multilayers.pdf", "content": "1\nRigorous numerical study of strong microwave photon-magnon\ncoupling in all-dielectric magnetic multilayers\nIvan S. Maksymova), Jessica Hutomo, Donghee Nam and Mikhail Kostylev\nSchool of Physics M013, The University of Western Australia, 35 Stirling\nHighway, Crawley WA 6009, Australia\na)ivan.maksymov@uwa.edu.au\nAbstract: We demonstrate theoretically a strong local enhancement of the\nintensity of the in-plane microwave magnetic field in multilayered structures made\nfrom a magneto-insulating yttrium iron garnet (YIG) layer sandwiched between\ntwo non-magnetic layers with a high dielectric constant matching that of YIG. The\nenhancement is predicted for the excitation regime when the microwave magnetic\nfield is induced inside the multilayer by the transducer of a stripline Broadband\nFerromagnetic Resonance (BFMR) setup. By means of a rigorous numerical\nsolution of the Landau-Lifshitz-Gilbert equation consistently with the Maxwell's\nequations, we investigate the magnetisation dynamics in the multilayer. We reveal\na strong photon-magnon coupling, which manifests itself as anti-crossing of the\nferromagnetic resonance (FMR) magnon mode supported by the YIG layer and the\nelectromagnetic resonance mode supported by the whole multilayered structure.\nThe frequency of the magnon mode depends on the external static magnetic field,\nwhich in our case is applied tangentially to the multilayer in the direction\nperpendicular to the microwave magnetic field induced by the stripline of the\nBFMR setup. The frequency of the electromagnetic mode is independent of the\nstatic magnetic field. Consequently, the predicted photon-magnon coupling is\nsensitive to the applied magnetic field and thus can be used in magnetically\ntuneable metamaterials based on simultaneously negative permittivity and\npermeability achievable thanks to the YIG layer. We also suggest that the\npredicted photon-magnon coupling may find applications in microwave quantum\ninformation systems.\nI. INTRODUCTION \nUnique electromagnetic properties of microwave waveguides and resonators with\nmagneto-insulating material inclusions have been well-known and actively exploited for\nseveral decades [1]. Recently, the functionality of devices comprising magneto-insulating\nmaterials has been extended and now it also includes spin wave-based and magnonic\nmicrowave devices [ 2–4], magnetically tunable microwave metamaterials [ 5–22] and2\nmicrowave quantum systems [ 23–28]. It is worth stressing the practical importance of\nmicrowave quantum systems. Although in some cases the realisation of quantum\nfunctionality in the microwave range is more complicated than in optics, microwave\nquantum systems are potentially more useful in the short-term perspective because they\nopen up opportunities to increase the sensitivity of magnetic-resonance imaging and\nimprove the currently available radar technologies (see, e.g., Refs. [ 29, 30]).\nBoth the tuneability effect in metamaterials and the strong coupling of microwave\nphotons to magnons (the quanta of spin waves [ 2–4]) in quantum systems stem from the\ndependence of the ferromagnetic resonance (FMR) frequency on the external static field H\napplied to the magnetic material [ 1]. This coupling leads to a strong anti-crossing between\nthe microwave photon mode and the magnon mode. Recently, Cao et. al. [ 26]\ndemonstrated that the anti-crossing can be realised not only for the FMR, but also for\nhigher-order standing spin wave resonances co-existing with the FMR in a magnetic thin\nfilm. As these resonances exist at lower value of the applied magnetic field, this finding\nopens up opportunities to decrease the applied field required for the strong coupling.\nA split-ring resonator (SRR) is arguably one of the most often employed elements in\nmetamaterials in general (see, e.g., Ref. [ 31]) and in magnetically tunable microwave\nmetamaterials in particular (see, e.g., Refs. [ 14–19]). SRR-based devices represent a\nmagnetic film-loaded SRR that is excited by a microstrip or coplanar line (for brevity and\ngenerality, hereafter we also use the term ”stripline”). In the case of the excitation of the\nSRR by a stripline [ 32], the dynamic magnetic field hrf created by the stripline on top of\nwhich the SRRs loaded with the magnetic film are located, is used to drive the\nelectromagnetic modes of the SRR and the magnon modes in the magnetic film.\n(Hereafter, we will interchangeably use the terms “electromagnetic mode” and “photon\nmode”.)\nOn the other hand, there are alternatives to SRR-based magnetically tuneable devices.\nFor example, Yttrium Iron Garnet (YIG) thin films or bulk YIG also exhibit negative\npermittivity and permeability [ 5–8, 10, 21, 22]. Such YIG-based devices are often excited\nby the dynamic magnetic field hrf of a stripline and their operating frequency can be tuned\nby an applied static magnetic field. YIG is a very well-known material that have been used\nin industry for several decades [ 4, 33]. YIG has a low magnetic (Gilbert) damping\nparameter G = 0.001 (or even lower, see Ref. [ 2]), which is eight times smaller than that\nof Permalloy, an alloy having one of the smallest G among the ferromagnetic metals [ 3].\nMost significantly, YIG is very attractive for microwave quantum experiments. It is\nknown that whereas the interaction of a single spin with an electromagnetic field mode is\nvery weak, collective enhancement, which scales as the square root of the total number of\nspins [34], makes the effective coupling strong enough. The spin systems with the largest\nvolumetric density of spins are ferro- and ferrimagnets. For instance, the net spin density\nof YIG in the ordered phase is several orders of magnitude higher than in paramagnetic\nspin ensembles [33], which have been recently studied extensively and shown to be\nprospective candidates for quantum information applications (see, e.g., Ref. [ 35]).\nFurthermore, in a ferro- or ferrimagnetic materials the spins are strongly coupled to each3\nother which results in a collective motion of spins required for the strong coupling.\nIn this work, we propose and investigate a multilayered structure having in its core a\nmagneto-insulating YIG film. The YIG layer is sandwiched by non-magnetic low-loss\nhigh dielectric constant (HDC) layers. The in-plane dimensions of the HDC layers match\nthose of the YIG film and these layers have a large dielectric permittivity matching that of\nYIG [36]. We also consider the scenario of a bulk YIG layer used instead of the film. The\nHDC layers are not required in this scenario.\nBy means of rigorous numerical simulations we predict a strong microwave photon-\nmagnon interaction, which manifests itself as anti-crossing between the magnon mode and\nthe fundamental electromagnetic resonance mode supported by the multilayer. As the\nstrength of the anti-crossing effect depends on the applied static magnetic field, we\nsuggest that our multilayered structure can be employed as a platform for microwave\nplanar tunable metamaterials and quantum systems. In the latter case, our theoretical\npredictions are for a signal level well above the single-photon level (classical dynamics).\nThis valid approach was pointed out, e.g., in Ref. [ 24], which demonstrated that the\nprospective candidates for high-co-operativity systems can be identified by studying their\nclassical dynamics at room temperature.\nSimilar to non-tuneable all-dielectric microwave metamaterials (see, e.g., Refs. [ 9, 37,\n38], the proposed multilayered structure are expected to be low-loss as compared with\nSRR-based devices, which are not free of losses due to capacitance gaps and currents\nflowing in the metallic parts of the SRR. Most significantly, we deliberately design our\nmultilayered structure to resonantly enhance the microwave magnetic field at the\nfrequency of the FMR in the YIG film. As shown in Refs. [ 37, 39], the local enhancement\nof the microwave magnetic field is due to the presence of a Mie-type resonance in\nsubwavelength dielectric structures, i.e. structures with cross-sectional dimensions smaller\nthan the microwave wavelength.\nThe enhancement of the microwave magnetic field hrf attainable with our structure is\nadvantageous for magnetically tuneable microwave devices because this field drives the\nFMR resonance. Consequently, hrf has to be strong enough to achieve an easily detectable\nFMR response. Whereas this is not a problem to achieve a strong response in cavity FMR\nmeasurements employing a high quality factor microwave cavity, hrf is usually weak in\nstripline BFMR and thus it needs to be enhanced. For instance, hrf might be increased by\nusing a narrower stripline. However, in this case the near field produced by the stripline is\nmainly concentrated above the stripline in close proximity to its surface. This low-lying\nfield localisation makes it difficult to drive magnetisation dynamics in thick magnetic\nfilms. Moreover, by using a narrow stripline one also excites propagating spin waves,\nwhich in general are seen as an undesirable widening of the FMR linewidth [ 40, 41]. We\nsuggest that by choosing our all-dielectric multilayered structure one can increase the\namplitude of hrf virtually independently of the dimensions of the stripline.\nAll-dielectric resonators combining magneto-insulating bulk YIG or thin films YIG\nwith non-magnetic dielectric materials were used in the past. Thus, before we proceed to\nthe discussion of the main results of our work, we would like to additionally highlight the4\nnovelty of our results with respect to those presented in previous works:\n1) Previous works Refs. [ 20, 42–51] demonstrated the existence of spin-\nelectromagnetic waves in multilayered structures consisting of a YIG film combined with\na ferroelectric or piezoelectric materials. In such structures, a spin-electromagnetic wave\nresults from anti-crossing interaction between a spin wave in the YIG film and an\nelectromagnetic wave propagating mostly in the electrically controlled layer.\nConsequently, the main discussion in the cited papers was focused on the electric control\nof the spectrum and phase shift of the spin-electromagnetic wave. In addition to electrical\ntuning, it was shown that the aforementioned multilayered structures can also be\ncontrolled by applied static magnetic fields (see, e.g., Refs. [ 45, 51]). However, none of\nthe cited papers provided a detailed discussion of the mode anti-crossing phenomenon as a\nfunction of the applied magnetic field that is being varied in a broad range. Moreover, in\nsome studies the mode anti-crossing effect was considered as undesirable [ 48]. Finally, the\ncited papers also do not discuss microwave magnetic field enhancement properties of the\nmultilayered structures. A reason for this may be a very large (~1500) dielectric constant\nof ferroelectric layers as compared with the permittivity of the HDC material used in this\npresent work. For such large permittivity, the fundamental resonance shifts toward low\nfrequencies and thus in the spectral range of interest one does not observe electromagnetic\nfield resonances suitable for the field enhancement.\n2) In a recent work Bi et. al. [ 10] proposed an arrayed magnetically tuneable Mie-type\nresonance-based dielectric metamaterial, which consists of individual meta-atoms built of\ndielectric cube resonators surrounded by bulk YIG layers. Bai et. al. investigated the\neffective permeability and permittivity of the metamaterial and showed the possibility to\ncontrol these parameters by external static magnetic field. However, despite a close\nsimilarity between their metamaterial structure and the multilayered structure proposed in\nthis work, one can easily see important differences. Firstly, we propose a single (as\nopposed to an array) planar YIG thin film-based structure capable of enhancing the in-\nplane component of the microwave magnetic field hrf. However, the microwave magnetic\nfield enhancement was not discussed by Bai et. al. Most significantly, they do not discuss\nthe mode anti-crossing effect that lies at the heart of magnetically tuneable materials and\nmicrowave quantum systems [ 16, 17, 23–28]. In fact, the anti-crossing could not be\nobserved in the experimental arrangement used by Bai et. al. because at the maximum of\nthe static magnetic field applied normally to the metamaterial (~2500 Oe) the FMR\nfrequency was below the resonance frequencies observed in the transmission spectrum of\nthe metamaterial.\n3) A high-quality dielectric resonator was used in Ref. [ 52, 53] to create pumping field\nfor the amplification of standing spin wave modes in a single crystal YIG film. This\nresonator was excited at a fixed carrier frequency of 14.258 GHz, which corresponds to\nthe double frequency of one of the standing spin wave modes. This arrangement is\nrequired to obtain the maximum efficiency of the parametric amplification. Consequently,\nthe anti-crossing between the spin wave modes and the dielectric resonator mode was not\nreported in the cited papers. Importantly, one should not mix up the aforementioned anti-5\ncrossing effects with the hybridisation of different types of spin wave modes observed in\nFig. 1(b) in Ref. [52].\n4) Finally, the papers cited in (1)–(3) do not discuss the potential of the strong photon-\nmagnon coupling observed in all-dielectric multilayers to be applied in microwave\nquantum systems. In this work, we point out this potential and also suggest that the use of\ndielectric materials may help to decrease losses in metallic cavities and SRRs used in the\nprevious works [23–25, 27]. A conceptually similar idea has recently been proposed by\nRameshti et. al. [54] who studied a YIG sphere placed inside a spherical microwave\ncavity. They demonstrated that one can achieve strong photon-magnon coupling even if\nthe cavity is removed and that the isolated YIG sphere itself acts as a microwave cavity\nthat supports Mie-type resonances. However, YIG spheres are essentially 3D objects\nwhich are difficult to use in a planar configuration, which is not the case of all-dielectric\nmultilayers investigated in our work.\nII. RESULTS AND DISCUSSION \nCentral for understanding of the FMR is the notion of spin waves, which are\nexcitations in magnetic media existing in the microwave frequency range [ 1, 2]. Spin\nwaves represent collective precessional motion of spins coupled by short-range exchange\nand long-range dipole interaction in a magnetic medium. The classical description of spin\nwaves is given by the Landau-Lifshitz-Gilbert (LLG) equation for the magnetisation\nvector M\n()Geffs t M tag¶ ¶ æ ö= - ´ + ´ ç ÷¶ ¶ è øM MM H M\n, (1)\nwhere is the gyromagnetic ratio, Heff is the total effective magnetic field inside the\nmedium including the contribution of hrf, Ms is the saturation magnetisation, and G is the\nGilbert damping coefficient. The first term on the right–hand–side of Eq. (1) gives rise to\nthe precessional motion of the magnetisation vector about an equilibrium direction\ndetermined by the effective magnetic field. The second term is the damping term\nresponsible for the magnetisation vector spiralling back to the static equilibrium.\nThe FMR or the fundamental mode of uniform precession of magnetisation is the case\nwhere the spins precess with the same phase and amplitude over the whole volume of the\nmagnetic material. It may be considered as a spin wave with an infinite wavelength or\nzero wave vector.\nThe most popular way to probe the excitation of the FMR in planar multilayered\nmagnetic structures is to expose a planar sample to a microwave radiation by using\nstripline broadband ferromagnetic resonance (BFMR) method (for a review see, e.g.,\nRef. [41]). The main part of a BFMR setup is a section of a stripline. The multilayer under\ntest sits on top of the stripline ( Fig. 1). A microwave current flowing through the\nmicrostrip at a fixed frequency f imposes a microwave (Oersted) magnetic field on the6\nmultilayer. The resonance frequency in the multilayer is determined by a slowly scanned\nfrequency f or external static magnetic field H. In the latter case, as the value of H is\nadjusted, the frequency of the natural magnetisation precession resonance eventually\nequals the frequency of the microwave magnetic field, and significant microwave power\nabsorption occurs.\nFIG. 1 Schematic of the proposed multilayered structure consisting of a magnetic YIG\nlayer sandwiched by two non-magnetic high dielectric constant (HDC) layers. (The GGG\nsubstrate of the YIG film is not shown.) The cross-sectional dimensions of the multilayer\nare 4.65 mm along the y-axis and 8 mm along the x-axis. In simulations, it is assumed that\nthe multilayer is infinitely long along the z-axis and the YIG layer is magnetised\ntangentially by the external static magnetic field H. The microwave magnetic field hrf is\ninduced by a microwave current flowing in the stripline of width w. In the main text, the x-\ncomponent of this field is referred to as in-plane. Only for the sake of illustration, the\nmultilayered structure is separated from the stripline by an air gap. In practice, and thus in\nour simulations, this structure sits on top of the stripline, as indicated by two straight\ndownward arrows.\nThe core of the proposed multilayered structure is a magneto-insulating 50 m-thick\nYIG film (Fig. 1, the thickness is defined along the y-axis). The YIG film sits on top of a\nthicker (~0.5 mm) non-magnetic Gadolinium Gallium Garnet (GGG) substrate, which is\nused as a seed for the growth of YIG. Hereafter, we will assume that in the microwave\nspectral range the dielectric permittivity of both YIG and GGG is 15. We sandwich the\nYIG/GGG film by two non-magnetic HDC plates with the same in-plane dimensions as\nthose of the YIG/GGG film. Importantly, we assume that the dielectric permittivity of\nthese commercially available [ 36] low-loss HDC plates is also 15. Thus, in the cross-\nsection our final structure looks like a 4 .65 mm-high and 8 mm-wide multilayer consisting\nof a magneto-insulating YIG film surrounded by non-magnetic HDC materials.\nAs discussed above, in an experimental work the BFMR spectroscopy would be the\nmethod of choice with which to investigate the FMR response of the multilayered\nstructure. In Ref. 41 we showed that experimental BFMR traces can be reproduced with\nhigh accuracy and explained by using semi-analytical and numerical methods such as a\n7\nfinite-difference method or a finite-difference time-domain (FDTD) method. In this work\nwe employ our customised FDTD software that solves the LLG equation Eq. (1)\nconsistently with the Maxwell’s equation [ 55]. Due to its time-domain nature, the FDTD\nmay be thought as a numerical counterpart of the experimental Pulse Inductive Microwave\nMagnetometry (PIMM) technique [ 41], which is one of the experimental techniques\nbelonging to the family of the BFMR spectroscopy.\nWe would like to underline the complexity of numerical simulations due to the\npresence of a very thin magnetic YIG film as compared with the microwave wavelength\nof hrf (see Ref. [56] for more details). For this reason, hereafter we will assume that the\nmultilayer is infinitely long along the z-axis. Also, we will model the microstrip line as an\ninfinitesimally thin current sheet. This approach was used in the past in analytical models\n(see, e.g., Ref. [57]). We also demonstrated that the aforementioned two-dimensional\nmodel and the current sheet approximation reproduce experimental data with good\naccuracy (see Ref. 41 and references therein).\nAnother numerical problem arising due to the large difference between the film\nthickness and the wavelength of the electromagnetic wave [ 56] – the instability of\nPerfectly Matched Layers boundary conditions for the FDTD – has been circumvented in\nthis work by using the old-fashioned Mur’s absorbing boundaries [ 58], which have been\nproven to be acceptably efficient.\nIn our simulations we use the following parameters of YIG: 4 Ms = 1750 G and\nG = 0.001. Thus, due to a small saturation magnetisation and a relatively large thickness\nof the YIG film the higher-order standing spin waves are not expected to contribute to the\nmagnetisation dynamics. As the dipole-dipole interaction dominates the total energy, our\nFDTD simulations do not take into account the exchange interaction, which makes it\npossible to reduce the computation time. Also, some authors [ 2] cite smaller values of G\nfor YIG, which, if used in our simulations, would lead to very small values of the FMR\nlinewidth H. Thus, such values are deliberately avoided in our simulations because the\nresulting resonance peaks would be very sharp and the attainment of high resolution of\nthese peaks would be very time-consuming.\nAs a test of our software and also in order to chose a suitable discretisation parameters\nof the computation domain x and y, we conduct simulations for the standalone 50 m-\nthick YIG film located 2.325 mm above the microstrip line, which simulates the scenario\nof the surrounding material with the dielectric permittivity of air. The YIG film is\nmagnetised in its plane along the z-axis (Fig. 1).\nWe excite the magnetisation dynamics in the YIG film by modelling the excitation of\nthe microstrip line by microwave current. As a rule of thumb, the near field created by a\nmicrostrip line extends above this line at a distance that equals to the width w of the\nmicrostrip. Consequently, we chose the width of the microstrip line as w = 3 mm, which\nensures a strong coupling between the microwave magnetic field of the stripline and the\nFMR resonance in the YIG film. The choice of a such a wide stripline also ensures an\nefficient excitation of the electromagnetic resonance in the case of the YIG film\nsurrounded by the HDC material (see below).8\nWe also note that the excitation by using such a wide stripline is equivalent to the\nexcitation by a plane wave incident on the sample from the far-field region [ 41], which\nwas additionally verified in this work. Consequently, the result presented below may be\nextended to the scenario of the excitation from the far field region, which is often the case\nof electromagnetic metamaterials (see, e.g., Ref. [ 10]).\nFIG. 2 Simulated FMR response of the isolated 50 m-thick YIG film (circles) and the\nprediction of the Kittel equation Eq. (2) (thin solid line). The inset shows the FMR\nspectrum (in arb. units) at H = 3000 Oe.\nAs shown in Fig. 2, we obtain a good agreement between the simulated FMR\nfrequency and the predictions of the Kittel equation [ 1, 2]\n()s FMR4\n2f H H Mgp\np= + . (2)\nWe note a good agreement between the results in a broad range of frequencies and values\nof the applied field. This results was achieved by using x = 62.5 m and y = 2.5 m.\nThe total number of time steps was considerably large: 106. For the sake of consistency, in\nall simulations presented below we will keep the same parameters.\nThe obtained FDTD spectra also allow us to extract the frequency swept FMR\nlinewidth f, which is then used to obtain the field swept full width H of the FMR [59].\nWe note that the extraction of the linewidth is challenging because of sharp features in the\nspectra (see the inset in Fig. 2), which is consistent with the fact that in our model we use\na relatively small Gilbert magnetic damping constant G = 0.001. Nevertheless, we\n9\nachieve acceptable agreement between the extracted linewidth values and the values for an\ninfinitely wide YIG film produced by the formula H = 2f/|| [2, 60].\nThis result allows us to assume that the resulting linewidth is not affected by the\nbroadening due to the excitation of travelling spin waves [ 40, 41]. Indeed, the previous\ntheory predicts that this broadening should be negligible because our stripline is wide\nenough to minimise this effect. Furthermore, we suggest that another linewidth broadening\nmechanism – the excitation of the higher-order width modes [ 61] – is also absent.\nThe width modes have the wave vectors k = n/wfilm being n the mode number\nindices and wfilm = 8 mm the width of the magnetic film (along the x-direction in Fig. 1).\nAs shown in Ref. [ 41], in the stripline BFRM one can excite spin waves with the\nmaximum wave vector kmax = 2/w (where w is the characteristic width of the stripline). In\nfact, kmax is the first zero of the function jk = (w/(2))sin(kw/2)/(kw/2), which corresponds\nto the Fourier image of the linear current density in the stripline. Thus, the spectral density\nof jk is largely concentrated between – kmax and kmax. For example, for w = 3 mm one\nobtains kmax ≈ 21 cm–1.\nDue to symmetry considerations, in our structure one may expect to observe a\nnoticeable contribution of the width modes with n = 1, 3, and, 5 to the FMR spectra\nbecause their wave vectors k < kmax. However, as shown in Refs. [ 57, 62], in the stripline\narrangement the amplitude of the driving microwave field scales as exp(– kd) being d the\ndistance between the stripline and the film, which in our case is 2.325 mm. By plugging d\nand k = n/wfilm into this expression one sees that the efficiency of the excitation of the\nwidth modes with respect to the fundamental FMR mode quickly drops with the mode\nnumber n, which supports our hypothesis that the higher-order width modes are absent in\nour system.\nAs the next step, in our simulations we sandwich the YIG film between two HDC\nplates (as shown in Fig. 1). Firstly, we calculate the spectrum of the multilayer for the zero\napplied static magnetic field H. The calculated spectrum [ Fig. 3(a)] shows the ratio |hx|2/|\nh0|2 taken in the middle of the YIG film, where hx is the in-plane component of the\nmicrowave magnetic field induced by the stripline loaded with the multilayer and h0 is the\nin-plane field component of the unloaded stripline [ 63]. One can see a resonant >350-fold\nlocal enhancement of the intensity of the in-plane ( hx) component of the microwave\nmagnetic field at the microwave frequency of 11.2 GHz. One can see that the linewidth of\nthis peak is one order of magnitude larger as compared with the FMR linewidth. The\nobtained enhancement is consistent with a previous result for a single dielectric cuboid\nresonator with a similar dielectric constant but larger dimensions [ 39].\nFigure 3(b) shows the simulated profile of the hx field, from which one sees that\nthe maximum of the local enhancement occurs slightly above the centre of the multilayer,\ni.e. slightly above the YIG film whose approximate position is given by two straight\nhorizontal lines. This shift of the field profile is probably due to one-side excitation of the\nmultilayer by a stripline, which is located below the multilayer. We verified that the same\nshift is seen when we excite the multilayer by a plane wave incident from the bottom.\nIt is also noteworthy that virtually the same spatial profile of the hx field (but not10\nthe amplitude) is observed when the frequency is scanned from 8 GHz to 14 GHz. We will\nreturn to this discussion later on.\nFIG. 3 (a) Local intensity enhancement of the in-plane microwave magnetic field\ncomponent in the non-magnetised multilayered structure as a function of the frequency.\nThe thickness of the YIG film is 50 m, which is approximately indicated by two parallel\nhorizontal black lines in Panel (b). In simulations, the co-ordinate of the field detector is\nx = 0, y = 0. (b) Simulated |hx|-field profile at the resonance frequency 11.2 GHz. The\nwhite rectangle denotes the contour of the multilayer. The stripline is located under the\nmultilayer.\nAs the next step, we step up the value of H and repeat the simulation of the hx-field\nenhancement spectrum. As shown in Fig. 4, the peak corresponding to the magnon mode\nappears at ~8.2 GHz at H = 1999 Oe. The frequency of this peak increases as the value of\nH is increased. At around H = 2900 Oe, the magnon mode peak reaches the\nelectromagnetic mode peak and the anti-crossing occurs. In this case one can see not one\nbut two peaks at the frequencies above and below 11.2 GHz. The magnon mode peak\nreappears at ~13 GHz at H = 3571 Oe, and the position and magnitude of the\nelectromagnetic resonance peak of the multilayer take the same values as before the anti-\ncrossing occurred. Figure 5(a) provides a bird's-eye view picture of the anti-crossing\neffect discussed in Fig. 4. This figure shows the simulated spectra as a two-dimensional\ngray-scale map plotted as a function of both frequency and the applied field H.\nWe also extract H of the FMR peak at the frequencies far from the anti-crossing\nby using the same procedure as above. The obtained values resemble those obtained for an\ninfinitely wide YIG film but without the HDC layers. At this point we would like to return\nto the discussion of the width modes and the role of the profile of the microwave magnetic\nfield inside the YIG film. In accord with the theory from Guslienko et. al. [ 61], the\ninhomogeneity of the hx-field profile along the width of the magnetic film (along the x-\n11\ndirection in Fig. 1) is a condition for efficient excitation of the width modes. We already\ndiscussed that a considerable distance between the stripline and the YIG film drastically\nreduces the efficiency of the excitation of these modes in the isolated YIG film. Here, we\npoint out that the presence of the HDC layers additionally prevents these modes from\noccurring. Above we noted that the hx-field profile shown in Fig. 3(b) virtually remains\nunchanged in a broad range of frequencies from 8 GHz to 14 GHz. Therefore, one sees\nthat the presence of the HDC layers makes the field profile less inhomogeneous, thus\nmaking this field unfavourable for the excitation of the width modes.\nFIG. 4 (a-e) Simulated spectra of the multilayer as a function of the frequency for\ndifferent values of the applied static magnetic field. The thickness of the YIG film is\n50 m. In simulations, the co-ordinate of the field detector is x = 0, y = 0.\nBy analogy with SRR-based metamaterials loaded with bulk YIG [ 16], it would be\nlogical to expect a larger magnon mode peak intensity in thicker YIG layers sandwiched\nbetween two HDC layers. On one hand, the strength of the interaction between spins and\nan electromagnetic field mode scales as the square root of the total number of spins [ 34].\nConsequently, the number of spins is larger in a thicker YIG layers than in thinner ones,\nwhich should result in stronger interaction. On the other hand, an earlier theory from Ref.\n[57] states that the strength of coupling of magnon dynamics in ferromagnetic films to\nmicrowave fields of striplines scales with the film thickness.\nHowever, the previous theories do not take into account the contribution of the non-\nmagnetic HDC layers present in the multilayer. As one can imagine by inspecting\nFig. 3(b), a larger portion of the microwave magnetic field energy can be focused in the\ncross section of a thicker YIG layer as compared with a thinner one. This suggests that the\n12\nstrength of the FMR excitation in thicker layers can be yet stronger due to the localisation\nof the microwave magnetic field in the layer.\nThe result of numerical simulations for a 500 m-thick YIG slab is shown in Fig. 5(b).\nNote that we do not change the total thickness of the multilayer, which implies that for the\n500 m-thick YIG film each surrounding HDC layer is 225 m thinner than for the\nstructure with the 50 m-thick YIG film. One observes a stronger anti-crossing effect\n[Fig. 5(b)]. One also sees that the intensity of the magnon mode, seen in Fig. 5(b) as a\nstraight-line trace in between the two electromagnetic modes that avoid crossing, becomes\ncomparable to the intensity of the electromagnetic mode, which is especially seen for\nH = 1000..3000 Oe and H = 4000..5000 Oe. Both the stronger anti-crossing effect and the\nlarger magnitude of the magnon mode with respect to the result in Fig. 5(a) are attributed\nto the increased thickness of the YIG layer [ 64].\nWe also consider the scenario of a standalone hot-pressed polycristalline YIG thick\nplate having the same dielectric permittivity as the YIG films considered above, as well as\nthe same cross-sectional dimensions as the multilayer in Fig. 1. Note that the HDC layers\nare not needed in this case because the thick YIG plate simultaneously plays the role of\nthe resonator for the magnon mode and the resonator for the electromagnetic mode. It is\nknown that the magnetic damping for the hot-pressed YIG is larger than the value\nG = 0.001 for high-quality single crystal YIG film, which has been used in our\nsimulations. The dielectric permittivity may also be different. However, we keep using\nG = 0.001 and = 15 for the sake of consistency and note that in our model for larger\nvalue of G one should expect the same result but with larger linewidth and lower\nmagnitude of the magnon mode peak.\nThe result for the YIG thick plate is shown in Fig. 5(c). Naturally, the anti-crossing\neffect is very strong because of a larger number of spins is involved in the photon-magnon\ninteraction and due to the fact that all energy of the microwave magnetic field is now\nconcentrated in the magnetic layer.13\nFIG. 5 Grey-scale maps of simulated spectra of the multilayer as a function of the applied\n14\nfield and microwave frequency. (a) 50 m-thick YIG film, (b) 500 m-thick YIG film,\nand (c) all-YIG structure. Dashed line denote the best fits obtained using Eq. (3). White\ncolour – zero intensity, black colour – maximum of intensity. The inaccuracy of the fitting\nof the upper branch of the photon mode in Panel (c) is probably because this branch\nextends into the frequency region of the higher-order electromagnetic modes of the\nmultilayer.\nFrom the simulated gray-scale maps in Fig. 5 we extract a value that characterises\nthe strength of coupling of the electromagnetic resonance mode of the multilayer to the\nmagnon mode. In order to compare the degree of co-operativity of different resonance\nsystems, one traditionally uses an abstract model of two coupled resonators (see , e.g, [ 23,\n24, 35]). This standard model reads\n20 0 0 0\n2 1 2 1 2\n1(2)\n2 2f f f ffæ ö + -= ± + D ç ÷è ø, (3)\nwhere 1f and 2fare the frequencies of the coupled resonances, 01f and 02f are the\nrespective resonance frequencies in the absence of coupling and is the coupling strength.\nThe coupling strength is measured in frequency units. Extracting from the best fits of\nsimulated data with this abstract model allows one to formally compare systems with\ndifferent physical origins. Hereafter, we assume that coupling of the multilayer resonance\nto the microstrip feeding line is broadband and does not depend on the frequency and\napplied field within the frequency range of interest. This valid assumption allows one to\nassign the effect seen in Fig. 5 to the coupling of the photon mode of the multilayer to the\nmagnon mode. We also assume that 02f is the frequency of the pure magnon mode given\nby the Kittel formula Eq. (2). Hence it depends on the applied field H. Similarly, we\nassume that 01f is the frequency of the multilayer mode at H = 0. Therefore, it is\nindependent of the applied field.\nThe dashed lines in Fig. 5(a-c) are the best fits of the simulated data with Eq. (3).\nFrom the fits in Fig. 5(a) we obtain = 500 MHz or 01/ 4.5%fD =. The former value is\nslightly larger and the latter value is 1.5 times smaller than those (450 MHz and 6.8%) for\nan SRR-YIG system investigated in Ref. [ 27]. This comparison is given as a guide only,\nbecause the thickness of the YIG film in Ref. [27] was two times smaller (25 m), but the\nfrequency of the anti-crossing was approximately two times lower (016f» GHz). For the\nthicker 500 m YIG slab we obtain = 1500 MHz or 01/ 13.5%fD =. Finally, for a bulk\nYIG crystal we obtain = 3500 MHz or 01/ 31.25%fD =, which is ~1.5 times higher that\nfor the bulk YIG in Ref. [ 16] (~20%).\nIn overall, the values of obtained for the YIG layers of different thickness15\ndemonstrate the previously observed trend [ 16]: the usage of thicker layers leads to\nstronger photon-magnon interaction and thus a wider tuning range. Furthermore, on\naverage the simulated values of the coupling parameter are the same as in the SRR-\nbased structures. This allows us to draw the conclusion that insulators can be successfully\nused instead of metals as the constituent material of tuneable electromagnetic\nmetamaterials. Most significantly, such non-metallic metamaterials can outperform their\nmetal-based counterparts because they will be intrinsically low-loss [9, 37, 38]. In\naddition, the absence of metallic components may help to resolve electromagnetic\ncompatibility problems often encountered in non-metal structures [22].\nFinally, the all-dielectric design holds the potential to be frequency scalable, i.e. to\nsome extent an increase in the operating microwave frequency should be possible by\nreducing the cross-section of the multilayer. Of course, due to relatively low saturation\nmagnetisation of YIG the operation at higher microwave frequencies will require larger\nvalues of the applied static magnetic field H. The same larger values of H would be\nrequired for an SRR-YIG device (or any other magnetic devices containing YIG\nexploiting the FMR) to operate at higher frequencies. It should be noted that at higher\nfrequencies SRR-based devices may suffer even more from increased losses in the SRR\nstructure. In contrast, this is not expected in our magneto-insulating multilayered structure.\nOn the other hand, the requirement for the applied magnetic field can be relaxed if one\nuses spinel or hexaferrite materials [ 65] instead of YIG. These materials are characterised\nby a large saturation magnetisation of ~3000–5000 G and their magnetic losses given by\nG = 0.002 or so. The dielectric permittivity of spinels and hexaferrites is ~12, which is\nlower than that of YIG assumed throughout this paper. However, this is not a problem\nbecause the thin film is surrounded by two HDC layers. Our simulations show that the\nmagnetic field intensity profile remains unchanged even if the two HDC layers are\nseparated by a 100 m-high gap. This suggests that one can use insulating thin-film\nmagnetic materials with a relatively low dielectric permittivity without changing the\noperating frequency and significantly loosing in the magnetic field enhancement.\nCONCLUSIONS \nOur rigorous numerical simulations have revealed the potential of all-magneto-dielectric\nmultilayered structures to outperform conventional split-ring-resonator-based\nmagnetically tuneable metamaterials and quantum systems. The proposed multilayer\nstructure combines standard and commercially available constituent materials such as YIG\nand high dielectric constant materials, and it can be driven by both near- and far-field zone\nmicrowave magnetic signals produced by different types of sources. The flexibility of the\ndesign allows one to employ other magneto-insulating materials instead of YIG, even if\nthe dielectric permittivity of those materials is relatively low as compared with that of the\nnon-magnetic materials. As shown in Ref. [ 2], decent optical properties of YIG make it\npossible to create hybrid microwave-optical devices. The presence of non-magnetic16\ndielectric materials additionally improves the optical properties of the investigated\nmultilayer thus making it potentially attractive for the application in, e.g., recently\nproposed microwave quantum illumination [ 29].\nACKNOWLEDGMENTS\nThis work was supported by the Australian Research Council. ISM gratefully\nacknowledges a postdoctoral research fellowship from the University of Western\nAustralia.\nREFERENCES\n[1] A. G. Gurevich and G. A. Melkov, Magnetization oscillations and waves (CRC, Boca\nRaton, Florida, 1996).\n[2] D. D. Stancil and A. Prabhakar, Spin Waves: Theory and Applications (Springer,\nBerlin, 2009).\n[3] V. V. Kruglyak, S. O. Demokritov, and D. Grundler, J. Phys. D: Appl. Phys. 43,\n264001 (2010); doi: 10.1088/0022-3727/43/26/264001 \n[4] A. A. Serga, A. V. Chumak, and B. Hillebrands, J. Phys. D: Appl. Phys. 43, 264002\n(2010); doi: 10.1088/0022-3727/43/26/264002\n[5] T. Ueda and M. Tsutsumi, IEEE Trans. Magnet. 41, 3532 (2005); doi:\n10.1109/INTMAG.2005.1463707\n[6] Y. He, P. He, S. D. Yoon, P. Parimi, F. Rachford, V. Harris, and C. Vittoria, J. Magn.\nMagn. Mater. 313, 187 (2007); doi:10.1016/j.jmmm.2006.12.031 \n[7] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and\nMicrowave Applications (John Wiley and Sons, New York, 2006).\n[8] M. Tsutsumi and T. Ueda, in Microwave Symposium Digest, 2004 IEEE MTT-S\nInternational, Vol. 1 (2004) pp. 249–252 Vol.1. doi: 10.1109/MWSYM.2004.1335859 \n[9] Q. Zhao, J. Zhou, F. Zhang, and D. Lippens, Mater. Today 12, 60 (2009); doi:\n10.1016/S1369-7021(09)70318-9 \n[10] K. Bi, Y. Guo, X. Liu, Q. Zhao, J. Xiao, M. Lei, and J. Zhou, Sci. Rep. 4, 7001\n(2014); doi: 10.1038/srep0700117\n[11] H. Zhao, J. Zhou, Q. Zhao, B. Li, L. Kang, and Y. Bai, Appl. Phys. Lett. 91, 131107\n(2007); http://dx.doi.org/10.1063/1.2790500 \n[12] F. Rachford, D. Armstead, V. Harris, and C. Vittoria, Phys. Rev. Lett. 99, 057202\n(2007); http://dx.doi.org/10.1103/PhysRevLett.99.057202 \n[13] A. Pimenov, A. Loidl, K. Gehrke, V. Moshnyaga, and K. Samwer, Phys. Rev. Lett.\n98, 197401 (2007); http://dx.doi.org/10.1103/PhysRevLett.98.197401 \n[14] L. Kang, Q. Zhao, H. Zhao, and J. Zhou, Opt. Express 16, 8825 (2008);\nhttp://dx.doi.org/10.1364/OE.16.008825 \n[15] J. N. Gollub, J. Y. Chin, T. J. Cui, and D. R. Smith, Opt. Express 17, 2122 (2009);\nhttp://dx.doi.org/10.1364/OE.17.002122 \n[16] G. B. G. Stenning, G. J. Bowden, L. C. Maple, S. A. Gregory, A. Sposito, R. W.\nEason, N. I. Zheludev, and P. A. J. de Groot, Opt. Express 21, 1456 (2013);\nhttp://dx.doi.org/10.1364/OE.21.001456 \n[17] S. A. Gregory, G. B. G. Stenning, G. J. Bowden, N. I. Zheludev, and P. A. J. de\nGroot, New J. Phys. 16, 063002 (2014); http://iopscience.iop.org/1367-2630/16/6/063002 \n[18] Y. Huang, G. Wen, W. Zhu, J. Li, L.-M. Si, and M. Premaratne, Opt. Express 22,\n16408 (2014); http://dx.doi.org/10.1364/OE.22.016408 \n[19] T. Kurihara, K. Nakamura, K. Yamaguchi, Y. Sekine, Y. Saito, M. Nakajima, K. Oto,\nH. Watanabe, and T. Suemoto, Phys. Rev. B 90, 144408 (2014);\nhttp://dx.doi.org/10.1103/PhysRevB.90.144408 \n[20] G. He, Rui-xin Wu, Y. Poo, and P. Chen, J. Appl. Phys. 107, 093522 (2010);\nhttp://dx.doi.org/10.1063/1.3359718 \n[21] R. X. Wu, J. Appl. Phys. 97, 076105 (2005); http://dx.doi.org/10.1063/1.1883718 \n[22] E. Lheurette, Metamaterials and Wave Control (Wiley, London, 2013).\n[23] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and Y. Nakamura, Phys.\nRev. Lett. 113, 083603 (2014); http://dx.doi.org/10.1103/PhysRevLett.113.083603 \n[24] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Phys. Rev. Lett. 113, 156401 (2014);\nhttp://dx.doi.org/10.1103/PhysRevLett.113.156401 18\n[25] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan, M. Kostylev, and M. E. Tobar,\nPhys. Rev. Applied 2, 054002 (2014); doi: 10.1103/PhysRevApplied.2.054002 \n[26] Y. Cao, P. Yan, H. Huebl, S. T. B. Goennenwein, and G. E. W. Bauer, “Magnon-\npolaritons in microwave cavities,” (2014), arXiv:1412.5809 [cond-mat.mes-hall].\n[27] B. Bhoi, T. Cliff, I. S. Maksymov, M. Kostylev, R. Aiyar, N. Venkataramani, S.\nPrasad, and R. L. Stamps, J. Appl. Phys. 116, 243906 (2014);\nhttp://dx.doi.org/10.1063/1.4904857 \n[28] H. Huebl, C. Zollitsch, J. Lotze, F. Hocke, M. Greifenstein, A. Marx, R. Gross, and\nS. Goennenwein, Phys. Rev. Lett. 111, 127003 (2013);\nhttp://dx.doi.org/10.1103/PhysRevLett.111.127003 \n[29] S. Barzanjeh, S. Guha, C. Weedbrook, D. Vitali, J. H. Shapiro, and S. Pirandola,\nPhys. Rev. Lett. 114, 080503 (2015), http://dx.doi.org/10.1103/PhysRevLett.114.080503 \n[30] E. D. Lopaeva, I. Ruo Berchera, I. P. Degiovanni, S. Olivares, G. Brida, and M.\nGenovese, Phys. Rev. Lett. 110, 153603 (2013);\nhttp://dx.doi.org/10.1103/PhysRevLett.110.153603 \n[31] D. Smith, W. Padilla, D. Vier, S. Nemat-Nasser, and S. Schultz, Phys. Rev. Lett. 84,\n4184 (2000); http://dx.doi.org/10.1103/PhysRevLett.84.4184 \n[32] F. Falcone, F. Mart ín, J. Bonache, R. Marqués, and M. Sorolla, Microwave Opt.\nTechnol. Lett. 40, 3 (2004); doi: 10.1002/mop.11269 \n[33] V. Cherepanov, I. Kolokolov, and V. Lvov, Phys. Rep. 229, 81 (1993); doi:\n10.1016/0370-1573(93)90107-O \n[34] A. Imamoglu, Phys. Rev. Lett. 102, 083602 (2009);\nhttp://dx.doi.org/10.1103/PhysRevLett.102.083602 \n[35] S. Probst, N. Kukharchyk, H. Rotzinger, A. Tkalčec, S. Wünsch, A. D. Wieck, M.\nSiegel, A. V. Ustinov, and P. A. Bushev, Appl. Phys. Lett. 105, 162404 (2014);\nhttp://dx.doi.org/10.1063/1.4898696 \n[36] For example, one can use commercial Eccostock HIK 500 dielectric materials\ndesigned for low-loss GHz microwave operation and having the dielectric permittivity in\nbetween 3 and 30 including 15, which corresponds to that of YIG in our model. These\nmaterials are available in different shapes and sizes suitable for the application in the19\nproposed multilayered structure.\n[37] S. O’Brien and J. B. Pendry, J. Phys.: Condens. Matter 14, 4035 (2002); doi:\n10.1088/0953-8984/14/15/317\n[38] B.-I. Popa and S. A. Cummer, Phys. Rev. Lett. 100, 207401 (2008),\nhttp://dx.doi.org/10.1103/PhysRevLett.100.207401 \n[39] G. Boudarham, R. Abdeddaim, and N. Bonod, Appl. Phys. Lett. 104, 021117 (2014),\nhttp://dx.doi.org/10.1063/1.4861166 \n[40] G. Counil, Joo-Von Kim, T. Devolder, C. Chappert, K. Shigeto, and Y. Otani, J.\nAppl. Phys. 95, 5646 (2004); http://dx.doi.org/10.1063/1.1697641 \n[41] I. S. Maksymov and M. Kostylev, Physica E: Low-dimensional Systems and\nNanostructures 69, 253 (2015); http://dx.doi.org/10.1016/j.physe.2014.12.027 \n[42] V. E. Demidov, B. A. Kalinikos, and P. Edenhofer, J. Appl. Phys. 91, 10007 (2002);\nhttp://dx.doi.org/10.1063/1.1475373 \n[43] A. B. Ustinov, V. S. Tiberkevich, G. Srinivasan, A. N. Slavin, A. A. Semenov, S. F.\nKarmanenko, B. A. Kalinikos, J. V. Mantese, and R. Ramer, J. Appl. Phys. 100, 093905\n(2006); http://dx.doi.org/10.1063/1.2372575 \n[44] A. B. Ustinov, G. Srinivasan, and B. A. Kalinikos, Appl. Phys. Lett. 90, 031913\n(2007); http://dx.doi.org/10.1063/1.2432953 \n[45] A. B. Ustinov, G. Srinivasan, and Y. K. Fetisov, J. Appl. Phys. 103, 063901 (2008);\nhttp://dx.doi.org/10.1063/1.2841200 \n[46] Y.-Y. Song, J. Das, P. Krivosik, N. Mo, and C. E. Patton, Appl. Phys. Lett. 94,\n182505 (2009); http://dx.doi.org/10.1063/1.3131042 \n[47] K. L. Livesey and R. L. Stamps, Phys. Rev. B 81, 094405 (2010);\nhttp://dx.doi.org/10.1103/PhysRevB.81.094405 \n[48] M. A. Popov, I. V. Zavislyak, and G. Srinivasan, J. Appl. Phys. 110, 024112 (2011);\nhttp://dx.doi.org/10.1063/1.3607873 \n[49] Y. Zhu, G. Qiu, and C. S. Tsai, J. Appl. Phys. 111, 07A502 (2012);\nhttp://dx.doi.org/10.1063/1.3671779 20\n[50] I. V. Bychkov, D. A. Kuzmin, and V. G. Shavrov, J. Magn. Magn. Mater 329, 142\n(2013); doi: 10.1016/j.jmmm.2012.10.021\n[51] A. A. Nikitin, A. B. Ustinov, A. A. Semenov, B. A. Kalinikos, and E. Lähderanta,\nAppl. Phys. Lett. 104, 093513 (2014); doi: 10.1063/1.4867985 \n[52] S. Schäfer, A. V. Chumak, A. A. Serga, G. A. Melkov, and B. Hillebrands, Appl.\nPhys. Lett. 92, 162514 (2008); doi: 10.1063/1.2917590 \n[53] A. A. Serga, A.V. Chumak, A. André, G. A. Melkov, A. N. Slavin, S. O.\nDemokritov, and B. Hillebrands, PRL 99, 227202 (2007);\nhttp://dx.doi.org/10.1103/PhysRevLett.99.227202 \n[54] B. Z. Rameshti, Y. Cao, and G. E. W. Bauer, Magnetic spheres in microwave\ncavities, arXiv:1503.02419 [cond-mat.mes-hall]\n[55] I. S. Maksymov and M. Kostylev, J. Appl. Phys. 113, 043927 (2013);\nhttp://dx.doi.org/10.1063/1.4789812 \n[56] I. S. Maksymov and M. Kostylev, J. Appl. Phys. 116, 173905 (2014);\nhttp://dx.doi.org/10.1063/1.4900999 \n[57] P. R. Emtage, J. Appl. Phys. 49, 4475 (1978); http://dx.doi.org/10.1063/1.325452 \n[58] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite–\nDifference Time–Domain Method , 3rd ed. (Artech House Publishers, Boston, 2005).\n[59] S. S. Kalarickal, P. Krivosik, M. Wu, C. E. Patton, M. L. Schneider, P. Kabos, T. J.\nSilva, and J. P. Nibarger, J. Appl. Phys. 99, 093909 (2006);\nhttp://dx.doi.org/10.1063/1.2197087 \n[60] R. D. McMichael and P. Krivosik, IEEE Trans. Magnet. 40, 2 (2004); doi:\n10.1109/TMAG.2003.821564 \n[61] K. Yu. Guslienko, S. O. Demokritov, B. Hillebrands, amd A. N. Slavin, Phys. Rev. B\n66, 132402 (2002); http://dx.doi.org/10.1103/PhysRevB.66.132402 \n[62] V. F. Dmitriev and B. A. Kalinikos, Sov. Phys. J. 31, 875 (1988);\nhttp://dx.doi.org/10.1007/BF00893541 \n[63] In BFMR measurements one often discusses the complex scattering parameter S 21\nobtainable, for example, from the values of the microwave electric field in the stripline.21\nHowever, by virtue of the Maxwell's equations solved consistently with the LLG equation,\none obtains the same spectral dependence by using the microwave magnetic field inside\nthe magnetic film.\n[64] In our simulations of the 50 m-thick YIG film we have 20 mesh points across the\nfilm thickness. However, we have 200 points for the 500 m-thick YIG film because we\nkeep the same spatial resolution in our simulations. We verified that this difference does\nnot interfere with our analysis.\n[65] V. G. Harris, A. Geiler, Y. Chen, S. D. Yoon, M. Wu, A. Yang, Z. Chen, P. He, P. V.\nParimi, X. Zuo, C. E. Patton, M. Abe, O. Acher, and C. Vittoria, J. Magn. Magn. Mater.\n321, 2035 (2009); http://dx.doi.org/10.1016/j.jmmm.2009.01.004 ." }, { "title": "2201.09154v1.Squeezed_driving_induced_entanglement_and_squeezing_among_cavity_modes_and_magnon_mode_in_a_magnon_cavity_QED_system.pdf", "content": "Squeezed driving induced entanglement and squeezing among cavity modes and\nmagnon mode in a magnon-cavity QED system\nYing Zhoua,b, Jingping Xua,\u0003, Shuangyuan Xiea,\u0003, Yaping Yanga\naMOE Key Laboratory of Advanced Micro-Structured Materials, School of Physics Science and Engineering, Tongji University, Shanghai\n200092, China\nbSchool of Electronics and Information Engineering, Taizhou University, Taizhou, Zhejiang, 318000, China\nAbstract\nWe propose a scheme to generate entanglement between two cavity modes and squeeze magnon mode in a magnon-\ncavity QED system, where the two microwave cavity modes are coupled with a massive yttrium iron garnet (YIG)\nsphere through magnetic dipole interaction. The nonlinearity used in our system originates from a squeezed driving\nvia parametric down-conversion process, which is the reason to cause entanglement and squeezing. By using the mean\n\feld approximation and employing experimentally feasible parameters, we demonstrate that the system shows zero\nentanglement and squeezing without squeezed driving. Meanwhile, our QED system denotes that the entanglement\nbetween squeezed cavity mode and magnon mode can be transferred to the other cavity mode and magnon mode via\nmagnon-cavity coupling interaction, and then the two cavity modes get entangled. A genuinely tripartite entangled\nstate is formed. We also show that magnon mode can be prepared in a squeezed state via magnon-cavity beam-splitter\ninteraction, which is as a result of the squeezed \feld. Moreover, we show that it is a good way to enhance entanglement\nand squeezing by increasing the nonlinear gain coe\u000ecient of squeezed driving. Our results denote that magnon-cavity\nQED system is a powerful platform for studying macroscopic quantum phenomena, which illustrates a new method to\nphoton-photon entanglement and magnon squeezing.\nKeywords: Squeezed driving, Nonlinearity gain, Entanglement, Squeezed\n1. Introduction\nIn recent years, yttrium iron garnet (YIG) material,\nas an excellent ferrimagnetic material with high spin den-\nsity(about 4 :22\u000210\u000027m\u00003) and low dissipation rate(about\n1 MHz), has attracted considerable attention [1, 2, 3].\nMoreover, YIG material is ferromagnetic at both cryogenic\n[4, 5, 6] and room temperature [7] because its Curie tem-\nperature is about 559K. The magnon mode, as a collective\nmotion of a large number of spins with zero wavevector\n(Kittel mode [8]) via the Holstein-Primako\u000b transforma-\ntion [9] in YIG sphere, possesses unique properties. It\ncan realize strong [4, 5, 6, 7, 10, 11] and ultrastrong [12,\n13] coupling to microwave cavity photons at either cryo-\ngenic or room temperature, and then lead to magnon-\ncavity polaritons. Thus, a lot of meaningful development\nabout magnons is found, including the observation of cav-\nity spintronics [10, 14], bistability [15], magnon gradient\nmemory[16], magnetically controllable slow light [17], level\nattraction[18], magnon-induced transparency [19, 20], and\nthe magnon squeezed state [21]. It is noted that magnon\n\u0003Corresponding author\nEmail addresses: xx_ jj_ pp@tongji.edu.cn (Jingping Xu),\nxieshuangyuan@tongji.edu.cn (Shuangyuan Xie)squeezed state is an important macroscopic quantum state,\nwhich can be used to improve the measurement sensitiv-\nity [22] and study decoherence theories at large scales[23].\nMeanwhile, by virtue of strong coupling among magnons,\nother interesting phenomena, including coupling the magnon\nmode to a single superconducting qubit [24], to photons and\nto phonon mode [20, 25], have also been studied. This of-\nfers a possibility to enable coherent information transfer\nbetween di\u000berent information carriers. Clearly, compared\nto atom, the size of YIG sphere is in mesoscopic or macro-\nscopic scale usually with a size of \u0018250\u0016m, which holds\nthe potentiality for implementing quantum states, espe-\ncially the entanglement in more massive object. Thus,\nit provides a promising and completely new platform for\nthe study of macroscopic quantum phenomena [25], which\nis a key step to test decoherence theories at macroscopic\nscale [23, 26], and probe the boundary between the quan-\ntum and classical worlds [27, 28, 29]. In the microwave\nregion, one important quantum state is entangled state,\nwhich is typically produced by exploiting the nonlinearity\nof magnetostrictive interaction in cavity magnomechani-\ncal system[30], by utilizing Kerr nonlinearity results from\nmagnetocrystalline anisotropy[31], and by using the non-\nlinearity of quantum noise in Josephson parametric am-\npli\fers (JPA) [32]. Meanwhile, another important macro-\nPreprint submitted to Physics Letters A January 25, 2022arXiv:2201.09154v1 [quant-ph] 23 Jan 2022scopic quantum state is magnon squeezed state, which is\nusually generated by the quantum noise of JPA process[21].\nRecent interest has focused on generating entangle-\nment and squeezing in a hybrid cavity magnon QED sys-\ntem, especially in a hybrid cavity magnomechanics sys-\ntem including phonons. A genuine tripartite entangle-\nment is shown by using the nonlinearity of magnon-phonon\ncoupling in a cavity magnomechanical system consisting\nof magnons, microwave photons and phonons [33], where\nthe magnons couple to microwave photons and phonons\nvia magnetic dipole interaction and magnetostrictive in-\nteraction, respectively. When driving the above cavity\n(Ref. [33]) by a weak squeezed vacuum \feld generated by\na \rux-driven JPA process, the magnons and phonons are\nsqueezed in succession, and larger squeezing could be real-\nized by increasing the degree of squeezing of the drive \feld\nand working at a lower temperature [21]. A hybrid cav-\nity magnomechanical system includes two magnon modes\nin two macroscopic YIG spheres, which couple to the sin-\ngle microwave cavity mode via magnetic dipole interaction.\nBy activating the nonlinear magnetostrictive interaction in\none YIG sphere, realized by driving the magnon mode with\na strong red-detuned microwave \feld, the two magnon\nmodes get entangled [34]. When two YIG spheres are\nplaced inside two microwave cavities driven by a two-mode\nsqueezed microwave \feld. Each magnon mode couples\nto the cavity mode via magnetic dipole interaction. The\nquantum correlation of the two driving \felds can e\u000eciently\ntransferred to the two magnon modes and magnon-magnon\nentanglement can be achieved. The two cavity modes also\ncan entangle to each other [35]. When considering the\nvibrational modes in the above cavity (Ref. [35]), each\nphonon mode couples to the magnon mode via magne-\ntostrictive interaction. By directly driving magnon mode\nwith a strong red-detuned microwave \feld to active the\nmagnomechanical anti-stokes process, and further driving\nthe two cavities by a two-mode squeezed vacuum \feld as\nabove scheme (Ref. [35]), the two phonon modes in two\nYIG spheres can also get entangled [36]. All the above\nsolutions denote that magnetic dipole coupling interaction\nand nonlinearity are two main elements to produce entan-\ngled and squeezed states. The main nonlinearity used is\nthe nonlinearity of magnetostrictive interaction[34, 30, 25,\n33] and the nonlinearity generated by quantum noise of\nJPA process[35, 32] in cavity magnon system.\nIn this letter, we propose a scheme to generate photon-\nphoton entanglement and squeeze magnon in a magnon-\ncavity QED system. Magnon mode in a YIG sphere is\ncoupled to two microwave \felds via magnetic dipole in-\nteraction, respectively. Since YIG material that generates\nmagnons is a massive object, it is considered to be a the-\noretically innovation to realize the entanglement of two\nmesoscopic objects through a cavity mode. However, for\nthe cavity mode or photon being a good carrier of infor-\nmation, we hope to entangle the two cavity modes with\nthe magnons as a mesoscopic medium, and we think this\nis more important from the perspective of information.Squeezing is also a very important quantum resource, and\nwe then emphasize the squeezing of magnons. It is found\nthat squeezing can be transmitted to various objects in\nthis QED system. Di\u000berent from previous propose, the\nnonlinearity we used is generated by parametric down-\nconversion of JPA process. The intensity of that is \rexible\ntunable, resulting in a squeezed cavity mode. Meanwhile,\nfor the phonon mode can provide another non-linearity\nand make the system into a more complex one, we did\nnot take it into consideration. In our QED system, entan-\nglement transferred from magnon-cavity a1subsystem to\nmagnon-cavity a2subsystem, and then transferred to two\ncavity modes subsystem. A genuinely tripartite entangled\nstate is formed. Meanwhile, the squeezed driving also pre-\npares the magnon mode in a squeezing state. Further, we\nshow that increasing the nonlinearity gain coe\u000ecient of\nsqueezed driving is a good way to enhance entanglement\nand squeezed. Moreover, we show that the optimal entan-\nglement and squeezing generated when the coupling rates\nbetween the two cavity modes and magnon mode are the\nsame.\n2. The model\nWe consider a hybrid magnon-cavity QED system, which\nconsists of two microwave cavity modes and a magnon\nmode, as depicted in Fig.1. A squeezed microwave cavity 1\n(with frequency !1) is implemented by parametric down-\nconversion in JPA process. We assume that the nonlinear\ngain coe\u000ecient of JPA is \n. The second microwave cav-\nity (with frequency !2) is perpendicular to the microwave\ncavity 1 without any non-linearity driving, the resonance\nfrequency!2is close to that of cavity mode a1. To achieve\nstrong couplings between the YIG sphere and these two\ncavity modes, we place the YIG sample at the center of\nboth cavities. Meanwhile, the magnons are quasiparticles,\na collective motion of a large number of spins spatially\nuniform mode (Kittel mode [8]) in a massive YIG sphere.\nThe magnetic \feld of cavity mode a1anda2are alongx\nandydirection, respectively. The bias magnetic \feld H\nis alongz-axis for producing the Kittel mode. Strongly\ncoupled is implemented via magnetic dipole interaction.\nMoreover, a microwave \feld with angular frequency !0\nand Rabi frequency \"pis applied along the xdirection to\ndrivinga1. We assume the size of the YIG sample to be\nmuch smaller than the microwave wavelengths in our QED\nsystem, so the radiation pressure on YIG sample induced\nby microwave \felds can be neglected. The Hamiltonian of\nthe system reads\nH=\u0016h=X\nj=1;2!jay\njaj+!mmym+X\nj=1;2gj(ay\nj+aj)(m+my)\n+\"p(a1ei!0t+ay\n1e\u0000i!0t) + \n(a2\n1e2i!0t+ay\n12e\u00002i!0t)(1)\nwhereajanday\njare, respectively, the annihilation and\ncreation operators of cavity mode j. m(my) is annihi-\n2lation (creation) operator of magnon mode [37], which\nrepresent the collective motion of spins via the Holstein-\nPrimako\u000b transformation [9] in terms of Bosons, satisfy-\ning [O;Oy] = 1 (O=a1;a2;m).!j(j= 1;2) and!m\npresent the resonance frequency of cavity modes ajand\nmagnon mode, respectively. The frequency of magnon\nmode can be adjusted by the external bias magnetic \feld\nHvia!m=\rH, where\r=2\u0019= 28GHz/T is the gyromag-\nnetic ratio. gjdenotes the linear coupling rate between\nmagnon mode and cavity mode aj, which currently can\nbe (much) larger than the dissipation rates \u0014jand\u0014m\nof cavity mode ajand magnon mode, i.e. gj> \u0014j,\u0014m\n(j= 1;2). It denotes the magnon-cavity QED system is\nin the strong coupling regime, but not in the ultrastrong\ncoupling regime, and the rotating-wave approximation can\nbe applied for the magnon-cavity interaction terms in our\nmagnon-cavity QED system.\nFig. 1. Schematic of magnon-cavity QED system. The \frst cav-\nity is driven by a microwave \feld with \"pthe Rabi frequency and\na squeezed \feld with \n the gain coe\u000ecient of parametric down-\nconversion, the resonance frequency of which is !1. The second\ncavity (with frequency !2) is perpendicular to the \frst one with a\nclose angular frequency. The magnetic \feld of cavity mode a1anda2\nare alongxandydirection, respectively. A YIG sphere is mounted\nat the center of the both microwave cavities. Simultaneously, it is\nalso in a bias magnetic \feld Halongz-axis for producing the Kittel\nmode, resulting in the resonance frequency !m. Here,\u00141,\u00142and\n\u0014mare the dissipation rates of cavity mode a1, cavity mode a2and\nmagnon mode, respectively.\nUnder the rotating-wave approximation, the magnon-\nphoton interaction term gj(aj+ay\nj)(m+my) becomesgj(ajmy+\nay\njm). We then switch to the rotating frame with respect\nto the driving frequency !0, the Hamiltonian of the system\ncan be written as:\nH=\u0016h=X\nj=1;2\u0001jay\njaj+ \u0001mmym+X\nj=1;2gj(ay\njm+ajmy)\n+\"p(a1+ay\n1) + \n(a2\n1+ay\n12) (2)\nWhere \u0001 j=!j\u0000!0, and \u0001m=!m\u0000!0are the\ndetunings of cavity mode j and magnon mode, respectively.\nBy including input noises and dissipations of the system,\nthe quantum Langevin equations describing the system areas follows,\n_a1=\u0000(i\u00011+\u00141)a1\u0000ig1m\u0000i\"p\u00002i\nay\n1+p\n2\u00141ain\n1(3)\n_a2=\u0000(i\u00012+\u00142)a2\u0000ig2m+p\n2\u00142ain\n2 (4)\n_m=\u0000(i\u0001m+\u0014m)m\u0000ig1a1\u0000ig2a2+p\n2\u0014mmin(5)\nWhereain\njandminare input noise operators for the\ncavity mode ajand magnon mode m, respectively, which\nare zero mean value acting on the cavity and magnon\nmodes. The Gaussian nature of quantum noises can be\ncharacterized by the following correlation function [38]:\nhain\nj(t)ainy\nj(t0)i= [Nj(!j) + 1]\u000e(t\u0000t0),hainy\nj(t)ain\nj(t0)i=\nNj(!j)\u000e(t\u0000t0)(j= 1;2), andhmin(t)miny(t0)i= [Nm(!m)+\n1]\u000e(t\u0000t0),hminy(t)min(t0)i=Nm(!m)\u000e(t\u0000t0) where\nNl(!l) = [exp(\u0016h!l=kBT)\u00001]\u00001(l= 1;2;m) are the equilib-\nrium mean thermal photon numbers and magnon number,\nrespectively, with kBthe Boltzmann constant and Tthe\nenvironmental temperature.\nSince the \frst cavity is under strong driving by the mi-\ncrowave \feld \"pand squeezed \feld \n, which results in a\nlarge amplitudejha1ij\u001d1 at the steady state. Meanwhile,\ndue to the beam-splitter-like coupling interaction between\ncavity modes and magnon mode, magnon mode and cav-\nity modea2are also of large amplitudes in steady state.\nThis allows us to linearize the system dynamics around\nthe semiclassical averages and write any mode operator\nasO=hOi+\u000eO(O=a1;a2;m), neglecting small second-\norder \ructuation terms. Here, hOiis the mean value of the\noperatorO, and\u000eOis the zero-mean quantum \ructuation.\nWe then obtain two sets of equations for semiclassical av-\nerages and for quantum \ructuations. The former set of\nequations are given by:\n\u0000(i\u00011+\u00141)ha1i\u0000ig1hmi\u0000i\"p\u00002i\nhay\n1i= 0 (6)\n\u0000(i\u00012+\u00142)ha2i\u0000ig2hmi= 0 (7)\n\u0000(i\u0001m+\u0014m)hmi\u0000ig1ha1i\u0000ig2ha2i= 0 (8)\nBy solving Eqs.(6)-(8), we obtain the steady-state solution\nfor the average values\nha1i=2\n\"p\nP\u0000\"p\n\u00011\u0000i\u00141\u00004\n2\nP\u0000g2\n1(\u00012\u0000i\u00142)\n(\u0001m\u0000i\u0014m)(\u0001 2\u0000i\u00142)\u0000g2\n2(9)\nha2i=g1g2ha1i\n(\u0001m\u0000i\u0014m)(\u00012\u0000i\u00142)\u0000g2\n2(10)\nhmi=\u0000g1(\u00012\u0000i\u00142)ha1i\n(\u0001m\u0000i\u0014m)(\u00012\u0000i\u00142)\u0000g2\n2(11)\nwhereP= \u0001 1+i\u00141\u0000g2\n1(\u00012+i\u00142)\n(\u0001m+i\u0014m)(\u0001 2+i\u00142)\u0000g2\n2. Thus, we\ncan obtain the mean photon numbers and mean magnon\nnumber from Eqs.(9)-(11).\nOn the other hand, quantum \ructuations is related to\nentanglement and squeezing. To study the quantum char-\nacteristics of the two cavity modes and magnon mode, the\nquadratures of quantum \ructuations about cavity modes\nand magnon mode are as \u000eX1= (\u000ea1+\u000eay\n1)=p\n2,\u000eY1=\ni(\u000eay\n1\u0000\u000ea1)=p\n2,\u000eX2= (\u000ea2+\u000eay\n2)=p\n2,\u000eY2=i(\u000eay\n2\u0000\n3\u000ea2)=p\n2,\u000ex= (\u000em+\u000emy)=p\n2, and\u000ey=i(\u000emy\u0000\u000em)=p\n2,\nand similarly for the input noise operators. The quantum\nLangevin equations describing quadrature \ructuations ( \u000eX1;\n\u000eY1; \u000eX 2; \u000eY2; \u000ex; \u000ey ) can be written as\n_f(t) =Af(t) +\u0011 (12)\nwheref(t) = [\u000eX1(t),\u000eY1(t),\u000eX2(t),\u000eY2(t),\u000ex(t),\u000ey(t)]Tand\n\u0011(t) = [p2\u00141Xin\n1(t),p2\u00141Yin\n1(t),p2\u00142Xin\n2(t),p2\u00142Yin\n2(t),p2\u0014mxin(t),p2\u0014myin(t)]Tare the vectors for quantum\n\ructuations operator and noises operator, respectively. The\ndrift matrix A is given by\nA=0\nBBBBBB@\u0000\u00141\u00011\u00002\n 0 0 0 g1\n\u0000\u00011\u00002\n\u0000\u00141 0 0\u0000g10\n0 0\u0000\u00142\u000120g2\n0 0\u0000\u00012\u0000\u00142\u0000g20\n0g1 0g2\u0000\u0014m\u0001m\n\u0000g1 0\u0000g20\u0000\u0001m\u0000\u0014m1\nCCCCCCA\nDue to the linearized dynamics and the Gaussian na-\nture of the quantum noises in our system, the steady state\nof quantum \ructuations is a continuous variable three mode\nGaussian state, which is completely characterized by a\n6\u00026 covariance matrix Vde\fned asVij=hfi(t)fj(t0) +\nfj(t0)fi(t)i=2 (i;j= 1;2;:::;6). In generally, the steady-\nstate covariance matrix Vcan be obtained straightfor-\nwardly by solving the Lyapunov equation [39, 40]\nAV+VAT=\u0000D (13)\nwhereD=diag[\u00141(2N1+ 1),\u00141(2N1+ 1),\u00142(2N2+ 1),\n\u00142(2N2+ 1),\u0014m(2Nm+ 1),\u0014m(2Nm+ 1)] is the di\u000bu-\nsion matrix, which is de\fned as Dij\u000e(t\u0000t0) =h\u0011i(t)\u0011j(t0)+\n\u0011j(t0)\u0011i(t)i=2. With the covariance matrix in hand, we can\nget the quantities related to entanglement and squeezing.\nTo quantify entanglement between the two cavity modes\nand magnon mode, we adopt quantitative measures of the\nlogarithmic negativity [41, 42] ENfor the bipartite entan-\nglement, which is de\fned as\nEN\u0011max[0;\u0000ln2~\u0017\u0000] (14)\nwhere ~\u0017\u0000=min[eigji\n2~V4j] is the minimum symplectic eigen-\nvalue of the ~V4=P1j2V4P1j2.V4is the 4\u00024 covariance\nmatrix, which can be obtained by directly removing in V\nthe rows and columns of uninteresting mode. Meanwhile,\nto realize partial transposition at the level of covariance\nmatrix, we set P1j2=diag(1;\u00001;1;1). \n 2is symplectic\nmatrix with \n 2=\b2\nj=1i\u001byand\u001byis they-Pauli matrix.\nA nonzero logarithmic negativity EN>0 denotes the pres-\nence of bipartite entanglement in our QED system.\nMeanwhile, a quanti\fcation of continuous variable tri-\npartite entanglement is given by the minimum residual\ncontangle [43, 44], de\fned as\nRmin\n\u001c\u0011min[Rajm1m2\n\u001c;Rm1jam2\n\u001c;Rm2jam1\n\u001c ] (15)\nwhereRijjk\n\u001c\u0011Cijjk\u0000Cijj\u0000Cijk\u00150 (i;j;k =a;m 1;m2)\nis the residual contangle, with Cujvthe contangle of sub-\nsystems of uandv(vcontains one or two modes), whichis a proper entanglement monotone de\fned as the squared\nlogarithmic negativity. When vcontains two modes, loga-\nrithmic negativity Eijjkcan be calculated by the de\fnition\nof Eq.(14). We only need to use \n 3=\b3\nj=1i\u001byinstead\nof \n 2=\b2\nj=1i\u001byand ~V6=PijjkVPijjkinstead of ~V4=\nP1j2V4P1j2, whereP1j23=diag(1;\u00001;1;1;1;1),P2j13=\ndiag(1;1;1;\u00001;1;1) andP3j12=diag(1;1;1;1;1;\u00001) are\npartial transposition matrices. Rmin\n\u001c\u00150 denotes the pres-\nence of genuine tripartite entanglement in three modes\nGaussian system.\nMeanwhile, squeezing can be calculated by the covari-\nance matrix of quantum \ructuations. The variances of\nsqueezed magnon quadratures are amplitude quadrature\nh\u000ex(t)2i, phase quadrature h\u000ey(t)2i, and amplitude quadra-\ntureh\u000eY2(t)2iis quadrature of cavity mode a2,\u000ex= (\u000emy+\n\u000em)=p\n2,\u000ey=i(\u000emy\u0000\u000em)=p\n2, and\u000eY2=i(\u000eay\n2\u0000\u000ea2)=p\n2.\nIn our de\fnition, h\u000eQ(t)2ivac= 1=2 (Q is a mode quadra-\nture) denotes vacuum \ructuations. The degree of squeez-\ning can be expressed in the dB unit, which can be evalu-\nated by\u000010log10[h\u000eQ(t)2i=h\u000eQ(t)2ivac], whereh\u000eQ(t)2ivac=\n1=2.\n3. Results and discussion\nTo show whether the squeezed driving can induce en-\ntanglement, we consider a simpler magnon-cavity QED\nsystem at \frst, where no coupling interaction exists be-\ntween the magnon mode and cavity mode a2, i.e.,g2= 0.\nFig.2(a) shows the bipartite entanglement between cav-\nity modea1and magnon mode versus detunings \u0001 1and\n\u0001min steady state. We employed experimentally fea-\nsible parameter [5] at low temperature T= 10mK, as\n!1=2\u0019= 10GHz, \u0014m=2\u0019= 1MHz,\u00141=2\u0019=\u00142=2\u0019=\n5MHz,g1=2\u0019= 20MHz. Moreover, Rabi frequency of\nmicrowave \feld we employed is \"p= 10\u0014m. Squeezed\n\feld used in our system is to generate nonlinear term by\nthe JPA process with gain coe\u000ecient \n = 2 :5\u0014m. This\nis the nonlinearity that causes entanglement in our QED\nsystem. Fig.2(a) shows that the photon-magnon entan-\nglement described by logarithmic negativity can achieve\nto 0.3. Meanwhile, due to the state-swap interaction be-\ntween the cavity mode a1and magnon mode, the squeez-\ning can be transferred from squeezed cavity mode a1to\nthe magnon mode, as shown in Fig.2(b).\nNote that the above results are valid only when the\nassumption of low-lying excitations, i.e. magnon excita-\ntion numberhmymi\u001c 2Ns, wheres= 5=2 is the spin\nnumber of ground-state Fe3+ion in YIG sphere. The to-\ntal number of spins N=\u001aVwith\u001a= 4:22\u00021027m\u00003\nthe spin density of YIG and Vthe volume of sphere.\nFor a 250-\u0016m-diameter YIG sphere, the number of spins\nN'3:5\u00021016. We then calculate the mean photon\nnumbers of cavity mode a1N1=hay\n1a1i, cavity mode a2\nN2=hay\n2a2i, and mean magnon number Nm=hmymivia\nEqs.(9)-(11), which are closely related to the input inten-\nsity of microwave \feld and squeezed \feld. Fig.2(c) and\n4(d) show the mean photon number N1and mean magnon\nnumberNmversus detunings \u0001 1and \u0001min steady state\nwheng2= 0. They are drawn with logarithmic log10.\nWe show that both the maximum number of photons and\nmagnons are above 10, but less than 103in Fig.2(c) and\n(d). Meanwhile, we also get N2= 0. so the assumption of\nlow-lying excitations is well satis\fed.\nFig. 2. (a)Density plot of photon-magnon bipartite entanglement\nEa1m, (b)variance of the magnon amplitude quadrature h\u000ex(t)2i,\n(c)logarithm of mean photon number of cavity mode a1N1, and\n(d)logarithm of mean magnon number Nmversus detunings \u0001 1and\n\u0001m. We choose \n = 2 :5\u0014m,\"p= 10\u0014m. The blank area denotes\nh\u000eQ(t)2ivac>1=2, i.e., above vacuum \ructuations. We take g2= 0\nfor all the plots. See text for the detail of other parameters.\nWe then take g2into consideration. To be more gen-\neral, we assume that coupling rate g2is the same as that\nbetween the cavity mode a1and magnon mode, i.e., g2=\ng1. In Fig.3(a)-3(c), mean photon numbers and mean\nmagnon number, N1,N2, andNm, are plotted as func-\ntions of detunings \u0001 2and \u0001m, respectively. They are also\ndrawn with logarithmic log10. It is noted that P= 0\nis the extreme value of Eqs.(9)-(11). Ignoring dissipa-\ntive terms and analyzing the extreme value, we can ob-\ntain a simple form \u0001 m= (\u0001 1g2\n2+ \u0001 2g2\n1)=(\u00011\u00012). The\nblack dashed curves in Fig.3(a)-(c) denote \u0001 m= (\u0001 1g2\n2+\n\u00012g2\n1)=(\u00011\u00012), and from which we can see that the max-\nimum numbers of photons and magnons are located at\nabout this region.\n-1.01.0\n-2.0\n(a) (b) (c)\n0\nFig. 3. (a)Mean photon number of cavity mode a1(squeezed cav-\nity mode)N1, (b)mean photon number of cavity mode a2N2, and\n(c)mean magnon number Nmversus detunings \u0001 2and \u0001m. Black\ndash curves indicate \u0001 m= (\u0001 1g2\n2+ \u00012g2\n1)=(\u00011\u00012). All Figures are\ndrawn with logarithmic log10. We take Rabi frequency of microwave\n\feld\"p= 10\u0014mand the nonlinear gain coe\u000ecient of squeezed \feld\n\n = 2:5\u0014m. We assume the coupling rate between the two cavity\nmodes and magnon mode are the same, i.e., g2=g1. The detuning\nof cavity mode a1\u00011=\u000020\u0014m. The other parameters are as in\nFig.2.After coupling cavity mode a2to magnon mode ( g2>\n0), the magnon-cavity a1entanglement Ea1mdecreased\nwhile cavity mode a2and magnon mode get entangled,\nas shown in Fig.4(a) and (c) with assuming g2=g1.\nIt denotes that the quantum correlations can be trans-\nferred from magnon mode and cavity mode a1to magnon\nmode and cavity mode a2. All results are in the steady\nstate guaranteed by the negative eigenvalues (real parts)\nof the drift matrix A. We also choose the Rabi frequency\nof microwave \feld \"p= 10\u0014mand the gain coe\u000ecient\n\n = 2:5\u0014m. The Black dashed curves in Fig.4(a) and\n(c) denote \u0001 m= (\u0001 1g2\n2+\u0001 2g2\n1)=(\u00011\u00012). It clearly shows\nthat the optimal photon-magnon entanglement is achieved\nnear the maximum of mean particle numbers.\nWe then calculated the squeezing by the covariance ma-\ntrix of quantum \ructuations applying mean \feld approxi-\nmation, and found that the cavity modes and the magnon\nmode can be squeezed. Compared with photons, it is more\nmeaningful to study squeezed magnons, a mesoscopic ob-\nject. Two quadratures of magnon mode are amplitude\nquadratureh\u000ex(t)2iand phase quadrature h\u000ey(t)2i, these\ntwo quadratures also obey the uncertainty relationship,\ni.e., when the phase (amplitude) quadrature is squeezed,\nthe amplitude (phase) quadrature will not be squeezed.\nThat is, the squeezed of one quadrature is at the expense\nof increasing the other one. Variance of magnon ampli-\ntude quadrature h\u000ex(t)2iand phase quadrature h\u000ey(t)2i\nversus detunings \u0001 2and \u0001mare shown in Fig.4(b) and\n(d), respectively. The blank area denotes above vacuum\n\ructuations, i.e., h\u000eQ(t)2ivac>1=2, (Q=x;y).\nFig. 4. (a)Density plot of bipartite entanglement Ea1m, (b)variance\nof the magnon amplitude quadrature h\u000ex(t)2i, (c)density plot of bi-\npartite entanglement Ea2m, and (d)variance of the magnon phase\nquadratureh\u000ey(t)2iversus detunings \u0001 2and \u0001m. We choose\n\n = 2:5\u0014m,\"p= 10\u0014m. The detuning of cavity mode a1\n\u00011=\u000020\u0014m. Black dash curves in Fig.4(a) and (c) indicate\n\u0001m= (\u0001 1g2\n2+ \u0001 2g2\n1)=(\u00011\u00012). The blank area in Fig.4(b) and\n(d) denotesh\u000eQ(t)2ivac>1=2, i.e., above vacuum \ructuation. We\ntakeg2=g1for all the plots. See text for the other parameters.\nFurther, Fig.5(a) shows that the two cavity modes get\nentangled, which denotes that the photon-photon entan-\nglementEa1a2is transferred from magnon-cavity entangle-\nmentEa1mandEa2mdue to the state-swap interaction be-\ntween the two cavity modes and magnon mode. The cou-\n5pling rateg2also induces the squeezing transferred from\ncavity mode a1to cavity mode a2via magnon mode, as\nshown in Fig.5(b). The blank area denotes above vac-\nuum \ructuations, i.e., h\u000eQ(t)2ivac>1=2. Comparing to\nFig.2(b), the maximum of variance of the magnon am-\nplitude quadratures h\u000ex(t)2iandh\u000ey(t)2idecreases, and\ncavity mode a2get squeezed. It denotes that the two cav-\nity modes and magnon mode are all prepared in squeezed\nstates due to the state-swap interaction between the two\ncavity modes and magnon mode, meaning that the mag-\nnetic dipole interaction is an essential element to generate\nsqueezed states. Logarithmic negativity Ea1a2as a func-\ntion of bath temperature is shown in Fig.5(c). It denotes\nthat photon-photon entanglement Ea1a2is robust again\nbath temperature and survives up to about 200 mK. Tri-\npartite entanglement in terms of the minimum residual\ncontangleRmin\n\u001cdetunings \u0001 2and \u0001mis shown in Fig5(d).\nIt shows that the tripartite entanglement does exist in our\nQED system. The black dashed curves in Fig.5(d) denote\n\u0001m= (\u0001 1g2\n2+\u0001 2g2\n1)=(\u00011\u00012), and from which we can see\nthat the maximum of tripartite entanglement located at\nabout this region.\nFig. 5. (a)Density plot of photon-photon bipartite entanglement\nEa1a2, and (b)variance of cavity mode a2amplitude quadrature\nh\u000eY2(t)2iversus detunings \u0001 2and \u0001m. (c)Logarithmic negativ-\nityEa1a2vs bath temperature T. (d) Tripartite entanglement in\nterms of the minimum residual contangle Rmin\n\u001cdetunings versus \u0001 2\nand \u0001m. The blank area in Fig.5(b) denotes h\u000eQ(t)2ivac>1=2,\nand black dash curves indicate \u0001 m= (\u0001 1g2\n2+ \u0001 2g2\n1)=(\u00011\u00012) in\nFig.5(d). We take \u0001 2= 35\u0014m, \u0001m= 45\u0014mfor (c), \n = 2 :5\u0014m,\n\"p= 10\u0014m, \u00011=\u000020\u0014mandg2=g1for all the plots. See text for\nthe other parameters.\nSqueezing does not increase linearly with increasing the\ngain coe\u000ecient. We choose \u0001 2= 0 , and \fnd that squeez-\ning \frst increases and then decreases with the increase of\nthe gain coe\u000ecient, as shown in Fig.6(a) and (b), respec-\ntively.The blank area denotes h\u000eQ(t)2ivac>1=2. Squeez-\ning reaches the maximum near \n = 8 \u0014mfor the amplitude\nquadrature and near \n = 2 \u0014mfor phase quadrature.\nTo obtain the optimal entanglement between the two\ncavity modes, we show photon-photon entanglement Ea1a2\nversus gain coe\u000ecient \n and the rate of magnon-cavity\ncoupling strength g2=g1in Fig.7(a). All results are cal-\nculated in the steady state, and the blank area denotes\nFig. 6. (a)Variance of the magnon amplitude quadrature h\u000ex(t)2i,\n(b)Variance of the magnon phase quadrature h\u000ey(t)2iversus gain co-\ne\u000ecient \n and detunings \u0001 m. The blank area denotes h\u000eQ(t)2ivac>\n1=2. We take \u0001 1=\u000020\u0014m, \u00012= 0,\"p= 10\u0014mfor all the plots.\nSee text for the other parameters.\nNon equilibrium state. As shown in Fig.7(a), the two\ncavity modes show zero entanglement in the absence of\ngain coe\u000ecient, i.e., \n = 0, meaning that it is squeezed\ndriving that induced entanglement in our QED system.\nIt demonstrates that the nonlinearity produced by para-\nmetric down-conversion is the reason to generate entan-\nglement. Bipartite entanglement Ea1mincreases with the\nincrease of gain coe\u000ecient \n, and then the entanglement\ntransferred from Ea1mtoEa2mandEa1a2. But, to keep\nthe system in steady state, the gain coe\u000ecient can not be\ntoo large. Fig.7(a) denotes that increasing gain coe\u000ecient\nis a good way to improve entanglement in our QED system.\nDue to the \rexible tunability of gain coe\u000ecient, which\nmakes large entanglement possible. Further, we show that\nthe optimal entanglement can be generated when the rate\nof photon-magnon coupling strength are almost the same,\ni.e.,g2=g1\u00191.\nMeanwhile, we show variance of magnon amplitude\nquadratureh\u000ex(t)2iversus gain coe\u000ecient \n and the rate\nof magnon-cavity coupling strength g2=g1in Fig.7(b). The\nblank area represents above vacuum \ructuation, i.e.,\nh\u000eQ(t)2ivac>1=2. It shows that the magnon mode can\nnot be squeezed in the absence of squeezed \feld, i.e., \n =\n0, and the strength of squeezed magnon mode transferred\nfrom squeezed cavity mode a1can increase a lot as gain\ncoe\u000ecient \n increasing. It provides a good scheme to im-\nprove macroscopic quantum state. Further, we also show\nthat the optimal squeezing is also located at about the\nregiong2=g1= 1.\nFig. 7. (a)Density plot of photon-photon bipartite entanglement\nEa1a2, (b)variance of the magnon amplitude quadrature h\u000ex(t)2i\nversus nonlinear gain coe\u000ecient \n and the rate of magnon-cavity\ncoupling strength g2=g1. The blank area in Fig.7(a) presents non\nequilibrium states and h\u000eQ(t)2ivac>1=2 in Fig.7(b), i.e., above\nvacuum \ructuations. We take \u0001 2= 35\u0014m, \u0001m= 45\u0014mfor (a),\n\u00012=\u000045\u0014m, \u0001m=\u000015\u0014mfor (b), and \u0001 1=\u000020\u0014m,\"p= 10\u0014m\nfor all the plots. See text for the other parameters.\n64. Conclusion\nIn summary, we have presented a scheme to gener-\nate bipartite entanglement between two cavity modes and\nsqueeze magnon mode in a magnon-cavity QED system\nby using a squeezed driving. With experimentally reach-\nable parameters, we show that without the nonlinearity\ninduced by parametric down-conversion process, our QED\nsystem denotes zero entanglement and above vacuum \ruc-\ntuations. We also show the photon-magnon entanglement\ncan transfer to photon-photon entanglement by state-swap\ninteraction between cavity and magnon modes in the steady\nstate. A genuinely tripartite entangled state is formed.\nMeanwhile, magnon squeezed state also can be realized\ndue to the squeezing from squeezed driving cavity mode.\nMoreover, our QED system shows that increasing the non-\nlinear gain coe\u000ecient is a good way to enhance entangle-\nment and squeezing. Further, the optimal entanglement\nand squeezing is located at about the region where the cou-\npling rates between two cavity modes and magnon mode\nare almost the same. Our results denote that magnon-\ncavity QED system is a powerful platform for studying\nmacroscopic quantum phenomena, and squeezed drive pro-\nvides an new method for generating macroscopic quantum\nstate.\nAcknowledgements\nThis work has been supported by the National Natural\nScience Foundation of China (Grant No. 12174288, Grant\nNo. 11874287, Grant No. 11774262, Grant No. 61975154),\nand the Shanghai Science and Technology Committee (Grant\nNo. 18JC1410900).\nReferences\n[1] Dany Lachance-Quirion, Yutaka Tabuchi, Arnaud Gloppe, Koji\nUsami, and Yasunobu Nakamura. Hybrid quantum systems\nbased on magnonics. Appl. Phys. Exp. , 12(7):070101, Jun 2019.\n[2] Graeme Flower, Jeremy Bourhill, Maxim Goryachev, and\nMichael E Tobar. Broadening frequency range of a ferromag-\nnetic axion haloscope with strongly coupled cavity{magnon po-\nlaritons. Phys. Dark Universe , 25:100306, 2019.\n[3] Na Zhu, Xufeng Zhang, Xu Han, Chang-Ling Zou, Changchun\nZhong, Chiao-Hsuan Wang, Liang Jiang, and Hong X Tang.\nWaveguide cavity optomagnonics for microwave-to-optics con-\nversion. Optica , 7(10):1291, 2020.\n[4] Hans Huebl, Christoph W. Zollitsch, Johannes Lotze, Fredrik\nHocke, Moritz Greifenstein, Achim Marx, Rudolf Gross, and\nSebastian T. B. Goennenwein. High cooperativity in coupled\nmicrowave resonator ferrimagnetic insulator hybrids. Phys. Rev.\nLett., 111(12):127003, 2013.\n[5] Yutaka Tabuchi, Seiichiro Ishino, Toyofumi Ishikawa, Rekishu\nYamazaki, Koji Usami, and Yasunobu Nakamura. Hybridizing\nferromagnetic magnons and microwave photons in the quantum\nlimit. Phys. Rev. Lett. , 113(8):083603, 2014.\n[6] Maxim Goryachev, Warrick G. Farr, Daniel L. Creedon, Yao-\nhui Fan, Mikhail Kostylev, and Michael E. Tobar. High-\ncooperativity cavity qed with magnons at microwave frequen-\ncies. Phys. Rev. Appl. , 2(5):054002, 2014.\n[7] Xufeng Zhang, Changling Zou, Liang Jiang, and Hong X. Tang.\nStrongly coupled magnons and cavity microwave photons. Phys.\nRev. Lett. , 113(15):156401, 2014.[8] Charles Kittel. On the theory of ferromagnetic resonance ab-\nsorption. Phys. Rev. , 73(2):155, 1948.\n[9] H. Primako\u000b and T. Holstein. Field dependence of the in-\ntrinsic domain magnetization of a ferromagnet. Phys. Rev. ,\n58(12):1098, 1940.\n[10] Lihui Bai, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao, and C. M.\nHu. Spin pumping in electrodynamically coupled magnon-\nphoton systems. Phys. Rev. Lett. , 114(22):227201, 2015.\n[11] Dengke Zhang, Xinming Wang, Tiefu Li, Xiaoqing Luo, Wei-\ndong Wu, Franco Nori, and J. Q. You. Cavity quantum electro-\ndynamics with ferromagnetic magnons in a small yttrium-iron-\ngarnet sphere. NPJ Quan. Inf. , 1(1):15014, 2015.\n[12] J. Bourhill, N. Kostylev, M. Goryachev, D. L. Creedon, and\nM. E. Tobar. Ultrahigh cooperativity interactions between\nmagnons and resonant photons in a yig sphere. Phys. Rev.\nB, 93(14):144420, 2016.\n[13] Nikita Kostylev, Maxim Goryachev, and Michael E. Tobar. Su-\nperstrong coupling of a microwave cavity to yttrium iron garnet\nmagnons. Appl. Phys. Lett. , 108(6):3534, 2016.\n[14] Lihui Bai, Michael Harder, Paul Hyde, Zhaohui Zhang, Can-\nming Hu, Y. P. Chen, and John Q. Xiao. Cavity mediated\nmanipulation of distant spin currents using a cavity-magnon-\npolariton. Phys. Rev. Lett. , 118(21):217201, 2017.\n[15] Yipu Wang, Guoqiang Zhang, Dengke Zhang, Tiefu Li, C. M.\nHu, and J. Q. You. Bistability of cavity magnon polaritons.\nPhys. Rev. Lett. , 120(5):057202, 2018.\n[16] Xufeng Zhang, Changling Zou, Na Zhu, Florian Marquardt,\nLiang Jiang, and Hong X. Tang. Magnon dark modes and gra-\ndient memory. Nat. Comm. , 6(1):8914, 2015.\n[17] Cui Kong, Bao Wang, Zengxing Liu, Hao Xiong, and Ying Wu.\nMagnetically controllable slow light based on magnetostrictive\nforces. Opt. Exp. , 27(4):5544, 2019.\n[18] M. Harder, Y. Yang, B. M. Yao, C. H. Yu, J. W. Rao, Y. S. Gui,\nR. L. Stamps, and C. M. Hu. Level attraction due to dissipa-\ntive magnon-photon coupling. Phys. Rev. Lett. , 121(13):137203,\n2018.\n[19] Bao Wang, Zengxing Liu, Cul Kong, Hao Xiong, and Ying\nWu. Magnon-induced transparency and ampli\fcation in pt-\nsymmetric cavity-magnon system. Opt. Exp. , 26(16):20248,\n2018.\n[20] Xufeng Zhang, Changling Zou, Liang Jiang, and Hong X. Tang.\nCavity magnomechanics. Sci. Adv. , 2(3):e1501286, 2016.\n[21] Jie Li, Shiyao Zhu, and G. S. Agarwal. Squeezed states of\nmagnons and phonons in cavity magnomechanics. Phys. Rev.\nA, 99(2):021801, 2019.\n[22] MS Ebrahimi, Ali Motazedifard, and M Bagheri Harouni.\nSingle-quadrature quantum magnetometry in cavity electro-\nmagnonics. Phys. Rev. A , 103(6):062605, 2021.\n[23] Angelo Bassi, Kinjalk Lochan, Seema Satin, Tejinder P. Singh,\nand Hendrik Ulbricht. Models of wave-function collapse, un-\nderlying theories, and experimental tests. Rev. Mod. Phys. ,\n85(2):471, 2013.\n[24] Yutaka Tabuchi, Seiichiro Ishino, Atsushi Noguchi, Toyofumi\nIshikawa, Rekishu Yamazaki, Koji Usami, and Yasunobu Naka-\nmura. Coherent coupling between a ferromagnetic magnon and\na superconducting qubit. Science , 349(6246):405, 2015.\n[25] Wei Zhang, Dongyang Wang, Chenghua Bai, Tie Wang, Shou\nZhang, and Hongfu Wang. Generation and transfer of squeezed\nstates in a cavity magnomechanical system by two-tone mi-\ncrowave \felds. Opt. Exp. , 29(8):11773, 2021.\n[26] M. J. Weaver, D. Newsom, F. Luna, W. Lo\u000fer, and\nD. Bouwmeester. Phonon interferometry for measuring quan-\ntum decoherence. Phys. Rev. A , 97(6):063832, 2018.\n[27] Yanbei Chen. Macroscopic quantum mechanics: theory and\nexperimental concepts of optomechanics. J. Phys. B: At. Mol.\nOpt. Phys. , 46(10):104001, 2013.\n[28] A. J. Leggett. Testing the limits of quantum mechanics: mo-\ntivation, state of play, prospects. J. Phys.: Cond. Matt. ,\n14(15):R415, 2002.\n[29] Florian Froewis, Pavel Sekatski, Wolfgang D Ur, Nicolas\nGisin, and Nicolas Sangouard. Macroscopic quantum states:\n7Measures, fragility, and implementations. Rev. Mod. Phys. ,\n90:025004, 2018.\n[30] Mei Yu, Heng Shen, and Jie Li. Magnetostrictively induced\nstationary entanglement between two microwave \felds. Phys.\nRev. Lett. , 124(21):213604, 2020.\n[31] Zhedong Zhang, Marlan O. Scully, and Girish S. Agarwal.\nQuantum entanglement between two magnon modes via kerr\nnonlinearity driven far from equilibrium. Phys. Rev. Res. ,\n1(2):023021, 2019.\n[32] Jayakrishnan M. P. Nair and G. S. Agarwal. Deterministic\nquantum entanglement between macroscopic ferrite samples.\nAppl. Phys. Lett. , 117(8):084001, 2020.\n[33] Jie Li, Shiyao Zhu, and G. S. Agarwal. Magnon-photon-phonon\nentanglement in cavity magnomechanics. Phys. Rev. Lett. ,\n121(20):203601, 2018.\n[34] Jie Li and Shiyao Zhu. Entangling two magnon modes via mag-\nnetostrictive interaction. N. J. Phys. , 21(8):85001, 2019.\n[35] Mei Yu, Shi Yao Zhu, and Jie Li. Macroscopic entanglement of\ntwo magnon modes via quantum correlated microwave \felds. J.\nPhys. B: At. Mol. Opt. Phys. , 53(6):065402, 2020.\n[36] Jie Li and Simon Groeblacher. Entangling the vibrational\nmodes of two massive ferromagnetic spheres using cavity mag-\nnomechanics. Quan. Sci. Tech. , 6(2):024005, 2021.\n[37] Hoa T. Nguyen and A. G. Cottam. Dipole-exchange theory of\nspin waves in nanowires: Application to arrays of interacting\nferromagnetic stripes. In AIP Conference Proceedings , volume\n1147, page 117. Springer, 2009.\n[38] C. W. Gardiner and P. Zoller. Quantum Noise . Springer\nBerlin,Germany, 2004.\n[39] D. Vitali, S. Gigan, A. Ferreira, H. R. B Ohm, P. Tombesi,\nA. Guerreiro, V. Vedral, A. Zeilinger, and M. Aspelmeyer. Op-\ntomechanical entanglement between a movable mirror and a\ncavity \feld. Phys. Rev. Lett. , 98:030405, 2007.\n[40] P. C. Parks and V. Hahn. Stability theory . Springer New York,\n1993.\n[41] G. Vidal and R. F. Werner. Computable measure of entangle-\nment. Phys. Rev. A , 65:032314, 2002.\n[42] M. B. Plenio. Logarithmic negativity: a full entanglement\nmonotone that is not convex. Phys. Rev. Lett. , 95(9):090503,\n2005.\n[43] G. Adesso and F. Illuminati. Continuous variable tangle,\nmonogamy inequality, and entanglement sharing in gaussian\nstates of continuous variable systems. N. J. Phys. , 8:15, 2006.\n[44] Gerardo Adesso and Fabrizio Illuminati. Entanglement in\ncontinuous-variable systems: recent advances and current per-\nspectives. J. Phys. A: Math. Theo. , 40(28):7821, 2007.\n8" }, { "title": "2405.19205v1.Detection_of_entanglement_by_harnessing_extracted_work_in_an_opto_magno_mechanics.pdf", "content": "Detection of entanglement by harnessing extracted work in an opto-magno-mechanics\nM’bark Amghar1and Mohamed Amazioug1,∗\n1Department of Physics, Ibnou Zohr University, Agadir 80000, Morocco\n(Dated: May 30, 2024)\nThe connections between thermodynamics and quantum information processing are of paramount impor-\ntance. Here, we address a bipartite entanglement via extracted work in a cavity magnomechanical system\ncontained inside an yttrium iron garnet (YIG) sphere. The photons and magnons interact through an interaction\nbetween magnetic dipoles. A magnetostrictive interaction, analogous to radiation pressure, couple’s phonons\nand magnons. The extracted work was obtained through a device similar to the Szil ´ard engine. This engine\noperates by manipulating the photon-magnon as a bipartite quantum state. We employ logarithmic negativity to\nmeasure the amount of entanglement between photon and magnon modes in steady and dynamical states. We\nexplore the extracted work, separable work, and maximum work for squeezed thermal states. We investigate\nthe amount of work extracted from a bipartite quantum state, which can potentially determine the degree of\nentanglement present in that state. Numerical studies show that entanglement, as detected by the extracted work\nand quantified by logarithmic negativity, is in good agreement. We show the reduction of extracted work by a\nsecond measurement compared to a single measurement. Also, the e fficiency of the Szilard engine in steady\nand dynamical states is investigated. We hope this work is of paramount importance in quantum information\nprocessing.\nI. INTRODUCTION\nEntanglement, a cornerstone of quantum mechanics pioneered in works like [1–3], holds immense potential across various\nfields. It has exciting applications in areas like precision measurement, quantum key distribution [4], teleporting quantum\ninformation [5], and building powerful quantum computers as explored by [6]. Entanglement creates a spooky connection\nbetween particles. Measuring one instantly a ffects the other, defying classical ideas of locality.\nCavity optomechanics is a field of physics that studies the interaction between light and mechanical objects via radiation\npressure at very small scales [7]. Cavity optomechanics has been used to develop new methods for generating and manipulating\nsqueezed states of light, which are a type of quantum state. Recently this cavity has paramount importance applications in\nquantum information processing such as quantum entangled states [8–19], cooling the mechanical mode to their quantum ground\nstates [20–24], photon blockade [25], enhancing precision measurements [27, 28], superconducting elements [29], and also\nbetween two massive mechanical oscillators [30, 31] have been observed. Cavity quantum electrodynamics (CQED) paved the\nway for the emergence of a new field called cavity optomechanics. Cavity quantum electrodynamics (CQED) o ffers control over\nhow light (photons) interacts with atoms at the quantum level. Actually, single quanta can significantly impact the atom-cavity\ndynamics in the strong coupling regime, which is made possible by strong confinement. Recently, we have successfully achieved\nstrong coupling in numerous experiments, leading to the demonstration of fascinating quantum phenomena such as quantum\nphase gates [32], the Fock state generation [33], and quantum nondemolition detection of a single cavity photon [34]. Building\non the success of cavity QED, exploring how magnon systems interact within cavity optomechanics o ffers a promising avenue for\nunlocking their unique quantum properties. The first experimental demonstration of interaction between magnons, photons, and\nphonons has been achieved [35]. This system combines magnon-photon coupling, similar to what’s found in magnon QED, with\nan additional coupling between magnons and phonons. While the cavity output reflects the impact of magnon-phonon coupling,\na more comprehensive understanding would require a full quantum treatment that incorporates these fluctuations. From the\nstandpoint of cavity quantum electrodynamics (QED), ferrimagnetic systems. Particularly, the yttrium iron garnet (YIG) sphere\nhas garnered a lot of attention. Studies have shown that the YIG sphere’s Kittel mode [36] can achieve strong coupling with\nmicrowave photons trapped within a high-quality cavity. This strong coupling leads to the formation of cavity polaritons [37]\nand a phenomenon known as vacuum Rabi splitting. The success of cavity QED has opened doors to applying many of its\nconcepts to the emerging field of magnon cavity QED [38]. This new field has already seen exciting advancements, including\nthe observation of bistability [39] and the groundbreaking coupling of a single superconducting qubit to the Kittel mode [40].\nRecently, magnons (as spin waves) have been studied extensively in the field of quantum information processing [41–44].\nStudies suggest that physical system information can be utilized to extract work with suitable operations [45]. This, described\nas information thermodynamics, explores the relationship between information theory and thermodynamics. By studying how\ninformation can be manipulated to perform physical tasks, researchers hope to uncover new ways to improve e fficiency in\n∗amazioug@gmail.comarXiv:2405.19205v1 [quant-ph] 29 May 20242\nvarious processes. Szilard’s engine [46] is a classic example of this concept. It demonstrates how information processing\nis capable of extracting work from a physical system. Interestingly, research has shown that the specific way information is\nencoded, particularly in entangled or correlated states, plays a crucial role in how much work can be extracted [47–49].\nIn this letter, we investigate the potential of exploiting both extracted work and e fficiency in optomagnomechanical systems.\nThis study reveals the presence of entanglement between magnons and cavity photons in an optomechanical system. We achieve\nthis detection by examining the extractable work. Our work breaks new ground by employing extractable work as a tool to\ndetect entanglement between magnons and cavity photons in optomechanical systems. Our study, utilizing realistic experimental\nparameters, reveals excellent agreement between the entanglement region detected via extractable work and the results of Jie Li\net al [50]. This highlights the validity of our method for entanglement detection in magnomechanical systems. This applies not\nonly to steady-state conditions but also to dynamical states under thermal influence. Our study further explores the influence of\nvarious parameters, including detuning and magnon-phonon coupling, on the entanglement properties of the system. In addition,\nwe analyze the information-work e fficiency under thermal noise, considering both steady-state and dynamical regimes.\nThe article is outlined as follows: In Section II, we introduce the model for the optomagnomechanical system, its Hamilto-\nnian, and the quantum nonlinear Langevin equations for the interacting photon-magnon-phonon system. Section III tackles the\nlinearization of the QLEs, and we then assess the covariance matrix for steady and dynamical states. Section IV delves into\nthe connection between quantum thermodynamics and quantum entanglement in cavity magnomechanical systems. We employ\nlogarithmic negativity to quantify the entanglement between the photon and magnon modes and investigate how it relates to the\namount of work that can be extracted from the system. Besides, we have also investigated the e fficiency of a Szilard engine. The\nresults obtained are discussed. Concluding remarks close this paper.\nII. MODEL\nIn this section, we consider on a system that combines a microwave cavity with magnetic excitations (magnons) and mechan-\nical vibrations (phonons). This cavity magnomechanical system is illustrated in Fig. 1. Magnons are a collective motion of\nnumerous spins in a ferrimagnet, such as an YIG sphere (250- µm-diameter sphere used in Ref. [35]). A sphere made of YIG\n(Yttrium Iron Garnet) is positioned within a microwave cavity at a location with the strongest magnetic field. Additionally, a\nuniform bias magnetic field is applied throughout the entire system. These combined fields allow the microwave photons in the\ncavity to interact with the YIG sphere’s magnons through the magnetic dipole interaction. To improve the coupling between\nmagnons and phonons, the experiment utilizes a microwave source (not shown) to directly driven the magnon mode magne-\ntostrictive interaction. Due to the YIG sphere’s small size compared to the microwave wavelength, we can ignore the interaction\nbetween microwave photons and phonons. We consider the three magnetic fields: a bias field pointing in the z-axis, a drive field\nin the y-axis, and the magnetic field of the cavity mode oriented along the x-axis, as depicted in Fig. 1. These three fields are mu-\ntually perpendicular at the position of the YIG sphere. The YIG sphere experiences a deformation of its geometry structure due\nto the creation of vibrational modes, or phonons, which influence the magnon excitations within the sphere, and vice versa [51].\nThe Hamiltonian writes as [50]\nˆH=ℏΩcˆc†ˆc+ℏΩnˆn†ˆn+Ωd\n2( ˆx2+ˆy2)\n+ℏgndˆn†ˆnˆx+ℏGnc(ˆc+ˆc†)(ˆn+ˆn†)\n+iℏΩ(ˆn†e−iΩ0t−ˆneiΩ0t),(1)\nwhere the creation and annihilation operators for the cavity (ˆ c,ˆc†) and magnon (ˆ n,ˆn†) modes satisfy the canonical commutation\nrelation [ ˆO,ˆO†]=1 (where ˆOcan be ˆ cor ˆn). Additionally, dimensionless position ˆ xand momentum ˆ yoperators for the mechan-\nical mode are included, with the commutation relation [ ˆ x,ˆy]=i. The Hamiltonian also incorporates the resonance frequencies\n(Ωc,Ωn, and Ωd) of the cavity, magnon, and mechanical modes, respectively. The magnon frequency Ωnis dictated by the exter-\nnal bias magnetic field Hand the gyromagnetic ratio γfollowing the relation: Ωn=γH. Interestingly, the magnon-microwave\ncoupling rateGncsurpasses the dissipation rates of both the cavity λcand magnon modes λn, satisfying the condition for strong\ncoupling:Gnc>λ c,λn[37]. The inherent coupling rate between a single magnon and the mechanical vibrations, denoted by gnd,\nis typically low. This limitation can be overcome by strategically applying a strong microwave field directly to the YIG sphere.\nThis approach, employed in earlier works [39, 52], e ffectively enhances the magnomechanical interaction. The Rabi frequency\nΩ, derived under the assumption of low-lying excitations ( ⟨ˆn†ˆn⟩≪2Ns, where s=5/2 is the spin of the Fe3+ground state ion),\ncharacterizes the coupling strength between the driving magnetic field (amplitude B0and frequency ω0) and the magnon mode.\nIt is expressed as Ω =√\n5\n4γg√\nNB0, whereγg/2π=28 GHz /T is the gyromagnetic ratio of the material and N=ϱVrepresents\nthe total number of spins in the YIG sphere. Here, ϱ=4.22×1027m−3is the spin density and Vis the sphere’s volume. Using\na rotating frame at the driving frequency Ω0and the rotating-wave approximation Gnc(ˆc+ˆc†)(ˆn+ˆn†)→G nc(ˆcˆn†+ˆc†ˆn) valid3\nwhen Ωc,Ωn≫G nc,κc,κn[35], the system’s dynamics are described by quantum Langevin equations (QLEs).\nδ˙ˆc=−(iδc+λc)ˆc−iGncˆn+p\n2λcˆcin,\nδ˙ˆn=−(iδn+λn)ˆn−iGncˆc−igndˆnˆx+ Ω +p\n2λnˆnin,\nδ˙ˆx=ωˆy, δ ˙ˆy=−ωˆx−γdˆy−gndˆn†ˆn+η,(2)\nwithδc= Ω c−Ω0,δn= Ω n−Ω0andγdis the mechanical damping rate. The input noise operators for the cavity and magnon\nmodes are respectively, ˆ cinand ˆnin, with zero mean, i.e., ⟨ˆcin⟩=⟨ˆnin⟩=0, and described by the following correlation functions\n[53]:\n⟨ˆcin(t) ˆcin†(t′)⟩=[Nc(Ωc)+1]δ(t−t′),⟨ˆcin†(t) ˆcin(t′)⟩=Nc(Ωc)δ(t−t′)\n⟨ˆnin(t) ˆnin†(t′)⟩=[Nn(Ωn)+1]δ(t−t′),⟨ˆnin†(t) ˆnin(t′)⟩=Nn(Ωn)δ(t−t′),(3)\nwe assume the mechanical mode follows a Markovian process. This means a large mechanical quality factor Q≫1, i.e.,\nΩd≫γd[54]. Furthermore, the noise operators for this mode possess non-zero correlation properties (with ⟨η(t)⟩=0), writes\nas\n⟨η(t)η(t′)+η(t′)η(t)⟩/2≃γd[2Nd(Ωd)+1]δ(t−t′), (4)\nwhere Nc,NnandNdcorrespond to the equilibrium mean thermal occupation numbers for the cavity photons, magnons, and\nphonons, respectively. Thus Nj(Ωj)=\u0002exp\u0000ℏΩj\nkBT\u0001−1\u0003−1(j=ˆc,ˆn,ˆd), where kBis the Boltzmann constant.\nIII. COV ARIANCE MATRIX\nWe consider the case where the magnon mode is highly driven. We linearize the non-linear quantum Langevin equation by\nassuming small fluctuations around a steady state amplitude, i.e., ˆO=ˆOss+δˆO(ˆO=ˆc,ˆn,ˆx,ˆy), where ˆ nsswrites as\nˆnss=Ω(iδc+λc)\nG2nc+(i˜δn+λn)(iδc+λc), (5)\nwhere ¯δn=δn+gndˆxssis the e ffective magnon-drive detuning taking into account a frequency shift resulting from the interaction\nbetween magnons and phonons. This interaction is known as magnomechanical interaction. Under the condition |¯δn|,|δc|≫\nλc,λn; ˆnssis given by\nˆnss=iΩδc\nG2nc−˜δnδc. (6)\nand ˆxss=−gnd\nΩd|ˆnss|2. The system is described by linearized quantum Langevin equations (LQLEs)\nδ˙ˆc=−(iδc+λc)ˆc−iGncˆn+p\n2λcˆcin,\nδ˙ˆn=−(i¯δn+λn)ˆn−iGncˆc−igndˆnssˆx+ω+p\n2λnˆnin,\nδ˙ˆx=ωˆy, δ ˙ˆy=−ωˆx−γdˆy−gnd(ˆnssˆn†+ˆn∗\nssˆn)+η,(7)\nwhere ˆ nss=−iGnd√\n2gndis the magnon-phonon coupling. The quadrature fluctuations ( δXc,δYc,δXn,δYn,δx,δy) are described as\nδXc=(δˆc+δˆc†)/√\n2, δYc=i(δˆc†−δˆc)/√\n2\nδXn=(δˆn+δˆn†)/√\n2, δYn=i(δˆn†−δˆn)/√\n2\nWe can rewrite equation (7) as\n˙v(t)=Fv(t)+χ(t), (8)4\nwhere v(t) =\u0002δXc(t),δYc(t),δXn(t),δYn(t),δx(t),δy(t)\u0003Tis the quadrature vector, χ(t) =\u0002√2λcXin\nc(t),√2λcYin\nc(t),√2λnXin\nn(t),√2λnYin\nn(t),0,η(t)\u0003Tis the noise vector and the drift matrix Fis written as\nF=−λcδc 0Gnc 0 0\n−δc−λc−Gnc0 0 0\n0Gnc−λn˜δn−Gnd 0\n−Gnc0−˜δn−λn0 0\n0 0 0 0 0 ωd\n0 0 0Gnd−ωd−λd, (9)\nThe system under consideration is considered stable if all the eigenvalues of a drift matrix, have real parts that are negative [55].\nBy using the Lyapunov equation, the system’s state is expressed as [56, 57]\nFC+CFT=−L, (10)\nwhereCi j=1\n2⟨vi(t)vj(t′)+vj(t′)vi(t)⟩(i,j=1,2,...,6) is the covariance matrix and L=diag\u0002λc(2Nc+1),λc(2Nc+1),λn(2Nn+\n1),λn(2Nn+1),0,λd(2Nd+1)\u0003is the di ffusion matrix achieved through Li jδ(t−t′)=1\n2⟨χi(t)χj(t′)+χj(t′)χi(t)⟩.\nIV . SZIL ´ARD ENGINE\nL´eo Szil ´ard introduced Szil ´ard’s engine as a thought experiment in 1929. This experiment simplified the famous Maxwell’s\ndemon paradox by using just one molecule of gas and replacing the demon with a mechanical device. Szil ´ard’s engine operates\nin four key steps: (i) The experiment begins with a single gas molecule bouncing around freely in a container with a volume\nofV. (ii) A separator is placed inside a container, dividing it into two equal chambers with a volume of V/2, ensuring no heat\nexchange during the process. (iii) The engine’s function relies on determining the molecule’s location in the left or right chamber.\nAccording to the measurement results, a tiny weight has been attached to the same side of the partition using a pulley system.\n(iv) The final stage involves connecting the entire setup to a constant temperature heat source, allowing a gas molecule to expand\nand fill the container, crucial for the engine’s theoretical work. Szil ´ard’s engine, a concept that challenges our understanding\nof thermodynamics, involves a single molecule expanding to fill a container, absorbing heat from a constant temperature bath,\nand converting this heat into usable work by lifting the weight attached to the partition. The amount of work extracted, can\nbe calculated using the formula W=kBTln 2, where kBis Boltzmann’s constant and ln(2) represents the information gain\nfrom measuring the molecule’s location. This process relies on connecting a weight to the partition and allowing the single gas\nmolecule to expand in a controlled, constant temperature, i.e., isothermal manner. Szil ´ard’s engine can theoretically extract a\nspecific amount of work per cycle, as described in [59]\nW=kBTln 2[1−H(X)] (11)\nThe uncertainty about where the molecule is situated (left or right) can be quantified using a concept called Shannon entropy.\nThis entropy is denoted by H(X)=−P\nxpxlnpx, where pxis the probability of capturing the molecule in each location ( x=R\norx=L). Thus, the more uncertain we are about the molecule’s location, the higher the Shannon entropy will be. Equation\n(11) presents a potential challenge to the second law of thermodynamics. It suggests that under specific conditions, perfect\nknowledge about a the microscopic information of the system state might allow for work extraction using only a single heat\nbath. A significant link between information processing and the physical world was suggested by physicist Rolf Landauer\nin 1961. He theorized that whenever a single bit of information is erased in a computer system, it leads to an increase in\nenergy dissipation as heat. This principle suggests a fundamental link between logical operations within a computer and the\nlaws of thermodynamics that govern physical processes [60]. Recent experiments explore innovative techniques inspired by\nMaxwell’s demon and Landauer’s principle, which link information processing and energy dissipation, despite the potential to\ndefy thermodynamic laws [61, 62]. By separating two entangled particles into di fferent containers, we essentially create two\nSzil´ard engines, AandB. These engines are unique because their functionality is intrinsically linked. Thus, the amount of work\nextractable from engine Ais dependent on the specific state of its entangled partner in engine B\nW(A|B)=kBTlog[1−H(A|B)] (12)\nDue to the entanglement, any event a ffecting engine Bhas an immediate impact on our understanding of engine A. Mutual\ninformation I(A:B)=H(A)−H(A|B)≥0 quantifies the link between AandB, with a non-negative value indicating that\nknowing the state of Breduces uncertainty about A. The reduced uncertainty leads to a significant increase in work extraction\nfrom engine Acompared to a scenario without entangled two Szil ´ard engines, as indicated by W(A|B) being greater than or equal\ntoW(A), i.e., W(A|B)≥W(A).5\nV . NEGATIVITY LOGARITHMIC, WORK EXTRACTION AND EFFICIENCY\nIn this section, we will quantify and harness negativity logarithmic compared to the extracted work in a two-mode Gaussian\nstate, shared by Alice ( A: photon) and Bob ( B: magnon). The e fficiency of a Szilard engine will be adopted.\nA. Negativity logarithmic\nThe covariance matrix corresponding to the photon and magnon modes in the ( δXc(t),δYc(t),δXn(t),δYn(t)) basis can be\nexpressed as\nCAB=CcCcn\nCT\ncnCn, (13)\nCcandCndepict the covariance matrix 2 ×2, respectively, representing the photon mode and magnon mode. The correlations\nbetween photon and magnon modes in standard form are denoted by Ccn\nCc=diag(α,α),Cn=diag(β,β),Ccn=diag(∆,−∆). (14)\nFor measuring bipartite entanglement, we employ the logarithmic negativity EN[59, 63, 64], that is given by\nEom=max[0,−log(2ν−)], (15)\nwhereν−=p\nY− (Y2−4 detCAB)1/2/√\n2 is the minimum symplectic eigenvalue of the CAB, whereY=detCc+detCn−\n2 detCcn.\nB. Magnon only performs Gaussian measurement\nThe medium under consideration is a two-mode Gaussian state, i.e., photon and magnon modes. When Bob executes a\nGaussian measurement on his assigned part of the system, the measurement has an impact on Alice’s state. This measurement\ncan be described by\n˜Nn(X)=π−1˜Dn(X)˜ρNn˜D†\nn(X), (16)\nwhere ˜Dn(X)=eXδˆn†−X∗δˆnis the displacement operator, ˜ ρNnis a pure Gaussian state without first moment and the its covariance\nmatrix is given by\nΓNn=1\n2R(ξ)diag(λ,λ−1)R(ξ)T, (17)\nwhereλis a positive real number, R(ξ)=[cosξ,−sinξ; sinξ,cosξ] is a rotation matrix and the detection of homodyne (hetero-\ndyne) is suggested by λ=0 (λ=1), individually. The outcome XBob gets from his measurement, it doesn’t a ffect the state of\nAlice’s mode δˆc, i.e.,CNn\nc|X=CNnc. The constrained state of mode A’s covariance matrix can be explicitly expressed as\nCNnc=Cc−C cn(Cn+ ΓNn)−1CT\ncn. (18)\nBob measurement does push the state of mode Aout of equilibrium. However, by interacting with a heat bath for long time,\nmode Aeventually returns to an equilibrium state Ceq\nc. Its average entropy is solelyR\ndXpXS(CNn\nc|X)=S(CNnc) because her state\nis una ffected by the result. Work can be extracted by Alice from a surrounding heat bath [65]\nW=kBTh\nS(Ceq\nc)−S(CNnc)i\n. (19)\nWe adopt the case of the covariance matrix in a squeezed thermal state, as depicted in equation (14) and Ceq\nc=Cc. The entropy of\nthe covariance matrix described by equation (18) is quantified by considering the second-order R ´enyi entropy S2(ϱ)=−lnTrϱ2\n[66]. In the case of two modes, Gaussian states (see equation (14)) are written as\nS2(CAB)=1\n2ln(detCAB). (20)6\nThe extracted work, Eq. (19), became\nW(λ)=kBT\n2ln detCc\ndetCNnc!\n. (21)\nThe extractable work for both homodyne ( λ=0) and heterodyne ( λ=1) detection in the case of STSs, writes as\nW(0)\nom=kBT\n2ln αβ\nαβ−∆2!\n,W(0)\nomSep=kBT\n2ln 4αβ\n2α+2β−1!\n,W(0)\nomMax=kBT\n2ln\"4αβ\n1+2|α−β|#\n. (22)\nW(1)\nom=kBTln\"2αβ+α\n2αβ+α−2∆2#\n,W(1)\nomSep=kBTln\"2α(2β+1)\n4α+2β−1#\n,W(0)\nomMax=kBTln 2α ifα≤β\nkBTlnh2α(1+2β)\n1+4α−2βi\notherwise(23)\nThe works remain independent of the measurement angle.\nC. Both magnon and photon perform Gaussian measurement\nThis subsection explores the case where Alice and Bob, each make Gaussian measurements on their state. Alice now performs\na second Gaussian measurement on her reduced state of the system, it can be described by\n˜Nc(X)=π−1˜Dc(Y)˜ρNc˜D†\nc(Y), (24)\nwhere ˜Dc(Y)=eYδˆc†−Y∗δˆcis the displacement operator, ˜ ρNccorresponds to a pure Gaussian state without first moment and the its\ncovariance matrix is given by\nΓNn=1\n2R(χ)diag( Λ,Λ−1)R(χ)T, (25)\nwhere R(χ) represents a rotation matrix and Λ∈[0,∞]. The probability distribution describing a Gaussian measurement on\nAlice mode δˆcis influenced by the measurement ˜Nn(X) performed on Bob mode δˆn. However, interestingly, the uncertainty in\nAlice mode δˆcremains una ffected by the outcome Xthat Bob obtains from his Gaussian measurement, i.e., CNn,Nccn=CNnc+ ΓNc,\nWhileCNncis provided by Eq. (18). The extracted work by Alice (photon), can be measured via the Shannon entropy of the\nappropriate probability distribution H(Pr(X,Y)) is similar to the entropy of the Gaussian distribution H(CNn,Nccn). Its expression\nwrites as\nW(λ,Λ)(ξ,χ)=kBT\n2ln detCNnc\ndetCNn,Nccn!\n. (26)\nIn the case of STSs the extractable work for both homodyne ( λ=0) and heterodyne ( λ=1), writes as\nW(0,0)\nom(ξ,χ)=kBTlns\n4αβ\n4αβ−2∆2[1+cos(2ξ+2χ)],W(1,1)\nom=kBTln\"(1+2α)(1+2β)\n1+2β+α(2+4β)−4∆2#\n. (27)\nD. Efficiency of the work extraction\nAccording to Zhuang et al. (2014), the information-work e fficiency of a Szilard engine can be expressed as the ratio of\nextracted work to erasure work [67]\nµ=W\nWeras, (28)\nIn this case, the information contained in the system is proportionate to Weras\nWeras=kBT H(P) ln 2, (29)\nwhere the Shannon entropy connected to the probability Pjdistribution is expressed as ?? We exploit the density operators ρand\nthe von Neumann entropy to serve as the quantum mechanical equivalents of probability distributions [68]\nS(ρ)=Tr(ρlog(ρ)), (30)7\nFor two modes Gaussian state ρGthe von Neumann entropy sVcan be written as\nS(ρG)=2X\nl=1sV(Φl), (31)\nwithΦl,l=1,2, represent the symplectic eigenvalues of the matrix CAB(see equation (13)) writes as\nΦ±=s\nκ±p\nκ2−4 detCAB\n2, (32)\nandsVcan be expressed\nsV(w)=\u00122w+1\n2\u0013\nlog\u00122w+1\n2\u0013\n−\u00122w−1\n2\u0013\nlog\u00122w−1\n2\u0013\n, (33)\nwhereκ=detCc+detCn+2 detCcn.\nE. Results and discussions\nIn this section, we will explore how light (photons) and magnetic excitation’s (magnons) interact and share quantum corre-\nlations and e fficiency in a steady and dynamical state, considering various factors. We’ve selected parameters that are suitable\nfor experimentation [35]: Ωc/2π=10×106Hz,Ωd/2π=10×106Hz,λd/2π=102Hz,λc/2π=λn/2π=1×106Hz,\ngnc/2π=Gnd/2π=3.2×106Hz, and at low temperature T=10×10−3K. Under these conditions, the coupling between the\nmagnon mode and cavity mode gncis significantly weaker than the product of the detuning between the magnon and cavity\nmodes and the mechanical resonance frequency, i.e., g2\nnc≪|˜δnδc|≃Ω2\nd. In this case, we adopt the approximate of the e ffective\nmagnomechanical coupling as Gnd≃√\n2gndΩ\nΩd\u0002see Eq. (6)\u0003, whereGnd/2π=3.2×106Hz leading to|⟨n⟩|≃ 1.1×107for a\n250-µm-diameter YIG sphere, is regarding the drive magnetic field B0≃3.9×10−5T for gnd/2π≃0.2 Hz and the drive power\nP=8.9×10−3W. In this order, one can make the Kerr e ffect negligible because of the realization of the K|⟨n⟩|3≪ω.\nEom\nWom(0)\nWomSep(0)\nWomMax(0)\n0.00 0.05 0.10 0.15 0.200.000.050.100.150.200.250.30\nT(K)(a)\nEom\nWom(1)\nWomSep(1)\nWomMax(1)\n0.00 0.05 0.10 0.15 0.200.000.050.100.150.200.25\nT(K)(b)\nFIG. 1: Plot of logarithmic negativity Eom, extracted work W(λ)\nom(in units of kBT), maximum of extractable work W(λ)\nomMaxand extracted work\nat separable state W(λ)\nomS epbetween photon and magnon against temperature Tfor various Gaussian measurements. (a) λ=0 (homodyne); (b)\nλ=1 (heterodyne).\nIn Fig. (1), we plot the logarithmic negativity Eom, extractable work W(λ)\nom(in units of kBT), separable work W(λ)\nomsepand\nmaximum work W(λ)\nommaxbetween optical mode and magnon mode versus the temperature Tfor di fferent measurements. The\nextractable work W(λ)\nomand separable work W(λ)\nomsepare always bound by maximum work W(λ)\nommax, as depicted in Fig. (1). We remark\nthat photon and magnon modes are entangled in the region where W(λ)\nom>W(λ)\nomsep. This agrees with entanglement quantified by\nlogarithmic negativity Eom[69]. This figure exhibits that W(λ)\nom,W(λ)\nomsepandW(λ)\nommaxall increase with increasing temperature.\nConversely, logarithmic negativity diminishes to zero around 0.17 K., i.e., the two modes photon and magnon are in separable\nstate and W(λ)\nom≤W(λ)\nomsep, as depicted in Fig. (1)(a-b). We note that for a large value of the temperature Tthe mode corresponds to8\nthe optimal performance of a Szilard engine. This is for homodyne and heterodyne detection ( λ=0,1). Besides, the maximum\nwork is larger at high temperatures. Furthermore, in homodyne detection, the maximum work W(λ)\nommax(in units of kBT) achieves\n0.30 at T=0.2 K (a), while in heterodyne detection it achieves 0.27, as depicted in figure (1). Thus, one can say that extractable\nwork provides a su fficient condition to witness entanglement in generic two-mode states, which is also necessary for squeezed\nthermal states.\nEom\nWom(0)\nWomSep(0)\nWomMax(0)0.0 0.2 0.4 0.6 0.8 1.00.00.10.20.30.40.50.60.7\nt(μs)(a)\nEom\nWom(1)\nWomSep(1)\nWomMax(1)0.0 0.2 0.4 0.6 0.8 1.00.00.10.20.30.40.50.60.7\nt(μs)(b)\nFIG. 2: Time evolution of logarithmic negativity Eom, extracted work W(λ)\nom(in units of kBT), maximum of extractable work W(λ)\nomMaxand\nextracted work at separable state W(λ)\nomS epbetween photon and magnon for various Gaussian measurements. (a) λ=0 (homodyne) (b) λ=1\n(heterodyne).\nIn Fig. 2, we plot the time-evolution of the bipartite entanglement Eom, extractable work W(λ)\nom(in units of kBT), separable work\nW(λ)\nomsepand maximum work W(λ)\nommaxbetween optical mode and magnon mode in homodyne measurement (a) and in hetrodyne\nmeasurement (b). This figure shows three entanglement regimes: The first regime is dedicated to classically correlated states\n(Eom=0), i.e., W(λ)\nomW(λ)\nomsepandEom>0, indicating entanglement sudden death between the two modes. Here, we observe the\ngeneration of oscillations, which can be explained by the Sorensen-Molmer entanglement dynamics discussed in Ref. [43, 70].\nThe last regime corresponds to a steady state, i.e., W(λ)\nomsepremains bounded by W(λ)\nomandEomis constant. The extracted work W(λ)\nom\nand separable work W(λ)\nomsepare bounded by the maximum work W(λ)\nommax. Thus, the engine has the best performance for strongly\nsqueezed vacuum states and small times of evolution.\nEom\nWom(0)\nWomSep(0)\nWomMax(0)-2.0 -1.5 -1.0 -0.5 0.00.000.050.100.150.200.25\nδc/Ωd(a)\nEom\nWom(1)\nWomSep(1)\nWomMax(1)-2.0 -1.5 -1.0 -0.5 0.00.000.050.100.150.20\nδc/Ωd(b)\nFIG. 3: Plot of logarithmic negativity Eom, extracted work W(λ)\nom(in units of kBT), maximum of extractable work W(λ)\nomMaxand extracted work\nat separable state W(λ)\nomS epbetween photon and magnon versus the normalized photon detuning for various Gaussian measurements. (a) λ=0\n(homodyne) (b) λ=1 (heterodyne).9\nFigure 4 presents the influence of normalized photon detuning δc/ωdon logarithmic negativity Eom, extractable work W(λ)\nom\n(in units of kBT), separable work W(λ)\nomsep, and maximum work W(λ)\nommaxas functions of normalized magnon detuning δa/ωb. En-\ntanglement W(λ)\nom>W(λ)\nomsep, as expected from the logarithmic negativity Eom[69], is observed in Fig. 4, while the separable state\nEom=0 and W(λ)\nom≤W(λ)\nomsepis depicted in Fig. 4(a-b). We remark that the peak in the logarithmic negativity corresponds to the\ndip in W(λ)\nomandW(λ)\nomsepfor both homodyne and heterodyne measurements.\nEom\nWom(0)\nWomSep(0)\nWomMax(0)0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.40.000.050.100.150.200.250.30\ngnc/Ωd(a)\nEom\nWom(1)\nWomSep(1)\nWomMax(1)0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.40.000.050.100.150.200.250.30\ngnc/Ωd(b)\nFIG. 4: Plot of logarithmic negativity Eom, extracted work W(λ)\nom(in units of kBT), maximum of extractable work W(λ)\nomMaxand extracted work at\nseparable state W(λ)\nomS epbetween photon and magnon as a function of the magnon-photon coupling gcn/ωdfor various Gaussian measurements.\n(a)λ=0 (homodyne) (b) λ=1 (heterodyne).\nFigure ??explores the logarithmic negativity Eom, extractable work W(λ)\nom(in units of kBT), separable work W(λ)\nomsep, and maxi-\nmum work W(λ)\nommaxbetween the optical mode and magnon mode versus temperature for both homodyne ( λ=0) and heterodyne\n(λ=1) measurements, as a function of the magnon-photon coupling gcn/ωd. As expected, entanglement W(λ)\nom>W(λ)\nomsepcoincides\nwith non-zero logarithmic negativity Eom[69]. Conversely, the separable state W(λ)\nom≤W(λ)\nomsepandEom=0 indicates separabil-\nity, as shown in Fig. ??(a-b). Interestingly, Fig. ??also shows that Eom,W(λ)\nom,W(λ)\nomsep, and W(λ)\nommaxall increase with increasing\nmagnon-photon coupling ( gcn/ωd) before gradually decreasing after reaching a maximum value. Additionally, we observe that\nin homodyne detection, W(λ)\nommax>0 even for gcn/ωd=0, whereas in heterodyne detection, W(λ)\nommax=0 for gcn/ωd=0.\nWom(0)\nWom(0,0)\nWom(1)\nWom(1,1)0.00.10.20.30.40.50.025330.025340.025350.025360.025370.025380.025390.02540\n0.0 0.1 0.2 0.3 0.4 0.50.0000.0050.0100.0150.0200.0250.0300.035\nT(K)\nFIG. 5: Plot of the extractable work W(in units of kBT) as a function of the temperature Tfor both measurement W(0,0)\nomandW(1,1)\nomand single\nhomodyne measurement W(0)\nomand heterodyne measurement W(1)\nom.10\nIn Fig. 5, we represent the comparison between the extracted work from both measurement W(0,0)\nom(0,0) and W(1,1)\nomto a\nsingle homodyne measurement W(0)\nomand heterodyne measurement W(1)\nom. The decrease in extractable work observed in Fig. 5\nis attributed to the second measurement introducing entropy into the system, which can be mathematically represented as a\nsmearing of the distribution imparted by the single measurement, i.e., W(1,1)\nomW(λ)\nomsep(λ=1,2), and it is in agreement with logarithmic negativity. We\nshow that when W(λ)\nom≤W(λ)\nomsep(λ=1,2). Besides, extracted and separable work are always bounded by the maximum work in\nhomodyne and hetrodyne measurement. We have found that all works are influenced by di fferent parameters like temperature T,\nnormalized magnon detuning δc/ωd, magnon-photon coupling gnc. Also, we have shown that the information-work e fficiency of\nSzilard engine is better for small temperature and for large time. Besides, the e fficiency enhances in heterodyne measurement\nwith request to the homodyne measurement.\n[1] A. Einstein, B. Podolsky and N. Rosen. Phys. Rev. 47, 777 (1935).\n[2] E. Schr ¨odinger. Mathematical Proceedings of the Cambridge Philosophical Society 31, 555 (1935).\n[3] J. S. Bell. On the Einstein Podolsky Rosen paradox. Physics Physique Fizika 1, 195 (1964).\n[4] A.K. Ekert. Quantum cryptography based on Bell’s theorem. Phys. Rev. Lett. 67, 661 (1991).\n[5] C.H. Bennett, G. Brassard, C. Crepeau, R. Jozsa, A. Peres and W.K. Wootters. Phys. Rev. Lett. 70, 1895 (1993).\n[6] C. H. Bennett and D. P. DiVincenzo. Nature 404, 247 (2000).\n[7] M. Aspelmeyer, T. J. Kippenberg and F. Marquardt. Rev. Mod. Phys. 86, 1391 (2014).\n[8] D. Vitali, et al., Phys. Rev. Lett. 98, 030405 (2007).11\n[9] M. Abdi, S. Pirandola, P. Tombesi and D. Vitali. Physical Review Letters. 109, 143601 (2012).\n[10] E. A. Sete, H. Eleuch and C. R. Ooi. JOSA B. 31(11), 2821-2828 (2014).\n[11] M. Abdi, S. Pirandola, P. Tombesi and D. Vitali. Physical Review A. 89, 022331 (2014).\n[12] E. A. Sete and H. Eleuch. Physical Review A. 89(1), 013841 (2014).\n[13] J. Manninen, M. Asjad, E. Selenius, R. Ojajarvi, P. Kuusela and F. Massel. Physical Review A 98, 043831 (2018).\n[14] M. Amazioug, M. Nassik and N. Habiballah. Eur. Phys. J. D 72, 171 (2018).\n[15] M. Asjad, M. A. Shahzad and F. Saif. The European Physical Journal D 67, 1 (2013).\n[16] M. Amazioug, B. Maroufi and M. Daoud. Quantum Inf. Process. 19, 16 (2020).\n[17] B. Teklu, T. Byrnes and F. S. Khan. Phys. Rev. A 97, 023829 (2018).\n[18] T. G. Tesfahannes. Josa B. 37, A245-A252 (2020).\n[19] H. D. Mekonnen, T. G. Tesfahannes, T. Y . Darge and A. G. Kumela. Scientific Reports. 13, 13800 (2023).\n[20] J. Teufel, T. Donner, D. Li, J. Harlow, M. Allman, K. Cicak, A. Sirois, J. Whittaker, K. Lehnert and R. Simmonds. Nature 475359 (2011).\n[21] S. Machnes, J. Cerrillo, M. Aspelmeyer, W. Wieczorek, M.B. Plenio and A. Retzker. Phys. Rev. Lett. 108, 153601 (2012).\n[22] M. Asjad, N. E. Abari, S. Zippilli and D. Vitali. Optics Express 27, 32427 (2019).\n[23] J. Chan, T. P. M. Alegre, A.H. Safavi Naeini, J.T. Hill, A. Krause, S. Groblacher, M. Aspelmeyer and O. Painter. Nature 478(7367) 89\n(2011).\n[24] M. Bhattacharya and P. Meystre. Phys. Rev. Lett. 99073601 (2007).\n[25] M. Amazioug, M. Daoud, S. K. Singh and M. Asjad. Quantum Information Processing, 22(8), 301 (2023).\n[26] J.Q. Liao and L. Tian. Phys. Rev. Lett. 116, 163602 (2016).\n[27] H. Xiong, Z.X. Liu and Y . Wu. Opt. Lett. 42, 3630 (2017).\n[28] A. Candeloro, S. Razavian, M. Piccolini, B. Teklu, S. Olivares and M. G. A. Paris. Entropy 23(10) (2021).\n[29] J. D. Teufel et al. , Nature (London) 475, 359 (2011).\n[30] R. Riedinger et al. Nature (London) 556, 473 (2018).\n[31] C. F. Ockeloen-Korppi et al. Nature (London) 556, 478 (2018).\n[32] Q. A. Turchette, C. J. Hood, W. Lange, H. Mabuchi and H. J. Kimble. Phys. Rev. Lett. 75, 4710 (1995).\n[33] B. T. H. Varcoe, S. Brattke, M. Weidinger and H. Walther. Nature 403, 743 (2000).\n[34] G. Nogues, A. Rauschenbeutel, S. Osnaghi, M. Brune, J. M. Raimond and S. Haroche. Nature 400, 239 (1999).\n[35] X. Zhang, C.-L. Zou, L. Jiang and H. X. Tang. Sci. Adv. 2, e1501286 (2016).\n[36] C. Kittel. Phys. Rev. 73, 155 (1948).\n[37] H. Huebl et al., Phys. Rev. Lett. 111, 127003 (2013).\n[38] D. Zhang et al. npj Quantum Information 1, 15014 (2015).\n[39] Y .-P. Wang et al. , Phys. Rev. Lett. 120, 057202 (2018).\n[40] Y . Tabuchi et al. , Science 349, 405 (2015).\n[41] B. Hussain, S. Qamar and M. Irfan. Physical Review A. 105, 063704 (2022).\n[42] M. Asjad, J. Li, S.-Y . Zhu and J. Q. You. Fundam. Res. 3, 3 (2023).\n[43] M. Amazioug, B. Teklu and M. Asjad. Scientific Reports. 13, 3833 (2023).\n[44] M. Amazioug, S. Singh, B. Teklu and M. Asjad. Entropy. 25, 1462 (2023).\n[45] K. Maruyama, F. Nori and V . Vedral. Rev. Mod. Phys. 81, 1 (2009)\n[46] J.M.R. Parrondo, J.M. Horowitz and T. Sagawa. Nat. Phys. 11, 131 (2015)\n[47] J. Oppenheim, M. Horodecki, P. Horodecki and R. Horodecki. Phys. Rev. Lett. 89, 180402 (2002)\n[48] K. Maruyama, F. Morikoshi and V . Vedral. Phys. Rev. A 71, 012108 (2005)\n[49] K. Funo, Y . Watanabe and M. Ueda. Phys. Rev. A 88, 052319 (2013)\n[50] J. Li, S.-Y . Zhu and G. Agarwal. Phys. Rev. Lett. 121, 203601 (2018).\n[51] C. Kittel. Phys. Rev. 110, 836 (1958).\n[52] Y .-P. Wang et al., Phys. Rev. B 94, 224410 (2016).\n[53] C. W. Gardiner and P. Zoller, Quantum Noise (Springer, Berlin, Germany, 2000).\n[54] V . Giovannetti and D. Vitali, Phys. Rev. A 63, 023812 (2001); R. Benguria and M. Kac, Phys. Rev. Lett. 46, 1 (1981).\n[55] E.X. DeJesus and C. Kaufman, Phys. Rev. A 35, 5288 (1987)\n[56] D. Vitali et al., Phys. Rev. Lett. 98, 030405 (2007).\n[57] P. C. Parks and V . Hahn, Stability Theory . Prentice Hall, (1993).\n[58] G. Vidal and R. F. Werner, Phys. Rev. A 65, 032314 (2002); M. B. Plenio, Phys. Rev. Lett. 95, 090503 (2005).\n[59] M. B. Plenio. Physical Review Letters. 95, 090503 (2005).\n[60] R. Landauer. IBM J. Res. Dev. 5(3), 183–191 (1961).\n[61] J. V . Koski, A. Kutvonen, I. M. Khaymovich, T. Ala-Nissila and J. P. Pekola, Phys. Rev. Lett. 115, 260602 (2015).\n[62] M. D. Vidrighin, O. Dahlsten, M. Barbieri, M. S. Kim, V . Vedral and I. A. Walmsley. Phys. Rev. Lett. 116, 050401 (2016).\n[63] G. Vidal and R. F. Werner. Physical Review A. 65, 032314 (2002).\n[64] G. Adesso, A. Serafini and F. Illuminati. Physical Review A. 70, 022318 (2004).\n[65] M. Brunelli, M. G. Genoni, M. Barbieri and M. Paternostro. Physical Review A. 96(6), 062311 (2017)\n[66] G. Adesso, D. Girolami and A. Serafini, Phys. Rev. Lett. 109, 190502 (2012).\n[67] Z. Zhuang and S.-D. Liang. Phys. Rev. E 90, 052117 (2014).\n[68] M. Cuzminschi, A. Zubarev, S. M. Iordache and A. Isar. iScience, 26(12) (2023).\n[69] J. Li, S.-Y . Zhu and G. S. Agarwal. Phys. Rev. Lett. 121, 203601 (2018).\n[70] J. Li, G. Li, S. Zippilli, D. Vitali and T. Zhang. Phys. Rev. A 95, 043819 (2017).\n[71] T. Holstein and H. Primako ff, Phys. Rev. 58, 1098 (1940)." }, { "title": "1807.08614v2.Magnon_phonon_conversion_experiment_and_phonon_spin.pdf", "content": "arXiv:1807.08614v2 [physics.gen-ph] 6 Aug 2018Magnon-phonon conversion experiment and phonon spin\nS. C. Tiwari\nDepartment of Physics, Institute of Science, Banaras Hindu Unive rsity, Varanasi 221005, and\nInstitute of Natural Philosophy\nVaranasi India\nRecent experiment demonstrates magnon to phonon conversio n in a YIG film under the appli-\ncation of a non-uniform magnetic field. Light scattered from phonons is observed to change its\npolarization state interpreted by the authors signifying p honon spin. In this note we argue that the\nexperimental data merely shows the exchange of angular mome ntum±¯hper photon. We suggest\nthat it has physical origin in the orbital angular momentum o f phonons. The distinction between\nspin and orbital parts of the total angular momentum, and bet ween phonons and photons with\nadded emphasis on their polarizations is explained. The mai n conclusion of the present note is that\nphonon spin hypothesis is unphysical.\nPACS numbers: 63.20.-e, 63.20.kk\nI. INTRODUCTION\nMagneto-elastic waves or magnon-phonon excitations\nhave been of interest for various reasons, one of them be-\ning the field of spintronics. A recent experimental study\non the magnon-phonon conversion in the ferrimagnetic\ninsulator YIG addresses a question of fundamental im-\nportance whether phonons carry spin [1]. We recall that\nin 1988 McLellan [2] showed that sharp angular momen-\ntum could be attributed to circular or elliptical phonon\npolarizations. Note that this angular momentum can-\nnot be identified with the spin of phonon. In this note\nwe discuss the recent experiment [1] and argue that the\nmeasurements show that phonons exchange angular mo-\nmentum with light but it is not spin of the phonons. We\nemphasize that this distinction is not just semantic [3]\nbut of fundamental nature [4].\nThe experiment [1] first shows by time-resolved\nmeasurements that under the application of a non-\nuniform magnetic field on a YIG film, spin wavepackets\nlaunched by pulsed microwave signals, convert into elas-\ntic wavepackets, i.e. magnon-phonon conversion. Next\nusing wavevector resolved Brillouin light scattering ex-\nperiment the measurementsshow i) magnon-phononcon-\nversion with constant energy and linearly varying mo-\nmentum, and ii) the light scattered by the phonons is\ncircularly polarized. The meticulous data presented in\nFigures (4) and (5) of their paper by the authors could\nhardly be doubted. The question that concerns me is\nregarding their claim, ’that phonons created by the con-\nversion of magnons do carry spin’.\nIt is true that the change in the polarization state of\nlight involves exchange of angular momentum, for ex-\nample, to transform linearly polarized light to circularly\npolarized light an angular momentum of ±¯hper photon\nis required. However this angular momentum need not\nbe associated with the spin of the medium or the light-\nscattering object. At the macroscopic level, Beth experi-\nment [5] detected a direct mechanical effect in terms of a\ntorque exerted by a circularly polarized beam of light ona doubly refracting medium which changes the polariza-\ntion state of light. The photon spin angular momentum\nis transferred to the body of the medium imparting or-\nbital rotation; the aim of the Beth experiment was, of\ncourse, to demonstrate that photons had spin. Holanda\net al experiment [1], on the other hand, assumes photon\nspin, andinfersthatphononscarryspin frommicroscopic\nscattering data with photons. The crucial point is that\nthe experiment only proves that angular momentum in\nthe unit of ±¯his exchanged. It cannot be attributed\nto the phonon spin: non-zero spin of phonon does not\nmake physical sense. We argue that the orbital angular\nmomentum of elastic waves or phonons is responsible for\nangular momentum transfer.\nIn this note we address the question: Why not spin?\nFirst photon physics is briefly reviewed in the next sec-\ntion to highlight the intricate relationship between polar-\nization and spin. In section III elementary discussion on\nphononsshowsthatphoton-phononanalogyisuntenable,\nand spin cannot be associated with polarized phonons.\nFurtherelaborationconstitutessectionIV.Thenoteends\nwith a short conclusion.\nII. PHOTON AND LIGHT POLARIZATION\nA brief review on elementary considerations on the\nmeaning of angular momentum and its decomposition\ninto orbital and spin parts seems necessary. For a sys-\ntem with rotational symmetry the angular momentum is\na constant of motion; if linear momentum is pone de-\nfines angular momentum simply as r×p. In field theory\none may construct the expression for angular momentum\nfrom the momentum density of the field or directly cal-\nculate the angular momentum density tensor as Noether\ncurrent from the rotational invariance of the action. In\ngeneral, it is useful to separate total angular momentum\nJinto orbital and spin components\nJ=L+S (1)2\nFor a scalar particle the spin part is zero. For a vector\nparticle, following a textbook discussion [6], see pp197-\n198, a simplified picture is obtained in terms of Lthat\ndepends on the space or position and spin part that de-\npends on the three components of the vector wavefunc-\ntionVwhere 3 ×3 spin matrices Sx,Sy,Szact onV,\nsee (27.11) in [6]. Note that similar arguments hold for\nthe second quantized field theory. Field Vbecomes an\noperator\nVop=aλVλ+a†\nλV∗\nλ (2)\nThe annihilation and creation operators aλanda†\nλfor a\nmodeλsatisfy the commutation rules\n[aλ,a†\nλ′] =δλλ′ (3)\nThefieldoperatorsactinFockspacespannedbytheFock\nstate vectors. The orbital angular momentum operator\nL=−i(r×∇) (4)\nacts on the space-dependence of the mode functions Vλ,\nwhereas the spin operator\nS=−iǫijk (5)\nacts on the components of Vλ.\nPhotonisavectorparticlewithrestmasszeroandspin\none having only two projections - better understood in\nterms of helicity. Photon as a quantized electromagnetic\nradiation field continues to have fundamental questions:\ngauge-invariance, transversality and Lorentz covariance\narecontroversialand unsettled issues, seereferencescited\nin [4] and also [7, 8].\nLet us try to explain the problem. Classical fields\nE,B,Aµsatisfy the wave equation, and one assumes a\nplane wave representation. Introducing canonically con-\njugate field variables canonical quantization is carried\nout. In the normal mode expansion the annihilation and\ncreation operators can be defined, and polarization 4-\nvectorǫµcomprising of four mutually orthogonal unit\nvectors takes care of the vector nature of the field. In\nQED a manifest Lorentz covariant quantization results\ninto longitudinal and time-like photons besides the phys-\nical photons.\nHoweverincontrasttoQEDwheretheelectromagnetic\npotentials Aµarefundamentalfieldvariables,inquantum\noptics literature the utility of the electric and magnetic\nfield operators is well known. In a simpler field quantiza-\ntion for the radiation field polarization index s= 1,2 for\ntransverse fields is sufficient. The normalized eigenstate\nof the number operator nks=a†\nksaksgives the number\nof photons in the mode ( k,s) as\nnks|nks>=nks|nks> (6)\nThe Fock state is a direct product of number states over\nall possible modes\n|{n}>=/productdisplay\nks|nks> (7)The assumption of transverse mode functions, for exam-\nple,A⊥eliminates longitudinal and time-like photons in\nquantum optics.\nPhysical quantities like energy, momentum and angu-\nlar momentum are obtained using their classical expres-\nsions and transforming them to the quantized field oper-\nators. In the classical radiation field theory the Poynting\nvectorE×Brepresents the momentum density and the\ntotal angular momentum density becomes\nJ=r×(E×B) (8)\nSeparation of (8) into orbital and spin parts can be made\nsimilar to (1). The spin angular momentum density is\nidentified with the expression\nS=E×A (9)\nRegarding spin angular momentum a remarkable result\npointed out by van Enk and Nienhuis [9] is worth men-\ntioning. For a circularly polarized plane wave it is found\nthat the spin operator corresponding to (9) has a simple\nform\nSr=/summationdisplay\nkk\n|k|(nk+−nk−) (10)\nHeres=±for right and left circular polarization. The\ncomponents of Srcommute with each other\n[Sr\ni,Sr\nj] = 0 (11)\nAuthors [9] argue that the spin operator(10) cannot gen-\nerate polarization rotation of the field, and cannot be in-\nterpreted as spin angular momentum of photon. Note\nthat Jauch and Rohrlich [10] define Stokes operators sat-\nisfying the angular momentum commutation rules which\nprovide interpretation of the photon spin [11].\nTo conclude this section, in both QED and quantum\noptics photon spin and the role of polarization state in-\nvolve intricate issues. One thing is, however unambigu-\nous, namely that spin angular momentum is an intrinsic\nproperty associated purely with the nature of the fields.\nIn fact, spin for electron also depends only on the Dirac\nfield\nΣ= Ψ†γγ5Ψ (12)\nIII. PHONON SPIN\nIn the abstract of [1] the authors state that, ’while it is\nwell established that photons in circularly polarized light\ncarry a spin, the spin of phonons has had little attention\nin the literature’. Now keeping in mind the conceptual\nproblems associated with photon physics highlighted in\nthe preceding section the photon spin has to be inter-\npreted with great care. The second part of the statement\nis, however not correct. The condensed matter literature\ntacitly accepts phonon to be a zero spin boson, in spite3\nof the transverse modes and the known polarization of\nacoustic and optical phonons. Polarization of phonon\nmodes is not related with spin but orbital angular mo-\nmentum [2]. A brief discussion seems useful for the sake\nof clarity.\nPhonons are quantized lattice vibrations; phonon\nmodes are described by wavevector k, a branch number j\nand the orientation of the coordinate axes [2]. The\nbranch number has two values for crystals with two sub-\nlattices and there are two triplets of phonons for acoustic\nand optical branches. McLellan defines phonon angular\nmomentum in terms of phonon annihilation and creation\noperators to be\nLph=/summationdisplay\nkjakj×a†\nkj(13)\nThis expression is, as pointed by the author [2], in agree-\nment with that defined using the displacement vector ulκ\nL=/summationdisplay\nlκulκ×plκ (14)\nHere the index lcorresponds to the unit cell and κfor\nthe atom on a sub-lattice. Expression (52) in [2] for the\ntotal angular momentum of the lattice includes that of\nthe rigid body rotation of the crystal.\nWhat are the implications of above discussion? It\nthrows light on the issue of phonon polarization and spin\nas follows.\n[1]Phononisaquasi-particlehavingnodynamicalfield\nequations like Maxwell field equations for photon. The\nmost crucial point that seems to have gone unnoticed in\nthe discussions on phonon spin and phonon-photon anal-\nogy is that the displacement vector representing lattice\nvibrations is a real space coordinate. Canonical quanti-\nzation and the field operators for phonons are based on\nthe coordinate and momentum, for example those ap-\npearing in Eq.(14). On the other hand, for photon the\nfield variable Aµis treated as a coordinate variable, and\n∂L\n∂˙Aµis the canonically conjugate “momentum” variable\nfor the quantization. Here Lis the Lagrangian density\nof the Maxwell field.\n[2] Phonon polarization is physically entirely differ-\nent than light or photon polarization. McLellan’s\nanalysis clearly establishes the physical significance of\nphonon polarization in terms of orbital angular momen-\ntum. Isotropic 2D quantum oscillator best illustrates the\nmeaning of polarization of elastic waves or phonons. In\ncartesian coordinates the raising and lowering operators\nseparate into 1D oscillators; it is akin to linear polar-\nization. A circular basis ( a†\nx±ia†\ny) formally resembles\ncircular polarization. In the circular basis one gets well-\ndefined orbital angular momentum of the oscillator.\nTransverse modes in paraxial optics also represent\nphysical realizationof this example. First order Hermite-\nGaussian modes HG10andHG01are not eigenstates of\nangular momentum operator (4). However, Laguerre-Gaussian modes\nLG±1\n0=1√\n2(HG10±iHG01) (15)\npossess sharp angular momentum. Thus phonon polar-\nization is related with orbital angular momentum not\nspin.\nIV. DISCUSSION\nLet us try to elucidate further why photon-phonon\nanalogy is misleading. Photon as a quantized vector field\nhas intrinsic spin one. Wigner’s group theoretical argu-\nments establishthat foranymasslessorlight-likeparticle\nwith non-zero spin there exist only two helicity states. In\nthe classical picture the intrinsic spin is identified with\nthe vector product of the electric field and the vector po-\ntential (9). Assumed transverse vector potential leads to\nthe electric field\nE⊥=−∂A⊥\n∂t(16)\nIn the field quantization assuming monochromatic light\nthe electric and magnetic fields are obtained using (16)\nand∇×A⊥respectively, e. g. the expression (6) in [9].\nThe oscillations or vibrations around equilibrium posi-\ntion of ions collectively lead to the elastic waves and are\nanalyzed in the harmonic approximation in terms of the\nnormal modes. The mode expansion includes wave vec-\ntor and polarization specifications [12, 13]. Phonon field\nis understood in terms of the displacement of a point in\nthe material medium u(r,t) and the corresponding mo-\nmentum\np=/integraldisplay\nρ˙u(r,t)dV (17)\nwhereρis the mass density. Standard coordinate and\nmomentum quantization rule, and plane wave represen-\ntation yield quantized phonon field. It is easy to see that\nexpression (14) is just the orbital angular momentum. A\ndeceptive formal analogy with the photon spin expres-\nsion (9) is obvious considering expression (16) and using\n(17) for phonon. Physical interpretation depends on the\nfundamentaldistinctionbetweenthe vectorpotentialand\nthe displacement vector since the later is a real space co-\nordinate variable. Thus the suggested interpretation for\nthe phonon angular momentum corresponding to the cir-\ncularly polarizedmodes in [2] seems justified. We remark\nthat in spite of the usage of phonon polarization in the\nliterature [13], and transverse polarization in the des-\nignation of creation and annihilation operators phonon\nspin and vector nature of the phonon field is nowhere\nmentioned. To avoid confusion, it has to be understood\nthat scalar field could possess well defined orbital angu-\nlar momentum and laser light beams with sharp orbital\nangular momentum have been extensively studied in the4\nliterature, see references in [4]. Longitudinal modes have\nno spin or orbital angular momentum, however linearly\npolarized light could possess orbital angular momentum\nbut not spin. Thus the conventional phonon theory has\nno analogy with the photon theory, and non-zero phonon\nspin does not make physical sense. The origin of the an-\ngular momentum transfer from phonon to photon in the\nreported experiment [1] may be logically attributed to\nthe orbital angular momentum of phonons.\nIn a hypothetical scenario assuming phonon has spin\none it would be of interest to find its physical conse-\nquences. I think electron-phonon interaction and Cooper\npair formation via phonon mediated electron-electron in-\nteraction may be re-examined: phonon creation and an-\nnihilation operators [13] could be generalized for the cir-\ncularly polarized modes in the interaction Hamiltonian\nand treated as spin one particles. There is another prob-\nleminsuperconductivityhighlightedbyPost[14], namely\nthe angular momentum conservation in a superconduct-\ning ring. Though Post sets the problem in the form of\nOnsager-Feynman controversyhe offers insightful discus-\nsiononthe mechanismoftheangularmomentumbalance\nwhen supercurrent in a ring vanishes as the temperature\nis raised above the transition temperature. Note that\nPost rules out any role of lattice, therefore it may be of\ninterest to examine the role of phonon spin in this prob-\nlem.\nWe could, of course, explore new physics or unconven-\ntional ideas [4]. Departing from the phonon picture new\nkind of field excitations in the spirit of Cosserat medium\nwas suggested in [4]. Analogy of displacement vectorwith the vector potential is not justified, however the\nvelocity field in a rotating fluid may be treated as a vec-\ntor potential: postulating rotating space-time fluid with\nnontrivial topology of vortices we have re-interpreted the\nelectromagnetic field tensor as the angular momentum\n(density or more appropriately flux) of photon fluid [8],\nand proposed a topological photon [15]. Note that the\nnetangularmomentumofthemicroscopicparticlesinthe\nrotating fluid implies antisymmetric stress tensor. Such\nspeculations relate spin with topological invariants.\nV. CONCLUSION\nIt has been pointed out [4] that phonon angular mo-\nmentum discussed in [16] is ambiguous as compared to\nthat discussed in [2]. We have shown that non-zero\nphonon spin hypothesis and phonon-photon analogy [17]\nare conceptually flawed, giving further support to the ar-\ngumentspresentedin [4]. Phononspin hasno experimen-\ntal evidence. The correct physical interpretation of the\nreported experiment [1] is that orbital angular momen-\ntum of phonons is exchanged with light beam resulting\ninto the change in the polarization of the light.\nAcknowledgments\nI thank S. Streub for raising specific questions on the\nphoton-phonon analogy. I also acknowledge correspon-\ndence with S. M. Rezende, M. Wakamatsu, and A. Hoff-\nmann, and conversation with D. Sa and V. S. Subrah-\nmanyam.\n[1] J. Holanda et al, Nature Physics 14, 500 (2018)\n[2] A. G. McLellan, J. Phys. C Solid State Phys. 21, 1177\n(1988)\n[3] S. Streub et al, Phys. Rev. Lett. 121, 027202 (2018);\narXiv: 1804.07080v1 [cond-mat.mes-hall]\n[4] S. C. Tiwari, arXiv: 1708.07407v3 [physics.gen-ph]\n[5] R. A. Beth, Phys. Rev. 48, 471 (1935)\n[6] L. I. Schiff, Quantum Mechanics (McGraw-Hill, 1968)\nThird Edition\n[7] S. C. Tiwari, arXiv:08070.0699v1 [physics-gen.ph]\n[8] S. C. Tiwari, J. Mod. Optics, 46, 1721 (1999)\n[9] S. J. van Enk and G. Nienhuis, Europhys. Lett. 25, 497(1994)\n[10] J. M. Jauch and F. Rohrlich, The Theory of Photons and\nElectrons (Reading, Addison-Wesley, 1955)\n[11] S. C. Tiwari, J. Mod. Optics, 39, 1097 (1992)\n[12] L. D. Landau and E. M. Lifshitz, Theory of Elasticity\n(Pergamon, 1970)\n[13] D. J. Scalapino, Chapter 10in SuperconductivityVolum e\n1, Edited by R. D. Parks (M. Dekker, 1969)\n[14] E. J. Post, Quantum Reprogramming (Kluwer, 1995)\n[15] S. C. Tiwari, J. Math. Phys. 49, 032303 (2008)\n[16] L. ZhangandQ.Niu, Phys.Rev.Lett.112, 085503 (2014)\n[17] D. A. Garanin and E. M. Chudnovsky, Phys. Rev. B 92,\n0244421 (2015)" }, { "title": "1302.1352v1.Theory_of_spin_Hall_magnetoresistance.pdf", "content": "arXiv:1302.1352v1 [cond-mat.mes-hall] 6 Feb 2013Theory of spin Hall magnetoresistance\nYan-Ting Chen1, Saburo Takahashi2, Hiroyasu Nakayama2, Matthias Althammer3,4,\nSebastian T. B. Goennenwein3, Eiji Saitoh2,5,6,7, and Gerrit E. W. Bauer2,5,1\n1Kavli Institute of NanoScience, Delft University of Techno logy,\nLorentzweg 1, 2628 CJ Delft, The Netherlands\n2Institute for Materials Research, Tohoku University, Send ai, Miyagi 980-8577, Japan\n3Walther-Meißner-Institut, Bayerische Akademie der Wisse nschaften, 85748 Garching, Germany\n4University of Alabama, Center for Materials for Informatio n Technology MINT,\nDept Chem, Tuscaloosa, AL 35487, USA\n5WPI Advanced Institute for Materials Research,\nTohoku University, Sendai 980-8577, Japan\n6CREST, Japan Science and Technology Agency, Sanbancho, Tok yo 102-0075, Japan and\n7The Advanced Science Research Center,\nJapan Atomic Energy Agency, Tokai 319-1195, Japan\n(Dated: February 7, 2013)\nWe present a theory of the spin Hall magnetoresistance (SMR) in mu ltilayers made from\nan insulating ferromagnet F, such as yttrium iron garnet (YIG), an d a normal metal N with\nspin-orbit interactions, such as platinum (Pt). The SMR is induced by the simultaneous\naction of spin Hall and inverse spin Hall effects and therefore a non- equilibrium proximity\nphenomenon. We compute the SMR in F |N and F|N|F layered systems, treating N by spin-\ndiffusion theory with quantum mechanical boundary conditions at th e interfaces in terms\nof the spin-mixing conductance. Our results explain the experiment ally observed spin Hall\nmagnetoresistance in N |F bilayers. For F |N|F spin valves we predict an enhanced SMR\namplitude when magnetizations are collinear. The SMR and the spin-tr ansfer torques in\nthese trilayers can be controlled by the magnetic configuration.\nPACS numbers: 85.75.-d, 73.43.Qt, 72.15.Gd, 72.25.Mk\nI. INTRODUCTION\nSpin currents are a central theme in spintronics since they a re intimately associated with the\nmanipulation and transport of spins in small structures and devices.1,2Spin currents can be gener-\nated by means of the spin Hall effect (SHE) and detected by the in verse spin Hall effect (ISHE).3\nOf special interest are multilayers made of normal metals (N ) and ferromagnets (F). When an\nelectric current flows through N, an SHE spin current flows tow ards the interfaces, where it can be\nabsorbed as a spin-transfer torque (STT) on the ferromagnet . This STT affects the magnetization\ndamping4or even switches the magnetization.5,6The ISHE can be used to detect spin currents\npumped by the magnetization dynamics excited by microwaves7–10or temperature gradients (spin\nSeebeck effect).11,12\nRecently, magnetic insulators have attracted the attentio n of the spintronics community. Yt-\ntrium iron garnets (YIG), a class of ferrimagnetic insulato rs with a large band gap, are interesting\nbecause of their very low magnetization damping. Their magn etization can be activated thermally\nto generate the spin Seebeck effect in YIG |Pt bilayers.13,14By means of the SHE, spin waves can be\nelectrically excited in YIG via a Pt contact, and, via the ISH E, subsequently detected electrically2\nin another Pt contact.15Spin transport at an N |F interface is governed by the complex spin-mixing\nconductance G↑↓.16The prediction of a large real part of G↑↓for interfaces of YIG with simple\nmetals by first principles calculations17has been confirmed by experiments.18\nMagnetoresistance (MR) is the property of a material to chan ge the value of its electrical\nresistance under an external magnetic field. In normal metal s its origin is the Lorentz force.19\nThe dependence of the resistance on the angle between curren t and magnetization in metallic\nferromagnets is called anisotropic magnetoresistance (AM R). The transverse component of the\nAMR is also called the planar Hall effect (PHE), i.e.the transverse (Hall) voltage found in\nferromagnets when the magnetization is rotated in the plane of the film.20,21Both effects are\nsymmetric with respect to magnetization reversal, which di stinguishes them from the anomalous\nHall effect (AHE) for magnetizations normal to the film, which c hanges sign under magnetization\nreversal.22The physical origin of AMR, PHE, and AHE is the spin-orbit int eraction, in contrast\nto the giant magnetoresistance (GMR), which reflects the cha nge in resistance that accompanies\nthe magnetic field-induced magnetic configuration in magnet ic multilayers.23\nHere we propose a theory for a recently discovered magnetore sistance effect in Pt |YIG bilayer\nsystems.14,24,25This MR is remarkable since YIG is a very good electric insula tor such that a\ncharge current can only flow in Pt. We explain this unusual mag netoresistance not in terms of an\nequilibriumstatic magnetic proximity polarization in Pt,24but rather in terms of anon-equilibrium\nproximity effect caused by the simultaneous action of the SHE a nd ISHE and therefore call it spin\nHall magnetoresistance (SMR). This effect scales like the squ are of the spin Hall angle and is\nmodulated by the magnetization direction in YIG via the spin -transfer at the N |F interface. Our\nexplanationissimilartotheHanleeffect-inducedmagnetore sistanceinthetwo-dimensional electron\ngas proposed by Dyakonov.26Here we present the details of our theory, which is based on th e spin-\ndiffusion approximation in the N layer in the presence of spin- orbit interactions27and quantum\nmechanical boundary conditions at the interface in terms of the spin-mixing conductance.16,17We\nalso address F|N|F spin valves with electric currents applied parallel to the interface(s) with the\nadditional degree of freedom of the relative angle between t he two magnetizations directions.\nThis paper is organized as follows. We present the model, i.e.spin-diffusion with proper\nboundary conditions in Sec. II. In Sec. III, we consider an N |F bilayer as shown in Fig. 1 (a). We\nobtain spinaccumulation, spincurrentsandfinallythemeas uredchargecurrentsthat arecompared\nwith the experimental SMR. We also find and discuss that the im aginary part of the spin-mixing\nconductance generates an AHE. F |N|F (Fig. 1 (b)) spin valves are investigated in Sec. IV, which\nshow an enhanced SMR for spacers thinner than the spin-flip di ffusion length. We summarize the\nresults and give conclusions in Sec. V.\nII. TRANSPORT THEORY IN METALS IN CONTACT WITH A MAGNETIC\nINSULATOR\nThe spin current density in the non-relativistic limit\n← →js=en/an}b∇acketle{t/vector v⊗/vector σ+/vector σ⊗/vector v/an}b∇acket∇i}ht/2 =/parenleftig\n/vectorjsx,/vectorjsy,/vectorjsz/parenrightigT\n=/parenleftig\n/vectorjx\ns,/vectorjy\ns,/vectorjz\ns/parenrightig\n(1)\nis a second-order tensor (in units of the charge current dens ity/vectorjc=en/an}b∇acketle{t/vector v/an}b∇acket∇i}ht), where e=|e|is the\nelectron charge, nis the density of the electrons, /vector vis the velocity operator, /vector σis the vector of Pauli\nspin matrices, and /an}b∇acketle{t···/an}b∇acket∇i}htdenotes an expectation value. The row vectors /vectorjsi=en/an}b∇acketle{t/vector vσi+σi/vector v/an}b∇acket∇i}ht/2\nin Eq. (1) are the spin current densities polarized in the ˆ ı-direction, while the column vectors\n/vectorjj\ns=en/an}b∇acketle{tvj/vector σ+/vector σvj/an}b∇acket∇i}ht/2 denote the spin current densities with polarization /vector σflowing in the ˆ -\ndirection. Ohm’s law for metals with spin-orbit interactio ns can be summarized by the relation3\nFIG. 1: (a) N|F bilayer and (b) F |N|F trilayer systems considered here, where F is a ferromagnetic insu lator\nand N a normal metal.\nbetween thermodynamic driving forces and currents that refl ects Onsager’s reciprocity by the\nsymmetry of the response matrix:27\n\n/vectorjc\n/vectorjsx\n/vectorjsy\n/vectorjsz\n=σ\n1θSHˆx×θSHˆy×θSHˆz×\nθSHˆx×1 0 0\nθSHˆy×0 1 0\nθSHˆz×0 0 1\n\n−/vector∇µ0/e\n−/vector∇µsx/(2e)\n−/vector∇µsy/(2e)\n−/vector∇µsz/(2e)\n, (2)\nwhere/vector µs= (µsx,µsy,µsz)T−µ0ˆ1 is the spin accumulation, i.e.the spin-dependent chemical\npotential relative to the charge chemical potential µ0,σis the electric conductivity, θSHis the\nspin Hall angle, and “ ×” denotes the vector cross product operating on the gradient s of the spin-\ndependent chemical potentials. The spin Hall effect is repres ented by the lower non-diagonal\nelements that generate the spin currents in the presence of a n applied electric field, in the following\nchosen to be in the ˆ x-direction /vectorE=Exˆx=−ˆx∂xµ0/e. The inverse spin Hall effect is governed by\nelements above the diagonal that connect the gradients of th e spin accumulations to the charge\ncurrent density.\nThe spin accumulation /vector µsis obtained from the spin-diffusion equation in the normal met al\n∇2/vector µs=/vector µs\nλ2, (3)\nwhere the spin-diffusion length λ=√Dτsfis expressed in terms of the charge diffusion constant\nDand spin-flip relaxation time τsf.28For films with thickness dNin the ˆz-direction\n/vector µs(z) =/vectorAe−z/λ+/vectorBez/λ, (4)\nwhere the constant column vectors /vectorAand/vectorBare determined by the boundary conditions at the\ninterfaces.\nAccordingtoEq. (2), thespincurrentinNconsists of diffusio nandspinHall driftcontributions.\nSince we are considering a system homogeneous in the x-yplane, we focus on the spin current\ndensity flowing in the ˆ z-direction\n/vectorjz\ns(z) =−σ\n2e∂z/vector µs−jSH\ns0ˆy, (5)4\nwherejSH\ns0=θSHσExis the bare spin Hall current, i.e., the spin current generated directly by the\nSHE.\nThe boundary conditions require that /vectorjz\ns(z) is continuous at the interfaces z=dNandz= 0.\nThe spin current at a vacuum (V) interface vanishes, /vectorj(V)\ns= 0. The spin current density /vectorj(F)\nsat a\nmagnetic interface is governed by the spin accumulation and spin-mixing conductance:16\ne/vectorj(F)\ns(ˆm) =Grˆm×(ˆm×/vector µs)+Gi(ˆm×/vector µs), (6)\nwhere ˆm= (mx,my,mz)Tis a unit vector along themagnetization and G↑↓=Gr+iGithe complex\nspin-mixing interface conductance per unit area. The imagi nary part Gican be interpreted as an\neffectiveexchangefieldactingonthespinaccumulation. Apos itivecurrentinEq.(6)correspondsto\nup-spins flowing from F towards N. Since F is an insulator, thi s spin current density is proportional\nto the spin-transfer acting on the ferromagnet\n/vector τstt=−/planckover2pi1\n2eˆm×/parenleftig\nˆm×/vectorj(F)\ns/parenrightig\n=/planckover2pi1\n2e/vectorj(F)\ns (7)\nWith these boundary conditions we determine the coefficients /vectorAand/vectorB, which leads to the spin\naccumulation\n/vector µs=2eλ\nσ/bracketleftbigg\n−/parenleftig\njSH\ns0ˆy+/vectorjz\ns(dN)/parenrightig\ncoshz\nλ+/parenleftig\njSH\ns0ˆy+/vectorj(F)\ns(ˆm)/parenrightig\ncoshz−dN\nλ/bracketrightbigg\n/sinhdN\nλ,(8)\nwhere/vectorjz\ns(dN) = 0 for F(ˆ m)|N|V bilayers and /vectorjz\ns(dN) =−/vectorj(F)\ns(ˆm′) for F(ˆm)|N|F(ˆm′) spin valves.\nIII. N|F BILAYERS\nIn the bilayer the spin accumulation (8) is\n/vector µs(z) =−ˆyµ0\nssinh2z−dN\n2λ\nsinhdN\n2λ+/vectorj(F)\ns(ˆm)2eλ\nσcoshz−dN\nλ\nsinhdN\nλ, (9)\nwhereµ0\ns≡|/vector µs(0)|= (2eλ/σ)jSH\ns0tanh[dN/(2λ)] is the spin accumulation at the interface in the\nabsence of spin-transfer, i.e., whenG↑↓= 0.\nUsing Eq. (6), the spin accumulation at z= 0 becomes\n/vector µs(0) = ˆyµ0\ns+2λ\nσ{Gr[ˆm(ˆm·/vector µs(0))−/vector µs(0)]+Giˆm×/vector µs(0)}cothdN\nλ. (10)\nWith\nˆm·/vector µs(0) =myµ0\ns, (11)\nˆm×/vector µs(0) =µ0\nsσˆm׈y+ ˆmmy2λGicothdN\nλ\nσ+2λGrcothdN\nλ−/vector µs(0)2λGicothdN\nλ\nσ+2λGrcothdN\nλ, (12)\n/vector µs(0) = ˆyµ0\ns1+2λ\nσGrcothdN\nλ/parenleftig\n1+2λ\nσGrcothdN\nλ/parenrightig2\n+/parenleftig\n2λ\nσGicothdN\nλ/parenrightig2\n+ ˆmmyµ0\ns2λ\nσGrcothdN\nλ/parenleftig\n1+2λ\nσGrcothdN\nλ/parenrightig\n+/parenleftig\n2λ\nσGicothdN\nλ/parenrightig2\n/parenleftig\n1+2λ\nσGrcothdN\nλ/parenrightig2\n+/parenleftig\n2λ\nσGicothdN\nλ/parenrightig2\n+(ˆm׈y)µ0\ns2λ\nσGicothdN\nλ/parenleftig\n1+2λ\nσGrcothdN\nλ/parenrightig2\n+/parenleftig\n2λ\nσGicothdN\nλ/parenrightig2, (13)5\nthe spin current through the F |N interface then reads\n/vectorj(F)\ns=µ0\ns\neˆm×(ˆm׈y)σReG↑↓\nσ+2λG↑↓cothdN\nλ+µ0\ns\ne(ˆm׈y)σImG↑↓\nσ+2λG↑↓cothdN\nλ.(14)\nThe spin accumulation\n/vector µs(z)\nµ0s=−ˆysinh2z−dN\n2λ\nsinhdN\n2λ+[ˆm×(ˆm׈y)Re+(ˆm׈y)Im]2λG↑↓\nσ+2λG↑↓cothdN\nλcoshz−dN\nλ\nsinhdN\nλ,(15)\nthen leads to the distributed spin current in N\n/vectorjz\ns(z)\njSH\ns0= ˆycosh2z−dN\n2λ−coshdN\n2λ\ncoshdN\n2λ−[ˆm×(ˆm׈y)Re+(ˆm׈y)Im]2λG↑↓tanhdN\n2λ\nσ+2λG↑↓cothdN\nλsinhz−dN\nλ\nsinhdN\nλ.\n(16)\nThe ISHE drives a charge current in the x-yplane by the diffusion spin current component flowing\nalong the ˆ z-direction. The total longitudinal (along ˆ x) and transverse or Hall (along ˆ y) charge\ncurrents become\njc,long(z)\nj0c= 1+θ2\nSH/bracketleftigg\ncosh2z−dN\n2λ\ncoshdN\n2λ+/parenleftbig\n1−m2\ny/parenrightbig\nRe2λG↑↓tanhdN\n2λ\nσ+2λG↑↓cothdN\nλsinhz−dN\nλ\nsinhdN\nλ/bracketrightigg\n,(17)\njc,trans(z)\nj0c=θ2\nSH(mxmyRe−mzIm)2λG↑↓tanhdN\n2λ\nσ+2λG↑↓cothdN\nλsinhz−dN\nλ\nsinhdN\nλ, (18)\nwherej0\nc=σExis the charge current driven by the external electric field.\nThe charge current vector is the observable in the experimen t that is usually expressed in terms\nof the longitudinal and transverse (Hall) resistivities. A veraging the electric currents over the film\nthickness zand expanding the longitudinal resistivity governed by the current in the ( x-)direction\nof the applied field to leading order in θ2\nSH, we obtain\nρlong=σ−1\nlong=/parenleftbiggjc,long\nEx/parenrightbigg−1\n≈ρ+∆ρ0+∆ρ1/parenleftbig\n1−m2\ny/parenrightbig\n, (19)\nρtrans=−σtrans\nσ2\nlong≈−jc,trans/Ex\nσ2= ∆ρ1mxmy+∆ρ2mz, (20)\nwhere\n∆ρ0\nρ=−θ2\nSH2λ\ndNtanhdN\n2λ, (21)\n∆ρ1\nρ=θ2\nSHλ\ndNRe2λG↑↓tanh2dN\n2λ\nσ+2λG↑↓cothdN\nλ, (22)\n∆ρ2\nρ=−θ2\nSHλ\ndNIm2λG↑↓tanh2dN\n2λ\nσ+2λG↑↓cothdN\nλ, (23)\nwhereρ=σ−1is the intrinsic electric resistivity of the bulk normal met al. ∆ρ0<0 seems to imply\nthat the resistivity is reduced by the spin-orbit interacti on. However, this is an effect of the order\nofθ2\nSHthat becomes relevant only when dNis sufficiently small. The spin-orbit interaction also\ngeneratesspin-flipscatteringthatincreasestheresistan cetoleadingorderaccordingtoMatthiesen’s\nrule. We see that ∆ ρ1(caused mainly by Gr) contributes to the SMR, while ∆ ρ2(caused mainly\nbyGi) contributes only when there is a magnetization component n ormal to the plane (AHE), as\ndiscussed below.6\n/s48 /s53 /s49/s48/s45/s49/s48/s49\n/s115/s120/s61 /s106\n/s115/s120/s61/s48/s40/s97/s41/s118/s97/s99/s117/s117/s109\n/s70/s109 /s124/s124 /s121/s32 /s40/s114/s101/s102/s108/s101/s99/s116/s105/s110/s103/s41\n/s32/s32\n/s122/s32/s40/s110/s109/s41/s115/s121/s47/s48\n/s115\n/s106\n/s115/s121/s47/s106/s83/s72\n/s115 /s48\n/s48 /s53 /s49/s48/s45/s49/s48/s49\n/s40/s98/s41\n/s109 /s124/s124 /s40/s120/s43/s121 /s41/s32/s118/s97/s99/s117/s117/s109\n/s70\n/s32/s32\n/s122/s32/s40/s110/s109/s41/s115/s121/s47/s48\n/s115\n/s106\n/s115/s121/s47/s106/s83/s72\n/s115 /s48/s106\n/s115/s120/s47/s106/s83/s72\n/s115 /s48/s115/s120/s47/s48\n/s115\n/s48 /s53 /s49/s48/s45/s49/s48/s49\n/s40/s99/s41/s118/s97/s99/s117/s117/s109\n/s70/s109 /s124/s124 /s120/s32 /s40/s97/s98/s115/s111/s114/s98/s105/s110/s103/s41\n/s32/s32\n/s122/s32/s40/s110/s109/s41/s115/s121/s47/s48\n/s115\n/s106\n/s115/s121/s47/s106/s83/s72\n/s115 /s48/s115/s120/s61 /s106\n/s115/s120/s61/s48\nFIG. 2: (Color online). Normalized µsx,µsy,jsx, andjsyas functions of zfor magnetizations (a) ˆ m= ˆy,\n(b) ˆm= (ˆx+ ˆy)/√\n2, and (c) ˆ m= ˆxfor a sample with dN= 12 nm. We adopt the transport parameters\nρ= 8.6×10−7Ωm,λ= 1.5 nm, and Gr= 5×1014Ω−1m−2. For magnetizations ˆ m= ˆyand ˆm= ˆx, both\nµsxandjsxare 0.\nA. Limit of Gi= ImG↑↓≪ReG↑↓=Gr\nAccording to first principles calculations,17|Gi|is at least one order of magnitude smaller than\nGrfor YIG, so Gi= 0 appears to be a good first approximation. In this limit, we p lot normalized\ncomponents of spin accumulation ( µsxandµsy) and spin current ( jsx=/vectorjz\ns·ˆxandjsy=/vectorjz\ns·ˆy)\nas functions of zfor different magnetizations in Fig 2. When the magnetization of F is along\nˆy, the spin current at the N |F interface ( z= 0) vanishes just as for the vacuum interface. By\nrotating the magnetization from ˆ yto ˆx, the spin current at the N |F interface and the torque on\nthe magnetization is activated, while the spin accumulatio n is dissipated correspondingly. We note\nthat the x-components of both spin accumulation and spin current vani sh when the magnetization\nis along ˆxand ˆy, and reach a maximum value at (ˆ x+ ˆy)/√\n2.\nForGi= 0 the observable transport properties reduce to\nρlong≈ρ+∆ρ0+∆ρ1/parenleftbig\n1−m2\ny/parenrightbig\n, (24)\nρtrans≈∆ρ1mxmy, (25)7\nwhere\n∆ρ0\nρ=−θ2\nSH2λ\ndNtanhdN\n2λ, (26)\n∆ρ1\nρ=θ2\nSHλ\ndN2λGrtanh2dN\n2λ\nσ+2λGrcothdN\nλ. (27)\nEquations (24-25) fully explain the magnetization depende nce of SMR in Ref. 25, while Eq. (27)\nshows that an SMR exists only when the spin-mixing conductan ce does not vanish. Since results\ndo not depend on the z-component of magnetization, the AHE vanishes in our model w henGi= 0.\nB.Gr≫σ/(2λ)\nHere we discuss the limit in which the spin current transvers e to ˆmis completely absorbed as\nan STT without reflection. This ideal situation is actually n ot so far from reality for the recently\nfound large Grbetween YIG and noble metals.17,18The spin current at the interface is then\n/vectorj(F)\ns\njSH\ns0Gr≫σ/(2λ)= ˆm×(ˆm׈y)tanhdN\nλtanhdN\n2λ, (28)\nand the maximum magnetoresistance for the bilayer is\n∆ρ1\nρ=θ2\nSHλ\ndNtanhdN\nλtanh2dN\n2λ. (29)\nIn Sec. IIIE we test this limit with available parameters fro m experiments.\nC.λ/dN≫1\nWhen the spin-diffusion length is much larger than the thickne ss of N\n/vector µs(z)\nµ0sλ/dN≫1= ˆm×(ˆm׈y)−ˆy2z−dN\ndN,\nwhilespincurrentandmagnetoresistance vanish. We can int erpretthis as multiplescattering of the\nspincurrent at theinterfaces; the ISHEhas both positive an dnegative charge current contributions\nthat cancel each other.\nD. Spin Hall AHE\nRecent measurements in YIG |Pt display a small AHE-like signal on top of the ordinary Hall\neffect,i.e. a transverse voltage when the magnetization is normal to th e film.30As mentioned\nabove, an imaginary part of the spin-mixing conductance Gican cause a spin Hall AHE (SHAHE).\nThe component of the spin accumulation µsx\nµsx(z)\nµ0s=2λ\nσcoshz−dN\nλ\nsinhdN\nλ[mxmyRe−mzIm]σG↑↓\nσ+2λG↑↓cothdN\nλ(30)8\n/s50 /s52 /s54 /s56 /s49/s48/s48/s50/s52/s54/s56/s49/s48\n/s32/s32/s40/s49/s48/s45/s32/s52\n/s41\n/s40/s110/s109 /s41/s32\n/s83/s72/s61/s48/s46/s48/s50\n/s32\n/s83/s72/s61/s48/s46/s48/s52\n/s32\n/s83/s72/s61/s48/s46/s48/s54\n/s32\n/s83/s72/s61/s48/s46/s48/s56\n/s32/s69/s120/s112/s46/s83/s97/s109/s112/s108/s101/s32/s49\n/s83/s97/s109/s112/s108/s101/s32/s50/s40/s97/s41\n/s50 /s52 /s54 /s56 /s49/s48/s48/s50/s52/s54/s56/s49/s48/s40/s49/s48/s45/s32/s52\n/s41\n/s40/s110/s109 /s41\n/s32/s32\n/s40/s98/s41\n/s50 /s52 /s54 /s56 /s49/s48/s48/s50/s52/s54/s56/s49/s48/s40/s49/s48/s45/s32/s52\n/s41\n/s40/s110/s109 /s41\n/s32/s32\n/s40/s99/s41\n/s50 /s52 /s54 /s56 /s49/s48/s48/s50/s52/s54/s56/s49/s48\n/s40/s100/s41\n/s40/s49/s48/s45/s32/s52\n/s41\n/s40/s110/s109 /s41\n/s32/s32\nFIG.3: (Coloronline)Calculated∆ ρ1/ρasafunctionof λfordifferentspinHallangles θSHwith(a)Gr= 1×\n1014Ω−1m−2, (b)Gr= 5×1014Ω−1m−2, (c)Gr= 10×1014Ω−1m−2, and (d) the ideal limit Gr≫σ/(2λ).\nThe Pt layers are 12-nm-thick with resistivity 8 .6×10−7Ωm (Sample 1, solid curve) and 7-nm-thick with\nresistivity 4 .1×10−7Ωm (Sample 2, dashed curve). Experimental results are shown as h orizontal lines for\ncomparison.25\ncontains a contribution that scales with mzand contributes a charge current in the transverse (ˆ y-)\ndirection\nj(SHAHE)\nc,trans(z)\nj0c=−2λθ2\nSHmzsinhz−dN\nλ\nsinhdN\nλImG↑↓tanhdN\n2λ\nσ+2λG↑↓cothdN\nλ. (31)\nThe transverse resistivity due to this current is\nρ(SHAHE)\ntrans≈−j(SHAHE)\nc,trans/Ex\nσ2=−∆ρ2mz, (32)\nwhere\n∆ρ2\nρ≈2λ2θ2\nSH\ndNσGitanh2dN\n2λ/parenleftig\nσ+2λGrcothdN\nλ/parenrightig2\n+/parenleftig\n2λGicothdN\nλ/parenrightig2≈2λ2θ2\nSH\ndNσGitanh2dN\n2λ/parenleftig\nσ+2λGrcothdN\nλ/parenrightig2.\nE. Comparison with experiments\nThere are controversies about the values of the material par ameters relevant for our theory, i.e.\nthe spin-mixing conductance G↑↓of the N|F interface, as well as spin-flip diffusion length λand\nspin Hall angle θSHin the normal metal.9\nExperimentally, Burrows et al.18found for an Au|YIG interface with G0=e2/h.\nGexp\nr\nG0= 5.2×1018m−2;Gexp\nr= 2×1014Ω−1m−2. (33)\nOn the theory side, the spin-mixing conductance from scatte ring theory for an insulator reads16\nG↑↓\nG0=NSh−/summationdisplay\nnr∗\nn↑rn↓=NSh−/summationdisplay\nnei(δn↓−δn↑), (34)\nwherern↑(↓)=eiδn↑(↓)is the reflection coefficient of an electron in the quantum chan nelnon a\nunit area at the N |F interface with unit modulus and phase δn↑(↓)for the majority (minority) spin,\nandNShis the number of transport channels (per unit area) at the Fer mi energy, i.e.NShis the\nSharvin conductance (for one spin). Therefore\nGr\nG0≤2NSh;|Gi|\nG0≤NSh, (35)\nJiaet al.17computed Eq. (34) for a Ag |YIG interface by first principles. Theaverage of different\ncrystal interfaces\nG(0)\nr= 2.3×1014Ω−1m−2, (36)\nis quite close to the Sharvin conductance of silver ( NShG0≈4.5×1014Ω−1m−2).\nFor comparison with experiment we have to include the Schep d rift correction:31\n1\n˜Gr/G0=1\nG(0)\nr/G0−1\n2NSh, (37)\nwhich leads to\n˜Gr≈3.1×1014Ω−1m−2. (38)\nOne should note that the mixing conductance of the Pt |YIG interface can then be estimated to be\n˜Gr≈1015Ω−1m−2since the Pt conduction electron density and Sharvin conduc tance are higher\nthan those of noble metals.\nUsing parameters ρ=σ−1= 8.6×10−7Ωm,dN= 12 nm, and λ= 1.5 nm,29we see that the\nabsorbed transverse spin currents with Gr=˜GrandGr=Gmax\nrobtained from above for a Ag |YIG\ninterface are 44% and 70% of the value for a perfect spin sink Gr→∞, respectively. For a Pt |YIG\ninterface this value should be even larger.\nIn order to compare our results with the observed SMR, we have to fill in or fit the parameters.\nThe values of the spin-diffusion length and the spin Hall angle differ widely.29In Fig. 3 we plot the\nSMR for three fixed values of Gr. We observe that the experiments can be explained by a sensib le\nset of transport parameters ( Gr,λ,θSH) that somewhat differ for the two representative samples\nreported in Ref. 25. Generally, the SMR increases with a larg er value of Grbut decreases when λ\nis getting longer. These features are in agreement with the d iscussion of the simple limits above.\nSample 1 in Ref. 25 has a larger resistivity but a smaller SMR ( ratio), implying a smaller spin\nHall angle and/or smaller spin-diffusion length. When we fix th e spin Hall angle θSH= 0.06 and\nthe spin-mixing conductance Gr= 5×1014Ω−1m−2, the corresponding estimated spin-diffusion\nlengths of Samples 1 and 2 are λ1≈1.5nm and λ2≈3.5nm, respectively.\nFinally we discuss the AHE equivalent or SHAHE. From experim ents ∆ρ2/ρ≈1.5×10−5for\nρ= 4.1×10−7Ωm and dN= 7 nm.30Choosing θSH= 0.05,λ= 1.5nm, and Gr= 5×1014Ω−1m−2,\nwe would need a Gi= 6.2×1013Ω−1m−2to explain experiments, a number that is supported by\nfirst principle calculations.1710\nIV. SPIN VALVES\nIn this section we discuss F(ˆ m)|N|F(ˆm′) spin valves fabricated from magnetic insulators with\nmagnetization directions ˆ mand ˆm′. The general angle dependence for independent rotations of ˆm\nand ˆm′is straightforward buttedious. We discussinthe following two representative configurations\nin which the two magnetizations are parallel and perpendicu lar to each other. We disregard in\nthe following the effective field due to Gisuch that the parallel and antiparallel configurations\nˆm=±ˆm′are equivalent. Moreover, we limit the discussion to the sim ple case of two identical F |N\nand N|F interfaces, i.e., the spin-mixing conductances at both interfaces are the sa me.\nA. Parallel Configuration ( ˆm·ˆm′=±1)\nWhen the magnetizations are aligned in parallel or antipara llel configuration, the boundary\ncondition /vectorj(z)\ns(dN) =−/vectorj(F)\nsapplies. We proceed as in Sec. III to obtain the spin accumula tion\n/vector µs\nµ0s=−/bracketleftigg\nˆy+ ˆm×(ˆm׈y)2λGrtanhdN\n2λ\nσ+2λGrtanhdN\n2λ/bracketrightigg\nsinh2z−dN\n2λ\nsinhdN\n2λ, (39)\nand the spin current\n/vectorjz\ns\njSH\ns0= ˆy/parenleftigg\ncosh2z−dN\n2λ\ncoshdN\n2λ−1/parenrightigg\n+ ˆm×(ˆm׈y)2λGrtanhdN\n2λ\nσ+2λGrtanhdN\n2λcosh2z−dN\n2λ\ncoshdN\n2λ.\nThe spin currents at the bottom and top of N are absorbed as STT s and read\n/vectorjz\ns(0)\njSH\ns0=/vectorjz\ns(dN)\njSH\ns0= ˆm×(ˆm׈y)2λGrtanhdN\n2λ\nσ+2λGrtanhdN\n2λ, (40)\nleading to opposite STTs at the bottom ( /vector τ(B)\nstt) and top ( /vector τ(T)\nstt) ferromagnets\n/vector τ(B)\nstt=/planckover2pi1\n2e/vectorj(z)\ns(0) =−/vector τ(T)\nstt (41)\nsince/vectorj(F)\ns(ˆm) =/vectorjz\ns(0) =/vectorjz\ns(dN) =−/vectorj(F)\ns(ˆm′).\nThe longitudinal and transverse (Hall) charge currents are\njc,long\nj0c= 1+θ2\nSH/bracketleftigg\n1−/parenleftbig\n1−m2\ny/parenrightbig2λGrtanhdN\n2λ\nσ+2λGrtanhdN\n2λ/bracketrightigg\ncosh2z−dN\n2λ\ncoshdN\n2λ, (42)\njc,trans\nj0c=−θ2\nSHmxmy2λGrtanhdN\n2λ\nσ+2λGrtanhdN\n2λcosh2z−dN\n2λ\ncoshdN\n2λ. (43)\nand the longitudinal and transverse resistivities read\nρlong=ρ+∆ρ0+∆ρ1/parenleftbig\n1−m2\ny/parenrightbig\n, (44)\nρtrans= ∆ρ1mxmy, (45)\nwhere\n∆ρ0\nρ=−θ2\nSH2λ\ndNtanhdN\n2λ, (46)\n∆ρ1\nρ=θ2\nSH\ndN4λ2Grtanh2dN\n2λ\nσ+2λGrtanhdN\n2λ. (47)11\n/s50 /s52 /s54 /s56 /s49/s48/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56\n/s78/s47/s70\n/s32/s32/s32/s32/s50\n/s83/s72\n/s110/s109/s70/s47/s78/s47/s70\nFIG. 4: (Color online) Calculated ∆ ρ1//parenleftbig\nρθ2\nSH/parenrightbig\nin an F|N|F spin valve as a function of spin-diffusion length\nλwithdN= 12 nm, Gr= 5×1014Ω−1m−2, andρ= 8.6×10−7Ωm chosen from Sample 1 in Ref. 25.\n∆ρ1//parenleftbig\nρθ2\nSH/parenrightbig\nin an N|F bilayer is plotted as a dotted line for comparison.\nFigure 4 shows ∆ ρ1//parenleftbig\nρθ2\nSH/parenrightbig\nwith respect to the spin-diffusion length in an F |N|F spin valve with\nparallel magnetization configuration. Compared to N |F bilayers, the SMR in spin valves is larger\nand does not vanish in the limit of long spin-diffusion lengths .\nB. Limit λ/dN≫1\nThe spin accumulation for weak spin-flip reads\n/vector µs\nµ0sλ/dN≫1=−/bracketleftbigg\nˆy+dNGr\nσ+dNGrˆm×(ˆm׈y)/bracketrightbigg2z−dN\ndN, (48)\nleading to the spin current\n/vectorjz\ns\njSH\ns0λ/dN≫1=dNGr\nσ+dNGrˆm×(ˆm׈y). (49)\nIn contrast to the bilayer, we find a finite SMR in this limit for spin valves:\njc,long\nj0cλ/dN≫1= 1+ θ2\nSH/bracketleftbigg\n1−dNGr\nσ+dNGr/parenleftbig\n1−m2\ny/parenrightbig/bracketrightbigg\nGr≫σ/dN= 1+ θ2\nSHm2\ny, (50)\njc,trans\nj0cλ/dN≫1=−θ2\nSHdNGr\nσ+dNGrmxmyGr≫σ/dN=−θ2\nSHmxmy (51)\nor\n∆ρ0\nρ=−θ2\nSH, (52)\n∆ρ1\nρ=θ2\nSHdNGr\nσ+dNGrGr≫σ/dN=θ2\nSH. (53)\nHere we find the maximum achievable SMR effects in metals with sp in Hall angle θSHby taking\nthe limit of perfect spin current absorption. Clearly this r equires spin valves with sufficiently thin\nspacer layers. We interpret these results in terms of spin an gular momentum conservation: The\nfinite SMR is achieved by using the ferromagnet as a spin sink t hat suppresses the back flow of\nspins and the ISHE. This process requires a source of angular momentum, which in bilayers can\nonly be the lattice of the normal metal. Consequently, the SM R is suppressed in the F |N system\nwhen spin-flip is not allowed. In spin valves, however, the se cond ferromagnet layer can act as a\nspin current source, thereby allowing a finite SMR even in the absence of spin-flip scattering.12\nC. Perpendicular Configuration ( ˆm·ˆm′= 0)\nWe may consider two in-plane magnetizations ˆ m= (cosα,sinα,0) and ˆm′= (−sinα,cosα,0),\nwhich are perpendicular to each other. When α= 0, the first layer maximally absorbs the SHE\nspin current, while ˆ m′is completely reflecting, just as the vacuum interface in the bilayer. For\ngeneralα:\nµsx(z)\nµ0s=2λGr\nσ+2λGrcothdN\nλ/parenleftigg\ncoshz−dN\nλ\nsinhdN\nλ+coshz\nλ\nsinhdN\nλ/parenrightigg\ncosαsinα, (54)\nµsy(z)\nµ0s=−sinh2z−dN\n2λ\nsinhdN\n2λ−2λGr\nσ+2λGrcothdN\nλ/parenleftigg\ncoshz−dN\nλ\nsinhdN\nλcos2α−coshz\nλ\nsinhdN\nλsin2α/parenrightigg\n,(55)\nµsz(z) = 0, (56)\nwhich leads to the components of spin current normal to the in terfaces\njsx(z)\njSH\ns0=−2λGrtanhdN\n2λ\nσ+2λGrcothdN\nλ/parenleftigg\nsinhz−dN\nλ\nsinhdN\nλ+sinhz\nλ\nsinhdN\nλ/parenrightigg\ncosαsinα, (57)\njsy(z)\njSH\ns0=cosh2z−dN\n2λ−coshdN\n2λ\ncoshdN\n2λ+2λGrtanhdN\n2λ\nσ+2λGrcothdN\nλ/parenleftigg\nsinhz−dN\nλ\nsinhdN\nλcos2α−sinhz\nλ\nsinhdN\nλsin2α/parenrightigg\n.(58)\nThe total current is the sum of those from the two ferromagnet s at the top and bottom; in contrast\nto the parallel ˆ m=±ˆm′configuration, they do not feel each other. We can extend the d iscussion\nfrom the previous subsection: the second F can be a spin curre nt source, and we can switch this\nsource on by rotating the magnetization from perpendicular to (anti)parallel configuration.\nThe longitudinal and transverse electric currents read\njc,long(z)\nj0c= 1+θ2\nSHcosh2z−dN\n2λ\ncoshdN\n2λ+θ2\nSH2λGrtanhdN\n2λ\nσ+2λGrcothdN\nλ/parenleftigg\nsinhz−dN\nλ\nsinhdN\nλcos2α−sinhz\nλ\nsinhdN\nλsin2α/parenrightigg\n,\n(59)\njc,trans(z)\nj0c=θ2\nSH2λGrtanhdN\n2λ\nσ+2λGrcothdN\nλ/parenleftigg\nsinhz−dN\nλ\nsinhdN\nλ+sinhz\nλ\nsinhdN\nλ/parenrightigg\ncosαsinα. (60)\nSince the angle-dependent contributions vanish upon integ ration over z, there is no magnetoresis-\ntance in the perpendicular configuration.\nD. Controlling the spin-transfer torque\nLike the SMR, the STT at the N |F interface depends on the relative orientation between ˆ m\nand ˆm′, too. We may pin ˆ m= ˆxand observe how the STT at the bottom magnet, /vector τ(B)\nstt(ˆm,ˆm′),\nchanges with rotating ˆ m′= ˆxcosα+ ˆysinα. Figure 5 displays the ratio βdefined as\nβ(α)≡/vextendsingle/vextendsingle/vextendsingle/vector τ(B)\nstt(ˆx,ˆx)−/vector τ(B)\nstt(ˆx,ˆxcosα+ ˆysinα)/vextendsingle/vextendsingle/vextendsingle\n/vextendsingle/vextendsingle/vextendsingle/vector τ(B)\nstt(ˆx,ˆx)/vextendsingle/vextendsingle/vextendsingle, (61)\nas a function of αfor some spin-diffusion lengths. Only when λ≪dN,βremains constant under\nrotation of ˆ m′. A larger spin-mixing conductance and smaller dNenhances the SMR as well as\nangle dependence of β. This modification of the STT should lead to complex dynamics of the spin\nvalve in the presence of an applied current and will be the sub ject of a subsequent study.13\n/s48/s46/s48 /s48/s46/s53/s48/s49/s50\n/s32/s32\n/s32 /s61/s50 /s110/s109\n/s32 /s61/s52 /s110/s109\n/s32 /s61/s54 /s110/s109\n/s32 /s61/s56 /s110/s109\n/s32 /s61/s49/s48 /s110/s109\nFIG. 5: (Color online) The ratio β(α) which characterize how /vector τ(B)\nsttchanges with respect to the relative\norientation between ˆ mand ˆm′. We adopt the transport parameters dN= 12 nm, ρ= 8.6×10−7Ωm, and\nGr= 5×1014Ω−1m−2.\nV. SUMMARY\nWe developed a theory for the SMR in N |F and F|N|F systems that takes into account the\nspin-orbit coupling in N as well as the spin-transfer at the N |F interface(s). In a N |F bilayer\nsystem, the SMR requires spin-flip in N and spin-transfer at t he N|F interface. Our results explain\nthe SMR measured in Ref. 25 both qualitatively and quantitat ively with transport parameters\nthat are consistent with other experiments. The degrees of s pin accumulation in N that can\nbe controlled by the magnetization direction is found to be v ery significant. In the presence of\nan imaginary part of the spin-mixing conductance Giwe predicted a AHE-like signal (SHAHE).\nSuch a signal was observed in Ref. 30 and can be explained with values of Githat agree with first\nprinciples calculations.17We furthermoreanalyzed F |N|F spin valves for parallel and perpendicular\nmagnetization configurations. A maximal SMR ∼θ2\nSHis found for a collinear magnetization\nconfiguration in the limit that the spin-diffusion length is mu ch larger than the thickness of the\nnormal spacer. TheSMR vanishes when rotating the two magnet izations into a fixed perpendicular\nconstellation. The SMR torques under applied currents in N a re expected to lead to magnetization\ndynamics of N|F and F|N|F structures.\nAcknowledgments\nThis work was supported by FOM (Stichting voor Fundamenteel Onderzoek der Materie), EU-\nICT-7 “MACALO,” the ICC-IMR, DFG Priority Programme 1538 “S pin-Caloric Transport” (GO\n944/4), and KAKENHI (Grant-in-Aid for Scientific Research) C (22540346).\n1S. D. Bader and S. S. P. Parkin, Ann. Rev. Cond. Matt. Phys. 1, 71 (2010).\n2J. Sinova and I ˇZuti´ c, Nature Mater. 11, 368 (2012).\n3For a review see: T. Jungwirth, J. Wunderlich, and K. Olejn´ ık, Natu re Mater. 11, 382 (2012).\n4K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda, S. Maekawa, a nd E. Saitoh, Phys. Rev. Lett. 101,\n036601 (2008).\n5I. M. Miron, K. Garello, G. Gaudin, P.-J. Zermatten, M. V. Costache , S. Auffret, S. Bandiera, B.\nRodmacq, A. Schuhl, and P. Gambardella, Nature 476, 189 (2011).\n6L. Liu, C. F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, and R. A. Buhrman, S cience336, 555 (2012).14\n7E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys. Lett. 88, 182509 (2006).\n8O. Mosendz, J. E. Pearson, F. Y. Fradin, G. E. W. Bauer, S. D. Bad er, and A. Hoffmann, Phys. Rev.\nLett.104, 046601 (2010).\n9O. Mosendz, V. Vlaminck, J. E. Pearson, F. Y. Fradin, G. E. W. Baue r, S. D. Bader, and A. Hoffmann,\nPhys. Rev. B 82, 214403 (2010).\n10F. D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler, M. Althammer, I.-M. Imort, G. Reiss, A. Thomas,\nW. Schoch, W. Limmer, H. Huebl, R. Gross, and S. T. B. Goennenwein , Phys. Lett. Rev. 107, 046601\n(2011).\n11K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa, and E. Saitoh, Nature\n455, 778 (2008).\n12C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, J. P. Heremans , and R. C. Myers, Nature Mater.\n9, 898 (2010).\n13K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda, T. Ota , Y. Kajiwara, H. Umezawa, H.\nKawai, G. E.W. Bauer, S. Maekawa, and E. Saitoh, Nature Mater. 9, 894 (2010).\n14M. Weiler, M. Althammer, F. D. Czeschka, H. Huebl, M. S. Wagner, M. Opel, I.-M. Imort, G. Reiss, A.\nThomas, R. Gross, and S. T. B. Goennenwein, Phys. Rev. Lett. 108, 106602 (2012).\n15Y. Kajiwara,K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, S. Maekawa, and E. Saitoh, Nature 464, 262 (2010).\n16A. Brataas, Yu. V. Nazarov, and G. E. W. Bauer, Phys. Rev. Lett .84, 2481 (2000); Eur. Phys. J. B 22,\n99 (2001).\n17X. Jia, K. Liu, K. Xia, and G. E. W. Bauer, Eurphys. Lett. 96, 17005 (2011).\n18C. Burrowes, B. Heinrich, B. Kardasz, E. A. Montoya, E. Girt, Y. S un, Y. Y. Song, and M. Wu, Appl.\nPhys. Lett. 100, 092403 (2012).\n19N. W. Ashcroft and N. D. Mermin, Solid State Physics (Saunders, Ph iladelphia, 1976).\n20T. R. McGuire and R. I. Potter, IEEE Trans. Magn. MAG-11 , 1018 (1975).\n21D. A. Thompson, L. T. Romankiw, and A. F. Mayadas, IEEE Trans. M agn.MAG-11 , 1039 (1975).\n22N. Nagaosa, J. Sinova, S. Onoda, A. H. MacDonald, and N. P. Ong, R ev. Mod. Phys. 82, 1539 (2010).\n23A. Fert, Rev. Mod. Phys. 80, 1517 (2008).\n24S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang, J. Wu, T. Y. Che n, J. Q. Xiao, and C. L. Chien,\nPhys. Rev. Lett. 109, 107204 (2012).\n25H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida, Y. Kajiwara, D. Kikuchi, T. Ohtani, S. Gepr¨ ags,\nM. Opel, S. Takahashi, R. Gross, G. E. W. Bauer, S. T. B. Goennenw ein, and E. Saitoh, arXiv:1211.0098\n(cond-mat.mes-hall).\n26M. I. Dyakonov, Phys. Rev. Lett. 99, 126601 (2007).\n27S. Takahashi, H. Imamura, and S. Maekawa, in Concepts in Spin Electronics , edited by S. Maekawa\n(Oxford University Press, U.K., 2006), pp. 343-370.\n28T. Valet and A. Fert, Phys. Rev. B 48, 7099 (1993).\n29L. Liu, R. A. Buhrman, and D. C. Ralph, arXiv:1111.3702 (cond-mat.m es-hall).\n30M. Althammer et al., unpublished.\n31K. M. Schep, J. B. A. N. van Hoof, P. J. Kelly, G. E. W. Bauer, and J. E. Inglesfield, Phys. Rev. B 56,\n10805 (1997)." }, { "title": "1301.6164v1.Intrinsic_Spin_Seebeck_Effect_in_Au_YIG.pdf", "content": "1 \n Submitted Oct 11, 2012 \nIntrinsic Spin Seebeck Effect in Au/YIG \nD. Qu1, S. Y. Huang1,2, Jun Hu3, Ruqian Wu3, and C. L. Chien1* \n \nAffiliations: \n1Department of Physics and Astronomy, Johns Hopkins University, Baltimore Maryland \n21218, USA \n2Department of Physics, National Tsing Hua University, Hsinchu 300, Taiwan \n3 Department of Physics and Astronomy, University of California, Irvine , California \n92697, USA \n*clc@pha.jhu.edu \nAbstract: \n \nThe acute magnetic proximity effects in Pt/YIG compromise the suitability of Pt \nas a spin current detector. We show that Au/YIG, with no anomalous Hall effect and a \nnegligible magnetoresistance, allows the measurements of the intrinsic spin Seebeck \neffect with a magnitude much smaller than that in Pt/Y IG. The experiment results are \nconsistent with the spin -polarized density -functional calculations for Pt with a sizable and \nAu with a negligible magnetic moment near the interface with YIG. \n \nPACS numbers : 72.15.Jf, 72.20.Pa, 85.80. -b, 85.75. -d \n \n 2 \n The expl oration of spintronic phenomena has been advanced towards the \nmanipulation of a pure spin current without a charge current. A pure spin current can be \nrealized by compelling electrons of opposite spins to move in opposite directions, or be \ncarried by spin waves (magnons). Pure spin current is beneficial for spintronic operations \nwith the attributes of maximal angular momentum and minimal charge current thus with \nmuch reduced Joule heating, circuit capacitance and electromigration. In the spin Hall \neffect (S HE), a charge current driven by a voltage gradient can generate a transverse spin \ncurrent [ 1]. Using the spin Seebeck effect (SSE), a temperature gradient can also generate \na spin current. Consequently, the SSE, within the emerging field of “spin caloritronics”, \nwhere one exploits the interplay of spin, charge, and heat, has attracted much attention. \nSSE has been reported in a variety of ferromagnetic (FM) materials (metal [2], \nsemiconductor [3], or insulator [4]), where the pure spin current is detected in the Pt strip \npatterned onto the FM material by the inverse spin Hall effect (ISHE) with an electric \nfield of ESHE = DISHE jS, where DISHE is the ISHE efficiency, jS is the pure spin current \ndensity diffusing into the Pt strip and is the spin direction. Consider a FM layer sample \nin the xy-plane, there are two ways to observe SSE using either the transverse or the \nlongitudinal SSE configuration with a temperature gradient applied either in the sample \nplane (∇xT) or out -of-plane (∇zT) respectively. Various potential applications of SSE \nhave already been proposed [ 5]. \n However, the SSE is not without controversies and complications. One \nfundamental mystery is that SSE has been reported in macroscopic structures on the mm \nscale whereas the spin diffusion length within which the spin coh erence is preserved is \nonly on the nm scale [2-4]. Furthermore, the previous reports of SSE have other 3 \n unforeseen complications. In the transverse geometry of SSE with an intended in-\nplane∇xT, due to the overwhelming heat conduction through the substrate, there exists \nalso an out -of-plane ∇zT, which gives rise to the anomalous Nernst effect (ANE) with an \nelectric field of EANE zT m, where m is the magnetization direction [ 6]. The ANE is \nvery sensitive in detecting ∇zT in a manner similar to the high sensitivity of the \nanomalous Hall effect to perpendicular magnetization in FM layer s less than 1 nm in \nthickness. As a result, ESHE jS due t o SSE with jS in the z -direction and EANE zT \n m due to ANE are both along the y -direction and asymmetric in magnetic field. The \nvoltages of SSE due to ∇xT and ANE due to ∇zT are additive, entangled, and inseparable \n[6]. \n In the longitudinal SSE using Pt on a FM insulator (e.g., YIG), while the \ntemperature gradient ∇zT is unequivocally out -of-plane, one encounters a different issue \nof magnetic proximity effects (MPE) in Pt in contact with a FM material. As a result , in \nthe longitudinal configuration there is also entanglement of SSE and ANE [7]. These \ncomplications, when present, prevent the unequivocal establishment of SSE in either the \ntransverse or the longitud inal configuration. The characteristics of the intrinsic SSE \nincluding its magnitude, remain outstanding and unresolved issues. \n In this work, we report the measurements of intrinsic SSE in gold (Au) using the \nlongitudinal configuration with an unambiguo us out -of-plane (zT) gradient near room \ntemperature . It is crucial to identify metals other than Pt that can unequivocally detect the \npure spin current without MPE . Gold offers good prospects since it has been successfully \nused as a substrate or underlaye r for ultrathin magnetic films. We use polished 4 \n polycrystalline yttrium iron garnet (YIG=Y 3Fe5O12) a well -known FM insulator with low \nloss magnons as the substrate . A large spin -mixing conductance at Au/YIG interface has \nbeen reported using ferromagnetic r esonance [ 8]. Our results show that Au( t)/YIG does \nnot have the large anomalous Hall effect and large MR that plagued Pt( t)/YIG but \nexhibits an unusual thickness dependence in the thermal transport. These resul ts allow us \nto place an upper limit for the intrinsic SSE of about 0.1 µ V/K much smaller than the \nthermal effect in Pt/YIG [ 7]. \n Thin Au films have been made by magnetron sputtering on YIG and pattern ed \ninto parallel wires and Hall bars. As shown in the inset of Fig. 1(a), the xyz axes are \nparallel to the edges of the YIG substrates. The parallel wires with ascending order of \nthickness from 4 nm to 12 nm are in the xy-plane and oriented in the y-direction, where \neach wire is 4 mm long, 0.1 mm wide, and 2 mm apart. The Hall bar samples (inset of \nFig. 1b) consist of one long segment along the x-direction and several short segments \nalong the y-direction. For the MR measurements current is along the x-axis, for the \nthermal transport measurement ∇zT is along the z-axis, and the magnetic field is in the \nxy-plane in both cases. We use 4 -probe and 2 -probe measurements for MR and thermal \nvoltage respectively. The multiple wires facilitate a systematic study of the thickness \ndependence of electric transport and thermal measurement under the same uniform \nthermal gradient with a temperature difference of Tz ≈ 10 K. The sample was \nsandwiched between, and in thermal contact with, two large Cu blocks kept at constant \ntemperatures differing by 10 K. \n We first describe the thickness dependence of electrical resistivity ( ρ) of the Au \nwires. As expected ρ increases with decreasing film thickness as shown in Fig. 1(a). The 5 \n results can be well described by a semi classical theoretical model in the frame of Fuchs -\nSondheimer (FS) theory [9], which includes the contributions from thickness ( t) as well \nas surface scattering ( p) and grain boundary scattering ( ), ρ = ρ∞{1-(1/2+3λ/4t)[1 -pexp(-\ntξ/λ)]exp( -t/λ)}-1 for t/ > 0.1 . Using bulk resistiv ity (ρ∞ = 2.2 cm) and the mean free \npath (λ=37 nm) [ 10], we find the data can be well described by p = 0.89 and = 0.37 as \nshown by the solid line in Fig. 1(a) . \n The anomalous Hall effect (AHE) is an essential measurement for assessing MPE . \nHall measurements of the Au/YIG Hall bar samples have been made from 2K to 300K as \nshown in Fig. 1(b). The Hall resistance of Au/YIG is linea r in magnetic field at all \ntemperatures (2 -300 K) showing only the ordinary Hall effect (OHE) with no observable \nAHE. In contrast, strong AHE has been observed in Pt/YIG due to the acute MPE [ 7]. \nThe Hall const ant ( RH = 1/ne) of Au/YIG indicates the carrier concentration n ≈ 6×1022 \ncm-3 as shown in Fig. 1(c), essentially constant from 2 K to 300 K, is in good agreement \nwith the bulk carrier concentration of n =5.9× 1022 cm-3 [11]. The spin polarized moment \ninduced in Au, is very small, if any, i.e., Au is not appreciably affected by MPE and will \nbe further discussed below. \n We employ the longitudinal configuration with spin current along the out -of-plane \ntemperature gr adient zT to determine the thickness dependence of the thermal transport \nof Au/YIG, and to compare the results with those of the Pt/YIG. As shown in Fig. 2(a), \nthe transverse thermal voltage (in the y-direction) across the Au strip is asymmetrical \nwhen the magnetic field is along the x-axis with the same sense as that for the Pt strip. \nThe same sign of the thermal spin -Hall voltage between Pt and Au is consistent with the \ntheoretical calculation of positive values of spin Hall conductivity in Pt and Au [ 12]. 6 \n However, there are several distinct differences between the thermal results of Au/YIG \nand Pt/YIG. We take Vth as the magnitude of spin -dependent thermal voltage between \nthe positive and the negative switchin g fields. As shown in Fig. 2(b), the value of Vth of \nthe Pt( t)/YIG is far larger, increasing sharply and unabatedly with decreasing t to a value \nof 64 µ V at t = 2.2 nm , due to the strong MPE at the interface between Pt and YIG. In \ncontrast, the thermal v oltage Vth of the Au/YIG samples is much smaller than that of \nPt/YIG and it varies with thickness ( t) in a non -monotonic manner as shown in Fig. 2(c). \nThe value of Vth is negligible (less than 0.2 µ V) for t ≤ 7 nm , increasing to a maximum \nof 1.3 µ V at t = 8 nm before decreasing at larger thicknesses. This contrasting behavior \nshows that there is much smaller, perhaps negligible, MPE in Au/YIG. Consequently, the \nmeasured thermal voltage may be attributed enti rely to intrinsic SSE. With a maximal \n(Vth)max ≈1.3 µV at t = 8 nm at T of 10 K, the strength of the intrinsic SSE in Au/YIG \nis about 0.1 µ V/K, far smaller than the values in Pt( t)/YIG of 6 µ V/K at t = 2.2 nm, and 1 \nµ V/K at t = 10 nm, by one to two order s of magnitude. This suggests most of the spin -\ndependent thermal voltage in Pt/YIG is due to ANE and not SSE. From the value of Sxy ≈ \n610-3 μV/K (Sxy=E xy/∇T=(Vth/l)/(T/d), where Vth is the thermal voltage, l is the \ndistance between the voltage leads, T is the temperature difference and d is the \nthickness of Au/YIG sample) we measured and using the Seebeck coefficient Sxx ≈1.9 μ\nV/K of Au at 300 K [ 13], we obtain a spin Nernst angle of N = Sxy/Sxx ≈ 0.003, wh ich is \nvery close to the spin Hall angle H = 0.0016, defined as the ratio of spin Hall and charge \nconductivities, from spin pumping measurement [ 14]. 7 \n However, we have observed MR, albeit with very small but c lear signals, in \nAu(t)/YIG. The MR result of Au(7 nm)/YIG Hall bar sample is shown in Fig. 3 (a). It is \nof a very small magnitude of ≈ - 4 x 10-6, where = || - T, about two orders of \nmagnitude smaller than those of Pt( t)/YIG as shown in Fig. 3(b). Nevertheless all the \nAu(t)/YIG with 4 nm ≤ t ≤ 11 nm show similarly small but measurable More \nunexpectedly, the MR of Au(t)/YIG has the opposite angular dependence as that of the \nusual anisotropic MR (AMR). In the AMR of most 3d ferromagnetic met als of Fe, Co, \nNi, and their alloys, the common behavior is positive , that is || > T, the resistivity \nwith current parallel to, is higher than that with the current perpendicular, to the \nmagnetization aligned by a magnetic field. The MR observed in P t(t)/YIG also has the \nsame behavior of > 0. In contrast, the small MR in Au( t)/YIG is opposite with T > ||, \nor inverse AMR, The mechanism of this up behavior in Au( t)/YIG is not yet fully \nunderstood, but probably due to spin -dependent scattering at i nterface between Au and \nYIG, supported by the fact that | | increases with decreasing Au films thickness. One \nnotes that inverse AMR has occasionally been reported in thin Co films. The s -d \nscattering influenced by spin -orbital and electron -electron interactions may be enhanced \nby the disorder in thin films [ 15]. \n To assess the magnetic moments of Pt and Au near the interface with YIG, spin -\npolarized density functional calculations have been carried out with th e Vienna ab initio \nsimulation package (VASP), [16,17] at the level of the generalized gradient \napproximation (GGA) [18] with a Hubbard U correction for Fe -3d orbitals in YIG. We \nuse the projector augmented wave (PAW) method for the description of the core -valence \ninteraction [19,20]. The YIG structure has two Fe sites: tetrahedral Fe t and octahedral Fe o. 8 \n To model the Pt/YIG and Au/YIG interfaces, we construct a superlattice structure with a \nslab of YIG(111) of about 6 Å thick along with a 4 -layer Pt or Au film of about 7 Å thick. \nIn the initial configuration, the Fe o atoms match the hcp sites of Pt(111) or Au(111) slab. \nDuring the relaxation process, the in -plane lattice constant has been fixed at the \nexperimental value of the bulk YIG, with a dimension of 17.5× 17.5 Å2, and thickness of \nsuperlattice [notated as c in Fig. 4 (a)] is allowed to change. All atoms are fully relaxed \nuntil the calculated force on each atom is smaller than 0.02 eV/Å. For this lar ge unit cell \nwith 274 atoms, we find that a single Γ point is enough to sample the Bril louin zone. The \noptimized atom ic structure of Pt/YIG in Fig. 4(a) shows significant reconstructions in \nboth Pt and YIG layers. The average bond lengths are: d Pt-O ~ 2.2 Å, d Pt-Fe ~ 2.6 Å. \nAu/YIG has a similar structure. \nIt is important to note that all fo ur Pt layers are significantly spin polarized as \nshown in Fig. 4(b) . The Pt layers adjacent to the interfaces [labeled by 1 and 4 in Fig. \n4(b)] tend to cou ple ferromagnetically to their neighboring Fe atoms in YIG, as found in \nmost studies for Pt on magnet ic substrates. The local spin moments of Pt atoms in the Pt 2 \nand Pt 3 layers can still be as large as 0.1 μB. By integrating spin density in the lateral \nplanes, we can obtain the z -dependent spin density as shown in Fig. 4(c). Clearly, the \nspin polarization in all Pt layers is significant for the measurement of SSE. In particular, \nthe total spin moments of th e Pt 2 and Pt 3 layers (each has 36 Pt atoms) are about 0.8 μ B \nand 1.1 μ B, respectively, even after the mutual cancelation with the intra -layer \nantiferromagnetic ordering. In contrast, spin polarizations induced in the Au layers are \nmuch weaker , with the max imum local spin moment smaller than 0.05 μB and the \nintegrated spin moment in the entire Au layers smaller than 0. 1 μ B. Therefore, one can 9 \n view Au as nearly “nonmagnetic” in contact with YIG, in contrast to Pt. \n The sizable magnetic moments of Pt near the interface from the theoretical \ncalculations is consisting with the strong MPE shown in Pt( t)/YIG by the electric \ntransport . Therefore, the ANE and SSE are not only entangled but with ANE dominating \nin Pt/YIG. In contrast, the negligible Au moments from the oretical calculations is also \nconsistent with no apparent AHE in Au( t)/YIG . The only noticeable magnetic \ncharacteristic is the inverse AMR of Au( t)/YIG but with a magnitude two orders smaller \nthan that of Pt/YIG. This indicates that most, if not all, of the thermal voltage measured \nin Au/YIG is due to the intrinsic SSE as a result of the pure spin current injected from \nYIG. \n As shown in Fig. 2(c), the measurement of the thickness dependence is essential \nin revealing the non -monotonic dependence of intrin sic SSE voltage in Au/YIG due to \nthe spin diffusion length SF. For very thin Au layer with t < 6 nm, SF is short due to the \nlarge resistivity from interface and boundary scattering, thus no appreciable spin current \ncould survive intact , and this results in negligible Vth. As the Au film thickness \nincreases, t he value of Vth exhibits a rapid rise reaching a maximum of 1.3V at t~8 nm \nand then decreases owing to the spin flip relaxation mechanism. Using the expression \nxx sf F sf / h/e/ k =l ) ( )23()2/(2 2\nincluding the Fermi wave vector kF, the \nconductivity xx, the mean time between collisions and the mean time between spin -\nflipping collision sf, we estimate SF ≈ 40 nm. [ 21] The critical thickness of 8 nm is close \nto spin diffusion length 10.5 nm evaluated from weak localization [ 10]. Given the weak \ninverse AMR and the nonexistent AHE, the thermal signal of 0.1 µ V/K measured in \nAu/YIG at an optimal thickness of 8 nm should be considered as an upper limit of the 10 \n intrinsic S SE effect. The spin Hall angle between Au and YIG might be further enhanced \nby chemical modification on the YIG surface at high temperature . But a careful surface \ntreatment is very important to avoid the metallic state of Fe developed, which could result \nin a reduction of spin mixing conductance and contamination in SSE [ 22]. \n In summary, we use Au(t)/YIG with no anomalous Hall signals and a very weak \ninverse MR results with non -monotonic dependence of spin -thermal voltage to show that \nthe acute magnetic proximity effects that plagued Pt/YIG do not affect Au/YIG . The \nthermal voltage in Au/YIG is thus due to primarily intrinsic spin Seebeck effect with an \nupper limit of 0.1 µ V/K. Although the spin Hall angle of Au is smaller th an that of Pt, Au \nis a good spin current detector, far better than Pt. \n \nAcknowledgments: The work is supported at Johns Hopkins University by US NSF \n(DMR 05 -20491) and Taiwan NSC (99 -2911 -I-007- 510), and at University of California \nby DOE -BES (Grant No: D E-FG02 -05ER46237) and by NERSC for computing time. \n \n References : \n1. J. E. Hirsch, Phys. Rev. Lett. 83, 1834. (1999). \n2. K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa, \nand E. Saitoh, Nature 455, 778 (2008). \n3. C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, J. P. Heremans, and R. C. \nMyers, Nat. Mater. 9, 898 (2010). \n4. K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda, T. Ota, Y. Kajiwara, \nH. Umezawa, H. Kawai, G. E. W. Bauer, S. Maekawa, and E. Saitoh, Nat. Mater. \n9, 894 ( 2010). \n5. A. Kirihara, K. Uchida, Y. Kajiwara, M. Ishida, Y. Nakamura 1, T. Manako 1, E. \nSaitoh and S. Yorozu, Nature Mater . 11, 686 (2012). \n6. S. Y. Huang, W. G. Wang, S. F. Lee, J. Kwo, and C. L. Chien, Phys. Rev. Lett. \n107, 216604 (2011). \n7. S. Y. Huang, X. F an, D. Qu, Y. P. Chen, W. G. Wang, J. Wu, T. Y. Chen, J. Q. \nXiao and C. L. Chien, Phys. Rev. Lett. 109, 107204 (2012). 11 \n 8. B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Young -Yeal Song, \nYiyan Sun, and Mingzhong Wu, Phys. Rev. Lett. 107, 066604 (2011). \n9. P. Fan, K. Ti. J. D. Shao, and Z. X. Fau, J. Appl. Phys. 95, 2527 (2004). \n10. J. Bass and W. P. Pratt Jr., J. Phys.: Condes. Matter 19, 183201 (2007). \n11. C. L. Chien and C. R. Westgate, The Hall effect and its applications. (Plenum \nPress, New York, 1980). \n12. T. Tanaka, H. Kontani, M. Naito, T. Naito, D. S. Hirashima, K. Yamada, and J. \nIonoue, Phys. Rev. B 77, 165117 (2008). \n13. D. M. Rowe , CRC handbook of thermoelectrics (CRC Press, New York , 1995) \n14. O. Mosendz , J. E. Pearson, F. Y. Fradin , G. E. W. Bauer, S. D. Bade r, and A. \nHoffmann, Phys. Rev. Lett. 104, 046601 (2010). \n15. T. Y. Chung and S. Y. Hsu, J Phys.: Conf. Ser. 150, 042063 (2009). \n16. G. Kresse and J. Furthmuller, Comput. Mater. Sci. 6, 15 (1996). \n17. G. Kresse and J. Furthmuller, Phys. Rev. B 54, 11169 (1996). \n18. J. P. Perdew, K. Burke, and M. Ernzerhof, P hys. Rev. Lett. 77, 3865 (1996). \n19. P. E. Blochl, Phys. Rev. B 50, 17953 (1994). \n20. G. Kresse and D. Joubert, Phys. Rev. B 59, 1758 (1999). \n21. M. Gradhand, D. V. Fedorov, P. Zahn, and I. Mertig, Phys. Rev. B. 81, 245109 \n(2010). \n22. C. Burrowes, B. Heinrich, B. Kardasz, E. A. Montoya, E. Girt, Yiyan Sun, \nYoung -Yeal Song, and Mingzhong Wu, Appl. Phys. Lett. 100, 092403 (2012). \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 12 \n \n \n \nFig. 1 (color online). (a) Resistivity as a function of Au thickness t for Au /YIG. The \nsolid line represent s semiclassical theoretic fittings . Inset is schematic diagram of \nmultiple patterned strips with ascending thickness. (b) Field dependence of Hall \nresistance RH at different temperatures for Au(7 nm)/YIG. Inset is schematic diagr am of \npatterned Hall bar. (c) Carrier concentration as a function of temperature for Au(7 \nnm)/YIG. 13 \n \n \n \n \nFig. 2 (color online). (a) Field -dependent thermal voltage for Pt(5.1nm)/YIG and \nAu(10nm)/YIG ). Thermal voltage (left scale) and (right scale) for multiple strips as a \nfunction of Pt thicknesses (b) and Au thicknesses (c) on YIG. All thermal results are \nunder a temperature difference of T ≈ 10 K. \n \n \n 14 \n \n \n \nFig. 3 (color online). (a) Magnetor esistance (MR) result of Au(7nm)/YIG as a function of \nmagnetic fi eld H at ||) and 90 (T). (b) AMR ratio as a function of metal layer \nthickness t for Pt/YIG (open triangles) and Au/Pt (open squares). 15 \n \n \n \n \nFig. 4 (color online). (a) The optimized structural model of Pt/YIG. The teal, coral, purple, \ncyan and red spheres represent for Pt, Fe o (center of octahedron), Fe t (center of \ntetrahedron), Y and O atoms, respectively. The thickness of the superlattice, denoted as c, \nis 15.6 Å after relaxation . The numerals in the left side label the Pt layers for the \nconvenien ce of discussions. (b) Isosurfaces of spin density (at 0.03 e/ Å3) of Pt/YIG. The \nblue and yellow isosurfaces are positive and negative spin polarizations. (c) Planar \naveraged spin density along c axis. The vertical dashed lines indicate the average z -\ncoordinates of Pt and Au layers. Arrows ↑ and ↓ stand for the majority spin and minority \nspin contributions, respectively. " }, { "title": "1702.06038v1.Parametric_pumping_of_spin_waves_by_acoustic_waves.pdf", "content": " 1 \n \n \nParametric pumping of spin waves by acoustic waves \n \nPratim Chowdhury, Albrecht Jander and Pallavi Dhagat \n \nSchool of Electrical Engineering and Computer Science , Oregon State University, Corvallis , USA \n \n \nThe linear and nonlinear interactions between spin waves (magnons) and acoustic waves \n(phonons) in magnetostrictive materials provide an exciting opportunity for realiz ing novel \nmicrowave signal processing devices1–3 and spintronic circuits4,5. Here we demonstrate the \nparametric pumping of spin waves by acoustic waves, the possibility of which has long been \ntheoretically anticipated6,7 but never exp erimentally realized . Spin waves propagating in a thin film \nof yttrium iron garnet (YIG), a magnetostrictive ferrimagnet with low spin and acoustic wave \ndamping , are pumped using an acoustic resonator driven at frequencies near twice the spin wave \nfrequency. The observation of a counter -propagating idler wave and a distinct pump threshold that \nincreases quadratically with frequency non-degeneracy are evidence of a nonlinear parametric \npumping process consistent with classical theory . This demonstration of acoustic parametric \npumping lays the groundwork for developing new spintronic and microwave signal processing \ndevices based on amplification and manipulation of sp in waves by efficient, spatially localized \nacoustic transducers . \nThe interaction between acoustic waves and spin waves includes both linear and nonlinear , parametric \neffects. The l inear coupling betwee n spin waves and acoustic waves, first contemplated t heoretically by Kittel6, has \nbeen shown to radiate acoustic waves from resonant ly excited ferromagnetic precession8 and, conversely , excite \nferromagnetic resonance9 and spin waves4,10,11 in ferromagnetic films upon application of acoustic waves. In the \nnonlinear coupling regime , parametric excitation of acoustic modes by spin waves , as observed in YIG spheres12 has \nbeen explained by theor y developed by Comstock13,14. The converse effect , the parametric pumping of spin waves \nby coherent acoustic waves, however, has not previously been experimentally demonstrated. \nThe parametric excitation of acoustic waves by spin waves is an important consideration in the design of \nferrite -based microwave devices. In most cases , to avoid loss of energy to the acoustic sy stem, the parametric \npumping threshold must not be exceeded15. In some devices such as frequency selective limiters1, however, the \nlosses are the basis of device function . The converse pumping of spin waves by acoustic waves could be similarly 2 \nYIG ZnO exploited for technological applications in signal processing , including in spin wave amplifiers, correlators and \nfrequency selective limiters of acoustic signals. In contrast to established methods of parametric pumping of spin \nwaves by electromagnetic wa ves16–18, acoustic pumping with piezoelectric transducers promises higher efficiency, \nlocalization and ease of integration with micro - and nano -scale circuits. Beyond novel signal processing \napplications, the recent discovery of spin -calori c effects19 and acoustically driven spin currents20,21 provides impetus \nto the study of magnon-phonon interactions to explain the fundamental processes underlying these phenomena. \nParametric pumping involves the nonlinear interaction between three waves, the signal wave a t frequency 𝑓𝑠, \nthe pump at frequency 𝑓𝑝 and the idler wave at frequency 𝑓𝑖. Energy conservation dictates that the three frequencies \nsatisfy the relation \n 𝑓𝑝=𝑓𝑠+ 𝑓𝑖. (1) \nIn the present experiments, the p ump is a standing acoustic wave that interacts with signal and idler spin wave s in a \nmagnetostrictive YIG film. \nIn the degenerate case where 𝑓𝑝 is equal to 2𝑓𝑠, the idler frequency is identical to the signal frequency , \nmaking it difficult to distinguish the idler from the inevitable electromagnetic feedthrough of the signal wave \nexcitation . As a result, although previous experiments13 showed modulation of spin wave transmission under the \ninfluence of an acoustic pump, they did no t convincingly demonstrate the parametric interaction . Here we observe \nnon-degenerate pumping, where the presence of the frequency -shifted, counter -propagating idler as well as a distinct \nthreshold for its appearance provide clear evidence of a nonlinear parametric pumping process. \nThe device used in our experiments, shown in Figure 1, consists of a thin film piezoelectric transducer \nfabricated on one side of a 0.5 mm thick gadolinium galliu m garnet (GGG) substrate with a ~12 µm thick epitaxia l \nYIG film on the opposite side . The transducer , excites l ongitudinal acoustic waves , which resonate in the acoustic \ncavity between the top and bottom free surfaces . The pump frequency is tuned to one of the high-order cavity \nresonance around 3 GHz to obtain la rge-amplitude standing acoustic waves in the YIG. The amplitude of the \nacoustic vibration is controlled by varying the power of the microwave signal applied to the transducer . (See \nMethods for details on device fabrication and calibration.) \nTwo microstrip antennas are used for excitation and detection of spin waves in the YIG film, which form s a \n1.3 mm wide spin wave waveguide spanning the 8 mm distance between the antennas and passing directly beneath \nthe acoustic transducer. A static magnetic bias field , HBIAS, is applied in the film plane , parallel to the waveguide , 3 \nsupporting the propagation of backward volume magnetostatic spin waves between the antennas . Since the pump is \northogonal to the spin waves (see Figure 1(a)), conservation of moment um requires that the idler spin wave \npropagate counter to the signal wave. \nWe first examine the propagation of the signal wave through the YIG waveguide , under the influence of the \nacoustic pump, using a vector network analyzer as illustrated in Fig ure 2. The pump frequency, 𝑓𝑝, is 3022.2 MHz , \ncorresponding to one of the acoustic cavity resonances. The signal spin waves are generated with 1 W applied to \nthe excitation antenna. The bias field is s et to 15.3 mT, the condition at which the transmission of spin waves at 𝑓𝑠=\n𝑓𝑝/2=1511.1 MHz is maximized in the absence o f the acoustic pump (see right -most trace in Figure 2). \nAs the power applied to the a coustic transducer is gradually increased, there is no discerni ble effect on signal \nwave transmission until a threshold of about 100 mW is reached . Beyond this threshold, up to 340 mW, the intensity \nof the transmitted spin wave increases with the acoustic pump power . \nWe postulate that the accompanying shift in the spin wave spectrum to lower frequencies is associated with a \nreduction in magnetization due to the pumping of spin waves from the signal wave into modes that do not couple to \nthe receiving antenna. At pump power levels beyond 340 mW, this background of spin waves causes excessive \nscattering of the signal wave, resulting in the reduction in transmitted power as well . \nNext we observe the counter propagating idler spin wave using a circulator at the excitation antenna as \nillustrated in Figure 3(a). The signal wave is excited using a microwave signal generator swept over a frequency \nrange from 𝑓𝑠=1503 MHz to 1519 MHz. Waves returning to the same antenna are routed to a spectrum analyzer \nthrough the circulator. The acoustic pump power is kept at a constant 340 mW. \nThe detected spectrum is plotted as a function of the signal frequency in Fig ure 3(b). The main diagonal is \nthe signal frequency, appearing here due to unavoidable reflections from the antenna and electromagnetic \nfeedthrough past the circulator. The parametrically pumped counter -propagating idler wave returning to the \ntransmitting antenna is clearly visible as off -diagonals. The plot is an overlay of the spectra observed for three pump \nfrequencies of 3015.5 MHz, 3022.2 MHz, and 3028.9 MHz (corresponding to adjacent resonant modes of the \nacoustic cavity) . In each case, the frequency relation of equation (1) is maintained . We note that these spectra are not \nvisible when the acoustic cavity is driven off -resonance, eliminating the possibility of electromagnetic interference \ncoupled with a non-linearity in the electronic system being the source of the observed frequencies. \nFinally, we examine quantitatively the threshold conditions for parametric pumping for the dege nerate as 4 \nwell as non -degenerate cases . For these experiments, the signal frequency was kept constant at 𝑓𝑠=1511.1 MHz \nwhile the pump frequency was shifted to different acoustic cavity resonances (𝑓𝑝=3008.8 , 3015.5 , 3022.2 , 3028.9 \nand 3035.6 MHz). The observed intensity of the counter -propagating idler wave as a function of the acoustic pump \npower is plotted in Fig ure 4. A clear threshold is seen in each case. The threshold increases the further the pump \nfrequency deviates from degeneracy ( ∆𝑓=𝑓𝑠−𝑓𝑝/2=50 kHz is as close as we can get to the degenerate case \nwhile still being able to distinguish the idler from the signal frequency). As seen in the inset to Fig ure 4, the intensity \n(represented here in amplitude squared, as measured by laser vibrometry22) of acoustic waves required to obtain \nparametric pumping increases quadratically with this frequency offset. We note that the parametric conversion is \nquite significant, with the intensity of the idler wave reaching nearly 6% of the transmitted wave intensity seen in \nFigure 2, assuming that the propagation and transducer losses are similar in both cases. \nA classical theory for parametric pumping of spin waves was derived by Schlömann , et a l.23 In the most \ngeneral form, equating the energy pumped into the wave wi th the damping losses leads to a pumping threshold given \nby \n 𝜂𝑘2=(𝑉𝑘ℎ𝑝)2−(2𝜋Δ𝑓)2, (2) \nwhere ℎ𝑝 is the threshold amplitude of a microwave magnetic pumping field , Vk is a coupling factor that depends on \nthe geometry of the device and Δ𝑓 is the offset in pumping freq uency from the degenerate case. The spin wave \nrelaxation rate, 𝜂𝑘, is related to the spin wave linewidth , Δ𝐻𝑘, by 𝜂𝑘=𝛾𝜇0Δ𝐻𝑘/2. Fitting the parabolic dependence \non Δ𝑓 to the experimentally determined thresholds (see red trace in inset of Fig ure 4), we obtain a spin wave \nlinewidth, Δ𝐻𝑘=85 A/m ( ~1 Oe), which is typical of the YIG films used. \nIn the context of our acoustically pumped device, ℎ𝑝 represents an effective magnetic field resulting from the \nmagneto elastic coupling in the ferromagnetic film. Expanding on the theory of Schlömann23, Keshtgar et al. recently \nderived an expression for the coupling of a longitudinal acoustic pump to backward vol ume magnetostatic waves24, \nwhich, (after accounting for typographical errors ) relates the pumping term in equation (2) to the amplitude, 𝑅, of \nthe acoustic wave as: \n 𝑉𝑘ℎ𝑝=𝛾𝐵1\n𝑀𝑠2𝜋𝑓𝑝\n𝑐𝑅. (3) \nHere 𝛾 is the gyromagnetic ratio, 𝐵1 the magnetoelastic coefficient , 𝑀𝑠 the saturation magnetization and 𝑐 the \nlongitudinal acoustic wave velocity of the magnetic film. Using equation (3) in equation (2), the threshold 5 \namplitude , 𝑅𝑐, for acoustic pumping in the degenerate case (Δ𝑓=0) is \n 𝑅𝑐=𝜇0Δ𝐻𝑘\n2𝑀𝑠\n𝐵1𝑐\n2𝜋𝑓𝑝. (3) \nFor YIG, we use 𝛾=2𝜋×28 GHz/T, 𝐵1=3.5×105 J/m3, 𝑀𝑠=1.4×105A/m and 𝑐=7.2 km/s, giving a \ntheoretical threshold acoustic amplitude of 𝑅𝑐=8.1 pm at 𝑓𝑝=3022 .2 MHz. The corresponding experimentally \ndetermined threshold of 39 pm is somewhat higher, but on the order of the predi cted value. A more comprehensive \ntheoretical model, which takes into account the finite extent of the pump region as well as the non -uniform \ndistribution of acoustic strain through the thickness of the film will be needed to resolve this discrepancy. \nNonetheless, t hese experiments demonstrate that parametric pumping of spin waves by acoustic waves is possible \nand provide insight into nonlinear phonon -magnon coupling in magnetostrictive materials . Localized and efficient \npiezoelectric transducers may th us, in the future, be used to generate, modulate and amplify spin wave signals via \nacoustic pumping in nonlinear microwave signal processing devices and magnonic logic circuits. \nMethods \nDevice fabrication \nThe ~12 m thick epitaxial YIG film was grown on a 0.5 mm thick single -crystal GGG substrate by liquid \nphase epitaxy. Using a wafer saw, t he substrate was subsequently cut into a 1.5 mm wide strip to form the spin wave \nwaveguide. The acoustic transducer was fabricated on the YIG/GGG strip by sputter deposi tion and shadow \nmasking. The active transducer area of approximately 1.3 mm square is defined by the overlap of 180 nm thick Al \nelectrodes sandwiching the 800 nm thick piezoelectric ZnO. Cu microstrip antennas (both 25 m wide) were \npatterned at the ends o f two coplanar waveguides on a printed circuit board . The device was taped to this board with \nthe YIG film facing down. The acoustic transducer was connected to a third coplanar waveguide by wire bonding. \nCalibration \nThe thickness -mode resonances of the acoustic cavity were determined using a network analyzer to display \nthe absorption spectrum ( S11) of the acoustic transducer as shown in Fig ure M1. The acoustic resonances are spaced \napproximately 6.7 MHz apart , limitin g the pump frequency to these discrete values. The amplitude of the acoustic \nvibration , as controlled by the applied microwave signal power , was calibrated using a heterodyne laser \nvibrometer22. At resonance, the combined effect of the transducer efficienc y and the quality factor of the cavity \nresult in standing acoustic waves having an amplitude of 3.3 pm/√𝑚𝑊. 6 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFigure 1 | Schematic and photograph of the experimental device . (a) The device is comprised of an \nacoustic transducer and a YIG spin-wave waveguide on opposite surfaces of a GGG substrate. Microstrip \nantennas are used to excite and detect spin waves (represented by the wavy blue arrow) in the waveguide. \nThe acoustic transducer consists of a piezoelectric ZnO film sandwiched between Al electrodes. \nLongitudinal acoustic waves generated by the transducer resonate in t he device creating standing waves , \nas illustrated in red. (b) A photograph of the device. The scale bar is 2 mm. \n \na \nb \nMicrostrip \nantenna \nGGG \nYIG \nSpin wave \nsignal , fs \nHBIAS \nAcoustic p ump, fp \nAcoustic \ntransducer \nYIG \nGGG \nMicrostrip antennas 7 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFigure 2 | Spin wave transmission spectra. The transmission spectrum of the signal spin wave s through \nthe YIG waveguide , as measured by a vector network analyzer (VNA), is shown for various levels of \npower applied to the acoustic transducer. The schematic, inset in the top left, shows the experimental \nsetup. The signal spin waves are gen erated with a microwave power of 1 µW applied to the excitation \nantenna under a bias field of 15.3 mT . \n \nVNA \n15.3 mT 8 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFigure 3 | Counter -propagating idler spin waves. (a) Sc hematic of experimental setup for observing \ncounter -propagating idler spin waves. A spectrum analyzer (SA) connected to a circulator is used to \nmeasure the frequency spectrum of wave s returning to the excitation antenna. (b) Spectra of waves \nreturning to t he excitation antenna versus signal wave frequency. The strong main diagonal is primarily \nfeedthrough of the excitation signal. The off -diagonals show the counter -propagating idler waves that are \nparametrically excited from the signal wave at different aco ustic pump frequencies. The power applied to \nthe acoustic transducer is 340 mW. The signal spin waves are generated under a bias field of 15.3 mT and \n1 µW applied to the excitation antenna . \n \n \n \na \n b \nSA \n15.3 mT \nfs \nfp 9 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFigure 4 | Acoustic parametric pumping of spin waves. Parametrically generated idler wave intensity \nas a func tion of acoustic pump power, plotted for different conditions of frequency non -degeneracy . The \nsignal spin waves are excited with 1 µW applied to the excitati on antenna . The inset shows the threshold \nacoustic intensity (in units of amplitude squared) versus frequency offset. The parabolic fit to the data is \naccording to equation (2). \n \n \n \n \n 10 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFigure M1 | Standing wave modes of the acoustic cavity. The absorption spectrum, S11(fp) measured at \nthe electrical input to the acoustic transducer , showing the acoustic cavity resonances. \n \nFrequency, f p (MHz) \nS11 (dB) \n3010 3020 3030 3040 -2.90 \n-2.92 \n-2.94 \n-2.96 \n-2.98 \n-3.00 11 \nReferences \n1. Giarola, A. J., Jackson, D. R., Orth, R. W. & Robbins, W. P. A frequency selective limiter using \nmagnetoelastic instability. Proc. IEEE 55, 593–594 (1967). \n2. Robbins, W. P. & Lundstrom, M. S. Magnet oelastic Rayleigh wave convolver. Appl. Phys. Lett. \n26, 73–74 (1975). \n3. Yao, Z., Wang, Y. E., Keller, S. & Carman, G. P. Bulk acoustic wave-,ediated multiferroic \nantennas: architecture and performance bound . IEEE Trans. Antennas Propag. 63, 3335–3344 \n(2015). \n4. Cherepov, S. et al. Electric -field-induced spin wave generation using multiferroic magnetoelectric \ncells. Appl. Phys. Lett. 104, 82403 (2014). \n5. Dutta, S. et al. Non-volatile clocked spin wave interconnect for beyond -CMOS nanomagnet \npipelines. Sci. Rep. 5, 9861 (2015). \n6. Kittel, C. Interaction of spin waves and ultrasonic waves in ferromagnetic crystals . Phys. Rev. 110, \n836–841 (1958). \n7. Matthews, H. & Morgenthaler, F. R. Phonon -pumped spin -wave instabilities. Phys. Rev. Lett. 13, \n614–616 (1964). \n8. Bömmel, H. & Dransfeld, K. Excitation of hypersonic waves by ferromagnetic reso nance. Phys. \nRev. Lett. 3, 83–84 (1959). \n9. Weiler, M. et al. Elastically driven ferromagnetic resonance in nickel thin films . Phys. Rev. Lett. \n106, 117601 (20 11). \n10. Pomerantz, M. Excitation of spin -wave resonance by microwave phonos. Phys. Rev. Lett. 7, 312–\n313 (1961). \n11. Gowtham, P. G., Moriyama, T., Ralph, D. C. & Buhrman, R. A. Traveling surface spin -wave \nresonance spectroscopy using surface acoustic waves. J. Appl. Phys. 118, 233910 (2015). \n12. Spencer, E. G. & LeCraw, R. C. Magnetoacoustic resonance in yttrium iron gar net. Phys. Rev. \nLett. 1, 241–243 (1958). 12 \n13. Comstock, R. L. & Auld, B. A. Parametric coupling of the magnetization and strain in a \nferrimagnet. i. parametric excitation of magnetostatic and elastic modes . J. Appl. Phys. 34, 1461–\n1464 (1963). \n14. Comstock, R. L. Parame tric coupling of the magnetization and strain in a ferrimagnet. ii. \nparametric excitation of magnetic and elastic plane waves . J. Appl. Phys. 34, 1465–1468 (1963). \n15. Joseph, R. I. & Schlömann, E. Dependence of the phonon‐ instability threshol d for parallel \npumping on c rystal orientation and magnetic field s trength. J. Appl. Phys. 41, 2513–2520 (1970). \n16. Kolodin, P. A. et al. Amplification of microwave magnetic envelope solitons in thin yttrium iron \ngarnet films by parallel pumping. Phys. Rev . Lett. 80, 1976–1979 (1998). \n17. Melkov, G. A. et al. Parametric interaction of magnetostatic waves with a nonstationary local \npump. J. Exp. Theor. Phys. 89, 1189–1199 (1999). \n18. Serga, A. A., Chumak, A. V & Hillebrands, B. YIG magnonics. J. Phys. D. App l. Phys. 43, \n264002 (2010). \n19. Bauer, G. E. W., Saitoh, E. & van Wees, B. J. Spin caloritronics. Nat. Mater. 11, 391–399 (2012). \n20. Uchida, K. et al. Acoustic spin pumping: Direct generation of spin currents from sound waves in \nPt/Y 3Fe5O12 hybrid structures. J. Appl. Phys. 111, 53903 (2012). \n21. Uchida, K., Qiu, Z., Kikkawa, T. & Saitoh, E. Pure detection of the acoustic spin pumping in \nPt/YIG/PZT structures. Solid State Commun. 198, 26–29 (2014). \n22. Kokkonen, K., Knuuttila, J. V., Plessky , V. P. & Salomaa, M. M. Phase -sensitive absolute -\namplitude measurements of surface waves using heterodyne interferometry . IEEE Ultrason. Symp. \nProc. 2, 1145–1148 (2003). \n23. Schlömann, E. & Joseph, R. I. Instability of spin waves and magnetostatic modes i n a microwave \nmagnetic field applied parallel to the dc field. J. Appl. Phys. 32, 1006–1014 (1961). \n24. Keshtgar, H., Zareyan, M. & Bauer, G. E. W. Acoustic parametric pumping of spin waves. Solid \nState Commun. 198, 30–34 (2014). 13 \nAcknowledgement s \nThis work was supported in part by the National Science Foundation (Award No. 1414416 ). \n \nAuthor contributions \nP.C. fabricated the devices, performed the measurements and prepared the figures in this manuscript. A.J. \nand P.D. supervised the work, devised th e experiments, interpreted the results and wrote the manuscript. \n \n1 14 \n " }, { "title": "2401.09563v1.Giant_Enhancement_of_Vacuum_Friction_in_Spinning_YIG_Nanospheres.pdf", "content": "Giant Enhancement of Vacuum Friction in Spinning YIG Nanospheres\nFarhad Khosravi,1,2Wenbo Sun,2Chinmay Khandekar,2Tongcang Li,3,2and Zubin Jacob2,∗\n1Department of Electrical and Computer Engineering,\nUniversity of Alberta, Edmonton, Alberta T6G 1H9, Canada\n2Elmore Family School of Electrical and Computer Engineering,\nBirck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907, USA\n3Department of Physics and Astronomy, Purdue Quantum Science and Engineering Institute,\nPurdue University, West Lafayette, Indiana 47907, USA\n(Dated: January 19, 2024)\nExperimental observations of vacuum radiation and vacuum frictional torque are challenging due\nto their vanishingly small effects in practical systems. For example, a rotating nanosphere in free\nspace slows down due to friction from vacuum fluctuations with a stopping time around the age of\nthe universe. Here, we show that a spinning yttrium iron garnet (YIG) nanosphere near aluminum\nor YIG slabs exhibits vacuum radiation eight orders of magnitude larger than other metallic or\ndielectric spinning nanospheres. We achieve this giant enhancement by exploiting the large near-\nfield magnetic local density of states in YIG systems, which occurs in the low-frequency GHz regime\ncomparable to the rotation frequency. Furthermore, we propose a realistic experimental setup for\nobserving the effects of this large vacuum radiation and frictional torque under experimentally\naccessible conditions.\nI. INTRODUCTION\nThe physics of rotating nanoparticles is gaining more\nattention as recent technological advancements provide\nexperimental platforms for rotating levitated nanoparti-\ncles at GHz speeds [1–8]. Besides having implications\nin the fields of quantum gravity [9], dark energy de-\ntection [10], and superradiance [11], rotating nanopar-\nticles are crucial for studying the effects of quantum vac-\nuum fluctuations [12–17]. Rotating nanoparticles can\nemit real photons and experience frictional torques from\nthe fluctuating quantum vacuum even at zero temper-\nature [18, 19]. Although Casimir forces between static\nobjects have been measured extensively [20–22], the ex-\nperimental sensitivity is only starting to reach the limit\nneeded to measure the frictional torque exerted on ro-\ntating nanoparticles from the vacuum [23]. Meanwhile,\ndirect observation of vacuum radiation from rotating\nnanoparticles remains challenging due to the extremely\nlow number of radiated photons.\nIn the specific case of moving media or rotating par-\nticles, a unique regime of light-matter interaction occurs\nwhen the material resonance frequency becomes compa-\nrable to the mechanical motion frequency [24–26]. In\nparticular, a giant enhancement or even a singularity\nis possible in vacuum fluctuation effects [24–26]. Re-\ncently, world record rotation frequencies were achieved\nfor optically levitated nanospheres [2, 3, 6]. This immedi-\nately opens the question of whether unique material res-\nonances comparable to this rotation frequency can help\nenter a new regime of light-matter interaction. Here, we\nshow that gyromagnetic yttrium iron garnet (YIG) ex-\nhibits the magnon polariton resonance at GHz frequen-\n∗zjacob@purdue.educies[27,28]comparabletothelevitatednanoparticle’sro-\ntation frequency, providing a unique opportunity for en-\nhancing vacuum fluctuation effects on rotating nanopar-\nticles.\nInthisarticle,weputforthanapproachtoenhanceand\nobserve the vacuum radiation and frictional torques by\nleveraging a YIG nanosphere spinning at Ω = 1 GHz in\nthe vicinity of a metallic or YIG interface. Our proposal\nexploits an asymmetry between the electric and magnetic\nlocal density of states (LDOS) which was previously re-\nported in Ref. [29]. In particular, near conventional met-\nals, the electric LDOS is enhanced at optical frequen-\ncies, whereas the magnetic LDOS becomes dominant at\nGHz frequencies. Therefore, our proposal exploits mag-\nnetic materials with magnon polaritons to enhance the\nmagnetic local density of states beyond those of con-\nventional plasmonic metals. Due to the large magnetic\nLDOS and YIG magnetic resonance at GHz frequencies,\nthe fluctuating magnetic dipoles of the YIG nanosphere\ncanstrongly coupleto alargedensityofevanescentwaves\ninthe near-fieldofmetallic andmagneticinterfaces, lead-\ning to colossal vacuum radiation.\nWe demonstrate that a spinning YIG nanosphere gen-\nerates vacuum radiation eight orders of magnitude larger\nthan other metallic or dielectric nanospheres in the vicin-\nity of a metallic or magnetic slab. We show that, near\nmagnetic materials, most of this radiated energy can be\ntransferred to surface magnon polaritons. Furthermore,\nwe reveal that the large vacuum radiation and vacuum\nfrictionhaveexperimentallyobservableeffectsonthebal-\nance rotation speed, stopping time, and balance temper-\nature of the spinning YIG nanospheres under experimen-\ntally accessible rotation speeds, particle sizes, tempera-\ntures, and vacuum pressures. Therefore, the setup pro-\nposed in this article based on spinning YIG nanospheres\nrepresents a unique tool for detecting and analyzing vac-\nuum radiation and frictional torques.arXiv:2401.09563v1 [quant-ph] 17 Jan 20242\nII. GIANT VACUUM RADIATION FROM\nSPINNING YIG NANOSPHERES\nWe first consider the vacuum radiation from a spinning\nYIG nanosphere with a radius of 200 nm, as illustrated in\nFig. 1(a, b). A stationary nanosphere at the equilibrium\ntemperature exhibits zero net radiation since the num-\nber of photons emitted by the fluctuating dipoles of the\nnanosphere is equal to the number of photons absorbed\nby the nanosphere from the fluctuating electromagnetic\nfields in the vacuum. However, for rotating nanospheres,\nthe balance between the emitted and absorbed photons\nis broken. A net radiated power from the nanosphere\narises even at zero temperature due to the extra boost\nof mechanical rotational energy [30]. The source of this\nvacuum radiation energy is the non-inertial motion of the\nnanosphere, which is transferred to generate real photons\nfrom vacuum fluctuations [19]. Based on fluctuational\nelectrodynamics (see derivations in Appendix A), we find\nthetotalradiatedpowerfromaspinningYIGnanosphere\nPrad=R∞\n0dωℏω\u0002\nΓH(ω)−ΓH(−ω)\u0003\ncan be determined\nfrom ΓH(ω), which is the spectral density of the radiation\npower arising from magnetic dipole fluctuations.\nIn the absence of any interface, vacuum radiation from\na spinning YIG nanosphere does not exhibit any sub-\nstantial enhancement. However, metallic or magnetic in-\nterfaces can drastically change this observation. Metal-\nlic nanospheres are known to possess higher radiation\nrates compared to dielectric nanospheres near material\ninterfaces [30, 31]. Here, we observe that magnetic\nnanospheres exhibit even larger radiation rates, which\nare about eight orders of magnitude compared to metal-\nlic nanospheres near metallic or magnetic interfaces, as\nshown in Fig. 1(c, d). We demonstrate that radiated\nphotons per second per frequency expressed through\nΓH(ω)−ΓH(−ω)from spinning YIG nanospheres (blue\ncurves) are much more than those from the aluminum\nnanospheres(orangecurves)nearAlinterfaces(Fig.1(c))\nandYIGinterfaces(Fig.1(d)). Furthermore,wefindthat\na spinning YIG nanosphere radiates about 6femtowatts\nof power, in stark contrast to the Al sphere, which ra-\ndiates about 6×10−7femtowatts near Al interfaces\n(Fig. 1(c)). In the vicinity of YIG interfaces (Fig. 1(d)),\nwe find about 61.3femtowatts and 4.63×10−7fem-\ntowatts of radiated power from YIG and Al nanospheres,\nrespectively. The radiated energy mostly goes into the\nlossy surface waves in both metallic and magnetic mate-\nrials [32]. However, if the magnetic material is properly\nbiased, as is the case studied here with a bias magnetic\nfield of 812Oe for the YIG slab, the magnetic resonance\nin the magnetic slab can become resonant with the mag-\nnetic resonance in the magnetic sphere. As a result, most\nof the radiated energy is transferred to surface magnon\npolaritons. These results clearly show the advantage of\nYIG over Al nanospheres for probing vacuum radiation.\nThe above results are explained by the YIG magnon\npolariton resonance at GHz frequencies and differences\nin the low-frequency electric and magnetic LDOS near\nFIG. 1. (a) A YIG sphere trapped in the laser beam and\nspinning at 1 GHz rotation frequency in the vacuum. The\nstopping time for the sphere is on the order of the age of\nthe universe. (b) YIG sphere spinning in the vicinity of an\nAluminum or YIG interface exhibits colossal vacuum radi-\nation. The stopping time, due to the presence of the in-\nterface, is reduced to about 1 day. (c, d) Number of pho-\ntons emitted per second per radiation frequency, defined as\n1\nℏωdP/dω = Γ(ω)−Γ(−ω), for a YIG (blue solid curve) or\nAluminum (dashed orange curve) nanosphere of radius 200\nnm at distance d= 0.5µmfrom (c) an aluminum slab or\n(d) a YIG slab at room temperatures. For the Al slab, a\nnon-local model has been used. The YIG slab in panel (d) is\nbiased along the y direction (panel (a)) with a magnetic field\nofH0= 812Oe.\nmetallic and magnetic interfaces. Vacuum fluctuation\neffects on rotating nanoparticles can be significantly en-\nhanced when the rotation frequency is comparable to res-\nonance frequencies. In addition, as shown by Joulain et\nal.[29], LDOS near metals is dominated by the magnetic\nLDOS at wavelengths above a few microns. Here, we ex-\ntend this observation to magnetic materials and take into\naccount the effects of non-local electromagnetic response\nin Al [32] (also see Appendix F). Higher magnetic LDOS\nthanelectricLDOSatlowfrequenciesoriginatesfromdif-\nferences in the reflection of the s- and p-polarized evanes-\ncent waves. The near-field electric LDOS is mainly in-\nfluenced by p-polarized evanescent waves since their con-\ntributions to the electric LDOS are strongly momentum-\ndependent and dominate the high momentum contribu-\ntions crucial for near-field LDOS. In contrast, the oppo-\nsiteistrueforthenear-fieldmagneticLDOS,andthecon-\ntributions from the s-polarized evanescent waves dom-\ninate. At GHz frequencies, the imaginary part of the\nreflection coefficient for evanescent s-polarized waves is\nmuch larger than that for evanescent p-polarized waves.\nThus, the spolarization contributes more to the LDOS3\nthan the ppolarization, leading toa more dominant mag-\nnetic LDOS near metallic and magnetic interfaces. These\nnear-fieldLDOScanbefurtherenhancedbymaterialres-\nonances [24–26, 33, 34].\nTo this end, we discuss the spectral density ΓH(ω)that determines the vacuum radiation. Through a sim-\nilar approach as the methods used by Abajo and Man-\njavacas [18], our result for the radiation spectral den-\nsityΓH(ω)of a spinning gyromagnetic nanosphere due\nto magnetic dipole fluctuations is (see derivations in Ap-\npendix A):\nΓH(ω) = (ωρ0/8)(h\ngH\n⊥,2(ω) + 2gH\n∥(ω) + 2gH\ng,2(ω)i\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\nn1(ω−)−n0(ω)\u0003\n+gH\n⊥,1(ω)Im\b\nαm,∥(ω)\t\n[n1(ω)−n0(ω)])\n,(1)\nwhere ρ0=ω2/c2π3is the vacuum density of states, gH\n⊥,1\n,gH\n⊥,2are the two components of the magnetic Green’s\nfunction in the plane of the interface (the xxandzz\ncomponents for the setup shown in Fig. 1(b)), gH\n∥is the\ncomponent normal to the interface (the yycomponent\nhere), and gH\ng,2is the off-diagonal component between\nthe in-plane and normal directions (the xycomponent\nhere), all normalized by πωρ 0/8.αm,⊥(ω),αm,g(ω), and\nαm,∥(ω)are the xx(oryy),xy, and zzcomponents of the\nYIG nanosphere magnetic polarizability tensor in the ro-\ntating sphere frame (see Appendix D for derivations). Ω\nis rotating frequency of the nanosphere and ω−=ω−Ω.\nn1(ω)andn0(ω)are the Bose-Einstein distribution func-\ntions pertinent to the sphere temperature T1and the en-\nvironment temperature T0, respectively. Detailed deriva-\ntions for all these quantities and discussions of various\nYIG interface orientations and bias magnetic field direc-\ntions are provided in Appendix B. When the sphere is\nstationary ω−=ω, and the sphere temperature is equal\ntothetemperatureoftheenvironment T1=T0,theterms\nn1(ω−)−n0(ω)andn1(ω)−n0(ω)become zero; thus, the\nradiation becomes zero as expected.\nHere, we emphasize one important aspect of ΓH(ω)re-\ngarding the rotation-induced magnetization of the YIG\nnanosphere, which can occur without any external mag-\nnetic field. This is known as the Barnett effect and origi-\nnatesfromtheconservationofangularmomentum, where\nthe mechanical angularmomentumof the sphereistrans-\nferred to the spin of the unpaired electrons in the mag-\nnetic material [35]. Assuming the magnetic field is paral-\nlel to the rotation axis, the Larmor precession frequency\nω0of the electrons inside the sphere is [36] (also see Ap-\npendix E):\nω0= Ω + µ0γH0, (2)\nfor the electron gyromagnetic ratio γ, vacuum permeabil-\nityµ0, and applied external magnetic field H0. We in-\ncorporate this effect on ω0to find the magnetic response\nof the spinning YIG nanosphere.III. ENHANCEMENT OF VACUUM\nFRICTIONAL TORQUE\nWe now discuss the vacuum frictional torque exerted\non the rotating YIG nanosphere in the vicinity of YIG\nand Al interfaces. We use a similar approach to find\nthe vacuum torque exerted on the spinning gyromagnetic\nYIG sphere due to magnetic dipole and magnetic field\nfluctuations (detailed derivations are provided in Ap-\npendix G). The torque along the axis of rotation is given\nbyMz=R∞\n0dωℏ\u0002\nΓH\nM(ω) + ΓH\nM(−ω)\u0003\n, where the expres-\nsion for ΓH\nM(ω)is similar to the expression for ΓH(ω)in\nEq. (1), with the difference being that the last term on\nthesecondlineisnotpresentin ΓH\nM(ω)(seeAppendixG).\nAdditionally, wefindthatothercomponentsofthetorque\n(MxandMycomponents) are not necessarily zero in the\nvicinity of the YIG interface, in contrast to the Al slab.\nDue to the anisotropy of the YIG slab, MxandMydo\nnot vanish for some directions of the bias magnetic field.\nWe provide further discussions of these cases in the sup-\nplementary material.\nIn Fig. 2, we compare vacuum torques exerted on spin-\nning YIG nanospheres (Fig. 2(a, c)) and spinning Al\nnanospheres (Fig. 2(b, d)), on nanospheres spinning in\nthe vicinity of YIG slabs (Fig. 2(a, b)) and Al slabs\n(Fig. 2(c, d)), as well as on nanospheres spinning in the\nvicinity of slabs (solid colored curves) and spinning in\nvacuum(dashedblackcurves). Wedemonstratethatvac-\nuum torques exhibit more than 10 orders of magnitude\nenhancement in the vicinity of YIG and Al slabs com-\npared to the vacuum, and about 4 orders of magnitude\nenhancement due to employing YIG nanospheres instead\nofAlnanospheres. Theseresultsunraveltheadvantageof\nutilizing YIG nanospheres for probing vacuum frictional\ntorques at GHz frequencies. In Fig. 2, we consider non-\nlocal electromagnetic response [32] for Al interfaces and\nincorporate effects from the magnetic and electric dipole\nand field fluctuations on vacuum torques. We notice that\nthe vacuum torque is dominated by magnetic rather than\nelectric fluctuations in all cases (see Appendix G). In ad-\ndition, we have taken into account the effect of recoil4\nFIG. 2. The negative vacuum frictional torque experienced\nby the YIG and aluminum nanosphere with a radius of 200\nnm at room temperature. (a) Torque experienced by a YIG\nsphere in the vicinity of the YIG slab (solid blue curve) and\nin vacuum (dashed black curve). (b) Torque exerted on an\nAl sphere in the vicinity of the YIG slab (solid orange curve)\nand in vacuum (dashed black curve). (c), (d) the same as (a)\nand (b) with the YIG slab replaced by an Al slab. The YIG\nslab is biased along the ydirection with H0= 812Oe (see\nFig. 1(a)). A non-local model is used for the Al slabs. The\ndistance between the spinning spheres and slabs is d= 0.5µm\nfor all cases. Placing the YIG or Al interface in the vicinity of\nspinning nanospheres results in about 12 orders of magnitude\nincrease in the exerted vacuum torque.\ntorque [37] – the torque exerted on the sphere due to the\nscatteringofvacuumfieldfluctuationsofftheparticle. As\ndiscussed in Appendix G, we find that effects from this\nsecond-order torque are negligible compared with the ef-\nfects of magnetic fluctuations in the studied cases.\nIV. OBSERVABLE OUTCOMES OF GIANT\nVACUUM FRICTION IN SPINNING YIG\nNANOSPHERES\nThe observable effects of the colossal vacuum radia-\ntion and frictional torques come down to changes in ex-\nperimentally measurable parameters when the spinning\nnanosphereisbroughtclosertothevicinityofAl/YIGin-\nterfaces. In Fig. 3(a), we show the proposed experimen-\ntal setup for this observation where a YIG nanosphere is\ntrapped inside an Al or YIG ring. We note that the size\nof the ring is much larger than that of the nanosphere,\nand it does not lead to any resonant behavior. However,\nfor smaller ring sizes, LDOS can be further enhanced\ncompared to the slab interface case due to the presence\nFIG. 3. Experimental considerations of the setup. (a) Pro-\nposed experimental setup with nanosphere trapped inside a\nring. (b) Balance rotation speed Ωbfor Al sphere (red curve)\nand YIG sphere in the presence of Al (blue curve) and YIG\n(pink curve) interfaces, as a function of distance dfrom the\ninterfacefora 200nmradiussphereat 10−4Torrvacuumpres-\nsure. The values are normalized by the vacuum balance rota-\ntion speed Ω0. (c) Characteristic stopping time as a function\nof distance from the interface at 10−6Torr vacuum pressure.\n(d) Balance temperature of the YIG sphere Tsatd= 500\nnm distance from Al (blue curve) and YIG (pink curve) in-\nterfaces as a function of lab temperature T0, at10−4Torr\nvacuum pressure. For Al spheres, there is no final tempera-\nture as the temperature keeps rising with time.\nof interfaces on all sides.\nWe evaluated some observable experimental outcomes\ndue to large vacuum radiation and friction. This analy-\nsis is based on the experimentally accessible parameters\nfrom Refs. [3, 38, 39]. In Fig. 3(b), we show the balanced\nrotation speed Ωbof the spinning nanosphere normalized\nbytherotationspeed Ω0intheabsenceofanyinterfaceas\na function of distance dfrom the interface. The balance\nrotation speed is defined as the sphere’s stable, perpetual\nrotation speed and occurs when the driving force due to\nthe laser is equal to the drag force due to the vacuum\nchamber. In the absence of any interface, due to the neg-\nligible value of vacuum radiation, the balance rotation\nspeed Ω0is obtained when the torque from the trapping\nlaser balances the frictional torque from air molecules in\nthe imperfect vacuum [3] (also see Apeendix H). We as-\nsume the laser driving torque is constant and the drag\nforce from air molecules has a linear dependence on rota-\ntional speeds [3]. In Fig. 3(b), we show that the balance\nrotation speed of the YIG nanosphere is reduced when\nit is closer to Al (blue curve) or YIG (pink curve) in-\nterfaces, as a result of the large frictional torques from\nvacuum fluctuations. Remarkably, we notice that there5\nis no observable change in the balance speed for spinning\nAl nanospheres in the vicinity of Al or YIG interfaces\n(red curve).\nIn Fig. 3(c, d), we further demonstrate outcomes of\nthe large vacuum radiation in other experimental observ-\nables, such as the stopping time as a function of distance\n(Fig. 3(c)) and the balance temperature as a function of\nthe vacuum temperature T0(Fig. 3(d)). Stopping time\nis the time constant of the exponential decrease of the\nnanosphere rotation velocity after the driving torque is\nturned off. The torque can be switched off by chang-\ning the polarization of the trapping laser from circular\nto linear without having to switch off the trapping laser.\nThe balance temperature refers to the nanosphere tem-\nperature Ts, at which the loss of mechanical rotational\nenergy due to vacuum frictional torque stops heating the\nnanospheres. As shown in Fig. 3(c, d), YIG nanospheres\nexhibit distinct behaviors in the stopping time and bal-\nance temperature compared to Al nanospheres near YIG\nand Al interfaces.\nThe results of Fig. 3 show that the vacuum radiation\nand frictional torque can be experimentally measured\nthrough the balance speed, balance temperature, and\nstopping time of the YIG nanosphere. In stark contrast,\nthe Al nanosphere (or any other metallic nanospheres)\nmay not experience enough vacuum friction to exhibit\nobservable outcomes unless it is in a sensitive setup with\nvery low vacuum pressure [3, 23].\nV. DISCUSSION AND CONCLUSION\nOur results show that due to YIG magnon polariton\nresonance and the dominance of magnetic LDOS over\nelectric LDOS in the vicinity of metallic or magnetic ma-\nterials at GHz frequencies, spinning YIG nanospheres\ncan exhibit orders of magnitude larger vacuum radia-\ntion and frictional torque compared to any metallic or\ndielectric nanosphere. By investigating the case of a YIG\nnanosphere spinning at 1 GHz speed, we have shown\nthat the effect of colossal vacuum fluctuations can be\nobserved in an experimentally accessible setup. Our re-\nsults set a new perspective for observing and understand-\ning radiation and frictional torques from vacuum fluctu-\nations. Furthermore, our discussions of magnetic LDOS\nnear YIG interfaces under various bias fields pave the\nway for magnetometry [40] and spin measurement [41]\napplications.\nACKNOWLEDGEMENTS\nThis research was supported by the Army Research\nOffice under grant number W911NF-21-1-0287 and\nthe Office of Naval Research under award number\nN000142312707.Appendix A: Radiation Power due to Magnetic\nFluctuations\nIn this appendix, we provide detailed derivations of the\nradiation power Pradfrom a spinning YIG nanosphere\nand its spectral density ΓH(ω)due to magnetic fluctua-\ntions. Using an approach similar to that taken by Abajo\net. al[18, 30], we can write the radiated power due to\nthe magnetic fluctuations of dipoles and fields as,\nPmag=−⟨Hind·∂mfl/∂t+Hfl·∂mind/∂t⟩,(A1)\nwhere Hindis the induced magnetic field due to the mag-\nnetic dipole fluctuations mflof the particle and mindis\nthe induced magnetic dipole in the particle due to the\nfluctuations of the vacuum magnetic field Hfl. Note that\nall of these quantities are written in the lab frame. For\nthe sphere spinning at the rotation frequency Ω, we can\nwrite,\nmfl\nx=m′fl\nxcos Ω t−m′fl\nysin Ωt,\nmfl\ny=m′fl\nxsin Ωt+m′fl\nycos Ω t,\nmfl\nz=m′fl\nz,(A2)\nwhere the primed quantities are written in the rotat-\ning frame. Performing a Fourier transform as m′fl(t) =Rdω\n2πe−iωtm′fl(ω), we can write in the frequency domain\nmfl\nx(ω) =1\n2h\nm′fl\nx(ω−) +m′fl\nx(ω+) +im′fl\ny(ω+)−im′fl\ny(ω−)i\n,\nmfl\ny(ω) =1\n2h\nim′fl\nx(ω−)−im′fl\nx(ω+) +m′fl\ny(ω+) +m′fl\ny(ω−)i\n,\n(A3)\nwhere ω±=ω±Ω. We can similarly write for the mag-\nnetic fields\nH′fl\nx(ω) =1\n2\u0002\nHfl\nx(ω+) +Hfl\nx(ω−)−iHfl\ny(ω+) +iHfl\ny(ω−)\u0003\n,\nH′fl\ny(ω) =1\n2\u0002\niHfl\nx(ω+)−iHfl\nx(ω−) +Hfl\ny(ω+) +Hfl\ny(ω−)\u0003\n.\n(A4)\nThus, using the fact that,\nm′ind(ω) =¯αm(ω)·H′fl(ω), (A5)\nwith\n¯αm(ω) =\nαm,⊥(ω)−αm,g(ω) 0\nαm,g(ω)αm,⊥(ω) 0\n0 0 αm,∥(ω)\n(A6)\nbeing the magnetic polarizability tensor of the YIG\nsphere biased along the zaxis, we find in the lab frame\nmind(ω) =¯αeff\nm(ω)·Hfl(ω), (A7)6\nwhere\n¯αeff\nm=\nαeff\nm,⊥(ω)−αeff\nm,g(ω) 0\nαeff\nm,g(ω)αeff\nm,⊥(ω) 0\n0 0 αeff\nm,∥(ω)\n, (A8a)\nαeff\nm,⊥(ω) =1\n2\u0002\nαm,⊥(ω+) +αm,⊥(ω−) +iαm,g(ω+)−iαm,g(ω−)\u0003\n, (A8b)\nαeff\nm,g(ω) =−i\n2\u0002\nαm,⊥(ω+)−αm,⊥(ω−) +iαm,g(ω+) +iαm,g(ω−)\u0003\n, (A8c)\nαeff\nm,∥=αm,∥(ω). (A8d)\nNote that we have used an expression similar to Eq. (A3) but written for the induced magnetic dipole moments.\nExpression for αm,⊥(ω)andαm,g(ω)are given in Appendix D. Using the fluctuation-dissipation theorem (FDT) [42],\n⟨Hfl\ni(ω)Hfl\nj(ω′)⟩= 4πℏ[n0(ω) + 1](\nGH\nij(ω)−GH∗\nji(ω)\n2i)\nδ(ω+ω′), (A9)\nwith GH\nij(ω) =GH\nij(r,r′=r, ω)defined as the equal-frequency magnetic Green’s function of the environment defined\nthrough the equation,\nHi(r,r′, ω) =GH\nij(r,r′, ω)mj(r′, ω), (A10)\nwe find the second term in Eq. (A1) employing Eqs. (A4) and (A5):\n⟨Hfl\ni(ω)∂mind\ni(ω′)/∂t⟩=−iω′2πℏh\nn0(ω) + 1i\nδ(ω+ω′)×\n(\n\u0000\nIm\b\nGH\nxx(ω)\t\n+Im\b\nGH\nyy(ω)\t\u0001h\nαm,⊥(ω′+) +αm,⊥(ω′−) +iαm,g(ω′+)−iαm,g(ω′−)i\n+\u0000\nRe\b\nGH\nxy(ω)\t\n−Re\b\nG∗H\nyx(ω)\t\u0001h\nαm,⊥(ω′+)−αm,⊥(ω′−) +iαm,g(ω′+) +iαm,g(ω′−)i\n+2Im\b\nGH\nzz(ω)\t\nαm,∥(ω′))\n,\n(A11)\nwhere n0(ω) = 1 /(eℏω/kBT0−1)is the Planck distribution at the temperature of the lab T0. Writing FDT for the\nfluctuating dipoles,\n⟨m′fl\ni(ω)m′fl\nj(ω′)⟩= 4πℏ[n1(ω) + 1]\u0012αm,ij(ω)−α∗\nm,ji(ω)\n2i\u0013\nδ(ω+ω′), (A12)7\nwe find the first term in Eq. (A1) employing Eq. (A3) and Hind\ni(ω) =GH\nij(ω)mfl\nj(ω):\n⟨Hind\ni(ω)∂mfl\ni(ω′)/∂t⟩=−2πℏiω′\u0002\nn1(ω−) + 1\u0003(\nδ(ω+ω′)h\nGH\nxx(ω)Im\b\nαm,⊥(ω−)\t\n−GH\nxx(ω)Re\b\nαm,g(ω−)\t\n−GH\nyy(ω)Re\b\nαm,g(ω−)\t\n+GH\nyy(ω)Im\b\nαm,⊥(ω−)\t\n+iGH\nxy(ω)Im\b\nαm,⊥(ω−)\t\n−iGH\nxy(ω)Re\b\nαm,g(ω−)\t\n+iGH\nyx(ω)Re\b\nαm,g(ω−)\t\n−iGH\nyx(ω)Im\b\nαm,⊥(ω−)\ti)\n−2πℏiω′\u0002\nn1(ω+) + 1\u0003(\nδ(ω+ω′)h\nGH\nxx(ω)Im\b\nαm,⊥(ω+)\t\n+GH\nxx(ω)Re\b\nαm,g(ω+)\t\n+GH\nyy(ω)Re\b\nαm,g(ω+)\t\n+GH\nyy(ω)Im\b\nαm,⊥(ω+)\t\n−iGH\nxy(ω)Im\b\nαm,⊥(ω+)\t\n−iGH\nxy(ω)Re\b\nαm,g(ω+)\t\n+iGH\nyx(ω)Re\b\nαm,g(ω+)\t\n+iGH\nyx(ω)Im\b\nαm,⊥(ω+)\ti)\n−4πℏiω′[n1(ω) + 1](\nδ(ω+ω′)GH\nzz(ω)Im\b\nαm,∥(ω)\t)\n,(A13)\nwhere n1(ω)is the Planck distribution at the sphere temperature T1. Taking the inverse Fourier transform, adding\nEqs. (A11) and (A13), taking the real part of the radiated power, and changing integral variables, we find\nPmag=ℏ\nπZ+∞\n−∞ωdω(\n\u0002\nn1(ω−)−n0(ω)\u0003\u0000\nIm\b\nGH\nxx(ω)\t\n+Im\b\nGH\nyy(ω)\t\n+Re\b\nGH\nxy(ω)\t\n−Re\b\nGH\nyx(ω)\t\u0001\n×\n\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\n+ [n1(ω)−n0(ω)]Im\b\nGH\nzz(ω)\t\nImn\nαH\nm,∥(ω)o)\n.(A14)\nIn this derivation, we have used the property αm(−ω) =α∗\nm(ω). The expressions for Green’s functions in different\nYIG and aluminum interface arrangements are given in Appendix B. Plugging these expressions into Eq. (A14), we\nobtain Eq. (1) in the main text.\nAppendix B: Green’s Function Near an Anisotropic Magnetic Material\nIn this appendix, we provide the Green’s function near a half-space of magnetic material, which would change due\nto the anisotropy of the material. We study two cases when the interface is the x−yplane and x−zplane, as shown\nin Fig. 4. We can write the electric and magnetic fields in the vacuum as\nE=Ei+Er,H=Hi+Hr, (B1a)\nEi= (E0sˆs−+E0pˆp−)eik−·r, (B1b)\nEr= (E0srssˆs++E0prppˆp++E0srpsˆp++E0prspˆs+)eik+·r, (B1c)\nHi=1\nη0(−E0sˆp−+E0pˆs−)eik−·r, (B1d)\nHr=1\nη0(−E0srssˆp++E0prppˆs++E0srpsˆs+−E0prspˆp+)eik+·r, (B1e)\nwhere ˆs±,ˆp±, and ˆk±/k0form a triplet with\nˆk±=k0(κcosϕˆx+κsinϕˆy±kzˆz),ˆs±= sin ϕˆx−cosϕˆy,ˆp±=−(±kzcosϕˆx±kzsinϕ−κˆz),(B2)8\nFIG. 4. Schematic of the problem for the two cases of when the interface is in (a) x−yplane and (b) x−zplane.\nandη0=p\nµ0/ϵ0,k0=ω/c,κ2+k2\nz= 1, and k0kzis the zcomponent of the wavevector. Similarly, we can write the\nelectric and magnetic fields inside the magnetic material as\nE′=Et,H′=Ht, (B3a)\nEt=\u0000\nE0stssˆs′\n−+E0ptppˆp′\n−+E0stpsˆp′\n−+E0ptspˆs′\n−\u0001\neik′\n−·r, (B3b)\n¯¯µHt=p\nκ2+k′2z\nη0\u0002\n−E0stssˆp′\n−+E0ptppˆs′\n−+E0stpsˆs′\n−−E0ptspˆp′\n−\u0003\neik′\n−·r, (B3c)\nwhere\nk′\n±=k0ˆk′\n±=k0(κcosϕˆx+κsinϕˆy±k′\nzˆz),ˆs′\n±= sin ϕˆx−cosϕˆy,ˆp′\n±=−±k′\nzcosϕˆx±k′\nzsinϕˆy−κˆzp\nκ2+k′2z.(B4)\nNote that κis the same in the two media due to the boundary conditions. Also ˆk′\n±×ˆp′\n±= ˆs′\n±. We can write Maxwell’s\nequations in the magnetic material in matrix form as [43]\n(M+Mk)ψ=\u0014\u0012¯¯ϵ0\n0¯¯µ\u0013\n+\u0012\n0¯¯κ\n−¯¯κ0\u0013\u0015\u0012\nEt\nη0Ht\u0013\n= 0, (B5)\nwhere\n¯¯κ=\n0 −k′\nzκsinϕ\nk′\nz 0−κcosϕ\n−κsinϕ κcosϕ 0\n. (B6)\nSetting the det (M+Mk) = 0we get the solutions for k′\nzin terms of κandϕ[43]. From these solutions and applying\nthe boundary conditions, we can find the values of rss,rsp,rps,rppfor a given κandϕ. Note that different bias\ndirections for the magnetic field of the YIG slab change the ¯¯µtensor and thus change the reflection coefficients rss,\nrsp,rps,rpp.\nIn the following, we first provide the expression for the magnetic dyadic Green’s function ¯GHfor a source at z′=d\nwhen the interface is in the x−yplane (Fig. 4(a)). Here, we take the spinning sphere to be at the origin to simplify\nthe derivations and move z= 0toz′=d. This would not change the Fresnel reflection coefficients. The incident\nmagnetic Green’s function at the location of the source is thus,\n¯GH\ni(z=z′, ω) =ik2\n0\n8π2ϵmZdkxdky\nkz(ˆsˆs+ ˆp−ˆp−)eikx(x−x′)+iky(y−y′). (B7)\nThe reflected magnetic Green’s function at the location of the source is\n¯GH\nr(z=z′, ω) =ik2\n0\n8πϵmZdkxdky\nkz(ˆsrppˆs+ ˆp+rspˆs+ ˆsrpsˆp−+ ˆp+rssˆp−)e2ikzd, (B8)\nwhere kx=κcosϕandky=κsinϕ. NotethatheretheFresnelreflectioncoefficientsgenerallydependontheincidence\nangle ϕ. For the special case of magnetization along the zaxis, they become independent of ϕ. Using Eq. (B4) and9\ndropping the terms that vanish after integration over ϕ, we can write the total magnetic Green’s function at the\nlocation of source as,\n¯GH(r,r, ω) =ik3\n0\n8π2Z2π\n0dϕZ+∞\n0κdκ\np(\n\u0002\nsin2ϕˆxˆx+ cos2ϕˆyˆy−sinϕcosϕ(ˆxˆy+ ˆyˆx)\u0003\u0000\n1 +rppe2ikzd\u0001\n+p2cos2ϕˆxˆx+p2sin2ϕˆyˆy+κ2ˆzˆz\n+e2ik0pdrss\u0002\n−p2cos2ϕˆxˆx−p2sin2ϕˆyˆy+κ2ˆzˆz−p2cosϕsinϕ(ˆxˆy+ ˆyˆx)\n−pκcosϕ(ˆxˆz−ˆzˆx)−pκsinϕ(ˆyˆz−ˆzˆy)\u0003\n+e2ik0pdrps\u0002\npsinϕcosϕ(ˆxˆx−ˆyˆy) +psin2ϕˆxˆy−pcos2ϕˆyˆx+κsinϕˆxˆz−κcosϕˆyˆz\u0003\n+e2ik0pdrsp\u0002\n−pcosϕsinϕ(ˆxˆx−ˆyˆy) +pcos2ϕˆxˆy−psin2ϕˆyˆx+κsinϕˆzˆx−κcosϕˆzˆy\u0003)\n.(B9)\nNote that the electric Green’s function can be obtained by changing rsstorpp,rpptorss,rpstorspandrsptorps\nand dividing by ϵ0. In general, the non-diagonal parts of the Green’s function are not zero. Using this equation, we\nfind,\nIm\b\nGH\nxx(ω)\t\n=πωρ 0\n8gH\n⊥,1(ω), (B10a)\nIm\b\nGH\nyy(ω)\t\n=πωρ 0\n8gH\n⊥,2(ω), (B10b)\nRe\b\nGH\nxy(ω)\t\n−Re\b\nGH\nyx(ω)\t\n=πωρ 0\n4gH\ng,1(ω), (B10c)\nIm\b\nGH\nzz(ω)\t\n=πωρ 0\n4, gH\n∥(ω) (B10d)\nwhere ρ0=ω2/π2c3is the vacuum density of states and,\ngH\n⊥,1(ω) =1\nπZ2π\n0dϕ(Z1\n0κdκ\nph\n1 + sin2ϕRe\b\nrppe2ik0pd\t\n−κ2cos2ϕ+ cos2ϕ\u0000\nκ2−1\u0001\nRe\b\nrsse2ik0pd\t\n+psinϕcosϕRe\b\ne2ik0pd(rps−rsp)\ti\n+Z∞\n1κdκ\n|p|\u0002\nsin2ϕIm{rpp}+ cos2ϕ\u0000\nκ2−1\u0001\nIm{rss}+|p|sinϕcosϕRe{rps−rsp}\u0003\ne−2k0|p|d)\n,\n(B11a)\ngH\n⊥,2(ω) =1\nπZ2π\n0dϕ(Z1\n0κdκ\nph\n1 + cos2ϕRe\b\nrppe2ik0pd\t\n−κ2sin2ϕ+ sin2ϕ\u0000\nκ2−1\u0001\nRe\b\nrsse2ik0pd\t\n−psinϕcosϕRe\b\ne2ik0pd(rps−rsp)\ti\n+Z∞\n1κdκ\n|p|\u0002\ncos2ϕIm{rpp}+ sin2ϕ\u0000\nκ2−1\u0001\nIm{rss} − |p|sinϕcosϕRe{rps−rsp}\u0003\ne−2k0|p|d)\n,\n(B11b)\ngH\ng,1(ω) =−1\nπZ2π\n0(\ndϕZ1\n0κdκ\u0002\nsin2ϕIm\b\nrpse2ik0pd\t\n+ cos2ϕIm\b\nrspe2ik0pd\t\u0003\n+Z∞\n1κdκ\u0002\nsin2ϕIm{rps}+ cos2ϕIm{rsp}\u0003\ne−2k0|p|d)\n,(B11c)10\ngH\n∥(ω) =1\n2πZ2π\n0dϕ(Z1\n0κ3dκ\np\u0000\n1 +Re\b\nrsse2ik0pd\t\u0001\n+Z∞\n1κ3dκ\n|p|e−2k0|p|dIm{rss})\n. (B11d)\nPlugging Eq. (B10) into Eq. (A14), we find,\nPmag=Z∞\n−∞dωℏωΓH(ω), (B12)\nwith,\nΓH(ω) = (ωρ0/8)(\n\u0002\ngH\n⊥,1(ω) +gH\n⊥,2(ω) + 2gH\ng,1(ω)\u0003\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\nn1(ω−)−n0(ω)\u0003\n+2gH\n∥(ω)Im\b\nαm,∥(ω)\t\n[n1(ω)−n0(ω)])\n.(B13)\nFor the case when the YIG interface is the x−zplane (Fig. 4(b)), we find the radiated power by exchanging the\naxes ˆx→ˆz,ˆy→ˆx, and ˆz→ˆyin Eq. (B9). In this case, we have\nIm\b\nGH\nxx(ω)\t\n=πωρ 0\n8gH\n⊥,2(ω), (B14a)\nIm\b\nGH\nyy(ω)\t\n=πωρ 0\n4gH\n∥(ω), (B14b)\nIm\b\nGH\nzz(ω)\t\n=πωρ 0\n8gH\n⊥,1, (B14c)\nwhere gH\n⊥,1,gH\n⊥,2, and gH\n∥given by Eq. (B11). For the xyandyxcomponent of the Green’s function, however, we get\nRe\b\nGH\nxy(ω)\t\n−Re\b\nGH\nyx(ω)\t\n=πωρ 0\n4gH\ng,2(ω), (B15)\nwith\ngH\ng,2(ω) =1\nπZ2π\n0dϕ(Z1\n0κ2dκ\np\u0014\npsinϕIm\b\nrsse2ik0pd\t\n+cosϕ\n2Im\b\n(rps−rsp)e2ik0pd\t\u0015\n+Z∞\n1κ2κ\n|p|\u0014\n|p|sinϕIm{rss} −cosϕ\n2Re{rsp−rps}\u0015\ne−2k0|p|d)\n,(B16)\nand thus we have for the case when the YIG interface is the x−zplane,\nΓH(ω) = (ωρ0/8)(h\ngH\n⊥,2(ω) + 2gH\n∥(ω) + 2gH\ng,2(ω)i\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\nn1(ω−)−n0(ω)\u0003\n+gH\n⊥,1(ω)Im\b\nαm,∥(ω)\t\n[n1(ω)−n0(ω)])\n,(B17)\nwith gH\n⊥,1,gH\n⊥,2, and gH\n∥given by Eq. (B11) and gH\ng,2by Eq. (B16). This is the same as Eq. (1) in the main manuscript.\nAppendix C: Dominance of Magnetic Local Density of States\nAlthough the expressions found in the previous sections for the radiated power Pradare not, in general, exactly\nproportional to the local density of states (LDOS), they are proportional to terms of the same order as the LDOS.\nThe expression for LDOS is given by [29],\nρ(r, ω) =1\nπωTr\u0002\nϵ0Im\b\nGE(r,r, ω)\t\n+Im\b\nGH(r,r, ω)\t\u0003\n, (C1)11\nwhere the Tr represents the trace operator. Using the expressions of the previous section, it is easy to see that the\nLDOS at the location of the nanosphere is given by,\nρ(ω) = (ρ0/8)h\nϵ0(gE\n⊥,1+gE\n⊥,2+ 2gE\n∥) +gH\n⊥,1+gH\n⊥,2+ 2gH\n∥i\n, (C2)\nwheretheexpressionsfor gH\n⊥,1,gH\n⊥,2, and gH\n∥aregivenbyEq.(B11)andtheexpressionfortheelectricGreen’sfunctions\nare found from the magnetic ones by replacing s→pandp→sand dividing by ϵ0. As discussed before, the magnetic\nGreen’s functions are about eight orders of magnitude larger than the electric ones at GHz frequencies, and thus, the\nLDOS is dominated by the magnetic LDOS. This shows that the magnetic field fluctuations dominate the vacuum\nradiation, vacuum torque, and LDOS simultaneously.\nAppendix D: Magnetic Polarizability Tensor of YIG\nIn the appendix, we provide derivations of the YIG polarizability tensor. We consider the Landau-Lifshitz-Gilbert\nformula to describe the YIG permeability tensor [36],\n¯¯µ=\nµ⊥−µg0\nµgµ⊥0\n0 0 µ∥\n, (D1)\nwhere\nµ⊥(ω) =µ0(1 +χ⊥) =µ0(\n1 +ω0ωm(ω2\n0−ω2) +ω0ωmω2α2+i\b\nαωω m\u0002\nω2\n0+ω2(1 +α2)\u0003\t\n[ω2\n0−ω2(1 +α2)]2+ 4ω2\n0ω2α2)\n,(D2a)\nµg(ω) =µ0χg=µ0−2ω0ωmω2α+iωωm\u0002\nω2\n0−ω2(1 +α2)\u0003\n[ω2\n0−ω2(1 +α2)]2+ 4ω2\n0ω2α2, (D2b)\nµ∥=µ0, (D2c)\nandω0=µ0γH0is the Larmor precession frequency with γbeing the gyromagnetic ratio and H0the bias magnetic\nfield (assumed to be along ˆzdirection), ωm=µ0γMswith Msbeing the saturation magnetization of the material,\nandαis the YIG damping factor related to the width of the magnetic resonance through ∆H= 2αω/µ 0γ. In the\nmain text, we considered Ms= 1780 Oe and∆H= 45 Oe [36] in our calculations.\nWhen the magnetic field is reversed (along −ˆzdirection), we can use the same results by doing the substitutions\nω0→ −ω0, ω m→ −ωm, α→ −α, (D3)\nwhich gives\nµ⊥→µ⊥, µ g→ −µg. (D4)\nUsing the method in Ref. [44] for the polarizability tensor of a sphere with arbitrary anisotropy, we find the\npolarizability tensor of YIG with the permeability tensor described by Eq. (D1),\n¯¯αm= 4πa3\n(µ⊥−µ0)(µ⊥+2µ0)+µ2\ng\n(µ⊥+2µ0)(µ⊥+2µ0)+µ2g−3µ0µg\n(µ⊥+2µ0)(µ⊥+2µ0)+µ2g0\n3µ0µg\n(µ⊥+2µ0)(µ⊥+2µ0)+µ2g(µ⊥−µ0)(µ⊥+2µ0)+µ2\ng\n(µ⊥+2µ0)(µ⊥+2µ0)+µ2g0\n0 0µ∥−µ0\nµz+2µ0\n. (D5)\nTherefore the magnetic polarizability terms in Eqs. (B13) and (B17) are given by,\nαm,⊥(ω) = 4 πa3(µ⊥−µ0)(µ⊥+ 2µ0) +µ2\ng\n(µ⊥+ 2µ0)(µ⊥+ 2µ0) +µ2g, (D6a)12\nαm,g(ω) = 4 πa3 3µ0µg\n(µ⊥+ 2µ0)(µ⊥+ 2µ0) +µ2g, (D6b)\nwhere µ⊥andµgare frequency dependent terms give by Eq. (D2).\nIt is important to note that magnetostatic approximation has been assumed in the derivation of the magnetic\npolarizability. This is similar to the electrostatic approximation used for the derivation of the electric polarizability\n[45], where, using the duality of electromagnetic theory, the electric fields and electric dipoles have been replaced\nby the magnetic fields and magnetic dipoles. In this approximation, the fields inside the sphere are assumed to be\nconstant.\nOne can apply the Mie theory to find the magnetic polarizability to the first order in the Mie scattering components.\nThis, however, is mathematically challenging due to the anisotropy of the magnetic material. For the purpose of our\nstudy, the magnetostatic assumption is enough to find the polarizability properties of YIG since the size of the sphere\nis much smaller compared to the wavelength, and the polarizability is dominated by the magneto-static term.\nFor metals, however, higher order terms are important for finding the magnetic polarizability since the magneto-\nstatic terms are zero and only higher order terms due to electric dipole fluctuations give rise to the magnetic polar-\nizability of metals [30]. We provide derivations based on Mie theory for the polarizability constant of an aluminum\nparticle in Section S1 in the supplementary material.\nAppendix E: Barnett Effect\nIn the simplest models of magnetic materials, electrons are assumed to be magnetic dipoles with the moments\nµBspinning about the magnetization axis determined by the applied magnetic field H0with the Larmor precession\nfrequency ω0=µ0γH0, where γis the gyromagnetic ratio of the material [36]. Barnett showed that the spontaneous\nmagnetization of a material with the magnetic susceptibility of χis given by [35]\nMrot=χΩ/γ, (E1)\nwhere Ωis the rotation frequency of the magnetic material. This magnetization can be assumed to be caused by an\napplied magnetic field Hrotwhich is Hrot=Mrot/χ=Ω\nγµ0. We thus get the Larmor frequency due to rotation,\nω0,rot= Ω. (E2)\nTherefore, the Larmor frequency of a spinning magnetic material is the same as the rotation frequency. We thus can\nwrite the total Larmor frequency of spinning YIG as\nω0= Ω + µ0γH0. (E3)\nWe use this expression to find the permeability tensor of a spinning YIG nanosphere discussed in Appendix D.\nAppendix F: Non-local Model for Aluminum\nSince the sphere is spinning in close proximity to material interfaces, the non-local effects in aluminum electromag-\nnetic response can become important. Here, we employ the non-local Fresnel reflection coefficients from Ref. [46].\nrss=Zs−4π\ncp\nZs+4π\ncp, r pp=4πp/c−Zp\n4πp/c +Zp, (F1)\nwhere p=√\n1−κ2, and\nZs=8i\ncZ∞\n0dq1\nϵt(k, ω)−(q2+κ2), (F2a)\nZp=8i\ncZ∞\n0dq1\nq2+κ2\u0012q2\nϵt(k, ω)−(q2+κ2)+κ2\nϵl(k, ω)\u0013\n, (F2b)\nwith the longitudinal and transverse dielectric permittivities given by\nϵl(k, ω) = 1 +3ω2\np\nk2v2\nF(ω+iΓ)fl(u)\nω+iΓfl(u), (F3a)13\nϵt(k, ω) = 1−ω2\np\nω(ω+iΓ)ft(u), (F3b)\nwith k2= (ω/c)2\u0000\nq2+κ2\u0001\n,u= (ω+iΓ)/(kvF), and\nfl(u) = 1−1\n2ulnu+ 1\nu−1, f t(u) =3\n2u2−3\n2u(u2−1) lnu+ 1\nu−1. (F4)\nThese expressions give the non-local reflection coefficients at a metallic interface for the semi-classical infinite barrier\n(SCIB) model. The SCIB model is accurate as long as z=k\n2kF∼0, where kF=mvF/ℏwith mbeing the free-electron\nmass. For example, for aluminum with vF≃2.03×106m/s, we have kF≃1.754×1010andk=ω/c≃20, which\nshows that for our case the SCIB model is valid.\nAppendix G: Vacuum Frictional Torque\nIn this section, we provide the derivations of the vacuum frictional torque exerted on the spinning YIG nanosphere\ndue to vacuum fluctuations. The torque on a magnetic dipole is given by\nM=m×H. (G1)\nSince we are interested in the torque along the rotation axis ( zdirection), we can write the torque as\nMz=ˆz· ⟨mfl×Hind+mind×Hfl⟩\n=⟨mfl\nxHind\ny−mfl\nyHind\nx+mind\nxHfl\ny−mind\nyHfl\nx⟩,(G2)\nusing the Fourier transform, we get\nMz=Zdωdω′\n(2π)2e−i(ω+ω′)th\n⟨mfl\nx(ω)Hind\ny(ω′)⟩ − ⟨mfl\ny(ω)Hind\nx(ω′)⟩+⟨mind\nx(ω)Hfl\ny(ω′)⟩ − ⟨mind\ny(ω)Hfl\nx(ω′)⟩i\n.(G3)\nThrough a similar approach as that used in Appendix A, after some algebra, we find\nMz=ℏ\n2πZ∞\n−∞dω(\n\u0000\nIm\b\nGH\nyy(ω)\t\n+Im\b\nGH\nxx(ω)\t\n+Re\b\nGH\nyx(ω)\t\n−Re\b\nGH\nxy(ω)\t\u0001\n×\n\u0002\nIm\b\nαm,⊥(ω+)\t\n+Re\b\nαm,g(ω+)\t\u0003\u0002\nn1(ω+)−n0(ω)\u0003\n−\u0000\nIm\b\nGH\nyy(ω)\t\n+Im\b\nGH\nxx(ω)\t\n−Re\b\nGH\nyx(ω)\t\n+Re\b\nGH\nxy(ω)\t\u0001\n×\n\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\nn1(ω−)−n0(ω)\u0003)\n,(G4)\nwhich can be written as\nMz=−Z+∞\n−∞dωℏΓH\nM(ω). (G5)\nFor an interface in the x−yplane ΓH\nMis given by\nΓH\nM(ω) = (ωρ0/8)\u0002\ngH\n⊥,1(ω) +gH\n⊥,2(ω) + 2gH\ng,1(ω)\u0003\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\nn1(ω−)−n0(ω)\u0003\n,(G6)\nwhich is the same expression for the radiated power minus the term related to the axis of rotation z. For an interface\nin the x−zplane, on the other hand, ΓH\nMwe have\nΓH\nM(ω) = (ωρ0/8)h\ngH\n⊥,2(ω) + 2gH\n∥(ω) + 2gH\ng,2(ω)i\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\nn1(ω−)−n0(ω)\u0003\n.(G7)\nThis expression is the same as Eq. (1) in the main manuscript, with the difference that it does not have the last term\ninvolving the term n1(ω)−n0(ω). Compared to the vacuum radiation expression, vacuum torque has an extra minus\nsign in Eq. (G5), indicating that this torque acts as friction rather than a driving force, as expected.14\n1. Other components of torque\nIn the previous section, we only derived the zcomponents of the torque exerted on the nanosphere. The xandy\ncomponents can be written as\nMx=⟨mfl\nyHind\nz−mfl\nzHind\ny+mind\nyHfl\nz−mind\nzHfl\ny⟩, (G8a)\nMy=⟨mfl\nzHind\nx−mfl\nxHind\nz+mind\nzHfl\nx−mind\nxHfl\nz⟩. (G8b)\nUsingasimilarapproachasthatusedintheprevioussectionandsectionA,incorporatingthetorqueduetotheelectric\nfield fluctuations of vacuum and the magnetic dipole fluctuations of the YIG sphere, we find for the xcomponent of\ntorque,\nMx=ℏ\n4πZ∞\n−∞dω(\n\u0002\n2n1(ω−) + 1\u0003\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\n2Im\b\nGH\nzx(ω)\t\n+ 2Re\b\nGH\nzy(ω)\t\u0003\n−4 [n1(ω) + 1]Im\b\nαm,∥(ω)\t\nRe\b\nGH\nyz(ω)\t\n+ [2n0(ω) + 1](\n\u0002\nRe{αm,⊥(ω−)}+Im{αm,g(ω−)}\u0003\u0000\nRe{GH\nxz(ω)} −Re{GH\nzx(ω)}+Im{GH\nyz(ω)}+Im{GH\nzy(ω)}\u0001\n+\u0002\nIm{αm,⊥(ω−)} −Re{αm,g(ω−)}\u0003\u0000\n−Im{GH\nxz(ω)} −Im{GH\nzx(ω)}+Re{GH\nyz(ω)} −Re{GH\nzy(ω)}\u0001)\n+ [n0(ω) + 1](\n−2Re{αm,∥(ω)}\u0000\nIm{GH\nzy(ω)}+Im{GH\nyz(ω)}\u0001\n+ 2Im{αm,∥(ω)}\u0000\n−Re{GH\nzy(ω)}+Re{GH\nyz(ω)}\u0001)\n,\n(G9)\nand for the ycomponent,\nMy=ℏ\n4πZ∞\n−∞dω(\n\u0002\n2n1(ω−) + 1\u0003\u0002\nIm\b\nαm,⊥(ω−)\t\n−Re\b\nαm,g(ω−)\t\u0003\u0002\n−2Re{GH\nzx(ω)}+ 2Im{GH\nzy(ω)}\u0003\n+4 [n1(ω) + 1]Im\b\nαm,∥(ω)\t\nRe{GH\nxz(ω)})\n−[2n0(ω) + 1](\n\u0002\nRe{αm,⊥(ω−)}+Im{αm,g(ω−)}\u0003\u0000\nIm{GH\nxz(ω)}+Im{GH\nzx(ω)} −Re{GH\nyz(ω)}+Re{GH\nzy(ω)}\u0001\n+\u0002\nIm{αm,⊥(ω−)} −Re{αm,g(ω−)}\u0003\u0000\nRe{GH\nxz(ω)} −Re{GH\nzx(ω)}+Im{GH\nyz(ω)}+Im{GH\nzy(ω)}\u0001)\n−[n0(ω) + 1](\n−2Reαm,∥(ω)\u0000\nIm{GH\nzx(ω)}+Im{GH\nxz(ω)}\u0001\n+ 2Imαm,∥(ω)\u0000\n−Re{GH\nzx(ω)}+Re{GH\nxz(ω)}\u0001)\n.\n(G10)\nWe can find the xandycomponents of frictional torque by plugging magnetic Green’s function expressions into\nEqs. (G9) and (G10).\nRemarkably, we find that the spinning YIG nanosphere can experience a large torque along the x or y direction\nwhen the YIG interface is biased by external magnetic fields in the x or y direction. This means that in these cases,\nthe sphere can rotate out of the rotation axis and start to precess. This will change the validity of the equations\nfound for the vacuum radiation and frictional torque along the zaxis since it has been assumed that the sphere is\nalways rotating around the zaxis and is also magnetized along that axis. However, this torque is still small enough\ncompared to the driving torque of the trapping laser and it will still give enough time to make the observations of\nvacuum fluctuation effects. In Section S2 in the supplementary material, we present the plots of these torques when\nthe interface is the x−yorx−zplane and provide more detailed discussions.15\n2. Recoil torque\nAnother contribution to the torque comes from the case when the induced dipole moments on the YIG sphere\nre-radiate due to the vacuum electric field fluctuations. This causes a recoil torque on the sphere and can be written\nas\nMrec=⟨mind×Hsc⟩, (G11)\nwhere Hscis the scattered fields from the dipole and are given by,\nHsc(r, ω) =¯GH(r,r′, ω)·mind(r′, ω), (G12)\nwhich shows that this term is of higher order contribution. We find that this recoil torque is much smaller than the\ntorque derived in Eq. (G5) for YIG spheres spinning near YIG or Al interfaces and can thus be ignored in all studied\ncases. We provide detailed derivations of Mrecand quantitative comparisons in Section S2 in the supplementary\nmaterial.\nAppendix H: Experimental Analysis\nIn this section, we present the analytical steps for finding the experimental prediction plots provided in the last\nsection of the main text.\n1. Effects of drag torque due to imperfect vacuum\nIn the real system of a spinning sphere, the environment is not a pure vacuum. This causes an extra torque on the\nspinning sphere from air molecules in the imperfect vacuum. The steady-state spin of the sphere happens when the\ndriving torque of the trapping laser is equal to the drag and vacuum friction torques. In the case when there is no\ninterface present, the only important counteracting torque is the drag torque given by [47]\nMdrag=2πµa4\n1.497λΩ, (H1)\nwhere ais the sphere radius, µis the viscosity of the gas the sphere is spinning in, λis the mean free path of the air\nmolecules, and Ωis the rotation frequency. We further have for gases [48],\nλ=µ\npgasr\nπKBT\n2m, (H2)\nwhere pgasandmare the pressure and the molecular mass of the gas, respectively. Thus, we get the drag torque,\nMdrag=2a4pgas\n1.479r\n2πm\nkBTΩ. (H3)\nFor 1 GHz rotation of a sphere, the balance between the drag torque and the optical torque Mopthappens at about\npgas= 10−4torr. Therefore we get, at room temperature and for a molecular mass of 28.966gram /mol,\nr\n2πm\nKBT= 8.542×10−3, (H4)\nand thus [3],\nMopt= 1.568×10−21N·m, (H5)\nThis is important for studying the effects of vacuum torque on the rotation speed of the sphere. As shown in the main\ntext, we find that for vacuum pressures of about 10−4torr, changes in the balance speed of the YIG nanoparticle\nwhen it is closer to material interfaces are detectible in the power spectral density (PSD) of the nanosphere [3].16\n2. Effects of negative torque and shot noise heating due to the trapping laser\nWhen the trapping laser is linearly polarized, it can exert a negative torque on the spinning particle. The torque\non the sphere due to the laser is given by Mopt=1\n2Re{p∗×E}[3], where pis the dipole moment of the sphere,\ngiven by p=¯αeff·E, with ¯αeffbeing the effective polarizability of the sphere as seen in the frame of the lab, and E\nis the electric field from the laser. As derived in Section S3 in the supplementary material, in the case when the laser\nis linearly polarized, the negative torque from the laser is proportional to Im {α(ω0+ Ω)} −Im{α(ω0−Ω)}, where\nω0= 1.21×1016is the frequency of the laser, and Ω = 6 .28×109is the rotation frequency. Since Ω≪ω0, we get\nα(ω+)≃α(ω−)and thus the second term is negligible. We can thus ignore the negative torque coming from the laser\nwhen the laser is linearly polarized.\nAnother effect from the trapping laser is the heating of nanoparticles due to the shot noise. The rate of temperature\nchange due to shot noise heating can be determined by the laser frequency, the power of the laser per unit area, the\nmass of the particle, and the scattering cross section for the nanoparticles [39]. For YIG nanospheres of density\n5110kg/m3and radius 200nm, and trapping laser of 1550nm wavelength and of 500mW power focused on an area\nof radius 0.7566µm, we find that the temperature change due to shot noise is small compared to the time scale of\nthe rotation, which is 1ns. Therefore, the thermodynamic equilibrium condition for the FDT is valid. We provide\nfurther details for the derivations and calculations of negative torque and shot noise heating due to the trapping laser\nin Section S3 in the supplementary material.\n[1] A.Kani, F.Quijandría,andJ.Twamley,PhysicalReview\nLetters129, 257201 (2022).\n[2] R. Reimann, M. Doderer, E. Hebestreit, R. Diehl,\nM. Frimmer, D. Windey, F. Tebbenjohanns, and\nL. Novotny, Phys. Rev. Lett. 121, 033602 (2018).\n[3] J. Ahn, Z. Xu, J. Bang, Y.-H. Deng, T. M. Hoang,\nQ. Han, R.-M. Ma, and T. Li, Phys. Rev. Lett. 121,\n033603 (2018).\n[4] J. Piotrowski, D. Windey, J. Vijayan, C. Gonzalez-\nBallestero, A.delosRíosSommer, N.Meyer, R.Quidant,\nO. Romero-Isart, R. Reimann, and L. Novotny, Nature\nPhysics19, 1009 (2023).\n[5] C. Gonzalez-Ballestero, M. Aspelmeyer, L. Novotny,\nR. Quidant, and O. Romero-Isart, Science 374, eabg3027\n(2021).\n[6] Y. Jin, J. Yan, S. J. Rahman, J. Li, X. Yu, and J. Zhang,\nPhotonics Research 9, 1344 (2021).\n[7] M. Fuwa, R. Sakagami, and T. Tamegai, Phys. Rev. A\n108, 063511 (2023).\n[8] P. Ju, Y. Jin, K. Shen, Y. Duan, Z. Xu, X. Gao, X. Ni,\nand T. Li, Nano Letters 23, 10157 (2023).\n[9] C. Marletto and V. Vedral, Phys. Rev. Lett. 119, 240402\n(2017).\n[10] A. D. Rider, D. C. Moore, C. P. Blakemore, M. Louis,\nM. Lu, and G. Gratta, Phys. Rev. Lett. 117, 101101\n(2016).\n[11] J. D. Bekenstein and M. Schiffer, Phys. Rev. D 58,\n064014 (1998).\n[12] J. R. Deop-Ruano and A. Manjavacas, Phys. Rev. Lett.\n130, 133605 (2023).\n[13] Y. Guo and S. Fan, ACS Photonics 8, 1623 (2021).\n[14] D. Pan, H. Xu, and F. J. G. de Abajo, Physical Review\nLetters123, 066803 (2019).\n[15] Z. Xu, Z. Jacob, and T. Li, Nanophotonics 10, 537\n(2020).\n[16] D. Hümmer, R. Lampert, K. Kustura, P. Maurer,\nC. Gonzalez-Ballestero, and O. Romero-Isart, Physical\nReview B 101, 205416 (2020).\n[17] D. Pan, H. Xu, and F. J. G. de Abajo, Physical ReviewA99, 062509 (2019).\n[18] A. Manjavacas and F. J. García de Abajo, Phys. Rev.\nLett.105, 113601 (2010).\n[19] M. F. Maghrebi, R. L. Jaffe, and M. Kardar, Physical\nreview letters 108, 230403 (2012).\n[20] R. S. Decca, D. López, E. Fischbach, and D. E. Krause,\nPhys. Rev. Lett. 91, 050402 (2003).\n[21] U. Mohideen and A. Roy, Phys. Rev. Lett. 81, 4549\n(1998).\n[22] J. N. Munday, F. Capasso, and V. A. Parsegian, Nature\n457, 170 (2009).\n[23] Z. Xu and T. Li, Phys. Rev. A 96, 033843 (2017).\n[24] S. Pendharker, Y. Guo, F. Khosravi, and Z. Jacob, Phys-\nical Review A 95, 033817 (2017).\n[25] Y. Guo and Z. Jacob, Journal of Optics 16, 114023\n(2014).\n[26] Y. Guo and Z. Jacob, Optics express 22, 26193 (2014).\n[27] R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa,\nA. Noguchi, R. Yamazaki, K. Usami, and Y. Nakamura,\nPhysical Review B 93, 174427 (2016).\n[28] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Physical\nreview letters 113, 156401 (2014).\n[29] K. Joulain, R. Carminati, J.-P. Mulet, and J.-J. Greffet,\nPhys. Rev. B 68, 245405 (2003).\n[30] A. Manjavacas and F. J. García de Abajo, Phys. Rev. A\n82, 063827 (2010).\n[31] R. Zhao, A. Manjavacas, F. J. García de Abajo, and J. B.\nPendry, Phys. Rev. Lett. 109, 123604 (2012).\n[32] G.FordandW.Weber,PhysicsReports 113,195 (1984).\n[33] A. Volokitin and B. N. Persson, Reviews of Modern\nPhysics79, 1291 (2007).\n[34] J. Sloan, N. Rivera, J. D. Joannopoulos, and M. Soljačić,\nPhysical Review Letters 127, 053603 (2021).\n[35] S. J. Barnett, Phys. Rev. 6, 239 (1915).\n[36] D. M. Pozar, Microwave Engineering 3e (Wiley, 2006).\n[37] M. Nieto-Vesperinas, Opt. Lett. 40, 3021 (2015).\n[38] T. Seberson, P. Ju, J. Ahn, J. Bang, T. Li, and F. Ro-\nbicheaux, J. Opt. Soc. Am. B 37, 3714 (2020).\n[39] T. Seberson and F. Robicheaux, Phys. Rev. A 102,17\n033505 (2020).\n[40] J. Sloan, N. Rivera, J. D. Joannopoulos, I. Kaminer, and\nM. Soljačić, Phys. Rev. B 100, 235453 (2019).\n[41] H. J. Mamin, M. Kim, M. H. Sherwood, C. T. Rettner,\nK. Ohno, D. D. Awschalom, and D. Rugar, Science 339,\n557 (2013).\n[42] L. D. Landau and E. M. Lifshitz, Statistical Physics: Vol-\nume 5, Vol. 5 (Elsevier, 2013).\n[43] C. Khandekar and Z. Jacob, New Journal of Physics 21,\n103030 (2019).\n[44] A. H. Sihvola, Opt. Lett. 19, 430 (1994).\n[45] C. F. Bohren and D. R. Huffman, Absorption and scat-\ntering of light by small particles (John Wiley & Sons,\n2008).\n[46] G. W. Ford and W. H. Weber, Physics Reports 113, 195\n(1984).\n[47] J. Corson, G. W. Mulholland, and M. R. Zachariah,\nPhysical Review E 96, 013110 (2017).\n[48] C. H. Kruger and W. Vincenti, John Wiley & Sons , 414\n(1965).SUPPLEMENTAL MATERIAL FOR ‘GIANT ENHANCEMENT OF\nVACUUM FRICTION IN SPINNING YIG N ANOSPHERES ’\nFarhad Khosravi1,2, Wenbo Sun2, Chinmay Khandekar2, Tongcang Li2,3, and Zubin Jacob2,∗\n1Department of Electrical and Computer Engineering, University of Alberta,\nEdmonton, Alberta T6G 1H9, Canada\n2Elmore Family School of Electrical and Computer Engineering,\nBirck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907, USA\n3Department of Physics and Astronomy, Purdue Quantum Science and Engineering Institute,\nPurdue University, West Lafayette, Indiana 47907, USA\n∗zjacob@purdue.edu\nContents\nS1 Non-Electrostatic Limit and Magnetic Polarizability due to Electric Fluctuations 1\nS2 Vacuum Frictional Torque 4\nS2.1 Other components of torque . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4\nS2.2 Recoil torque . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6\nS2.3 Plots of torque terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7\nS3 Experimental Considerations 8\nS3.1 Effect of torque due to the trapping laser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8\nS3.2 Effect of heating due to the shot noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10\nS1 Non-Electrostatic Limit and Magnetic Polarizability due to Electric Fluctuations\nIn this section, we provide derivations for the magnetic polarizability of metallic nanoparticles due to the electric\ndipole terms based on Mie theory. If a sphere is placed in the direction of a plane wave polarized along ˆxdirection\nand propagating along zdirection\nEi=E0eik0rcosθˆx, (S1)\nThe scattered fields are given by [2],\nEs=−∞/summationdisplay\nn=1En/parenleftig\nianN(1)\ne1n−bnM(1)\no1n/parenrightig\n, (S2)\nHs=−k0\nωµ∞/summationdisplay\nn=1En/parenleftig\nibnN(1)\no1m+anM(1)\ne1n/parenrightig\n, (S3)\nwhere\nMemn=−m\nsinθsinmϕPm\nn(cosθ)zn(kr)ˆθ−cosmϕdPm\nn(cosθ)\ndθzn(kr)ˆϕ, (S4a)\nMomn=m\nsinθcosmϕPm\nn(cosθ)zn(kr)ˆθ−sinmϕdPm\nn(cosθ)\ndθzn(kr)ˆϕ, (S4b)\nNemn=zn(kr)\nkrcosmϕn(n+ 1)Pm\nn(cosθ)ˆr+ cos mϕdPm\nn(cosθ)\ndθ1\nkrd\nd(kr)[krzn(kr)]ˆθ\n−msinmϕPm\nn(cosθ)\nsinθ1\nkrd\nd(kr)[krzn(kr)]ˆϕ,(S4c)Nomn=zn(kr)\nkrsinmϕn(n+ 1)Pm\nn(cosθ)ˆr+ sin mϕdPm\nn(cosθ)\ndθ1\nkrd\nd(kr)[krzn(kr)]ˆθ\n+mcosmϕPm\nn(cosθ)\nsinθ1\nkrd\nd(kr)[krzn(kr)]ˆϕ,(S4d)\nthe superscripts (1)forMandNindicate that the Bessel functions are the Hankel functions of the first kind h(1)(kr),\nEn=inE0(2n+ 1)/n(n+ 1) , and anandbnare the Mie scattering coefficients. On the other hand, the radiated\nfields due to an electric dipole are given by\nEd=k3\n0\n4πϵm/braceleftbigg\n(ˆr×p)׈reikr\nkr+ [3ˆr(ˆr·p)−p]/parenleftbigg1\n(kr)3−i\n(kr)2/parenrightbigg\neikr/bracerightbigg\n, (S5a)\nHd=ck2\n0\n4π(ˆr×p)eikr\nr/parenleftbigg\n1−1\nikr/parenrightbigg\n. (S5b)\nUsing the facts that\nP1\n1(cosθ) =−sinθ,dP1\n1(cosθ)\ndθ=−cosθ, (S6)\nh(1)\n1(kr) =−eikr/parenleftbiggi\n(kr)2+1\nkr/parenrightbigg\n,1\nkrd\nd(kr)/bracketleftig\nkrh(1)\n1(kr)/bracketrightig\n=−eikr/parenleftbigg\n−i\n(kr)3−1\n(kr)2+i\nkr/parenrightbigg\n. (S7)\nThe scattered fields to the first order of nbecome\nEs=3\n2E0/braceleftigg\nia1/bracketleftbigg\neikr/parenleftbigg1\n(kr)3−i\n(kr)2/parenrightbigg\n2 cosϕsinθˆr−(cosϕcosθˆθ−sinϕˆϕ)eikr/parenleftbigg1\n(kr)3−i\n(kr)2−1\nkr/parenrightbigg/bracketrightbigg\n−b1/bracketleftbigg\n(cosϕˆθ−sinϕcosθˆϕ)eikr/parenleftbigg−1\n(kr)2+i\nkr/parenrightbigg/bracketrightbigg/bracerightigg\n.\n(S8)\nAssuming that the dipole is along xdirection p=p0ˆx, the dipole fields become\nEd=p0k3\n0\n4πϵm/braceleftbigg\n(cosθcosϕˆθ−sinϕˆϕ)eikr\nkr+ (2ˆrsinθcosϕ−ˆθcosθcosϕ+ˆϕsinϕ)/parenleftbigg1\n(kr)3−i\n(kr)2/parenrightbigg\neikr/bracerightbigg\n=p0k3\n0\n4πϵm/braceleftbigg\n2ˆrsinθcosϕ/parenleftbigg1\n(kr)3−i\n(kr)2/parenrightbigg\neikr−(ˆθcosθcosϕ−ˆϕsinϕ)/parenleftbigg1\n(kr)3−i\n(kr)2−1\nkr/parenrightbigg\neikr/bracerightbigg\n.\n(S9)\nIn the low-frequency limit when kr=2πr\nλ≪1, the scattered fields are dominated by terms of the order (kr)−3.\nThus, we can neglect the contribution from the Mterms or the b1terms in Eq. (S8). In this limit, the fields of the\ndipole and the scattered fields become equivalent, if we take\np0=6πϵmia1\nk3\n0E0, (S10)\nor in other words, the sphere takes the polarizability\nαe=6πϵmc3\nω3ia1, (S11)\nwhere\nan=ϵ1jn(x1)[x0jn(x0)]′−ϵ0jn(x0)[x1jn(x1)]′\nϵ1jn(x1)[x0h(1)\nn(x0)]′−ϵ0h(1)\nn(x0)[x1jn(x1)]′, (S12)\nwithx0=k0a,x1=k1a, and k1=ω√µ1ϵ1, and µ1andϵ1being properties of the sphere.\nNow, we look at the scattered magnetic fields. We have to the first order\nHs=3\n2k0\nωµ0E0/braceleftigg\nib1/bracketleftbigg\n2ˆrsinϕsinθ/parenleftbigg1\n(kr)3−i\n(kr)2/parenrightbigg\neikr−(ˆθsinϕcosθ+ˆϕcosϕ)/parenleftbigg1\n(kr)3−i\n(kr)2−i\nkr/parenrightbigg\neikr/bracketrightbigg\n−an/bracketleftbigg\n(ˆϕcosθcosϕ+ˆθsinϕ)/parenleftbiggi\n(kr)2+1\nkr/parenrightbigg\neikr/bracketrightbigg/bracerightigg\n.\n(S13)\n2Again, we can ignore the second line or, in other words, anin this expression for low frequencies. Then, comparing\nthis expression with the magnetic fields of a magnetic dipole polarized along ˆydirection m=m0ˆy,\nHm=m0k3\n0\n4π/braceleftbigg\n2ˆrsinθsinϕ/parenleftbigg1\n(kr)3−i\n(kr)2/parenrightbigg\neikr−(ˆθcosθsinϕ+ˆϕcosϕ)/parenleftbigg1\n(kr)3−i\n(kr)2−1\nkr/parenrightbigg\neikr/bracerightbigg\n,\n(S14)\nTaking H0=k0\nωµ0E0, we find that the two are equivalent if we have\nm0=6πib1\nk3\n0H0, (S15)\nor if the sphere takes the magnetic polarizability\nαm=6πc3\nω3ib1, (S16)\nwhere\nbn=µ1jn(x1)[x0jn(x0)]′−µ0jn(x0)[x1jn(x1)]′\nµ1jn(x1)[x0h(1)\nn(x0)]′−µ0h(1)\nn(x0)[x1jn(x1)]′. (S17)\nIn the low-frequency limit, we have\nlim\nx→0jn(x) =2nn!\n(2n+ 1)!xn, (S18)\nand\nlim\nx→0yn(x) =−(2n)!\n2nn!1\nxn+1. (S19)\nTherefore, we have in this limit j1(x)≃x/3,y1(x)≃ −1/x2,[xj1(x)]′≃2x/3and[xy1(x)]′≃1/x2which gives\na1≃ϵ1x1\n32x0\n3−ϵ0x0\n32x1\n3\nϵ1x1\n3/parenleftig\n2x0\n3+i\nx2\n0/parenrightig\n−ϵ02x1\n3/parenleftig\nx0\n3−i\nx2\n0/parenrightig≃2k3\n0a3\n3iϵ1−ϵ0\nϵ1+ 2ϵ0, (S20a)\nb1≃2k3\n0a3\n3iµ1−µ0\nµ1+ 2µ0. (S20b)\nWe thus get for the polarizabilities\nαe≃4πϵ0a3ϵ1−ϵ0\nϵ1+ 2ϵ0, α m≃4πa3µ1−µ0\nµ1+ 2µ0, (S21)\nwhich are exactly equal to the results derived using the electro-static and magneto-static approximations method. For\na non-magnetic material, b1becomes\nb1≃x3\n0\n45ix2\n0/parenleftbiggϵ1\nϵ0−1/parenrightbigg\n, (S22)\nwhich gives for the magnetic polarizability,\nαm≃2π\n15k2\n0a5/parenleftbiggϵ1\nϵ0−1/parenrightbigg\n=8π3\n15a3/parenleftiga\nλ/parenrightig2/parenleftbiggϵ1\nϵ0−1/parenrightbigg\n. (S23)\n3S2 Vacuum Frictional Torque\nS2.1 Other components of torque\nIn this section, we provide further discussions of components of the torque other than the zcomponent exerted on a\nspinning nanosphere near YIG slabs under different bias fields. The xcomponent of torque,\nMx=ℏ\n4π/integraldisplay∞\n−∞dω/braceleftigg\n/bracketleftbig\n2n1(ω−) + 1/bracketrightbig/bracketleftbig\nIm/braceleftbig\nαm,⊥(ω−)/bracerightbig\n−Re/braceleftbig\nαm,g(ω−)/bracerightbig/bracketrightbig/bracketleftbig\n2Im/braceleftbig\nGH\nzx(ω)/bracerightbig\n+ 2Re/braceleftbig\nGH\nzy(ω)/bracerightbig/bracketrightbig\n−4 [n1(ω) + 1] Im/braceleftbig\nαm,∥(ω)/bracerightbig\nRe/braceleftbig\nGH\nyz(ω)/bracerightbig\n+ [2n0(ω) + 1]/braceleftigg\n/bracketleftbig\nRe{αm,⊥(ω−)}+Im{αm,g(ω−)}/bracketrightbig/parenleftbig\nRe{GH\nxz(ω)} −Re{GH\nzx(ω)}+Im{GH\nyz(ω)}+Im{GH\nzy(ω)}/parenrightbig\n+/bracketleftbig\nIm{αm,⊥(ω−)} −Re{αm,g(ω−)}/bracketrightbig/parenleftbig\n−Im{GH\nxz(ω)} −Im{GH\nzx(ω)}+Re{GH\nyz(ω)} −Re{GH\nzy(ω)}/parenrightbig/bracerightigg\n+ [n0(ω) + 1]/braceleftigg\n−2Re{αm,∥(ω)}/parenleftbig\nIm{GH\nzy(ω)}+Im{GH\nyz(ω)}/parenrightbig\n+ 2Im{αm,∥(ω)}/parenleftbig\n−Re{GH\nzy(ω)}+Re{GH\nyz(ω)}/parenrightbig/bracerightigg\n,\n(S24)\nand for the ycomponent,\nMy=ℏ\n4π/integraldisplay∞\n−∞dω/braceleftigg\n/bracketleftbig\n2n1(ω−) + 1/bracketrightbig/bracketleftbig\nIm/braceleftbig\nαm,⊥(ω−)/bracerightbig\n−Re/braceleftbig\nαm,g(ω−)/bracerightbig/bracketrightbig/bracketleftbig\n−2Re{GH\nzx(ω)}+ 2Im{GH\nzy(ω)}/bracketrightbig\n+4 [n1(ω) + 1] Im/braceleftbig\nαm,∥(ω)/bracerightbig\nRe{GH\nxz(ω)}/bracerightigg\n−[2n0(ω) + 1]/braceleftigg\n/bracketleftbig\nRe{αm,⊥(ω−)}+Im{αm,g(ω−)}/bracketrightbig/parenleftbig\nIm{GH\nxz(ω)}+Im{GH\nzx(ω)} −Re{GH\nyz(ω)}+Re{GH\nzy(ω)}/parenrightbig\n+/bracketleftbig\nIm{αm,⊥(ω−)} −Re{αm,g(ω−)}/bracketrightbig/parenleftbig\nRe{GH\nxz(ω)} −Re{GH\nzx(ω)}+Im{GH\nyz(ω)}+Im{GH\nzy(ω)}/parenrightbig/bracerightigg\n−[n0(ω) + 1]/braceleftigg\n−2Reαm,∥(ω)/parenleftbig\nIm{GH\nzx(ω)}+Im{GH\nxz(ω)}/parenrightbig\n+ 2Imαm,∥(ω)/parenleftbig\n−Re{GH\nzx(ω)}+Re{GH\nxz(ω)}/parenrightbig/bracerightigg\n.\n(S25)\nIn the case when the interface is in the x−yplane, we have\nRe/braceleftbig\nGH\nxz(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\nIm/braceleftbig\nrsse2ik0pd/bracerightbig\npcosϕ−Im/braceleftbig\nrpse2ik0pd/bracerightbig\nsinϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(Im{rss}|p|cosϕ+Re{rps}sinϕ)/bracerightigg\n,(S26a)\nRe/braceleftbig\nGH\nzx(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\n−Im/braceleftbig\nrsse2ik0pd/bracerightbig\npcosϕ−Im/braceleftbig\nrspe2ik0pd/bracerightbig\nsinϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(−Im{rss}|p|cosϕ+Re{rsp}sinϕ)/bracerightigg\n,(S26b)\nIm/braceleftbig\nGH\nxz(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\n−Re/braceleftbig\nrsse2ik0pd/bracerightbig\npcosϕ+Re/braceleftbig\nrpse2ik0pd/bracerightbig\nsinϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(−Re{rss}|p|cosϕ+Im{rps}sinϕ)/bracerightigg\n,(S26c)\n4Im/braceleftbig\nGH\nzx(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\nRe/braceleftbig\nrsse2ik0pd/bracerightbig\npcosϕ+Re/braceleftbig\nrspe2ik0pd/bracerightbig\nsinϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(Re{rss}|p|cosϕ+Im{rsp}sinϕ)/bracerightigg\n,(S26d)\nand\nRe/braceleftbig\nGH\nzy(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\n−Im/braceleftbig\nrsse2ik0pd/bracerightbig\npsinϕ+Im/braceleftbig\nrspe2ik0pd/bracerightbig\ncosϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(−Im{rss}|p|sinϕ−Re{rsp}cosϕ)/bracerightigg\n,(S27a)\nRe/braceleftbig\nGH\nyz(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\nIm/braceleftbig\nrsse2ik0pd/bracerightbig\npsinϕ+Im/braceleftbig\nrpse2ik0pd/bracerightbig\ncosϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(Im{rss}|p|sinϕ−Re{rps}cosϕ)/bracerightigg\n,(S27b)\nIm/braceleftbig\nGH\nzy(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\nRe/braceleftbig\nrsse2ik0pd/bracerightbig\npsinϕ−Re/braceleftbig\nrspe2ik0pd/bracerightbig\ncosϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(Re{rss}|p|sinϕ−Im{rsp}cosϕ)/bracerightigg\n,(S27c)\nIm/braceleftbig\nGH\nyz(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κ2dκ\np/parenleftbig\n−Re/braceleftbig\nrsse2ik0pd/bracerightbig\npsinϕ−Re/braceleftbig\nrpse2ik0pd/bracerightbig\ncosϕ/parenrightbig\n+/integraldisplay∞\n1κ2dκ\n|p|e−2k0|p|d(−Re{rss}|p|sinϕ−Im{rps}cosϕ)/bracerightigg\n.(S27d)\nAnd for the case when it is in the x−zplane\nRe/braceleftbig\nGH\nxz(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κdκ\n2p/bracketleftig\nsin 2ϕIm/braceleftbig\nrppe2ik0pd/bracerightbig\n+p2sin 2ϕIm/braceleftbig\nrsse2ik0pd/bracerightbig\n+2pIm/braceleftbig\nrpse2ik0pd/bracerightbig\ncos2ϕ+ 2pIm/braceleftbig\nrspe2ik0pd/bracerightbig\nsin2ϕ/bracketrightig\n+/integraldisplay∞\n1κdκ\n2|p|/bracketleftig\n−sin 2ϕ/parenleftig\n1 +Re{rpp}e−2k0|p|d/parenrightig\n−p2sin 2ϕRe{rss}e−2k0|p|d\n+2Im{rps}|p|e−2k0|p|dcos2ϕ+ 2Im{rspe−2k0|p|d}sin2ϕ/bracketrightig/bracerightigg\n,\n(S28a)\nRe/braceleftbig\nGH\nzx(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κdκ\n2p/bracketleftig\nsin 2ϕIm/braceleftbig\nrppe2ik0pd/bracerightbig\n+p2sin 2ϕIm/braceleftbig\nrsse2ik0pd/bracerightbig\n−2pIm/braceleftbig\nrpse2ik0pd/bracerightbig\nsin2ϕ−2pIm/braceleftbig\nrspe2ik0pd/bracerightbig\ncos2ϕ/bracketrightig\n+/integraldisplay∞\n1κdκ\n2|p|/bracketleftig\n−sin 2ϕ/parenleftig\n1 +Re{rpp}e−2k0|p|d/parenrightig\n−p2sin 2ϕRe{rss}e−2k0|p|d\n−2Im{rps}|p|e−2k0|p|dsin2ϕ−2Im{rsp}|p|e−2k0|p|dcos2ϕ/bracketrightig/bracerightigg\n,\n(S28b)\n5Im/braceleftbig\nGH\nxz(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κdκ\n2p/bracketleftig\n−sin 2ϕ/parenleftbig\n1 +Re/braceleftbig\nrppe2ik0pd/bracerightbig/parenrightbig\n−p2sin 2ϕRe/braceleftbig\nrsse2ik0pd/bracerightbig\n−2pRe/braceleftbig\nrpse2ik0pd/bracerightbig\ncos2ϕ−2pRe/braceleftbig\nrspe2ik0pd/bracerightbig\nsin2ϕ/bracketrightig\n+/integraldisplay∞\n1κdκ\n2|p|e−2k0|p|d/bracketleftig\n−sin 2ϕIm{rpp} −p2sin 2ϕIm{rss}\n−2Re{rps}|p|cos2ϕ−2Re{rsp}|p|sin2ϕ/bracketrightig/bracerightigg\n,\n(S28c)\nIm/braceleftbig\nGH\nzx(ω)/bracerightbig\n= (πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κdκ\n2p/bracketleftig\n−sin 2ϕ/parenleftbig\n1 +Re/braceleftbig\nrppe2ik0pd/bracerightbig/parenrightbig\n−p2sin 2ϕRe/braceleftbig\nrsse2ik0pd/bracerightbig\n+2pRe/braceleftbig\nrpse2ik0pd/bracerightbig\nsin2ϕ+ 2pRe/braceleftbig\nrspe2ik0pd/bracerightbig\ncos2ϕ/bracketrightig\n+/integraldisplay∞\n1κdκ\n2|p|e−2k0|p|d/bracketleftig\n−sin 2ϕIm{rpp} −p2sin 2ϕIm{rss}\n+2Re{rps}|p|sin2ϕ+ 2Re{rsp}|p|cos2ϕ/bracketrightig/bracerightigg\n,\n(S28d)\nand the expressions for the real and imaginary parts of GH\nzyandGH\nyzare the same as the ones for GH\nxzandGH\nzx,\nrespectively, for when the interface is in the x−yplane as given in Eq. (S26). We can find the xandycomponents\nof torque by plugging these expressions into Eqs. (S24) and (S25) for the two cases when the interface is the x−yor\nx−zplane. We present the plots of these torques at the end of this section.\nS2.2 Recoil torque\nThere is also another contribution to the torque from the case when the induced dipole moments on the YIG sphere\nre-radiate due to the vacuum electric field fluctuations. This causes a recoil torque on the sphere and can be written as\nMrec=⟨mind×Hsc⟩, (S29)\nwhere Hscis the scattered fields from the dipole and are given by,\nHsc(r, ω) =¯GH(r,r′, ω)·mind(r′, ω), (S30)\nwhich shows that this term is of higher order contribution and is thus smaller than the torque discussed in the main\ntext. Repeating a similar procedure used before and plugging in all of the induced terms and writing them in terms of\nthe fluctuations, we find after some algebra,\nMrec\nz=ℏ\nπ/integraldisplay∞\n−∞dω[n0(ω)+1]\n/braceleftigg\nIm{Gxx}/bracketleftbig\nRe{Gyx}αeff\n⊥⊥−Re{Gxy}αeff\ngg+Re{α⊥g}Re{Gyy−Gxx}+Im{α⊥g}Im{Gyy+Gxx}/bracketrightbig\n+Im{Gyy}/bracketleftbig\nRe{Gyx}αeff\ngg−Re{Gxy}αeff\n⊥⊥+Re{α⊥g}Re{Gxx−Gyy}+Im{α⊥g}Im{Gyy+Gxx}/bracketrightbig\n+Re{Gyx−Gxy}/bracketleftbigg\nRe{Gyx−Gxy}Im{α⊥g}+1\n2Im{Gyy+Gxx}(α⊥⊥+αgg)/bracketrightbigg\n+Im{Gyx+Gxy}/bracketleftbigg\n−Re{Gyx+Gxy}Re{α⊥g}+1\n2Re{Gxx−Gyy}(αgg−α⊥⊥)/bracketrightbigg\n+1\n2Im/braceleftig\nαeff∗\nm,∥/bracketleftbig\n(Gxz−G∗\nzx)/parenleftbig\nG∗\nyzαeff\nm,⊥−G∗\nxzαeff\nm,g/parenrightbig\n−/parenleftbig\nGyz−G∗\nzy/parenrightbig/parenleftbig\nG∗\nyzαeff\nm,g+G∗\nxzαeff\nm,⊥/parenrightbig/bracketrightbig/bracerightig/bracerightigg\n,(S31)\n6where we have defined\nαeff\nm,⊥⊥(ω) =αeff\nm,⊥(ω)αeff\nm,⊥(−ω), αeff\nm,gg(ω) =αeff\nm,g(ω)αeff\nm,g(−ω),\nαeff\nm,⊥g(ω) =αeff\nm,⊥(ω)αeff\nm,g(−ω), αeff\nm,g⊥(ω) =αeff\nm,⊥(−ω)αeff\nm,g(ω),(S32)\nand have used the facts that αeff\nm,⊥⊥(ω)andαeff\nm,gg(ω)are real, and αeff\nm,⊥g(ω) =/bracketleftig\nαeff\nm,g⊥(ω)/bracketrightig∗\n.\nNote that we have dropped the frequency dependence as well as the H superscript of the Green’s function in Eq. (S31)\nfor simplicity. For the special case when the substrate material is isotropic, the non-diagonal elements of the Green’s\nfunction become zero, and we get\nMrec\nz=ℏ\nπ/integraldisplay∞\n−∞dω[n0(ω) + 1]/braceleftigg\nIm{Gxx−Gyy}Re{Gyy−Gxx}Re{α⊥g}+[Im{Gxx+Gyy}]2Im{α⊥g}/bracerightigg\n.(S33)\nNote that the expressions for the real and imaginary parts of GxzandGyzare given by Eqs. (S26),(S27), and (S28) for\nthe two possible interface directions while the imaginary parts of GxxandGyyare given by equations in Appendix B.\nAlso note that Re/braceleftbig\nGH\nyx/bracerightbig\nfor when the interface is the x−yplane is the same as Re/braceleftbig\nGH\nxz/bracerightbig\nfor when the interface is in\nthex−zplane given by Eq. (S28). Also Re/braceleftbig\nGH\nyx/bracerightbig\nfor when the interface is the x−zplane is the same as Re/braceleftbig\nGH\nzy/bracerightbig\nfor when the interface is in the x−yplane given by Eq. (S27). Thus, the only new term is Re {Gyy−Gxx}which is\ngiven by\nRe/braceleftbig\nGH\nyy(ω)−GH\nxx(ω)/bracerightbig\n=(πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κdκ\np/bracketleftig\n−cos 2ϕIm/braceleftbig\nrppe2ik0pd/bracerightbig\n−cos 2ϕIm/braceleftbig\nrsse2ik0pd/bracerightbig\n+2psinϕcosϕIm/braceleftbig\n(rps−rsp)e2ik0pd/bracerightbig/bracketrightig\n+/integraldisplay∞\n1κdκ\n|p|/bracketleftig\ncos 2ϕ/parenleftig\nκ2+Re{rpp}e−2k0|p|d/parenrightig\n+p2cos 2ϕRe{rss}e−2k0|p|d\n+2|p|sinϕcosϕIm{rps−rsp}e−2k0|p|d/bracketrightig/bracerightigg\n,\n(S34)\nwhen the interface is the x−yplane, and\nRe/braceleftbig\nGH\nyy(ω)−GH\nxx(ω)/bracerightbig\n=(πωρ 0/8)1\nπ/integraldisplay2π\n0dϕ/braceleftigg/integraldisplay1\n0κdκ\np/bracketleftig\ncos2ϕIm/braceleftbig\nrppe2ik0pd/bracerightbig\n−/parenleftbig\nκ2+p2sin2ϕ/parenrightbig\nIm/braceleftbig\nrsse2ik0pd/bracerightbig\n−psinϕcosϕIm/braceleftbig\n(rps−rps)e2ik0pd/bracerightbig/bracketrightig\n+/integraldisplay∞\n1κdκ\n|p|/bracketleftig\n−cos2ϕ/parenleftig\n1 +Re{rpp}e−2k0|p|d/parenrightig\n−p2sin2ϕ+κ2+/parenleftbig\nκ2+p2sin2ϕ/parenrightbig\nRe{rss}e−2k0|p|d\n−|p|sinϕcosϕIm{rps−rsp}e−2k0|p|d/bracketrightig\n,\n(S35)\nwhen the interface is the x−zplane.\nS2.3 Plots of torque terms\nIn this section, we present the components of torque derived in previous sections for YIG slabs with various bias\nmagnetic fields and for the two cases when the slab is the x−yandx−zplanes.\nFigure S2 shows the plots of Mx,My,Mz, and Mrecderived in the previous sections for the magnetic and electric\nfluctuations. The expressions for the torques due to the electric fields and dipoles fluctuations are found by changing s\ntopandptosinrss, rpp, rsp, andrps, in the expressions for the Green’s functions. Moreover, magnetic polarizability\nis replaced by a simple isotropic electric polarizability, assuming a simple dielectric polarizability scalar for the YIG\nand Al interfaces. The results are for three directions of the bias magnetic field for the YIG interface labeled as x−,\ny−, and z−bias. The meaning of these bias directions is demonstrated in Fig. S1 when the YIG slab is the x−yand\nx−zplanes.\n7YIG YIG\nyz\nx\nx-bias(a)\nYIG YIG\nyz\nx\ny-bias (b)\nYIG YIG\nyz\nx\nz-bias (c)\nYIG YIG\nyz\nx\nx-bias\n(d)\nYIG YIG\nyz\nx\ny-bias (e)\nYIG YIG\nyz\nx\nz-bias (f)\nFigure S1: Schematics of different bias directions for the YIG interface for the two cases of the interface being the\nx−y(top row) and x−zplanes (bottom row). The green arrow shows the direction of the bias magnetic field applied\nto the slab of YIG.\nIt is interesting to note that in Figs. (S2a), (S2e), and (S2g), the sphere can experience a large value of torque along\nxorydirections for the x−ory−biases. This means that in these cases, the sphere can rotate out of the rotation\naxis and start to precess. This will, of course, change the validity of the equations found for the vacuum radiation and\nfrictional torque along the zaxis since it has been assumed that the sphere is always rotating around the zaxis and is\nalso magnetized along that axis. This torque is still small enough compared to the driving torque of the trapping laser\nand it will still give enough time to make the observations. A more careful investigation of these components of torque\nis out of the scope of this study and will be explored in the future.\nFigures S2i-S2p show the axial torque Mzas well as the recoil torque Mrecfor all orientations of the bias magnetic\nfield and YIG slab. As expected, the recoil torque is much smaller than Mzsince it is a second-order term.\nFigure S3 shows the results for MzandMrecfor the case when the Al interface is placed in the vicinity of the spinning\nsphere. Because Al is an isotropic material, MxandMyvanish for both orientations of the interface and thus are not\nincluded in the plots of the torques. Note that similar to the YIG interface results, Mrecis much smaller than the Mz\nfor all cases of the Al interface. These results show that the recoil torque Mreccan be ignored in all studied cases.\nS3 Experimental Considerations\nIn this section, we present details of the experimental analysis regarding negative torque and shot noise heating due to\nthe trapping laser discussed in Appendix H.\nS3.1 Effect of torque due to the trapping laser\nWhen the trapping laser is linearly polarized, it can exert a negative torque on the spinning particle. The torque on the\nsphere due to the laser is given by Mopt=1\n2Re{p∗×E}[1], where pis the dipole moment of the sphere, given by\np=¯αeff·E, with ¯αeffbeing the effective polarizability of the sphere as seen in the frame of the lab, and Eis the\nelectric field from the laser. As shown in Appendix A, the polarizability tensor of the sphere when it is spinning in the\nx−yplane is given by\n¯αeff(ω) =\nαeff\n⊥(ω)−αeff\ng(ω) 0\nαeff\ng(ω)αeff\n⊥(ω) 0\n0 0 αeff\n∥(ω)\n, (S36)\nwhere\nαeff\n⊥(ω) =1\n2/bracketleftbig\nα(ω+) +α(ω−)/bracketrightbig\n, α g(ω) =−i\n2/bracketleftbig\nα(ω+)−α(ω−)/bracketrightbig\n, α∥(ω) =α(ω), (S37)\nwithα(ω)being the electric polarizability of YIG at the laser frequency. Note that here, we have assumed that the\npolarizability of the YIG is scalar in the range of frequencies around 1550 nm. Plugging these into the equation for\n80 2 4 6 8 10\nFrequency (GHz)-15-10-5051015YIG Magnetic Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias(a)\n0 2 4 6 8 10\nFrequency (GHz)-0.500.511.522.510-4YIG Electric Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias (b)\n0 2 4 6 8 10\nFrequency (GHz)-6-4-2024610-6Al Magnetic Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias (c)\n0 2 4 6 8 10\nFrequency (GHz)-505101510-12Al Electric Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias (d)\n0 2 4 6 8 10\nFrequency (GHz)-2024681012YIG Magnetic Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias\n(e)\n0 2 4 6 8 10\nFrequency (GHz)-2.5-2-1.5-1-0.500.510-4YIG Electric Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias (f)\n0 2 4 6 8 10\nFrequency (GHz)02468Al Magnetic Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias (g)\n0 2 4 6 8 10\nFrequency (GHz)-15-10-50510-12Al Electric Torque\nMx - Z bias\nMy - Z bias\nMx - X bias\nMy - X bias\nMx - Y bias\nMy - Y bias (h)\n0 2 4 6 8 10\nFrequency (GHz)-12-10-8-6-4-20YIG Magnetic Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias\n(i)\n0 2 4 6 8 10\nFrequency (GHz)-20-15-10-5010-4YIG Electric Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias (j)\n0 2 4 6 8 10\nFrequency (GHz)-0.2500.05Al Magnetic Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias (k)\n0 2 4 6 8 10\nFrequency (GHz)-3-2-101210-7Al Eelctric Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias (l)\n0 2 4 6 8 10\nFrequency (GHz)-15-10-50YIG Magnetic Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias\n(m)\n0 2 4 6 8 10\nFrequency (GHz)-20-15-10-5010-3 YIG Electric Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias (n)\n0 2 4 6 8 10\nFrequency (GHz)-0.30Al Magnetic Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias (o)\n0 2 4 6 8 10\nFrequency (GHz)-4-2024681010-5 Al Electric Torque\nMz - Z bias\nMrec - Z bias\nMz - X bias\nMrec - X bias\nMz - Y bias\nMrec - Y bias (p)\nFigure S2: Plots of MxandMy(first two rows) and MzandMrec(second two rows) in the vicinity of the YIG slab\nwhen the slab is the x−yplane (first and third rows), and when the slab is x−zplane (second and fourth rows). The\nplots show the results for various magnetic field directions. The meanings of x−,y−, andz−bias are demonstrated in\nFig. S1 for the two orientations of the interface.\nthe exerted torque, we find the zcomponent of the torque\nMopt=1\n2Re/braceleftbig\nαeff∗\n⊥(ω)E∗\nxEy−αeff∗\ng(ω)E∗\nyEy−αeff∗\ng(ω)E∗\nxEx−αeff∗\n⊥(ω)E∗\nyEx/bracerightbig\n=1\n2/bracketleftbig\nIm{αeff\n⊥(ω)}Im{E∗×E} −Re{αeff\ng(ω)}/parenleftbig\n|Ex|2+|Ey|2/parenrightbig/bracketrightbig\n=1\n2/bracketleftbig\nIm{α(ω+) +α(ω−)}Im{E∗×E} −Im{α(ω+)−α(ω−)}/parenleftbig\n|Ex|2+|Ey|2/parenrightbig/bracketrightbig\n.(S38)\n90 2 4 6 8 10\nFrequency (GHz)-3.5-3-2.5-2-1.5-1-0.50YIG Magnetic Torque\nMz - local\nMrec - local\nMz - nonlocal\nMrec - nonlocal(a)\n0 2 4 6 8 10\nFrequency (GHz)-1.4-1.2-1-0.8-0.6-0.4-0.2010-10 YIG Electric Torque\nMz - local\nMrec - local\nMz - nonlocal\nMrec - nonlocal (b)\n0 2 4 6 8 10\nFrequency (GHz)-0.150Al Magnetic Torque\nMz - local\nMrec - local\nMz - nonlocal\nMrec - nonlocal (c)\n0 2 4 6 8 10\nFrequency (GHz)-6-5-4-3-2-10110-16Al Electric Torque\nMz - local\nMrec - local\nMz - nonlocal\nMrec - nonlocal (d)\n0 2 4 6 8 10\nFrequency (GHz)-5-4-3-2-10YIG Magnetic Torque\nMz - local\nMrec - local\nMz - nonlocal\nMrec - nonlocal\n(e)\n0 2 4 6 8 10\nFrequency (GHz)-20-15-10-5010-11 YIG Electric Torque\nMz - local\nMrec - local\nMz - nonlocal\nMrec - nonlocal (f)\n0 2 4 6 8 10\nFrequency (GHz)-0.2500.05Al Magnetic Torque\nMz - local\nMrec - local\nMz -nonlocal\nMrec - nonlocal (g)\n0 2 4 6 8 10\nFrequency (GHz)-8-6-4-2010-16 Al Electric Torque\nMz - local\nMrec - local\nMz - nonlocal\nMrec - nonlocal (h)\nFigure S3: Plots of MzandMrecin the vicinity of the YIG slab when the slab is the x−yplane (first row) and when\nthe slab is x−zplane (second row). Note that due to the isotropy of Al, the other components of torque, including\nMxandMy, vanish.\nThe first term is proportional to the spin of the electromagnetic field and causes a positive torque on the particle.\nThis is the term for the transferring of angular momentum from the laser to the particle. The second term is negative\nand thus causes a negative torque on the sphere. In the case when the laser is linearly polarized, this negative term\nis proportional to Im {α(ω0+ Ω)} −Im{α(ω0−Ω)}where ω0= 1.21×1016is the frequency of the laser, and\nΩ = 6 .28×109is the rotation frequency. Since Ω≪ω0, we get α(ω+)≃α(ω−)and thus the second term is\nnegligible. We can thus ignore the negative torque coming from the laser when the laser is linearly polarized.\nS3.2 Effect of heating due to the shot noise\nThe particle can heat up due to the shot noise of the trapping laser [4]. In this section, we calculate the rate of temper-\nature change due to the shot noise and vacuum radiation, respectively. The rate of energy change in the nanosphere\ndue to the shot noise is [4],\n˙ETR=ℏk\nMIL\ncσ, (S39)\nwhere ωL=ckis the laser frequency, ILis the power of the laser per unit area, Mis the mass of the particle,\nandσis the cross section of scattering where, which is equal to σ=/parenleftbig8π\n3/parenrightbig/parenleftig\nαk2\n4πϵ/parenrightig2\nfor Rayleigh particles with the\npolarizability α= 4πϵ0a3/parenleftig\nϵ−1\nϵ+2/parenrightig\n. For the range of wavelengths around visible and infrared, the Rayleigh limit is\nvalid for particles of radii asmaller than 50nm. Since the radius of the particle in our case is 200nm, this expression\nmay not be valid. Mie scattering parameters should be used to evaluate the scattering cross section. Assuming the\ntrapping laser wavelength of λ= 1550 nm and using the Mie theory, the rate of energy change of YIG with refractive\nindex n= 2.21[3] is close to that of the diamond with n = 2.39 in the Rayleigh limit [4]. Therefore, we get the energy\nchange rate in the sphere\n˙ETR=2ℏω0\nρc2AP0a3k4/parenleftbiggn2−1\nn2+ 2/parenrightbigg2\n, (S40)\nwhere A=πR2\nLis the area of the beam where the laser with the power P0is focused on, and ρis the mass density\nwhich for YIG is ρ= 5110 kg/m3. For a laser power of 500mW focused on an area of radius 0.7566µm, we find\n˙TL= 15.45K/s. (S41)\nThis is a very small temperature change compared to the time scale of the rotation, which is 1ns. Therefore, the\nthermodynamic equilibrium condition for the FDT is still valid. This temperature change gets damped by the radiated\n10power of the sphere due to the rotation. For a YIG sphere spinning at about 0.5µm from the aluminum interface, the\nrate of change due to vacuum radiation at the equilibrium temperature T0= 300 K is,\n˙TR=−362.973K/s, (S42)\nwhich is much larger than the temperature rise due to the shot noise of the laser, and this shows that the sphere will\ncool down. Note that this energy heats the aluminum instead. In this derivation, we have not included the heating due\nto the noise in the aluminum or YIG interface. The value found in Eq. (S42) is much smaller at lower temperatures.\nReferences\n[1] J. Ahn, Z. Xu, J. Bang, Y .-H. Deng, T. M. Hoang, Q. Han, R.-M. Ma, and T. Li. Optically levitated nanodumbbell\ntorsion balance and ghz nanomechanical rotor. Physical review letters , 121(3):033603, 2018.\n[2] C. F. Bohren and D. R. Huffman. Absorption and scattering of light by small particles . John Wiley & Sons, 2008.\n[3] T. Seberson, P. Ju, J. Ahn, J. Bang, T. Li, and F. Robicheaux. Simulation of sympathetic cooling an optically\nlevitated magnetic nanoparticle via coupling to a cold atomic gas. J. Opt. Soc. Am. B , 37(12):3714–3720, Dec\n2020.\n[4] T. Seberson and F. Robicheaux. Distribution of laser shot-noise energy delivered to a levitated nanoparticle. Phys.\nRev. A , 102:033505, Sep 2020.\n11" }, { "title": "2009.04162v1.Sub_pico_liter_magneto_optical_cavities.pdf", "content": "arXiv:2009.04162v1 [cond-mat.mes-hall] 9 Sep 2020Sub-pico-liter magneto-optical cavities\nJ. A. Haigh,1,∗R. A. Chakalov,2and A. J. Ramsay1\n1Hitachi Cambridge Laboratory, Cambridge, CB3 0HE, United K ingdom\n2Cavendish Laboratory, University of Cambridge, Cambridge , CB3 0HE, United Kingdom\n(Dated: September 10, 2020)\nMicrowave-to-optical conversion via ferromagnetic magno ns has so-far been limited by the optical coupling\nrates achieved in mm-scale whispering gallery mode resonat ors. Towards overcoming this limitation, we pro-\npose and demonstrate an open magneto-optical cavity contai ning a thin-film of yttrium iron garnet (YIG). We\nachieve a 0.1 pL (100 µm3) optical mode volume, ∼50 times smaller than previous devices. From this, we\nestimate the magnon single-photon coupling rate is G≈50Hz. This open cavity design offers the prospect of\nwavelength scale mode volumes, small polarization splitti ngs, and good magneto-optical mode overlap. With\nachievable further improvements and optimization, efficie nt microwave-optical conversion and magnon cooling\ndevices become a realistic possibility.\nI. INTRODUCTION\nMagnetic-field tunable ferromagnetic modes can be easily\nstrongly coupled to microwave resonators [ 1–3]. Further cou-\npling to optical photons offers the prospect of useful trans duc-\ntion of microwave quantum signals to telecoms optical wave-\nlengths [ 4]. For this reason, the interaction of magnons and\noptical photons has been explored recently in the whisperin g\ngallery modes (WGM) of yttrium iron garnet (YIG) spheres\n[5–7]. However, despite the high Q-factor of the magnetic and\noptical modes [ 8], the optomagnonic coupling rates achieved\nin mm-scale YIG spheres have been limited to ∼1 Hz. If the\ncoupling rate can be increased significantly, in turn raisin g the\nconversion efficiency, this would open a wide range of tech-\nnological opportunities [ 9], as well as the ability to coherently\nmodify the magnetization dynamics, for example cooling or\ndynamical driving the magnon mode [ 10,11].\nThe low coupling rate for optical whispering gallery modes\nis due to the poor mode overlap and the large volume of mag-\nnetic material involved. To overcome the poor overlap, it ma y\nbe possible to exploit magnon whispering gallery modes in\nYIG spheres, with almost ideal overlap with the optical WGM\n[12]. A simpler strategy is to explore more compact struc-\ntures, as very recently shown in rib waveguide devices [ 13].\nIn that case, the mm-long structure confines both the magnons\nand photons, yielding excellent overlap inside the structu re,\nenabling a coupling rate of 17 Hz.\nThe estimated maximum coupling rate for a YIG optical\nresonator is ≈0.1MHz [ 14], based on a mode volume of the\norder of the resonant wavelength cubed λ3. There are sev-\neral candidate wavelength-scale optical resonators [ 15], which\ncould get close to this maximum coupling rate. The choice\nof resonator, however, must take into account the significan t\nchallenges of micro-patterning YIG [ 16]. We note that, while\nsub-wavelength mode confinement is possible with plasmonic\ndevices [ 17–19], this typically comes with high optical losses\nin the metal components.\nA simple optical resonator design, with wavelength scale\nmode volumes combined with large Q-factors, is an open mi-\n∗jh877@cam.ac.ukcrocavity [20]. These are typically hemispherical resonators\nwhere a reflection-coated microlens is positioned in close\nproximity to a mirror surface. Devices can be fiber-based\n[21,22], or fabricated on planar surfaces [ 23], and have previ-\nously been used to obtain large coupling rates to single atom s\n[24], N-V centers [ 25], single organic dye molecules [ 26], and\nexcitons in 2D materials [ 27]. The advantage of this structure\nis that any transferable material can be easily embedded [ 28],\nand the modes are tunable by the position of the lens. Optical\nmode volumes as small as 1 fL (1 µm3) have been achieved,\nwithQ-factors in excess of 10,000 [ 29].\nIn this article, we demonstrate a viable route to low mode\nvolume, high coupling rate magneto-optical cavities with\nmode volumes limited by the optical wavelength. We embed\nsingle crystal YIG layers in an open microcavity, and show\na two orders of magnitude increase in the coupling rate over\nwhispering gallery mode devices. With further reduction in\nmode volume, it is expected that the strong-coupling limite d\ncan be reached. This work, therefore, shows a path towards\nefficient microwave-optical conversion, and optical magno n\ncooling.\nII. COUPLING RATE\nWe first briefly review the enhanced scattering process in\ncavity optomagnonics. Magnetic Brillouin light scatterin g\nis an inelastic process where a photon is scattered from an\ninput mode ˆaiinto an output mode ˆao, with absorption or\nemission of a magnon in mode ˆm. Brillouin light scatter-\ning is most efficient between orthogonally polarized opti-\ncal modes, as this compensates the angular momentum lost\nor gained to the magnon mode, conserving angular momen-\ntum. To enhance the BLS significantly, we require two op-\ntical resonances, enhancing both the input and output optic al\nfields. These should be orthogonally polarized, and with fre -\nquency separation matching the magnon frequency. This is\nthetriple resonance condition , which has been observed pre-\nviously in whispering gallery mode resonators [ 7], and in a\nrecent waveguide device [ 13].\nThe interaction Hamiltonian that governs the scattering is of\nthe formHint=G(ˆa†\niˆaoˆm+ˆaiˆa†\noˆm), with interaction strength2\nquantified by the coupling rate,\nG=−iθfc\nn/radicalbigg\n4gµB\nMs/radicalbiggηmagηopt\nVopt. (1)\nThe numerical constant µBis the Bohr magneton and cthe\nspeed of light. The factors affecting this rate can be separa ted\nin two parts. Firstly, the materials parameters of the embed -\nded magnetic material: the Faraday coefficient θf, refractive\nindexn, gyromagnetic ratio gand saturation magnetization\nMs. These parameters can be optimized by materials devel-\nopment, finding new materials and improving the quality of\nthose available. Secondly, the geometry of the optical cavi ty\naffects the coupling rate through the volumes of the optical\nmodesVi≈Vo=Vopt=/integraltext\n|ui,o(r)|2, whereui,o(r)is the\nmode function with normalization max(|ui,o(r)|2) = 1 . The\noverlap is contained in the fill-factors ηmag=Vint/Vmagand\nηopt=Vint/Vopt, which are the proportion of the magnetic\nVmagand optical Voptmodes volumes that contribute to the\ncoupling through the triple-mode overlap,\nVint=/integraldisplay\ndrum(r)·[u∗\ni(r)×uo(r)]. (2)\nHere, the mode function umis also normalized such that\nmax(|um(r)|2) = 1 . This expression includes the effect of\nthe mode polarization. To maximize the geometric factors we\nwould like a low optical mode volume resonator, with excel-\nlent overlap with the magnon mode, and orthogonal polariza-\ntion of all three modes.\nIn this paper, we focus exclusively on the minimization of\nthe optical mode volume. The design is such that lateral pat-\nterning of the continuous YIG layer to confine the magnon\nmode can incorporated at a later stage.\nIII. DESIGN\nA schematic of our proposed device is shown in Fig. 1(a).\nThe mirrors forming the open microcavities are purchased\nfrom Oxford HiQ [ 30]. They consist of one planar surface\nand one microlens array. Both surfaces have a high qual-\nity reflective coating consisting of 22 layers of SiO 2and\nTa2O5, deposited by sputtering. This distributed Bragg reflec-\ntor (DBR) has a reflectivity of 99.8 %at its design wavelength\nof 1300 nm. The microlens array contains 16 lenses with four\ndifferent radii of curvature, from 100 µm down to 20 µm, fab-\nricated by focused-ion-beam milling [ 31]. The lens array is\nsituated on a raised pedestal to allow alignment of the two\nmirrors.\nTo embed a high quality, single crystal YIG layer in the mi-\ncrocavity, we avoid deposition techniques such as pulsed la ser\ndeposition and sputtering, because the non-lattice matche d\nDBR substrate would lead to poly-crystalline YIG growth\n[32]. Furthermore, post growth annealing to improve the crys-\ntallinity of those layers requires temperatures above 700◦C,\nwhich has been found to be detrimental to the DBR [ 33]. In-\nstead, we use a lift-off technique [ 34] to remove a layer of\nAu antennalens array\ncrack50\u0001ma)\nYIG/GGG layer\nAu antenna\nDBRGGG (7\u0000m)\nYIG (2\u0002m)\nBCB (~1 \u0003m) \nDBR \nsapphire substrate\nlens array\nDBRsYIG/GGG c)\nsubstrate DBR magnet material\nb)i) ii)\niii)~1\u0004m\nAu antenna\nFigure 1. (a) Schematic of the magneto-optical cavity desig n. The\nopen microcavity consists of two parts: a concave lens mille d into a\nsubstrate and coated with a DBR, and a planar mirror with magn etic\nlayer. Left: Cross section of design to show relative dimens ions of\nthe lens and beam waist. Right: 3D representation of the devi ce\nstructure. (b)Fabrication of magneto-optical microcavit y. (i) Flat\nside of open microcavity. A gold microwave antenna is patter ned on\nthe DBR surface before the YIG/GGG layer is bonded. (ii) Cros s\nsection of flat mirror, showing two-layer BCB bonding polyme r. (iii)\nCross-section section of open microcavity, showing microl ens array.\n(c) Optical image through cavity structure, showing lens ar ray and\nmicrowave antenna.\nsingle-crystal YIG from a lattice matched GGG growth sub-\nstrate. We later bond this layer to the mirror surface with a\nspin-on polymer.\nThe advantage of the open microcavity design is that the\npolarization splitting is minimized due to the cylindrical sym-\nmetry. In principle, a minor asymmetry can tune the splittin g\nto match the magnon frequency, given the precise control of\nlens profile that has been demonstrated [ 31]. For an optical\nresonator with an asymmetrical cross-section, the splitti ng is\ntypically a fixed fraction of the free spectral range. There-\nfore, as the mode volume shrinks, the frequency separation\ncan become too large. This can be seen in the whispering\ngallery mode resonators, where a 1 mm diameter YIG sphere\nwas chosen to match the splitting to the magnon frequency\n[7]. It is not possible to decrease the size of the sphere, be-\ncause the splitting would become too large. This effect can\nalso be seen in the rib waveguide geometry [ 13], where the\nlength of the cavity must be long ( ≈4mm) to keep the polar-\nization splitting small.3\nIV . FABRICATION\nWe start with a YIG film of thickness 2 µm grown by liq-\nuid phase epitaxy on a gadolinium gallium garnet (GGG) sub-\nstrate [ 35]. The lift-off is achieved by inducing spontaneous\ndelamination as follows. The sample is first subjected to a\nhigh dose ion implantation 5×1016cm−2with He ions at\n3.5 MeV [ 36]. The penetration depth is approximately 9 µm,\nwith straggle ≈1µm, creating a narrow layer in which the lat-\ntice is substantially damaged [ 37]. Annealing at 470◦C for\n1 min leads to delamination of a bilayer consisting of the 2 µm\nof YIG and around 7 µm of GGG . This delamination occurs\ndue to the slight lattice mismatch and different coefficient of\nexpansion of YIG and GGG [ 38]. The lattice mismatch leads\nto a membrane with ∼10-mm radius of curvature at room tem-\nperature.\nThe YIG wafer is diced into 1 mm square chips post im-\nplantation, but prior to delamination. Post delamination, the\nthin membrane is manipulated using a small piece of 25 µm\nthick Kapton film, where it is held in place by static.\nTo bond the YIG/GGG membrane to the mirror surface, we\nuse BCB cyclotene [ 39], a polymer used as a dielectric in mi-\ncroelectronics, adhesive wafer bonding [ 40] and planarization\napplications [ 41]. It has excellent optical properties [ 42], al-\nlowing its use, for example, in bonding active III-V devices to\nsilicon photonic wafers [ 43].\nPrior to bonding, a strip-line antenna is patterned on to the\nsurface of the mirror using photo-lithography and lift-off as\nshown in Fig. 1(b). A titanium adhesion layer of 7 nm is de-\nposited under 100 nm of gold, with a final 7 nm of titanium\nabove. This final layer is required to avoid the poor adhesion\nof BCB cyclotene to gold [ 44].\nWe prepare the mirror surface with solvent cleaning in an\nultrasonic bath. The device is then soaked in DI water, be-\nfore a 2 min plasma cleaning process in a reactive ion etcher.\nThis is followed by a further 2 min soak in DI water. The sur-\nface is primed with an adhesion promoter AP3000 [ 44]. The\nBCB cyclotene is deposited and spun for 30s at 6000 RPM,\nfollowed by 1 min on a hot plate at 150◦C to remove the sol-\nvent. We use a double layer of BCB cyclotene [ 43]. The first\nlayer is partially cured with a 2 min anneal at 250◦C on a\nstrip annealer. This layer remains ‘tacky’ and bonds well to a\nsecond layer of BCB cyclotene, but is viscous enough to pre-\nvent pinch-through under the membrane during curing, where\nthere can be significant re-flow of the polymer [ 43]. The sec-\nond layer of BCB is spun under the same conditions.\nSeparately, the YIG/GGG layer is prepared with a 2 min\nplasma ash to activate the surface, before a 12 hr evaporatio n\nof AP3000 is performed in a desiccator. The membrane is\nremoved from the desiccator immediately prior to bonding.\nThe bonding is performed in a simple spring-loaded clamp.\nThe Kapton tape bearing the YIG/GGG membrane is placed\non the mirror, with the YIG layer in contact with the BCB\ncyclotene. The clamp is closed to the point where the layer is\nheld in place with minimal pressure and then heated on a hot\nplate to 150◦C. The pressure is then increased to the required\nload. The assembly is then transferred to an oven at 150◦C\nunder nitrogen flow to prevent oxidation of the BCB cyclotene\nlinear\npolarizerpol. beam\nsplitterhalf-wave\nplatephoto-\ndiode\nelectromagnetxyz tilt/roll\nobj.\nlenshalf-wave\nplatephoto-\ndiodes\nvector network\nanalyserport 1port 2MW amps.tunable\nlaser\nobj.\nlens\nH0\nFigure 2. Experimental setup. The output polarization of a 1 270-\n1370 nm tunable laser is controlled via a linear polarizer an d a half-\nwave plate, before being separated into a local oscillator a nd cavity\ndrive. After passing through the cavity, the optical signal orthogonal\nto the input polarization is recombined with the local oscil lator and\nmeasured on a high frequency photodiode. The transmission t hrough\nthe cavity is measured via the light with the same polarizati on as\nthe input on a dc photodiode. A vector network analyzer drive s the\nmagnetic modes and measures the microwave signal from the fa st\nphotodiode.\nat elevated temperatures. The oven temperature is ramped to\n250◦C at 1◦/min, for a 1 hr soak. After allowing the oven\nto cool to room temperature, the clamp is removed and the\nKapton film peeled from the mirror surface. This leaves the\nYIG/GGG layer secured to the device.\nDuring the bonding process, there is some re-flow of the\nBCB cyclotene to the top surface of the YIG/GGG membrane.\nTo remove this, a 3 min Ar/CF 4reactive ion etch descum is\nperformed.\nV . EXPERIMENTAL SETUP\nFor measurement, the planar mirror is glued over an aper-\nture on a PCB patterned with input and output coplanar\nwaveguides, which are connected to semi-rigid coaxial cabl es.\nThe on-chip strip-line antenna is then wire-bonded to the PC B\nwaveguides for microwave measurement and excitation of the\nmagnon modes in the YIG. The PCB is mounted on a circu-\nlar stub which sits in an xyz-translation lens mount. The lens\narray is similarly mounted on a circular stub in a tilt-yaw le ns\nholder, for full control of the cavity geometry.\nThe device is mounted in an electromagnet, with magnetic\nfield applied orthogonal to the cavity length. Light is focus ed\ninto and out-of the cavity using two aspheric lenses mounted\nonxyz stages. The cavity is selected by scanning the laser\nto the correct position. The input laser is an external cavit y\ndiode laser with linewidth ≈1 MHz. The input polarization\nis set with a rotatable Glan-Thompson prism. On the output,\na rotatable half-wave plate is used to select the measuremen t\nbasis on a polarizing beam splitter. From the beam splitter,\nthe transmitted signal with the same polarization as the inp ut\nlight field is measured with a dc photodiode. The polariza-\ntion scattered light is focused into a single mode fiber, and\ncombined with a local oscillator directly from the laser in a\n50:50 fiber coupler. One output of this coupler is measured\non a fast photodiode (12 GHz bandwidth) connected via a mi-\ncrowave amplifier to a vector network analyzer (VNA). The\nVNA is also used to drive the magnetization dynamics via the4\n1280 1300 1320 1340 13600.00.10.20.30.40.50.6transmitted intensity\n (arb. units)\ninput laser wavelength (nm)transmitted intensity \n(arb.units)\n-100 -50 0 50 1000.00.10.20.30.40.5\nlaser detuning (GHz)\na)\nb) c)\n-50 -25 0 25 50\nlaser detuning (GHz)0.00.10.20.30.4FSR\nFigure 3. Transmission spectroscopy of optical modes. (a) W ide\nwavelength scan, showing free spectral range. Insets show m ode\nprofile imaged in transmission. (b) Measurement of polariza tion of\nmodes. The linear polarization can be set so that only one mod e is\nexcited. This device had a smaller polarization splitting ≈16 GHz.\n(c) Measurement of polarization splitting and optical line width of\ndevice used in BLS measurements. The linear polarization is set so\nthat both modes are probed. This device is also measured in (a ).\nmicrowave antenna.\nVI. CHARACTERIZATION\nWe first characterize the optical modes of the microcavi-\nties. The transmitted intensity is measured as a function of\ninput laser wavelength, and angle of input linear polarizat ion,\nas shown in Fig. 3. A measurement over a wide wavelength\nrange (Fig. 3(a)) is used to determine the free spectral range\n∆ωFSR/2π≈6.7THz. A number of spatial modes result-\ning from the lateral confinement of the microlens are visible .\nThese can be identified by imaging in transmission, see inset s\nof Fig. 3(a). The coupling to these higher order modes is min-\nimized by optimizing the transmitted intensity on resonanc e\nthrough the lowest order mode.\nBy measuring the transmitted intensity as a function of the\nangle of linear polarization, we can find the axes of the ortho g-\nonal, linearly polarized modes, and the splitting between t he\ntwo. An example of this measurement is shown in Fig. 3(b),\nwhere the polarization splitting is 16 GHz. This splitting\nvaries with different lens arrays, and is related to slight a sym-\nmetries in the nominally-cylindrical fabricated lens. In t he\ndevice used for BLS measurements shown in this paper, the\nsplitting is 32 GHz, as shown in Fig. 3(c). Because the ap-\nplied magnetic field from the electromagnet is limited to <1 T,\nwe are unable to reach the triple resonance condition. We not e\nthat the frequency splitting due to the magnetic linear bire frin-\ngence in YIG [ 45] is estimated as ∼900 MHz. This is not largeenough to explain the observed splittings. We note that by fa b-\nricating arrays of lenses with varying ellipticity, it woul d be\npossible to obtain microcavities with a specific splitting. This\nwould enable the triple resonance condition to be achieved.\nWe extract the total dissipation of the optical mode from\nthe linewidth of peak (Fig. 3(c))κ/2π= 11 GHz. This corre-\nsponds to a Q-factor of 20,000 and Finesse of 600.\nThe expected external loss rate can be estimated from the\nDBR reflectivity R= 0.9986 asκext=−2∆ωFSRlogR\n[46], giving κex/2π≈3 GHz. Using these values, and\nκ=κext+κint, we can estimate the internal dissipation rate\nκint/2π=8 GHz. This is consistent with the transmitted in-\ntensity on resonance κ2\next/κ2≈0.07. If this internal dissipa-\ntion were solely due to absorption in the YIG layer, we would\nexpectκint=κabs= (αc/n YIG)(tYIG/L)≈1GHz. The dis-\ncrepancy suggests that other dissipation mechanisms play a\nrole. A likely source is the surface roughness on the GGG top\nsurface, where the crack propagates during lift-off. This c ould\nbe alleviated by post-bonding polishing.\nThe choice of mirror reflectivity was conservative to en-\nsure good coupling to the cavity. If the scattering losses ca n\nbe eliminated, then the mirror reflectivity could be increas ed,\nwhile keeping the system over-coupled. In this case, the min -\nimum possible dissipation rate would be κabs∼1GHz - as\nachieved in WGM cavities [ 6,8].\nVII. BRILLOUIN LIGHT SCATTERING\nNext, we use homodyne detection to measure the magnon-\nscattered light, emitted from the microcavity with opposit e\nlinear polarization to the input. The input laser wavelengt h\nis fixed and set to the lower wavelength optical mode, with\nfrequency ωi. The VNA is used to drive the magnon modes\nvia the microwave antenna, as well as detect the signal at the\nsame frequency from the fast photodiode, where the scattere d\nlight is combined with a local oscillator taken from the inpu t\nlaser.\nA measurement using this method is shown in Fig. 4(a), as a\nfunction of microwave drive frequency and applied magnetic\nfield. When the microwave drive is resonant with a magnon\nmode with frequency ωmwithin the microcavity, the magnons\ncreated scatter with the input optical photons to create opt ical\nphotons at a frequency ωi±ωm. When combined with the\nlocal oscillator ωLO=ωi, and mixed on the photodiode, this\nresults in a microwave signal at ±ωm, resulting in the bright\nlines in Fig. 4(a). The power plotted is the optical power at\nthe photodiode, using the responsivity of the photodiode an d\namplification of the amplifier chain to convert from the mea-\nsured microwave power at the VNA. To check this conversion,\nwe measure the noise equivalent power of the photodiode in\ndarkness, and compare to its specified value.\nTo confirm that the modes result from the embedded mag-\nnetic material, we compare the optical measurement to a stan -\ndard inductive ferromagnetic resonance (FMR). This is made\nvia the reflected microwave power to the output port of the\nVNA, and is shown in Fig. 4(b). The change in microwave re-\nflection coefficient ∆|S11|with magnetic field is plotted over5\na) b)\nFigure 4. (a) Brillouin light scattering signal. The mixed p ower with\nthe local oscillator, incident on the fast photodiode. A mag netic field\nindependent background has been subtracted. (b) Microwave mea-\nsurement of magnetic modes, via |S11|using the vector network an-\nalyzer.\nthe same range as Fig. 4(a). We have confirmed that the res-\nonances in Fig. 4(b) results from the YIG layer in FMR mea-\nsurements over a wider magnetic field. The fact that the slope\nwith magnetic field is the same in both measurements con-\nfirms that the optical signal results from Brillouin light sc at-\ntering in the YIG. The band of resonances also has the same\nupper limit in both measurements. The differences in the re-\nsponse – in particular, that the microwave reflection spectr a\nhas more resonances than the optical BLS – can be explained\nby the fact that, in the inductive measurement, the entire st rip-\nline is probed, whereas the optical measurement is only sens i-\ntive to the region of the YIG film below the lens. The large\nnumber of resonances in the inductive measurement is due\nto strain inhomogeneity across the film from the film trans-\nfer process.\nThe magnon modes observed in the optical measurement\ndepend on overlap with both the microwave and optical fields\n[47]. The Kittel mode has the correct symmetry to fulfill these\nrequirements, and we tentatively assign the strongest scat ter-\ning to this uniform mode. There are two other modes at higher\nfrequency visible in Fig. 4(a). The mode spacing of these is\ntoo large to be due to perpendicular standing spin waves, giv en\nthe thickness of the YIG film [ 48]. A possible candidate for\nthese modes would be magneto-static surface spin waves [ 49]\nwith wavevector set by the width of the microstrip antenna\n[50]. However, the robust identification of these modes re-\nquires further measurement and will be the subject of future\nwork.\nA fit to the Kittel mode in the BLS measurement gives a\nlinewidth of Γ≈20MHz, a value larger than is typical for\nhigh quality YIG thin films [ 51]. This is expected, because the\ncurrent device has imperfections in the YIG layer due to the\nion-implantation process, and strain disorder from the bon d-\ning process, such as the cracks visible in Fig. 1(c). These im-\nperfections can be improved by further fabrication process es.\nFirstly, the damage from ion-implantation can be alleviate d\nvia annealing [ 52]. Secondly, the strain disorder can be re-\nduced by polishing the GGG from the back of the YIG/GGG\nbi-layer. It has also been possible to transfer a YIG layer\ncrack-free.The peak measured optical power of the BLS signal is\n≈1.2 nW. Given the local oscillator power PLO= 65µW and\ninput microwave power 1 mW, the total conversion efficiency\nis calculated to be 8×10−16. This low value is to be ex-\npected, since the microwave coupling and magnon mode over-\nlap in this devices have not been engineered. Therefore, to\nshow the value of design we separate the coupling rate Eq. 1\ninto an optical part Goptand the magnetic fill-factor ηmag,\nG=Gopt√ηmag, and estimate the obtained rate for the fab-\nricated cavity.\nThe optical mode volume for a Gaussian beam can be esti-\nmated as [ 29]\nVopt=πw2\n1L/4, (3)\nwhereLis the cavity optical path length and w1is the\nbeam waist on the flat mirror surface. We estimate w2\n1=\n(λ/π)√βL(1−L/β)in the parallax approximation, where\nβis the radius of curvature of the lens. With the parameters of\nthe measured device, L≈12µm andβ= 70µm, this yields\nVopt≈100µm3. This corresponding to Gopt≈50kHz.\nThe magnon mode overlap in the device measured is poor.\nTaking the whole area of the cracked film part, we estimate\nη∼10−3, reducing the coupling rate to G≈50Hz.\nCompared to the whispering gallery mode Vopt≈\n5000µm3, and the waveguide device of Ref. 13Vopt≈\n105µm3, the optical mode volume achieved here is a signif-\nicant improvement. However, the microwave coupling and\nmagnon confinement are lacking, severely limiting the con-\nversion efficiency. The waveguide device [ 13] has optimized\nmagnon modes ηmag≈1and optimized microwave coupling\nthrough an microwave resonator, and even WGM mode de-\nvices (ηmag≈10−5) benefit from impedance matched mi-\ncrowave coupling to the Kittel mode [ 5].\nVIII. CONCLUSIONS\nWe propose and demonstrate an open magneto-optical cav-\nity device with optical mode volume limited by the thickness\nof an embedded magnetic layer. This design is tunable, has\nthe correct polarized modes, and a significantly reduced opt i-\ncal mode volume compared to previous devices [ 5–7,13].\nWe envisage that simple improvements in the demonstrated\ndevice design should enable the strong-coupling regime to b e\nreached. By removing the GGG from the device via polish-\ning, the cavity length can be reduced to 3 um, and using the\nlowest radius of curvature lens β= 22µm, the resulting mode\nvolume would be Vopt≈7µm3(from Eq. 3). Combining this\nwith lateral patterning of the YIG layer to confine a magnon\nmode to a disk with diameter 5 µm, it should be possible to\nachieveG= 200 kHz using the open microcavity design.\nIf we combine this with the discussed improvements in the\nmagnon and optical linewidth to Γ = 1 MHz and κ= 1GHz,\nrespectively, this would lead to a single photon cooperativ ity\nofC= 4G2/Γκ= 10−4. We would then require an opti-\ncal pump power of ≈5mW to achieve the strong coupling\nregime√nG > κ, Γ. In order to achieve cooling of magnetic6\nmode via optical damping, Γopt= 4nG2/κ[53,54] compa-\nrable to the magnetic damping would require only ≈1µW\ninput power [ 10].\nFinally, it will be necessary to couple microwaves effi-\nciently into the resulting small volume of magnetic materia l.\nElsewhere, we have demonstrated that this is possible using\nlow impedance microwave resonators [ 55]. With careful mi-\ncrowave circuit optimization it is possible to achieve coup ling\nto femtolitre magnetic volumes [ 56], to match that possible\nwith open optical microcavities [ 29].\nAs well as demonstrating progress towards microwave-\noptical conversion [ 4], it is expected that the enhancement of\nthe magnon-photon interaction demonstrated could have sig -\nnificant impact in magnonics [ 57], through the increased mea-\nsurement sensitivity and in optical modification of the magn on\ndynamics. The versatility of the fabrication method meansthat antiferromagnetic materials could also be embedded in\nthe microcavity in order to explore the interaction of optic al\nphotons with THz magnon modes [ 18,58].\nACKNOWLEDGEMENTS\nWe are grateful to Aurilien Trichet and Jason Smith (Ox-\nford HiQ) for advice on open microcavities, Roger Webb\n(Surrey ion beam centre) for assistance with ion implantati on,\nand Miguel Levy, Dries Van Thourhout, Koji Usami, Andreas\nNunnenkamp and Paul Walker for useful discussions. This\nwork was supported by the European Union’s Horizon 2020\nresearch and innovation programme under grant agreement\nNo 732894 (FET Proactive HOT). The data plotted in the fig-\nures can be accessed at the Zenodo repository [ 59].\n[1] Y . Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y . Nakamura, “Hybridizing Ferromagnetic\nMagnons and Microwave Photons in the Quantum Limit,”\nPhys. Rev. Lett. 113, 083603 (2014) .\n[2] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifens tein,\nA. Marx, R. Gross, and S. T. B. Goennenwein, “High Coopera-\ntivity in Coupled Microwave Resonator Ferrimagnetic Insul ator\nHybrids,” Phys. Rev. Lett. 111, 127003 (2013) .\n[3] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang,\n“Strongly Coupled Magnons and Cavity Microwave Photons,”\nPhys. Rev. Lett. 113, 156401 (2014) .\n[4] R. Hisatomi, A. Osada, Y . Tabuchi, T. Ishikawa, A. Noguch i,\nR. Yamazaki, K. Usami, and Y . Nakamura, “Bidirectional\nconversion between microwave and light via ferromagnetic\nmagnons,” Phys. Rev. B 93, 174427 (2016) .\n[5] A. Osada, R. Hisatomi, A. Noguchi, Y . Tabuchi, R. Yamazak i,\nK. Usami, M. Sadgrove, R. Yalla, M. Nomura, and Y . Naka-\nmura, “Cavity Optomagnonics with Spin-Orbit Coupled Pho-\ntons,” Phys. Rev. Lett. 116, 223601 (2016) .\n[6] X. Zhang, N. Zhu, C.-L. Zou, and H. X. Tang,\n“Optomagnonic Whispering Gallery Microresonators,”\nPhys. Rev. Lett. 117, 123605 (2016) .\n[7] J. A. Haigh, A. Nunnenkamp, A. J. Ramsay, and A. J. Fergu-\nson, “Triple-Resonant Brillouin Light Scattering in Magne to-\nOptical Cavities,” Phys. Rev. Lett. 117, 133602 (2016) .\n[8] J. A. Haigh, S. Langenfeld, N. J. Lambert, J. J. Baum-\nberg, A. J. Ramsay, A. Nunnenkamp, and A. J. Ferguson,\n“Magneto-optical coupling in whispering-gallery-mode re s-\nonators,” Phys. Rev. A 92, 063845 (2015) .\n[9] N. J. Lambert, A. Rueda, F. Sedlmeir, and H. G. L.\nSchwefel, “Coherent Conversion Between Microwave and Op-\ntical Photons—An Overview of Physical Implementations,”\nAdv. Quantum Technol. 3, 1900077 (2020) .\n[10] S. Sharma, Y . M. Blanter, and G. E. W. Bauer, “Optical Coo ling\nof Magnons,” Phys. Rev. Lett. 121, 087205 (2018) .\n[11] F. ˇSimi´ c, S. Sharma, Y . M. Blanter, and G. E. W. Bauer,\n“Coherent pumping of high-momentum magnons by light,”\nPhys. Rev. B 101, 100401(R) (2020) .\n[12] S. Sharma, B. Z. Rameshti, Y . M. Blanter, and G. E. W.\nBauer, “Optimal mode matching in cavity optomagnonics,”\nPhys. Rev. B 99, 214423 (2019) .[13] N. Zhu, X. Zhang, X. Han, C.-L. Zou, C. Zhong, C.-H. Wang,\nL. Jiang, and H. X. Tang, “Waveguide cavity optomagnon-\nics for broadband multimode microwave-to-optics conversi on,”\narXiv:2005.06429 (2020), arXiv:2005.06429 .\n[14] S. Viola Kusminskiy, H. X. Tang, and F. Marquardt,\n“Coupled spin-light dynamics in cavity optomagnonics,”\nPhys. Rev. A 94, 033821 (2016) .\n[15] K. J. Vahala, “Optical microcavities,” Nature 424, 839 (2003) .\n[16] F. Heyroth, C. Hauser, P. Trempler, P. Geyer, F. Sy-\nrowatka, R. Dreyer, S. G. Ebbinghaus, G. Woltersdorf,\nand G. Schmidt, “Monocrystalline Freestanding Three-\nDimensional Yttrium-Iron-Garnet Magnon Nanoresonators, ”\nPhys. Rev. Applied 12, 054031 (2019) .\n[17] H. Yu, Y . Peng, Y . Yang, and Z.-Y . Li, “Plasmon-\nenhanced light–matter interactions and applications,”\nNpj Comput. Mater. 5, 1 (2019) .\n[18] S. Schlauderer, C. Lange, S. Baierl, T. Ebnet, C. P.\nSchmid, D. C. Valovcin, A. K. Zvezdin, A. V . Kimel,\nR. V . Mikhaylovskiy, and R. Huber, “Temporal and spec-\ntral fingerprints of ultrafast all-coherent spin switching ,”\nNature 569, 383 (2019) .\n[19] N. Maccaferri, I. Zubritskaya, I. Razdolski, I.-A.\nChioar, V . Belotelov, V . Kapaklis, Peter M. Oppe-\nneer, and A. Dmitriev, “Nanoscale magnetophotonics,”\nJournal of Applied Physics 127, 080903 (2020) .\n[20] M. Trupke, E. A. Hinds, S. Eriksson, E. A. Curtis, Z. Mok-\ntadir, E. Kukharenka, and M. Kraft, “Microfabricated high-\nfinesse optical cavity with open access and small volume,”\nAppl. Phys. Lett. 87, 211106 (2005) .\n[21] T. Steinmetz, Y . Colombe, D. Hunger, T. W. H¨ ansch, A. Ba loc-\nchi, R. J. Warburton, and J. Reichel, “Stable fiber-based Fab ry-\nP´ erot cavity,” Appl. Phys. Lett. 89, 111110 (2006) .\n[22] A. Muller, E. B. Flagg, M. Metcalfe, J. Lawall,\nand G. S. Solomon, “Coupling an epitaxial quan-\ntum dot to a fiber-based external-mirror microcavity,”\nAppl. Phys. Lett. 95, 173101 (2009) .\n[23] G. Cui, J. M. Hannigan, R. Loeckenhoff, F. M. Matinaga,\nM. G. Raymer, S. Bhongale, M. Holland, S. Mosor, S. Chat-\nterjee, H. M. Gibbs, and G. Khitrova, “A hemispherical,\nhigh-solid-angle optical micro-cavity for cavity-QED stu dies,”\nOpt. Express, OE 14, 2289 (2006) .7\n[24] M. Trupke, J. Goldwin, B. Darqui´ e, G. Dutier, S. Erikss on,\nJ. Ashmore, and E. A. Hinds, “Atom Detection and Pho-\nton Production in a Scalable, Open, Optical Microcavity,”\nPhys. Rev. Lett. 99, 063601 (2007) .\n[25] R. Albrecht, A. Bommer, C. Deutsch, J. Reichel, and\nC. Becher, “Coupling of a Single Nitrogen-Vacancy\nCenter in Diamond to a Fiber-Based Microcavity,”\nPhys. Rev. Lett. 110, 243602 (2013) .\n[26] D. Wang, H. Kelkar, D. Martin-Cano, T. Utikal, S. G¨ otzi nger,\nand V . Sandoghdar, “Coherent Coupling of a Sin-\ngle Molecule to a Scanning Fabry-Perot Microcavity,”\nPhys. Rev. X 7, 021014 (2017) .\n[27] S. Dufferwiel, S. Schwarz, F. Withers, A. a. P. Trichet, F. Li,\nM. Sich, O. Del Pozo-Zamudio, C. Clark, A. Nalitov, D. D.\nSolnyshkov, G. Malpuech, K. S. Novoselov, J. M. Smith, M. S.\nSkolnick, D. N. Krizhanovskii, and A. I. Tartakovskii, “Exc i-\nton–polaritons in van der Waals heterostructures embedded in\ntunable microcavities,” Nat. Commun. 6, 8579 (2015) .\n[28] R. J. Barbour, P. A. Dalgarno, A. Curran, K. M.\nNowak, H. J. Baker, D. R. Hall, N. G. Stoltz, P. M.\nPetroff, and R. J. Warburton, “A tunable microcavity,”\nJournal of Applied Physics 110, 053107 (2011) .\n[29] P. R. Dolan, G. M. Hughes, F. Grazioso, B. R. Patton,\nand J. M. Smith, “Femtoliter tunable optical cavity arrays, ”\nOpt. Lett. 35, 3556 (2010) .\n[30] Oxford HiQ https://www.oxfordhighq.com/ .\n[31] A. A. P. Trichet, P. R. Dolan, D. M. Coles, G. M. Hughes, an d\nJ. M. Smith, “Topographic control of open-access microcavi ties\nat the nanometer scale,” Opt. Express, OE 23, 17205 (2015) .\n[32] T. Goto, Y . Eto, K. Kobayashi, Y . Haga, M. Inoue, and C. A.\nRoss, “Vacuum annealed cerium-substituted yttrium iron ga rnet\nfilms on non-garnet substrates for integrated optical circu its,”\nJournal of Applied Physics 113, 17A939 (2013) .\n[33] T. Yoshimoto, T. Goto, R. Isogai, Y . Nakamura, H. Takagi ,\nC. A. Ross, and M. Inoue, “Magnetophotonic crystal with\ncerium substituted yttrium iron garnet and enhanced Farada y\nrotation angle,” Opt. Express, OE 24, 8746 (2016) .\n[34] M. Levy, R. M. Osgood, A. Kumar, and H. Bakhru, “Epitaxia l\nliftoff of thin oxide layers: Yttrium iron garnets onto GaAs ,”\nAppl. Phys. Lett. 71, 2617 (1997) .\n[35] Innovent Jena https://www.innovent-jena.de/ .\n[36] Ion implantation was carried out at University of Surre y Ion\nBeam Centre.\n[37] M. Levy, R. M. Osgood, A. Kumar, and H. Bakhru,\n“Crystal ion slicing of single-crystal magnetic garnet film s,”\nJournal of Applied Physics 83, 6759 (1998) .\n[38] M. Levy and R. M. Osgood, “Crystal ion-slicing of single -\ncrystal films,” US Pat. , US6120597A (2000).\n[39] “CYCLOTENETM3000 Series Advanced Electronics Resins,”\nDow Chem. Appl. Note (2012).\n[40] F. Niklaus, P. Enoksson, E. K¨ alvesten, and G. Stemme,\n“Low-temperature full wafer adhesive bonding,”\nJ. Micromech. Microeng. 11, 100 (2001) .\n[41] T. M. Stokich, C. C. Fulks, M. T. Bernius, D. C. Burdeaux, P. E.\nGarrou, and R. H. Heistand, “Planarization with CycloteneTM\n3022 (BCB) Polymer Coatings,” MRS Online Proc. Libr. Arch.\n308(1993).\n[42] Y .-G. Zhao, W.-K. Lu, Y . Ma, S.-S. Kim, S. T. Ho,\nand T. J. Marks, “Polymer waveguides useful over a very\nwide wavelength range from the ultraviolet to infrared,”\nAppl. Phys. Lett. 77, 2961 (2000) .[43] G. Roelkens, J. Brouckaert, D. Van Thourhout, R. Baets,\nR. N¨ otzel, and M. Smit, “Adhesive Bonding of InP / InGaAsP\nDies to Processed Silicon-On-Insulator Wafers using DVS-b is-\nBenzocyclobutene,” J. Electrochem. Soc. 153, G1015 (2006) .\n[44] “Processing Procedures for BCB adhesion,” Dow Chem. Ap pl.\nNote (2007).\n[45] R. V . Pisarev, I. G. Sinii, N. N. Kolpakova, and Yu M. Yako vlev,\n“Magnetic birefringence of light in iron garnets,” Sov Phys\nJETP 33, 1175 (1971).\n[46] N. Ismail, C. C. Kores, D. Geskus, and M. Poll-\nnau, “Fabry-P´ erot resonator: Spectral line shapes, gener ic\nand related Airy distributions, linewidths, finesses, and\nperformance at low or frequency-dependent reflectivity,”\nOpt. Express, OE 24, 16366 (2016) .\n[47] J. A. Haigh, N. J. Lambert, S. Sharma, Y . M. Blanter,\nG. E. W. Bauer, and A. J. Ramsay, “Selection rules for\ncavity-enhanced Brillouin light scattering from magnetos tatic\nmodes,” ArXiv180400965 Cond-Mat Physicsphysics (2018),\narXiv:1804.00965 [cond-mat, physics:physics] .\n[48] S. Klingler, A. V . Chumak, T. Mewes, B. Khodadadi,\nC. Mewes, C. Dubs, O. Surzhenko, B. Hillebrands, and\nA. Conca, “Measurements of the exchange stiffness of YIG\nfilms using broadband ferromagnetic resonance techniques, ”\nJ. Phys. D: Appl. Phys. 48, 015001 (2014) .\n[49] R. W. Damon and J. R. Eshbach, “Mag-\nnetostatic modes of a ferromagnet slab,”\nJournal of Physics and Chemistry of Solids 19, 308 (1961) .\n[50] P. Andrich, C. F. de las Casas, X. Liu, H. L. Bretscher,\nJ. R. Berman, F. J. Heremans, P. F. Nealey, and\nD. D. Awschalom, “Long-range spin wave medi-\nated control of defect qubits in nanodiamonds,”\nNpj Quantum Inf. 3(2017), 10.1038/s41534-017-0029-z .\n[51] C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky, U. Br¨ uc k-\nner, and J. Dellith, “Sub-micrometer yttrium iron gar-\nnet LPE films with low ferromagnetic resonance losses,”\nJ. Phys. D: Appl. Phys. 50, 204005 (2017) .\n[52] F. J. Rachford, M. Levy, R. M. Osgood, A. Ku-\nmar, and H. Bakhru, “Magnetization and ferromag-\nnetic resonance studies in implanted and crystal ion\nsliced bismuth-substituted yttrium iron garnet films,”\nJournal of Applied Physics 85, 5217 (1999) .\n[53] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt, “Cav ity\noptomechanics,” Rev. Mod. Phys. 86, 1391 (2014) .\n[54] V . A. S. V . Bittencourt, V . Feulner, and S. Viola Kus-\nminskiy, “Magnon heralding in cavity optomagnonics,”\nPhys. Rev. A 100, 013810 (2019) .\n[55] L. McKenzie-Sell, J. Xie, C.-M. Lee, J. W. A. Robinson, C . Cic-\ncarelli, and J. A. Haigh, “Low-impedance superconducting m i-\ncrowave resonators for strong coupling to small magnetic mo de\nvolumes,” Phys. Rev. B 99, 140414(R) (2019) .\n[56] V . Ranjan, S. Probst, B. Albanese, T. Schenkel, D. Vion,\nD. Esteve, J. J. L. Morton, and P. Bertet, “Electron spin\nresonance spectroscopy with femtoliter detection volume, ”\nAppl. Phys. Lett. 116, 184002 (2020) .\n[57] V . V . Kruglyak, S. O. Demokritov, and D. Grundler, “Magn on-\nics,” J. Phys. D: Appl. Phys. 43, 264001 (2010) .\n[58] J. Walowski and M. M¨ unzenberg, “Perspec-\ntive: Ultrafast magnetism and THz spintronics,”\nJournal of Applied Physics 120, 140901 (2016) .\n[59]https://doi.org/10.5281/zenodo.4012308 ." }, { "title": "1911.09400v1.Low_damping_and_microstructural_perfection_of_sub_40nm_thin_yttrium_iron_garnet_films_grown_by_liquid_phase_epitaxy.pdf", "content": " 1 Low damping and microstructural perfection of sub-4 0nm-thin \nyttrium iron garnet films grown by liquid phase epi taxy \n \nCarsten Dubs, 1* Oleksii Surzhenko, 1 Ronny Thomas, 2 Julia Osten, 2 Tobias Schneider, 2 Kilian Lenz, 2 \nJörg Grenzer, 2 René Hübner, 2 Elke Wendler 3 \n \n1 INNOVENT e.V. Technologieentwicklung, Prüssingstr. 27B, 07745 Jena, Germany \n2 Institute of Ion Beam Physics and Materials Resear ch, Helmholtz-Zentrum Dresden-Rossendorf, \nBautzner Landstr. 400, 01328 Dresden, Germany \n3 Institut für Festkörperphysik, Friedrich-Schiller- Universität Jena, Helmholtzweg 3, 07743 Jena, \nGermany \n* Correspondence: cd@innovent-jena.de \n \nThe field of magnon spintronics is experiencing an increasing interest in the development of \nsolutions for spin-wave-based data transport and pr ocessing technologies that are complementary or \nalternative to modern CMOS architectures. Nanometer -thin yttrium iron garnet (YIG) films have \nbeen the gold standard for insulator-based spintron ics to date, but a potential process technology tha t \ncan deliver perfect, homogeneous large-diameter fil ms is still lacking. We report that liquid phase \nepitaxy (LPE) enables the deposition of nanometer-t hin YIG films with low ferromagnetic \nresonance losses and consistently high magnetic qua lity down to a thickness of 20 nm. The obtained \nepitaxial films are characterized by an ideal stoic hiometry and perfect film lattices, which show \nneither significant compositional strain nor geomet ric mosaicity, but sharp interfaces. Their \nmagneto-static and dynamic behavior is similar to t hat of single crystalline bulk YIG. We found, \nthat the Gilbert damping coefficient α is independent of the film thickness and close to 1 × 10 -4, and \nthat together with an inhomogeneous peak-to-peak li newidth broadening of ∆H0|| = 0.4 G, these \nvalues are among the lowest ever reported for YIG f ilms with a thickness smaller than 40 nm. These \nresults suggest, that nanometer-thin LPE films can be used to fabricate nano- and micro-scaled \ncircuits with the required quality for magnonic dev ices. The LPE technique is easily scalable to YIG \nsample diameters of several inches. \n \n \nI. INTRODUCTION \n \nYttrium iron garnet (Y 3Fe 5O12 ; YIG) in the micrometer thickness range is the mat erial of choice in \nradio-frequency (RF) engineering for decades (see, e.g., Refs. [1-5]). Especially the lowest spin \nwave loss of all known magnetic materials and the f act, that it is a dielectric are of decisive \nimportance. Since one has learned how to grow YIG f ilms in the nanometer thickness range, there \nhas been a renaissance of this material, as its mag netic and microwave properties are in particular \ndemand in many areas of modern physics. \nA growing field of application for magnetic garnets is (i) magnonics, which deals with future \npotential devices for data transfer and processing using spin waves [1,6-9]. The significant thickness \nreduction achieved today allows reducing the circui t sizes from classical millimeter dimensions [1] \ndown to 50 nm [10-12] . Another important field is (ii) spintronics: By in creasing the YIG surface-\nto-volume ratio as much as possible (while keeping its magnetic properties), physical phenomena, \nsuch as the inverse spin Hall effect [13], spin-tra nsfer torque [14], and the spin Seebeck effect [15] \n(generated by a spin angular momentum transfer at t he interfaces between YIG and a nonmagnetic \nmetallic conductor layer) become much more efficien t [7,16-29]. Also (iii) the field of terahertz \nphysics, which uses ultrafast spin dynamics to cont rol ultrafast magnetism, for example for potential 2 terahertz spintronic devices [30,31,32], and (iv) t he field of low-temperature physics, which deals \nwith magnetization dynamics at cryogenic temperatur es [33 ] for prospective quantum computer \nsystems, are possible fields of applications for na nometer-thin iron garnet films. \nThere are several different techniques to grow YIG on different substrates. (i) Pulsed laser \ndeposition (PLD) is an excellent technique for fabr icating small samples of nanometer-thin YIG \nfilms with narrow ferromagnetic resonance (FMR) lin ewidths [17,19,21,22,28,34-36] whereas its \nup-scaling to larger sample dimensions of several i nches is challenging. (ii) Magnetron sputtered \nYIG usually yields wider FMR linewidths, and inhomo geneous line broadening is frequently \nobserved [37-40]. (iii) For large-scale, low-cost c hemical solution techniques, such as spin coating, \nstrongly broadened FMR linewidths and increased Gil bert damping parameters were reported \n[41,42]. (iv) Liquid phase epitaxy (LPE) from high- temperature solutions (flux melts), is a well-\nestablished technique. Since nucleation and crystal growth take place under almost thermodynamic \nequilibrium conditions, this guarantees high qualit y with respect to narrow absolute FMR linewidths \nand a small Gilbert damping coefficient [43-45] at the same time, making LPE comparable or \nsuperior to the other growth techniques. In additio n, LPE allows YIG to be deposited in the required \nquality on 3- or 4-inch wafers [46]. This is import ant for possible applications mentioned above. \nSo far, classical LPE was applied to grow micromete r-thick samples used for magneto-static \nmicrowave devices [47,48] or for magneto-optical im aging systems [49]. The typical shortcomings \nof the LPE technology making thin-film growth so di fficult lie in the fact, that, due to high growth \nrates, nanometer-thin films were technologically di fficult to access. The etch-back processes in high-\ntemperature solutions or interdiffusion processes a t the substrate/film interface at high temperatures \nusually prevent sharp interfaces. In addition, film contamination by flux melt constituents (if it is not \na self-flux without foreign components) is unavoida ble in most cases. Nevertheless, it was recently \ndemonstrated, that epitaxial films of 100 nm or thi nner are also accessible with this technique \n[50,51]. \nIn this study, we will show that we are able to dep osit nanometer-thin YIG LPE films with low FMR \nlosses and consistently high magnetic quality down to a thickness of 20 nm. There is no thinnest \n\"ultimate\" thickness for iron garnet LPE films, as it is sometimes claimed. \nIt should be pointed out, that, in addition to the damping properties, magnetic anisotropy \ncontributions as a function of the sample stoichiom etry and film/substrate pairing are also of great \nimportance, since they determine the static and dyn amic magnetization of the epitaxial iron garnet \nfilms and thus their possible applications. For exa mple, large negative uniaxial anisotropy fields \nwere usually observed for garnet films under compre ssion, such as for YIG on gadolinium gallium \ngarnet (Gd 3Ga 5O12 ; GGG) or other suitable substrates with smaller latt ice parameters grown by gas \nphase deposition techniques (see e.g. Refs. [35,36, 52-57]), which favors in-plane magnetization. \nLarge perpendicular magnetic anisotropies, on the o ther hand, can be found for films under tensile \nstrain, e.g. on substrates with larger lattice para meter or for rare earth iron garnet films with smal ler \nlattice parameter than GGG (see e.g. Refs. [58-62] ). Between these two extremes are YIG LPE \nfilms, which are usually grown on standard GGG subs trates and exhibit small tensile strain if no \nlattice misfit compensation, e.g. by La ion substit ution [50,63], has been performed. Such films are \ncharacterized by a small uniaxial magnetic anisotropy and dominan t shape anisotropy when no \nlarger growth–induced anisotropy contributions due to Pb or Bi substitution occurs [64]. \nHowever, only little information about the structur al properties and the thickness-dependent \nmagnetic anisotropy contributions of nanometer-thin LPE films has been published so far, which is \nwhy we are concentrating on these properties for YI G films with thicknesses down to 10 nm. This \nallowed us to describe the intrinsic damping behavi or over a wide frequency range and to determine \na set of magnetic anisotropy parameters for all inv estigated films. \n \n 3 II. EXPERIMENTAL DETAILS \n \nNanometer-thin YIG films were deposited on 1-inch ( 111) GGG substrates by LPE from PbO-B 2O3-\nbased high-temperature solutions (HTL) at about 865 °C using the isothermal dipping method (see \ne.g. [65]). Nominally pure Y 3Fe 5O12 films with smooth surfaces were obtained within on e minute \ndeposition time on horizontally rotated substrates with rotation rates of 100 rpm. The only variable \ngrowth parameter for all samples in this study was the degree of undercooling ( ∆T = TL-Tepitaxy ) that \nwas restricted to ∆T ≤ 5 K to obtain films with thicknesses between 10 an d 110 nm. Here TL is the \nliquidus temperature of the high-temperature soluti on and Tepitaxy is the deposition temperature. After \ndeposition, the samples were pulled out of the solu tion followed by a spin-off of most of the liquid \nmelt remnants at 1000 rpm, pulled out of the furnac e and cooled down to room temperature. \nSubsequently, the sample holder had to be stored wi th the sample in a diluted, hot nitric-acetic-acid \nsolution to remove the rest of the solidified solut ion residues. Finally, the reverse side YIG film of \nthe doubled-sided grown samples was removed by mech anical polishing and samples were cut into \nchips of different sizes by a diamond wire saw. The film thicknesses were determined by X-ray \nreflectometry (XRR) and by high-resolution X-ray di ffraction (HR-XRD) analysis, and the latter \ndata were used to calculate anisotropy and magnetiz ation values. \nAtomic force microscopy (AFM) using a Park Scientif ic M5 instrument was carried out for each \nsample at three different regions over 400 µm2 ranges to determine the root-mean-square (RMS) \nsurface roughness. \nThe XRR measurements were carried out using a PANan alytical/X-Pert Pro system. For the HR-\nXRD investigations, a Seifert-GE XRD3003HR diffract ometer using a point focus was equipped \nwith a spherical 2D Göbel mirror and a Bartels mono chromator on the source side. Both systems use \nCu Kα1 radiation. Reciprocal space maps (RSMs) were measu red with the help of a position-sensitive \ndetector (Mythen 1k) at the symmetric (444) and (88 8) as well as the asymmetric (088), (624), and \n(880) reflections. To obtain the highest possible a ngular resolution for symmetric θ−2 θ line scans, a \ntriple-axis analyzer in front of a scintillation co unter was installed on the detector. Using a recurs ive \ndynamical algorithm implemented in the commercial p rogram RC_REF_Sim_Win [66], the vertical \nlattice misfits were calculated. \nRutherford backscattering spectrometry (RBS) was ap plied to investigate the composition of the \ngrown YIG films using 1.8 MeV He ions and a backsca ttering angle of 168°. Backscattering events \nwere registered with a common Si detector. The ener gy calibration of the multichannel analyzer \nrevealed 3.61 keV per channel. A thin carbon layer was deposited on top of the samples to avoid \ncharging during analysis. The samples were tilted b y 5° with respect to the incoming He ion beam \nand rotated around the axis perpendicular to the sa mple surface in order to obtain reliable random \nspectra. The analysis of the measured spectra was p erformed by a home-made software [67] based \non the computer code NDF [68] and then enables the calculation of the RBS spectra. The measured \ndata were fitted by calculated spectra to extract t he film composition. In this way, the Fe-to-Y ratio \nof the films was determined. Because of the low mas s of oxygen, the O signal of the deposited films \nis too low for quantitative analysis. \nHigh-resolution transmission electron microscopy (H R-TEM) investigations were performed with \nan image C s-corrected Titan 80-300 microscope (FEI) operated a t an accelerating voltage of 300 kV. \nHigh-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) imaging \nand spectrum imaging analysis based on energy-dispe rsive X-ray spectroscopy (EDXS) were done \nat 200 kV with a Talos F200X microscope equipped wi th a Super-X EDXS detector system (FEI). \nPrior to TEM analysis, the specimen mounted in a hi gh-visibility low-background holder was placed \nfor 10 s into a Model 1020 Plasma Cleaner (Fischion e) to remove possible contaminations. Classical \ncross-sectional TEM-lamella preparation was done by sawing, grinding, polishing, dimpling, and 4 final Ar-ion milling. Quantification of the element maps including Bremsstrahlung background \ncorrection based on the physical TEM model, series fit peak deconvolution, and application of \ntabulated theoretical Cliff-Lorimer factors as well as absorption correction was done for the \nelements Y (K α line), Fe (K α line), Gd (L α line), Ga (K α line), O (K line), and C (K line) using the \nESPRIT software version 1.9 (Bruker). \nThe ferromagnetic resonance (FMR) absorption spectr a were taken on two different setups. The \nfrequency-swept measurements were recorded on a Roh de & Schwarz ZVA 67 vector network \nanalyzer attached to a broadband stripline. The YIG /GGG sample was mounted face-down on the \nstripline, and the transmission signals S21 and S12 were recorded using a source power of -10 dBm (= \n0.1 mW). The microwave frequency was swept across t he resonance frequency fres , while the in-\nplane magnetic field H remained constant. Each recorded frequency spectru m was fitted by a \nLorentz function and allowed us to define the reson ance frequency fres and the frequency linewidth \nΔfFWHM corresponding to the applied field H = Hres . \nIn addition, field-swept measurements were carried out with another setup using an Agilent E8364B \nvector network analyzer and an 80-µm-wide coplanar waveguide. Again, the microwave \ntransmission parameter S21 was recorded as the FMR signal. This time, the mic rowave frequency \nwas kept constant and the external magnetic field w as swept through resonance. This facilitates \ntracking the FMR signals over large frequency range s. The microwave power was set to 0 dBm (= \n1 mW). In addition, this setup allowed for azimutha l and polar angle-dependent measurements to \ndetermine the anisotropy and damping contributions in detail. The FMR spectra were fitted by a \ncomplex Lorentz function to retrieve the resonance field Hres and field-swept peak-to-peak linewidth \nΔHpp . By fitting the four sets of resonance field data, i.e. (i) the in-plane and (ii) the perpendicular-\nto-plane frequency dependence as well as (iii) the azimuthal and (iv) polar angular dependences at f \n= 10 GHz, with the resonance equation for the cubic (111) garnet system, a consistent set of \nanisotropy parameters was determined for each sampl e. In addition, the damping parameters and \ncontributions were determined from the frequency- a nd angle-dependent linewidth data. \nThe vibrating sample magnetometer (VSM, MicroSense LLC, EZ-9) was used to measure the \nmagnetic moments of the YIG/GGG samples magnetized along the YIG film surface. The external \nmagnetic field H was controlled within an error of ≤0.01 Oe. To est imate the volume magnetization \nM of the YIG films, the raw VSM signal was corrected from background contributions (due to the \nsample holder and the GGG substrate) and normalized to the YIG volume. The Curie temperatures \nTC for the YIG samples were determined by zero-extrap olation of the temperature dependencies M \n(H=const, T) measured in small in-plane magnetic fields. In or der to verify the Curie temperatures \nmeasured by VSM, a differential thermal analysis of a 0.55 mm thick YIG single crystal slice was \ncarried out and then used as a reference sample for the VSM temperature calibration. \n \n \nIII. RESULTS AND DISCUSSION \n \nA. Microstructural properties of nanometer-thin YIG films \n \nThe thickness values reported in this study are der ived from the Laue oscillations observed in the θ-\n2θ patterns of the high-resolution X-ray diffraction (HR-XRD) measurements and are confirmed by \nX-ray reflectivity (XRR) measurements (see Fig. 1). The differences between both methods for \ndetermining the film thickness are in the range of ±1 nm. The surface roughness of the films, \nmeasured by atomic force microscopy (AFM) reveals R MS values ranging between 0.2 and 0.4 nm, \nindependent of the film thickness. Sometimes, howev er, partial remnants of dendritic overgrowth 5 increase the surface roughness to RMS values above 0.4 nm for inspection areas larger than 400 µm2 \n(see, e.g., the disturbance in the top right corner of the AFM image inset in Fig. 1). \n-0.5 0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 10 010 110 210 310 410 510 610 710 810 910 10 \n \n t = 10.6 nm Intensity (arb.units) \nIncidence angle [deg] 20 \nµm15 \n10 \n5\n010 15 20 5 0µmnm 1.5 \n 1.0 \n 0.5 \n 0.0 \n-0.5 \n-1.0 \n-1.5 \n t = 21.5 nm t = 30.9 nm t = 43.2 nm \n \nFIG. 1. XRR plots of LPE-grown YIG films of differe nt thicknesses. Solid lines correspond to the \nexperimental data, while dashed lines represent the fitted curves. The spectra shifted vertically for \nease of comparison. The inset shows an AFM image of the surface topography of the 11 nm YIG \nfilm with a RMS roughness of 0.4 nm. \n \n \n1. Epitaxial perfection studied by high-resolution X-ray diffraction \n \nCombined high-resolution reciprocal space map (HR-R SM) investigations around asymmetric and \nsymmetric Bragg reflections are useful to evaluate the intergrowth relations of epitaxial films on \nsingle-crystalline substrates as well as to disting uish between lattice strain induced by the film \nlattice distortion or compositional changes due to stoichiometric deviations. \n33.10 33.15 33.20 46.0 46.5 47.0 47.5 48.0 48.5 49.0 \nQx (nm -1 )Qz (nm -1 )\n(088) \nYIG (GGG) \nt = 21 nm 5E0 5E1 5E2 5E3 5E4 5E5 5E6 Intensity (a) \n-0.1 0 0.1 34.5 35.0 35.5 36.0 \nQx (nm -1 )Qz (nm -1 ) (444) \n 6 0 20 40 60 80 100 46810 \n118.5 119.0 119.5 120.0 10 110 210 310 410 510 6\n t = 9 nm \n t = 11 nm \n t = 21 nm \n t = 30 nm \n t = 42 nm \n t = 106 nm (888) \nYIG / GGG \nScattering angle θ− 2θ (deg) Intensity (counts) (b) \nOut-of-plane misfit \n-δd⊥\nfilm [10 -4]\nFilm thickness (nm) \n \nFIG. 2. (a) Combined high-resolution reciprocal spa ce maps around the asymmetric YIG/GGG \n(088) Bragg reflection of a 21-nm-thin single-cryst alline YIG LPE film. The inset shows the \ncorresponding symmetric YIG/GGG (444) peak: measure ments were carried out using a position-\nsensitive detector. (b) HR-XRD triple-axis θ–2θ scans around the symmetric YIG/GGG (888) peak \nfor various film thicknesses. The inset shows the ( vertical) out-of-plane misfit vs. film thickness (t he \nsolid line is a guide to the eyes). \n \nFigure 2(a) shows the HR-RSM of the 21 nm YIG film grown on GGG (111) substrate, measured at \nthe asymmetric (088) reflection in steep incidence, indicating that both the film and the substrate \nBragg peak positions are almost identical. Besides the nearly symmetrical intensity distribution \nalong the [111] out-of-plane direction (i.e. the Qz axis), there is only very weak diffuse scattering \nclose to the Bragg peak visible, pointing towards a nearly perfect crystal lattice without significant \ncompositional strain or geometric mosaicity. In add ition, no shift of in-plane (the Qx axis) film \nBragg peak position with respect to the substrate i s observed. This behavior indicates a fully straine d \npseudomorphic film growth with a perfect coherent i n-plane lattice match with the GGG substrate. \nThe pattern of the diffuse scattering observed alon g the Qx axis of the symmetric (444) reflection \n(inset in Fig. 2(a)) is very similar to the one fou nd for a comparable GGG substrate (not shown), \nindicating that the defect structure of the system is mainly defined by the substrate and/or substrate \nsurface. Within the experimental error of ∆Q/Q ∼ 5×10 −6 nm -1 of the high-resolution diffractometer, \nthe same performance was found for all investigated LPE films with thicknesses below 100 nm, \nclearly demonstrating coherent YIG film growth with out signs of film relaxation. \nHigh-resolution triple-axis coupled θ–2θ scans at the (888) and (444) symmetrical reflectio ns \n(angular accuracy better than 1.5\") were carried ou t to define the strain and film thicknesses of the \nYIG films. Figure 2(b) shows the results obtained a t the (888) reflection. Under these conditions, the \nBragg reflection of the 106 nm thick YIG layer is c learly visible as a shoulder of the (888) GGG \nsubstrate reflection at higher diffraction angles a nd this indicates a smaller out-of-plane value for the \nlattice parameter d888 than for the GGG substrate. This is characteristic for tensely stressed “pure” \nYIG LPE films [50,63]. For LPE films with a thickne ss significantly less than 100 nm, however, \nonly simulations can provide the structural paramet ers. For this reason, the diffracted signals shown \nin Fig. 2(b) were simulated and fitted. Using the b est fit of both, the (444) and (888) reflections, t he \nout-of-plane lattice misfit values ( )⊥ ⊥ ⊥ ⊥ ⊥ ⊥∆ − = − = QQ d d d d / /substrate substrate film filmδ were determined (see \nTable 1). Assuming a fully pseudomorphic [111]-orie nted system, the in-plane stress of the YIG \nfilm can be calculated by σ′|| = -2c 44 δd⊥\nfilm (see the Supplemental Material [69 ] for a detailed \nderivation and references therein [61,70,71]). The in-plane biaxial ε|| and out-of-plane uniaxial ε⊥ 7 strains can be calculated as well using the stiffne ss tensor components c11 , c12 , and c44 for which we \nuse averaged values taken from [72,73] (see also Su pplemental Material [69 ]). The resulting \nparameters are listed in Table I. \nThe inset in Fig. 2(b) shows the out-of-plane misfi t as a function of the film thickness. A weak \nmonotonous increase of ⊥\nfilmdδ with decreasing film thickness is observed between 106 nm and \n21 nm. The same behavior was reported by Ortiz et al . [61] for compressively strained EuIG and \nTbIG PLD-grown films with film thicknesses down to 4 nm and 5 nm, respectively. However, for \nour thinnest LPE films with t ∼ 10 nm, the out-of-plane misfit rapidly drops. Such a significant \nchange of the misfit with respect to the film thick ness was only mentioned for considerably \ncompressively strained YIG PLD films by O. d’Allivy Kelly et al. [17]. They assume, that this \neffect indicated a critical film thickness (below 1 5 nm) for strain relaxation, but did not explain it in \ntheir letter. \nFor semiconductor LPE films, however, it is known, that interdiffusion processes at the \nfilm/substrate interfaces can generate continuous c omposition profiles in the diffusion zone without \nabrupt changes in the lattice parameters, which lea d to modified stress profiles depending on the \nthickness of the epilayers (see e.g. [74]). A possi ble explanation for the observed behavior could \ntherefore be the presence of a smoothly changing la ttice parameter value in the interface region. \nSuch composition profiles have recently been discus sed for YIG films grown on GGG substrates by \nhigh-temperature and long-time laser MBE deposition experiments [75] , and transition layer \nthicknesses have been modeled based on polarized ne utron and X-ray reflectometry techniques. The \nprobability of the existence of such a thin continu ous transition layer and its influence on the \nmagneto-static film properties will be discussed be low. \n \nTABLE I. Structural parameters of the YIG LPE films grown on GGG (111) substrates: film \nthickness t measured by HR-XRD, RMS roughness obtained by AFM, vertical lattice misfit ⊥\nfilmdδ \nobtained by HR-XRD, in-plane strain ε|| and out-of-plane strain ε⊥ and the resulting in-plane stress σ′||. \n \nt \n(nm) roughness \n(nm) δd⊥\nfilm \n×10 -4 ε|| \n×10 -4 ε⊥ \n×10 -4 σ′|| \n×10 8 Pa \n9 - -4.3 2.3 -2.0 0.7 \n11 0.4 -6.1 3.3 -2.8 0.9 \n21 0.2 -10.4 5.6 -4.8 1.6 \n30 0.2 -9.4 5.1 -4.3 1.4 \n42 0.3 -9.2 5.0 -4.2 1.4 \n106 0.4 -8.5 4.6 -3.9 1.3 \n±1 ±0.1 ±0.7 ±0.4 ±0.4 ±0.1 \n \n \n2. Chemical composition studied by Rutherford Backs cattering spectrometry \n \nBesides the epitaxial perfection, the chemical comp osition of the films is of interest to estimate \ndeviations from the ideal Y 3Fe 5O12 stoichiometry and to detect impurity elements. The refore, RBS \nmeasurements were performed for selected LPE films. As an example, Fig. 3 shows the random \nspectrum of a 30 nm thick YIG film on GGG substrate . The inset presents the main part of the \nspectrum. Applying the NDF software, the computed c urve (solid line) matches perfectly the \nexperimental one (symbols). This enables us to dete rmine the Fe:Y ratio. As for all investigated LPE \nfilms, the Fe:Y ratio was determined to be R = 1.67, which corresponds to the ideal iron garnet 8 stoichiometry with Fe:Y = 5:3. At higher magnificat ions of the backscattering yield in Fig. 3, a very \nlow intensity signal can be observed at ion energie s higher than for backscattering on gadolinium \natoms from the GGG substrate. Although the intensit y is rather low, it can be attributed to heavy \nimpurity elements present to a very low amount over all in the YIG film. We assign this signal to \nlead and platinum. These elements may come from the solvent and the crucible during the \ndeposition of the YIG film. After background correc tion a total quantity of (0.08 ± 0.02) at.% for the \nsum of both elements could be determined. This corr esponds to 0.01 < x + y < 0.02 formula units of \nthe nominal film composition (Y 3-x-yPb xPt y)(Fe 5-x-yPb xPt y)O 12 . In a first approximation, for the \ncalculation of the RBS spectra, it was assumed, tha t both elements contribute in equal parts to the \nhigh-energy signal. So, the calculated spectrum tak es into account the existence of 0.04 at.% lead \nand 0.04 at.% platinum within the YIG layer. This y ields a good representation of the separated \nsignal for these two elements. \n1550 1600 1650 1700 1750 1800 0100 200 300 400 \n \n measurement simulation \n separated Pb + Pt signal Backscattering yield (counts) \nIon energy (keV) YIG/GGG \nt = 30 nm \nGd signal \nfrom GGG \nsubstrate 1000 1500 02000 4000 6000 8000 \n \n Gd Ga YFe \n \nFIG. 3. Energy spectrum of 1.8 MeV He ions backscat tered on the YIG/GGG sample with a YIG \nfilm thickness of t = 30 nm. The inset shows the main part of the spec trum with the edges of the \nsubstrate elements Gd and Ga and the Fe and Y peak from the YIG film. \n \n \n3. Crystalline perfection studied by high-resolutio n transmission electron microscopy \n \nTo analyze the film lattice perfection as well as t he heteroepitaxial intergrowth behavior, HR-TEM \ninvestigations were performed. A cross-sectional im age of an 11 nm thin YIG film on a GGG \nsubstrate makes it possible to visualize both, the entire YIG film volume up to the film surface and \nthe interface in a magnified HR-TEM microscope imag e (see Fig. 4(a)). Besides the perfect \nfilm/substrate interface, neither structural lattic e defects nor significant misalignment could be \nobserved in the coherently strained YIG film lattic e up to the film surface. \nTo prove the homogeneity of the bulk composition an d the performance of the film/substrate \ninterface, HAADF-STEM imaging (Fig. 4(b)) together with element mapping, based on EDXS \nanalysis (Figs. 4(c)-(g)), were performed. The corr esponding HAADF-STEM image in Fig. 4(b) \nallows clearly resolving the film/interface region due to the significant difference of the atomic \nnumber contrast. Because of the uniform spatial dis tribution of both, the film (Y, Fe, O) and the \nsubstrate elements (Gd, Ga, O), which are independe ntly represented by different colors in Figs. 9 4(c)-(g), a homogeneous composition over the entire YIG film can be confirmed. Small brightness \nvariations within the element maps (on the right ha nd side) result from slight thickness variations of \nthe classically prepared TEM lamella. Neither an in termixing of the substrate nor of the film \nelements at the YIG/GGG interface is observed in th e element maps within the EDXS detection \nlimit, which is estimated to be slightly below 1 at .-% for the measuring conditions used. For that \nreason, tiny Pb and Pt contributions in the YIG fil m, as shown by RBS (see Fig. 3), where not \ndetected here. \nTo evaluate the lateral element distributions acros s the film near the film/substrate interface, \nquantified line scans were performed as presented i n Fig. 4(h). Using the 10%-to-90% edge \nresponse criterion, it shows a transition width of (1.9 ± 0.4) nm at the interface . This is lower than \nthe observed 4-6 nm non-magnetic dead layer reporte d for YIG films deposited by RF magnetron \nsputtering [76], and the about 4 nm or the 5–7 nm d eep Ga diffusion observed for PLD [77] or laser \nmolecular beam epitaxy (MBE) [75], respectively . However, at some positions of the sample’s \ncross-section we found a reduced YIG film thickness on a wavy GGG surface (not shown), which \nwe attribute to a possible etch-back of the substra te at the beginning of film growth or an already \nexisting wavy substrate surface. For further growth experiments, a careful characterization of the \nsubstrate surfaces by AFM should, therefore, be per formed. The TEM investigations show, that the \nLPE technology is suitable for growing nanometer-th in YIG films without lattice defects and \nwithout significant interdiffusion at the film/subs trate interface, which are necessary preconditions \nfor undisturbed spin-wave propagation and low ferro magnetic damping losses. \n \n \nGGG YIG resist (a) \n 10 0 2 4 6 8 10 12 14 16 18 Composition \n(at.-%) \nDistance (nm) \n(c) Y \n(d) Fe \n(e) Gd \n(f) Ga \n(g) O \n(h) \nGd 3Ga 5O12 Y3Fe 5O12 surface \ninterface \n(b) HAADF Line scan \nY\nFe \nGd \nGa \nO\n \n \nFIG. 4. (a) Cross-sectional high-resolution TEM ima ge of the 11-nm-thin YIG/GGG (111) film. The \narrows mark the YIG/GGG interface. (b) HAADF-STEM i mage highlighting the well-separated \nYIG/GGG interface. (c-g) EDXS element maps of the 1 1-nm-thin YIG/GGG (111) film cross-\nsection. (h) Line scan as marked in (b) of the elem ental concentrations across the film thickness. \n \n \nB. Static and dynamic magnetization characterizatio n of nanometer-thin YIG films \n \nAfter gaining insight into the YIG film microstruct ure, we want to link these properties to the FMR \nperformance to find out, which of them plays an ess ential role in the observed magneto-static and \ndynamic behavior. Therefore, FMR measurements were carried out within a frequency range of 1 to \n40 GHz, with the external magnetic field either par allel to the surface plane of the sample along the \nH || [11-2] film direction or perpendicular to it ( H || [111 ]). In addition, angle-dependent \nmeasurements, i.e., varying the angle θH of the external magnetic field (polar angular depe ndence, \nwhere θH = 0 is the sample’s normal [111 ] direction) or the azimuth angle φH (in-plane angular \ndependence, where φH = 0 is the sample’s horizontal [1-10] direction), were performed at \nf = 10 GHz. These four measurement ‘geometries’ allo w to determine Landé’s g-factor, effective \nmagnetization 4π Meff , and anisotropy fields from the resonance field de pendence and to disentangle \nthe damping contributions from the linewidth depend ence [78,79]. \nThe FMR resonance equations to fit the angle- and f requency-dependencies (see eqs. (S22), (S23) in \nthe Supplemental Material [69] for in-plane and out -of-plane bias field conditions after Baselgia et \nal. [80 ]) are derived from the free energy density of a cubi c (111) system [81]: \n \n ( ) [ ]\n( ) ( )\n\n\n\n− + +− − − ++ − ⋅ −=\n⊥\nϕ θ θ θ θϕϕ θ θ πθ θ ϕϕ θ θ\n3sin cos sin32sin41cos31cos sin cos 2cos cos cos sin sin\n3 4 4\n42 2\n|| 22\n22\nKK K MH M F\nu sH H H s\n, (1) \n 11 where K2⊥, K2|| , and K4 are the uniaxial out-of plane, uniaxial in-plane, and cubic anisotropy \nconstants, respectively. φ and θ are the angles of the magnetization. Angle φu allows for a rotation of \nthe uniaxial anisotropy direction with respect to t he cubic anisotropy direction. \n \n \n1. Frequency-dependent FMR linewidth analysis \n \nTo investigate the influence of different contribut ions on the overall magnetic damping, we model \nthe field-swept peak-to-peak linewidth Δ Hpp of our YIG (111) films as a sum of four contributi ons \n[79,82]: \n TMS 0 mos G pp H H H H H ∆ + ∆ + ∆ + ∆ = ∆ , (2) \n \nwhere Δ HG is the Gilbert damping, Δ Hmos the mosaicity, Δ H0 the inhomogeneous broadening, and \nΔHTMS is the two-magnon scattering contribution, respect ively. Note, that all linewidths in this paper \nare peak-to-peak linewidths, even if not explicitly stated. \nThe intrinsic Gilbert damping is given by \n \n f H\nΞ= ∆\nγπα\n34\nG , (3) \n \nwhere γ = gµBħ is the gyromagnetic ratio and Ξ is the dragging function. The dragging function is a \ncorrection factor to the linewidth needed in field- swept FMR measurements if H and M are not \ncollinear (see e.g . [82]). For H || M follows Ξ = 1. \nThe inhomogeneity term ∆Hmos accounts for a spread (distribution) of the effect ive magnetization \n4π Meff [82,83] given by the parameter δ4πMeff : \n \n eff\neffres\nmos 4432MMHH πδπ∂∂= ∆ . (4) \n \n∆H0, i.e. the zero-frequency linewidth, is a general b roadening term accounting for other \ninhomogeneities of the sample, such as the microwav e power dependence of the linewidth in YIG \n(see, e.g., [84]) and systematic fit errors: for ex ample, consistently narrower total full-width at ha lf-\nmaximum linewidths ∆HFWHM of up to 0.5 Oe were determined by additional freq uency-swept \nmeasurements at a microwave power of -10 dBm compar ed to the field-swept measurements at \n0 dBm discussed here. \nAll kinds of inhomogeneous broadening (including ∆Hmos ) are caused by slightly different \nresonance fields in parts of the sample. These indi vidual resonance lines might be still resolvable at \nlow frequencies, where Gilbert damping is not large enough yet–especially for YIG. However, at \nhigher frequencies, these lines become broader and eventually coalesce to a single (apparently \nbroadened) line, which even might exhibit small sho ulders or other kinds of asymmetry. Hence, \nwhat might be nicely fit with a single line at high frequencies might cause difficulties at low \nfrequencies and sub-mT linewidths. The effect on fi tting the anisotropy constants from the \nresonance fields is not so sensitive. If the resona nce lines cannot be disentangled or the line is not \nentirely Lorentzian-shaped anymore, the fit might o verestimate the true linewidth resulting in a \nsystematic broader line accounted for by ∆H0. 12 The last term in Eq. (2), ∆HTMS , covers the two-magnon scattering contribution, wh ich is an \nextrinsic damping mechanism due to randomly distrib uted defects. For the in-plane frequency-\ndependence it reads [78,79,82,85-87]: \n \n \n2 22 2sin\n32\n02\n0 202\n0 2\n1\nTMS\nf fff ff\nH\n+\n\n+−\n\n+\n⋅ Γ\nΞ= ∆−, (5) \n \nwhere f0 = γ4π Meff and Γ is the two-magnon scattering strength. \nEach of the contributions has a characteristic angl e and frequency dependence. Overall, the \nlinewidth vs. frequency dependencies and the linewi dth vs. angle dependencies can be described \nwith one set of parameters. \nAs we will see, the applied model fits very well to the experimental results and allows for \ndisentangling the contributions that are responsibl e for the frequency dependence of the linewidth. \nAt first, we discuss the different damping contribu tions. Then, we go into details for the individual \nmagneto-static parameters, the relevant anisotropy contributions mentioned above, which provided \nalso the base input for the fit parameters for the frequency-dependent FMR linewidth of our YIG \nfilms. \nIn Figure 5, the obtained frequency-dependent peak- to-peak linewidths ∆Hpp (symbols) for the four \nthicknesses 11 nm, 21 nm, 30 nm, and 42 nm are pres ented. The red (solid) curves represent fits \nusing Eq. (2). Figure 5(a) shows data and fits for the out-of-plane bias field configuration ( θH = 0°) \nand Fig. 5(b) for field-in-plane ( θH = 90°), respectively. As mentioned above, due to a quite complex \nshape of the resonance lines below ~15 GHz for θH = 0° (with more absorption lines needed to \nreflect the shape of the spectrum than for higher f requencies) the linewidths could not anymore be \nevaluated unambiguously with the required precision for films with thicknesses above 11 nm. \nHowever, for the thinnest film, the evaluation was possible and the overall fit exhibits a linear \nbehavior down to 1 GHz. This means, in the field-ou t-of-plane geometry, the main contribution to \nthe damping is the Gilbert damping α, which can be determined from the linear slope according to \nEq. (3). As it is known from two-magnon scattering (TMS) theory [85,86], there is no TMS \ncontribution if M is perpendicular to the sample plane. The only rem aining contribution is the \ninhomogeneous broadening given by the zero-frequenc y offset \n 13 0369\n036\n036\n0 5 10 15 20 25 30 35 40 036θ H= 0 deg \n 11 nm \n 21 nm ∆Hpp (Oe) \n 30 nm \n \nf (GHz) 42 nm (a) \n \n \nFIG. 5: Frequency dependence of the linewidth with magnetic field (a) perpendicular-to-plane and \n(b) in-plane. The red (solid) lines are fits to the data. For the 11-nm sample the individual \ncontributions to the total linewidth are shown in t he top-right panel. Note the different y-axis scaling \nfor the 11 nm sample in the top-left panel. \n \nFrom these out-of-plane measurements, the Gilbert d amping coefficients could be determined, \nranging from α = 0.9 × 10 -4 for the 42-nm-thick sample to α = 2.0 × 10 -4 for the 21 nm sample, \nwhich is about twice the value obtained from in-pla ne measurements (as discussed below). For the \nultrathin 11 nm film, a slightly increased Gilbert damping coefficient of α = 2.7 × 10 -4 and a \nsignificantly enlarged zero-frequency linewidth of 2.8 Oe were found. As mentioned above, the \nreason for the larger offset might be an apparent u nresolvable broadening due to inhomogeneity. For \nthe 21 and 30 nm sample, the zero-frequency interce pt is about ∆H0 = 0.5 Oe, in contrast to ∆H0 = \n1.5 Oe for the 42 nm sample. This indicates, that t he 42 nm sample, in contrast to the thinner \nsamples, seems to have additional microstructural d efects, leading to a superposition of lines. This i s \nvery likely, because the inhomogeneous broadening p reviously reported for 100 nm YIG LPE films \nwas also in the range of ∆H0 = 0.5-0.7 Oe [50]. \nIn Fig. 5(b), the results of the corresponding in-p lane field configuration are given. For the 11 nm \nsample, the four individual fit contributions consi dered in the fit according to Eq. (2) are depicted by \nsolid curves. This sample shows a significant curva ture. The 42 nm sample also shows a small \ncurvature, whereas the other two samples only have a weak curvature at lower frequencies. This \ncurvature usually hints to a contribution from two- magnon scattering, but can also be due to a spread \nof the effective magnetization. Note, the frequency -dependence of the mosaicity and TMS term look \nquite similar at higher frequencies, but show a dif ferent curvature at lower frequencies. Hence, the \nshape of the curve and, thus, the fit reveal, that it is due to a spread of the effective magnetizatio n, \nδ4πMeff as given by Eq. (4), which lies in the range of 0. 4 to 0.9 G. For the 11 nm sample, this value 036\n036\n036\n0 5 10 15 20 25 30 35 40 03611 nm Exp. ∆H ∆HG ∆Hmos ∆HTMS ∆H0\nθ H= 90 deg \n21 nm \n30 nm \nf (GHz) 42 nm ∆Hpp (Oe) (b) \n 036\n036\n036\n0 5 10 15 20 25 30 35 40 03611 nm Exp. ∆H ∆HG ∆Hmos ∆HTMS ∆H0\nθ H= 90 deg \n21 nm \n30 nm \nf (GHz) 42 nm ∆Hpp (Oe) (b) \n 14 is larger, i.e., δ4π Meff = 3.2 G, and in addition one needs a small TMS dam ping contribution of Γ = \n1.5×10 7 Hz for a proper fit (see Table II). This is again a distinctive sign, that the 11 nm sample has \nsignificantly different structural and/or magnetic properties, leading to the additional linewidth \ncontributions. The Gilbert damping coefficients of all four samples in in-plane configuration are \nα ≤ 1.3 × 10 -4 and correspond to the best values reported earlier for 100 nm YIG LPE films [50]. \nThese are also lower than for a recently reported 1 8 nm YIG LPE film [51]. Thus, at room \ntemperature, no significant increase in Gilbert dam ping could be observed for LPE films down to \n10 nm with decreasing thickness. This contrasts wit h various references for PLD and RF-sputtered \nYIG films grown on (111) GGG substrates [88-92]. \n \nTABLE II. Magnetic damping parameters of the LPE (1 11) YIG films: film thickness t, in-plane \nGilbert damping parameter α||, inhomogeneous broadening ∆H0|| , spread of effective magnetization \nδ4πMeff and two-magnon scattering contribution Γ. \n \nt \n(nm) α|| \n (×10 -4) ∆H0|| \n (G) δ4π Meff \n(Oe) Γ \n(10 7 Hz) \n11 1.2 0.4 3.2 1.5 \n21 1.3 0.6 0.4 0 \n30 1.2 0.4 0.7 0 \n42 1.0 0.4 0.9 0 \naccuracy ±0.2 ±0.2 ±0.3 ±0.3 \n \n \nAll field-in-plane linewidth parameters of the inve stigated samples are summarized in Table II. It is \nobvious, that inhomogeneous contributions, i.e., th ose originating from magnetic mosaicity δ4πMeff , \nare very small for the samples without two-magnon s cattering. This confirms the high \nmicrostructural perfection and homogeneity of the v olume and interfaces of the LPE-grown films \nwith film thicknesses larger than 11 nm. Contributi ons to two-magnon scattering appear to occur \nonly for LPE films with a thickness of less than 21 nm thick. \n \n \n2. Analysis of magnetic anisotropy contributions \n \nIn the following, we will discuss the anisotropy co ntributions, which provided the base input for the \nfit parameters used for the frequency-dependent FMR linewidth curves shown above. All curves \nwere fitted iteratively with the respective resonan ce equation (see Eqs. (S22) and (S23) in the \nSupplemental Material [69]) to retrieve a coherent set of fit parameters. The fit parameters are liste d \nin table III. Since the saturation magnetization an d the in-plane stress are known from VSM \nmeasurements and HR-XRD investigations, the anisotr opy constants K can be calculated from the \nanisotropy fields determined by FMR. \n \nTABLE III. Magneto-static parameters of the YIG LPE films of t hickness t: Landé’s g-factor, \neffective magnetization 4 πMeff exp , cubic anisotropy field 2 K4/Ms, and uniaxial in-plane anisotropy \nfield 2 K2||/Ms determined from FMR, saturation magnetization 4 πMs determined from VSM, stress-\ninduced anisotropy field 2 Kσ/Ms calculated from X-ray diffraction data, resulting o ut-of-plane \nuniaxial anisotropy field 2 K2⊥/Ms and effective magnetization 4 πMeff cal , cubic anisotropy constant \nK4, stress-induced anisotropy constant Kσ, and out-of-plane uniaxial anisotropy constant K2⊥. \n 15 t \n(nm) g 4πMeff exp \n(G) 2K4/Ms \n(Oe) 2K2||/Ms \n(Oe) 4πMs \n(G) \n11 2.015 1566 -93 2.0 1494 \n21 2.016 1647 -79 0.8 1819 \n30 2.015 1677 -79 0.6 1830 \n42 2.014 1699 -86 1.1 1860 \naccuracy ±0.002 ±13 ±2 ±3 ±41 \n \nt \n(nm) 2Kσ/Ms \n(G) 2K2⊥/Ms \n(G) 4πMeff cal \n(G) K4 \n(10 3 erg/cm 3) Kσ \n(10 3 erg/cm 3) K2⊥ \n(10 3 erg/cm 3) \n11 65 127 1368 -5.5 3.9 7.5 \n21 91 143 1676 -5.7 6.6 10.4 \n30 82 135 1696 -5.8 6.0 9.8 \n42 79 136 1724 -6.4 5.8 10.1 \naccuracy ±7 ±4 ±40 ±0.3 ±0.4 ±0.5 \n \n \nThe g-factor of the samples was determined from the freq uency dependencies of the resonance field. \nThere was no significant thickness dependence obser ved yielding a value of g = 2.015(1) for all \nsamples. The cubic anisotropy field 2 K4/Ms was found to be nearly constant, and the average v alue \nis -84(2) Oe, which is in good agreement to reporte d values of -85 Oe for a 120 micrometer thick \nLPE film [81] and of about -80 Oe for a 18 nm thin LPE film [51]. Our calculated anisotropy \nconstants K4 are almost always in the range between -5.7×10 3 and -6.4×10 3 erg/cm 3, which \ncorresponds to YIG single crystal bulk values at 29 5 K [93] . Furthermore, a rather weak in-plane \nuniaxial anisotropy field 2 K2|| /Ms of about 0.6–2 Oe was found, which had already be en determined \nfor 100 nm YIG LPE films [50]. \nThe stress-induced anisotropy constant Kσ and anisotropy field 2 Kσ/Ms are calculated according to \nRef. [94 ] (for details, see Eqs. (S14), (S15), (S18) in the Supplemental Material [69]). 2 Kσ/Ms is \nsmall and in the same order of magnitude as the cub ic anisotropy field 2 K4/Ms, but with opposite \nsign. Due to the observed monotonous increase of th e out-of-plane lattice misfit (see inset in Fig. \n2(b)), 2 Kσ/Ms grows with decreasing film thickness until it decl ines significantly at a film thickness \nbelow 21 nm. However, the observed stress values ar e almost an order of magnitude smaller than, \ne.g., for as-deposited YIG PLD films on GGG (111) u nder compressive strain (see, e.g., Refs. \n[17,23,35,36]). Only by a complex procedure, applyi ng mid-temperature deposition, cooling, and \npost-annealing treatment, authors of Ref. [95] succ eeded in a change from compressively to tensely \nstrained YIG films. These samples then exhibited th e same stress-induced anisotropy constant as it \nwas observed for our YIG LPE films. \nIn the following, we take a closer look to the cont ributions to the out-of-plane uniaxial anisotropy \nfield H2⊥ = 2 K2⊥/Ms. A general description for magnetic garnets has been given for example by \nHansen [94]. Applied to thick [43,64] as well as to thin epitaxial iron garnet films (see , e.g., \n[37,56,59,60,62]) , the out-of-plane uniaxial anisotropy field H2⊥ is mainly determined by the \nmagnetocrystalline and uniaxial anisotropy contributions. While the former refers to the direction of \nmagnetization to preferred crystallographic directi ons in the cubic garnet lattice, the latter origina tes \nfrom lattice strain and growth conditions. Due to t he very low supercooling ( ≤5K), growth-induced \ncontributions, usually observed for micrometer YIG films with larger Pb impurity contents, can be \nneglected in the case of our nanometer-thin YIG LPE films (see e.g. [64]). Thus, H 2⊥ can be 16 determined quantitatively by summing the cubic magn etocrystalline anisotropy (first term, \ndetermined by FMR) and the stress-induced anisotrop y (second term, determined by XRD), \n \n \ns sMK\nMKHσ2\n344\n2 + − =⊥ , (6) \n \nor expressed for the (111) substrate orientation (s ee also SM [69 ] and Ref. [93,96]) by: \n \n \nsMKH39 4111|| 4\n2λσ′ − −=⊥ . (7) \n \nUsing the experimentally determined first-order cub ic anisotropy constant K4 and the in-plane stress \ncomponent ||σ′from Tables I and III along with the room-temperatu re magnetostriction coefficient \nλ111 [94 ], the uniaxial anisotropy field H2⊥ can be calculated, if the saturation magnetization Ms is \nknown. Ms can be obtained with appropriate accuracy for exam ple from VSM or SQUID \nmeasurements, if the sample volume is exactly known . \nMagnetic hysteresis loops of YIG LPE films recorded at room-temperature by VSM measurements \nwith in-plane applied magnetic field are shown in F ig. 6. The paramagnetic contribution of the GGG \nsubstrate was subtracted as described in Ref. [50]. Extremely small coercivity fields with Hc values \nof ∼ 0.2 Oe were obtained for all YIG/GGG samples with the exception of the 21 nm film. These \nvalues are comparable with the best gas phase epita xial films [17,39,76], but the measured saturation \nfields with Hs < 2.0 Oe are significantly smaller. All films exhi bit nearly in-plane magnetization due \nto the dominant contribution of form anisotropy. Ap art from the thinnest sample, the saturation \nmoments determined are not thickness-dependent (see Table III and Fig. 6) and are very close to \nYIG volume values determined for YIG single crystal s at room temperature (4 πMs ∼ 1800 G) \n[93,97]. However, the observed decrease of the satu ration magnetization in such films with a \nthickness of about 10 nm is significant and will be discussed below. \n-20 -15 -10 -5 0 5 10 15 20 -2.0 -1.5 -1.0 -0.5 0.0 0.5 1.0 1.5 2.0 \n10 100 1.4 1.5 1.6 1.7 1.8 1.9 \n \n H (Oe) 4 πM (10 4 G) 106 nm \n 43 nm \n 30 nm \n 21 nm \n 11 nm \n 4 πM (10 4 G) \n d (nm) \n \nFIG.6: Magnetization loops M(H) of YIG films at room temperature as a function of the in-plane \nmagnetic field. The inset shows the thickness-depen dent saturation magnetization (the solid line is a \nguide to the eyes). \n 17 However, for nanometer-thin films, it is a big chal lenge to determine Ms precisely enough, because \ntoo large errors can arise from the film’s volume c alculation. While the surface area of the sample \ncan be determined with sufficient precision by opti cal microscopy, thickness measurements with X-\nray or ellipsometry methods can lead to thickness e rrors in the range of ±1 nm due to very small \nmacroscopic morphology or roughness fluctuations. T herefore, for films with thicknesses below \n20 nm, for example, uncertainties up to a maximum o f 10 percent must be considered. This could \nsignificantly affect the effective magnetization 4 πMeff , which can be calculated based on the \nmeasured Ms values by \n ⊥ − =2 eff 4 4 H M Msπ π . (8) \nThis fact can explain the large difference between the calculated 4 πMeff cal and the measured \n4πMeff exp values for the 11 nm thin film discussed below, wh ile a much better agreement was \nachieved for the thicker films (see Table III). \nAs expected from micrometer-thick YIG LPE films gro wn on GGG (111) substrates [81], the out-\nof-plane uniaxial anisotropy field H2⊥ and the out-of-plane uniaxial anisotropy constants K2⊥ show, \nthat completely pseudomorphically strained, nanomet er-thin LPE films exhibit no pronounced \nmagnetic anisotropy. Small changes of the in-plane stress σ′|| (see Table I) and thus also in the \nstress-induced anisotropy 2 Kσ/Ms (or Kσ) have no significant influence on the out-of-plane uniaxial \nanisotropy H2⊥ (see Table III). A comparable H2⊥ value is also expected for films thicker than \n42 nm, since the out-of-plane lattice misfit δd⊥\nfilm tends to a constant value (see inset in Fig. 2 (b) ). \nThis is in contrast to Ref. [51], where the uniaxia l anisotropy field of YIG LPE films becomes \nnegative above a film thickness of about 50 nm. \n \n \n3. Thickness-dependent analysis of the effective ma gnetization field \n \nTo verify the trend of the calculated 4 πMeff cal values for decreasing film thicknesses, one can \ncompare the effective magnetization with the experi mentally determined one. This was done for 18 \nYIG films with thicknesses ranging from 10 to 120 n m, including the four samples from above. All \nfilms were grown during the same run under nearly i dentical conditions. Only the growth \ntemperature was varied within a range of 5 K. This time, the FMR was measured with a constant \nexternal magnetic field applied in-plane and sweepi ng the frequency. \n0 20 40 60 80 100 120 1500 1550 1600 1650 1700 1750 1800 \n frequency field sweep \n magnetic field sweep Effective Magnetization (G) \nFilm thickness (nm) (a) \n 18 10 -8 10 -7 10 -6 10 -5 10 -4 10 -3 535 540 545 550 555 560 \n fitted VSM values \n Outlier values Curie temperature (K) \nYIG thickness (m) (b) \n \nFig. 7. (a) Thickness dependence of the effective m agnetization 4 πMeff . Blue circles denote \nmeasurements taken by field-sweep and red squares d enote frequency-swept measurements, \nrespectively. (b) Thickness dependence of the Curie temperature Tc. The dashed line is a guide to the \neyes. \n \n \nIn Fig. 7(a), the obtained thickness dependence of the effective magnetization 4 πMeff (squares) is \npresented and a monotonous decrease of 4 πMeff with film thickness reduction can be observed. \nBelow 40 nm, the slope of the curve increases and, for the thinnest films, there is a significant drop \nof about 100 G. This behavior has been confirmed by in-plane FMR magnetic field-sweep \nmeasurements for selected samples (circles), as dis cussed before. The values are listed in Table III. \nSimilar results have been reported for YIG PLD film s by Kumar et al. [53]. \nIf we compare the experimental values with the calc ulated ones in Table III, then the same trend of a \nsteady reduction of the effective saturation magnet ization with decreasing film thickness can be \nobserved. The deviation between both 4 πMeff values is approximately 1–2 %, except for the 11 n m \nfilm. Hence, the saturation magnetization used to c alculate the effective saturation (according to \nequation (8)) does not appear to be as error-prone as it could be due to an inaccuracy in the film \nthickness determination. Therefore, we speculate, t hat the significant drop of 4 πMeff for the 11 nm \nthin film can be explained by the observed reductio n of 4 πMs (see Table III). \nA similar behavior for 4 πMs was reported for thin PLD or magnetron-sputtered Y IG films, and \ndifferent explanations were given [76,56,91]. One r eason for a reduced saturation magnetization \ncould be an intermixing of substrate and film eleme nts at the GGG/YIG interface, whereby a gradual \nchange of the film composition is assumed [75,77]. In particular, gallium ion diffusion into the first \nYIG atomic layers will lead to magnetically diluted ferrimagnetic layers at the interface, due to the \nfact, that magnetic Fe ions are replaced by diamagn etic Ga ions in the various magnetic sublattices. \nThis assumption is supported by recent reports of Y IG films on GGG substrates. One reports about a \n5–7 nm deep Ga penetration found in laser-MBE films [75 ]. Another group found a Ga penetration \nthroughout a 13-nm-thin PLD film [98]. In these cas es, high-temperature film growth above 850°C \nor prolonged post-annealing at temperatures of 850° C could promote such diffusion processes. In \ncontrast, the deposition time during which the LPE samples were exposed to high temperatures \nabove 860°C was only 5 minutes. Though, the assumed Ga diffusion depth in our YIG films should \nnot exceed more than 2 nm according to the EDXS ele ment maps in Fig. 4(h). In addition, the Gd 19 diffusion in YIG films, as discussed for RF-magnetr on sputtered [76,99] or PLD films [98], could \nlead to the incorporation of paramagnetic ions into the diamagnetic rare earth sublattice sites, which \nwould also alter the magnetization [98]. However, n o extended interdiffusion layer was observed at \nthe film/substrate interface for our LPE films, so that the presence of a ‘separate, abrupt’ gadoliniu m \niron garnet interface layer, as reported by Ref. [9 8], is not expected. Therefore, due to possible \ninterdiffusion effects at temperatures of about 860 °C, a gradual reduction of Ms at a postulated \ninterface layer could be the reason for the observe d low saturation value for the thinnest LPE film, \nlisted in Tab. III. \nTo further rule out a discrete magnetic dead layer, Curie temperature ( Tc) measurements were \nperformed by VSM. It is known from literature, that Tc remains constant up to a film thickness of \napproximately four YIG unit cells [100], i.e. 2.8 n m, since one YIG unit cell length along the [111 ] \ndirection amounts to d111 ∼ 0.7 nm. Accordingly, the Tc of “pure” YIG films with abrupt interfaces \nand a film thickness of ∼10 nm should be equal to that of bulk material. In order to check this, \ntemperature-dependent VSM measurements (see Fig. 7( b)) were carried out for our LPE films as \nwell as for a bulk YIG single crystal slice, which was used as a reference. We found almost constant \nvalues of Tc = (551±2) K for sample thicknesses between 46 nm ( thin film) and 0.55 mm (bulk). \nThis is in good agreement with the literature, in w hich a Tc of ∼550 K has been reported, e.g. for a \n100-nm-thin sputtered YIG film [76], while 559 K has been reported for YIG single crysta ls [97]. \nHowever, for our about 10-nm-thin YIG films, Tc decreased significantly to ∼534±1 K (Fig 7(b)), \nwhich is consistent with the observed reduction of 4 πMs listed in Table III. \nHence, the most likely explanation for the observed reduction of 4 πMs is that the YIG layers at the \nsubstrate/film interface exhibit a reduced saturati on magnetization due to a magnetically diluted iron \nsublattice, resulting from high-temperature diffusi on of gallium ions from the GGG substrate into \nthe YIG film . While nearly zero gallium content at the film surfa ce leads to a bulk-like value of \n4πMs ∼ 1800 G [93], an increased content of gallium at th e film/substrate interface should, therefore, \nresult in significantly reduced 4 πMs values. In this case, the average saturation magne tization for the \nentire film volume should be reduced and that could explain the observed decrease in 4 πMs to about \n1500 G for the 11 nm thin LPE film. For thicker fil ms, however, the influence of thin gallium-\nenriched interface layers on the entire film magnet ization decreases, which explains the fast \nachievement of a constant Curie temperature, and th us, a constant Ms with increasing thickness of \nthe YIG volume. In order to confirm our assumptions , additional analyses, such as detailed \nsecondary ion mass spectroscopy (SIMS) investigatio ns, are necessary which, however, go beyond \nthe scope of this report. \n \n \nIV. CONCLUSIONS AND OUTLOOK \n \nIn summary, we have demonstrated that LPE can be us ed to fabricate sub-40 nm YIG films with \nhigh microstructural perfection, smooth surfaces an d sharp interfaces as well as excellent microwave \nproperties down to a minimum film thickness of 11 n m. All LPE films with ≥21 nm thickness \nexhibit extremely narrow FMR linewidths of ∆Hpp <1.5 Oe at 15 GHz and very low magnetic \ndamping coefficients of α ≤1.3 × 10 -4 which are the lowest values reported within an ext ended \nfrequency range of 1 to 40 GHz. We were able to sho w that LPE-grown YIG films down to a \nthickness of 21 nm have the same magnetization dyna mics influenced by small cubic and stress-\ninduced anisotropy fields. The deviating magnetizat ion dynamics of ultrathin LPE films with \nthicknesses of ∼10 nm are probably caused by an increased inhomogen eous damping and by small \ntwo-magnon scattering contributions, and we specula te that possible inhomogeneities of the \ncomposition in the vicinity of the film/substrate i nterface might be the reason for this. Therefore, i n 20 further studies we will address detailed investigat ions of the composition of the film/substrate \ninterface by high-resolution SIMS measurements and advanced STEM analyses to confirm a gradual \nchange of the LPE film composition at the interface . \nThe results presented here encourage us to take the next step towards nano- and microscaled \nmagnonic structures, such as directional couplers, logic gates, transistors etc. for a next-generation \nof computing circuits. The development of nanoscopi c YIG waveguides and nanostructures is \nalready underway and the first circuits are current ly being fabricated [10,12,29]. With its scalabilit y \nto large wafer diameters of up to 3 and 4 inches, L PE technology opens up an alternative way for \nefficient circuit manufacturing for a future YIG pl anar technology on a wafer scale. \n \n \nACKNOWLEDGMENTS \n \nWe thank P. Landeros and R. Gallardo for fruitful d iscussions and A. Khudorozhkov for his help \nduring the measurements. C. D. and O. S. thank R. K öcher for AFM measurements, A. Hartmann \nfor the DSC measurements and R. Meyer and B. Wenzel for technical support. J. G. thanks A. \nScholz for the support during the XRD measurements. We would like to thank Romy Aniol for the \nTEM specimen preparation. The use of HZDR’s Ion Bea m Center TEM facilities and the funding of \nTEM Talos by the German Federal Ministry of Educati on of Research (BMBF), Grant No. \n03SF0451 in the framework of HEMCP are gratefully a cknowledged. \nThis research was financially supported by the Deut sche Forschungsgemeinschaft (DFG), via Grant \nNo. DU 1427/2-1. \n \nReferences \n \n[1] A. A. Serga, A.V. Chumak, and B. Hillebrands, YIG magnonics , J. Phys. D: Appl. Phys. 43 , \n264002 (2010). \n[2] I. V. Zavislyak and M. A. Popov, Microwave Properties and Applications of Yttrium Ir on \nGarnet, in: Yttrium: Compounds, Production, Ed. by. B. D. Volkerts , Nova Science \nPublishers, Frankfurt 2009 . \n[3] F. Schloemann, Circulators for Microwave and Millimeter-Wave Integ rated Circuits, Proc. \nIEEE 76 , 188 (1988). \n[4] W. S. Ishak, Magnetostatic Wave Technology: A Review , Proc. IEEE 76 , 171 (1988). \n[5] J. D. Adam, Analog Signal Processing with Microwave Magnetics, Proc. IEEE 76 , 159 \n(1988). \n[6] V. V. Kruglyak, S. O. Demokritov, and D. Grundler, Magnonics , J. Phys. D: Appl. Phys. 43 , \n264001 (2010). \n[7] A. V. Chumak, A. A. Serga, and B. Hillebrands, Magnon transistor for all-magnon data \nprocessing, Nat. Commun. 5, 4700 (2014). \n[8] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands, Magnon spintronics , \nNature Phys. 11 , 453 (2015). \n[9] A. V. Chumak, A. A. Serga, and B. Hillebrands, Magnonic crystals for data processing, J. \nPhys. D: Appl. Phys. 50 , 244001 (2017). \n[10] A. V. Chumak, Q. Wang, B. Heinz, R. Verba, M. Kewenig, M. Schneider, P. Pirro, B. Lägel, \nC. Dubs, and T. Brächer, Spin-Wave Modes in sub-100 nm YIG Waveguides, Presentation on \nJoint-MMM-Intermag, Washington DC, Jan 18, 2019. 21 [11 ] Q. Wang, B. Heinz, R. Verba, M. Kewenig, P. Pirro, M. Schneider, T. Meyer, B. Lägel, C. \nDubs, T. Brächer, and A.V. Chumak, Spin pinning and spin-wave dispersion in nanoscopic \nferromagnetic waveguides , Phys. Rev. Lett. 122 , 247202 (2019). \n[12 ] Björn Heinz, Thomas Brächer, Michael Schneider, Qi Wang, Bert Lägel, Anna M. Friedel, \nDavid, Breitbach, Steffen Steinert, Thomas Meyer, M artin Kewenig, Carsten Dubs, Philipp \nPirro and Andrii V. Chumak, Propagation of coherent spin waves in individual na no-sized \nyttrium iron garnet magnonic conduits , arXiv:1910.08801 \n[13 ] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Conversion of spin current into charge \ncurrent at room temperature: Inverse spin-Hall effe ct, Appl. Phys. Lett. 88 , 182509 (2006). \n[14] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi, H. Umezawa, H. \nKawai, K. Ando, K. Takanashi, S. Maekawa, and E. Sa itoh, Transmission of electrical \nsignals by spin-wave interconversion in a magnetic insulator, Nature 464 , 262 (2010). \n[15 ] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahash i, J. Ieda, T. Ota, Y. Kajiwara, H. \nUmezawa, H. Kawai, G.E. Bauer, S. Maekawa, and E. S aitoh, Spin Seebeck insulator , \nNature Mater. 9, 894 (2010). \n[16 ] V. E. Demidov, S. Urazhdin, H. Ullrichs, V. Tiberk evich, A. Slavin, D. Baither, G. Schmitz, \nand S. O. Demokritov, Magnetic nano-oscillator driven by pure spin curren t , Nat. Mater. 11 , \n1028 (2012). \n[17] O. d'Allivy Kelly, A. Anane, R. Bernard, J. B en Youssef, C. Hahn, A. H. Molpeceres, C. \nCarrétéro, E. Jacquet, C. Deranlot, P. Bortolotti, R. Lebourgeois, J.-C. Mage, G. de Loubens, \nO. Klein, V. Cros, and A. Fert, Inverse spin Hall effect in nanometer-thick yttrium iron \ngarnet/Pt system, Appl. Phys. Lett. 103 , 082408 (2013). \n[18 ] P. Pirro, T. Brächer, A. V. Chumak, B. Lägel, C. D ubs, O. Surzhenko, P. Görnert, B. Leven, \nB. Hillebrands, Spin-wave excitation and propagation in microstruct ured waveguides of \nyttrium iron garnet/Pt bilayers, Appl. Phys. Lett. 104 , 012402 (2014). \n[19] H. Yu, O. d'Allivy Kelly, V. Cros, R. Bernard, P. B ortolotti, A. Anane, F. Brandl, R. Huber, \nI. Stasinopoulos, and D. Grundler, Magnetic thin-film insulator with ultra-low spin wa ve \ndamping for coherent nanomagnonics, Sci. Rep. 4, 6848 (2014). \n[20 ] R. L. Stamps, S. Breitkreutz, J. Åkerman, A. V. Ch umak, Y. C. Otani, G. E. W. Bauer, J.-U. \nThiele, M. Bowen, S. A. Majetich, M. Kläui, I. L. P rejbeanu, B. Dieny, N. M. Dempsey, and \nB. Hillebrands, The 2014 Magnetism Roadmap , J. Phys. D: Appl. Phys. 47 33300 (2014). \n[21] A. Hamadeh, O. d'Allivy Kelly, C. Hahn, H. Me ley, R. Bernard, A. H. Molpeceres, V. V. \nNaletov, M. Viret, A. Anane, V. Cros, S. O. Demokri tov, J. L. Prieto, M. Munoz, G. de \nLoubens, and O. Klein, Full Control of the Spin-Wave Damping in a Magnetic Insulator \nUsing Spin-Orbit Torque, Phys. Rev. Lett. 113 , 197203 (2014). \n[22] C. Hahn, V. V. Naletov, G. de Loubens, O. Kle in, O. d'Allivy Kelly, A. Anane, R. Bernard, \nE. Jacquet, P. Bortolotti, V. Cros, J. L. Prieto, a nd M. Muñoz, Measurement of the intrinsic \ndamping constant in individual nanodisks of Y 3Fe 5O12 and Y 3Fe 5O12 |Pt, Appl. Phys. Lett. \n104 , 152410 (2014). \n[23 ] Haiming Yu, O. d’ Allivy Kelly, V. Cros, R. Bernard , P. Bortolotti, A. Anane, F. Brandl, F. \nHeimbach, D. Grundler, Approaching soft X-ray wavelengths in nanomagnet-ba sed \nmicrowave technology , Nat. Commun. 7:11255, doi: 10.1038/ncomms11255 (2016). \n[24] V. Lauer, D. A. Bozhko, T. Brächer, P. Pirro, V. I. Vasyuchka, A. A. Serga, M. B. \nJungfleisch, M. Agrawal, Y. V. Kobljanskyj, G. A. M elkov, C. Dubs, B. Hillebrands, and A. \nV. Chumak, Spin-transfer torque based damping control of param etrically excited spin \nwaves in a magnetic insulator , Appl. Phys. Lett. 108, 012402 (2016). 22 [25] M. Collet, X. de Milly, O. d’Allivy Kelly, V. V. Naletov, R. Bernard, P. Bortolotti, J. Ben \nYoussef, V. E. Demidov, S. O. Demokritov, J. L. Pri eto, M. Muñoz, V. Cros, A. Anane, G. \nde Loubens, and O. Klein, Generation of coherent spin-wave modes in yttrium i ron garnet \nmicrodiscs by spin-orbit torque, Nat. Commun. 7, 10377 (2016). \n[26 ] M. Schneider, T. Brächer, V. Lauer, P. Pirro, D. A. Bozhko, A. A. Serga, H. Yu. Musiienko-\nShmarova, B. Heinz, Q. Wang, T. Meyer, F. Heussner, S. Keller, E. Th. Papaioannou, B. \nLägel, T. Löber, V. S. Tiberkevich, A. N. Slavin, C . Dubs, B. Hillebrands, and A.V. \nChumak, Bose-Einstein Condensation of Quasi-Particles by Ra pid Cooling , \narXiv:1612.07305v2 (2016). \n[27] C. Safranski, I. Barsukov, H. K. Lee, T. Schn eider, A. A. Jara, A. Smith, H. Chang, K. Lenz, \nJ. Lindner, Y. Tserkovnyak, M. Wu, and I. N. Krivor otov, Spin caloritronic nano-oscillator, \nNat. Commun. 8, 117 (2017). \n[28] M. Collet, O. Gladii, M. Evelt, V. Bessonov, L. Soumah, P. Bortolotti, S. O. Demokritov, Y. \nHenry, V. Cros, M. Bailleul, V. E. Demidov, and A. Anane, Spin-wave propagation in ultra-\nthin YIG based waveguides, Appl. Phys. Lett. 110 , 092408 (2017). \n[29 ] Q. Wang, M. Kewenig, M. Schneider, R. Verba, B. He inz, M. Geilen, M. Mohseni, B. Lägel, \nF.Ciubotaru, C. Adelmann, C. Dubs, P. Pirro, T. Brä cher, and A. V. Chumak, Realization of \na nanoscale magnonic directional coupler for all-ma gnon circuits, arXiv: 1905.12353. \n[30 ] J. Walowski and M. Münzenberg, Perspective: Ultrafast magnetism and THz spintronic s, J. \nAppl. Phys. 120 , 140901 (2016). \n[31 ] S. Maehrlein, I. Radu, P. Maldonado, A. Paarmann, M. Gensch, A. M. Kalashnikova, R. V. \nPisarev, M. Wolf, P. M. Oppeneer, J. Barker, and T. Kampfrath, Dissecting spin-phonon \nequilibration in ferrimagnetic insulators by ultraf ast lattice excitation , Sci. Adv. 4, eaar5164 \n(2018). \n[32 ] T. Seifert, S. Jaiswal, J. Barker, S.T. Weber, I. Razdolski, J. Cramer, O. Gueckstock, \nS. Maehrlein, L. Nadvornik, S. Watanabe, C. Ciccare lli, A. Melnikov, G. Jakob, \nM. Münzenberg, S.T.B. Goennenwein, G. Woltersdorf, B. Rethfeld, P.W. Brouwer, \nM. Wolf, M. Kläui, and T. Kampfrath, Femtosecond formation dynamics of the spin Seebeck \neffect revealed by terahertz spectroscopy, Nature Comms. 9, 2899 (2018). \n[33 ] Golovchanskiy, N. N. Abramov, M. Pfirrmann, T. Pisk or, J. N. Voss, D. S. Baranov, R. A. \nHovhannisyan, V. S. Stolyarov, C. Dubs, A. A. Golub ov, V. V. Ryazanov, A. V. Ustinov, \nand M. Weides, Interplay of magnetization dynamics with a microwav e waveguide at \ncryogenic temperatures, Phys. Rev. Applied 11 , 044076 (2019). \n[34 ] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek, M. Jantz , W. Schneider, M. Wu, H. Schultheiss, \nand A. Hoffmann, Growth and ferromagnetic resonance properties of na nometer-thick \nyttrium iron garnet films , Appl. Phys. Lett. 101 , 152405 (2012). \n[35] B. M. Howe, S. Emori, H. Jeon, T. M. Oxholm, J . G. Jones, K. Mahalingam, Y. Zhuang, N. \nX. Sun, and G. J. Brown, Pseudomorphic Yttrium Iron Garnet Thin Films With L ow \nDamping and Inhomogeneous Linewidth Broadening, IEEE Magn. Lett. 6, 3500504 (2015). \n[36] A. Krysztofik, H. Głowiński, P. Kuświk, S. Zię tek, L. E. Coy, J. N. Rychły, S. Jurga, T. W. \nStobiecki, and J. Dubowik, Characterization of spin wave propagation in (111) YIG thin \nfilms with large anisotropy, J. Phys. D: Appl. Phys. 50 , 235004 (2017). \n[37] B. Bhoi, N. Venkataramani, R. P. R. C. Aiyar, S. Prasad, and M. Kostylev, Effect of \nAnnealing on the Structural and FMR Properties of E pitaxial YIG Thin Films Grown by RF \nMagnetron Sputtering, IEEE Trans. Magn. 54 , 2801205 (2018). 23 [38] J. Lustikova, Y. Shiomi, Z. Qiu, T. Kikkawa, R. Iguchi, K. Uchida, and E. Saitoh, Spin \ncurrent generation from sputtered Y 3Fe 5O12 films, J. Appl. Phys. 116 , 153902 (2014). \n[39] H. Chang, P. Li, W. Zhang, T. Liu, A. Hoffman n, L. Deng and M. Wu, Nanometer-Thick \nYttrium Iron Garnet Films with Extremely Low Dampin g, IEEE Magn. Lett. 5, 6882836 \n(2014). \n[40] M. B. Jungfleisch, W. Zhang, W. Jiang, H. Chan g, J. Sklenar, S. M. Wu, J. Pearson, A. \nBhattacharya, J. B. Ketterson, M. Wu, and A. Hoffma nn, Spin waves in micro-structured \nyttrium iron garnet nanometer-thick films, J. Appl. Phys. 117 , 17D128 (2015). \n[41] I. Lucas, P. Jiménez-Cavero, J. M. Vila-Fungu eirino, C. Magén, S. Sangiao, J. M. de Teresa, \nL. Morellón, and F. Rivadulla, Chemical solution synthesis and ferromagnetic reson ance of \nepitaxial thin films of yttrium iron garnet, Phys. Rev. Mater. 1, 074407 (2017). \n[42] X. Guo, Y. Chen, G. Wang, J. Ge, Y. Zhang, X. Tang, F. Ponchel, D. Remiens, and X. \nDong, Improved Performance of YIG (Y 3Fe 5O12 ) Films Grown on Pt-Buffered Si Substrates \nby Chemical Solution Deposition Technique, J. Am. Ceram. Soc. 99 , 2217 (2016). \n[43] P. Röschmann and W. Tolksdorf, Epitaxial growth and annealing control of FMR prope rties \nof thick homogeneous Ga substituted yttrium iron ga rnet films, Mater. Res. Bull. 18 , 449 \n(1983). \n[44 ] J. M. Desvignes, D. Mahasoro, and H. Le Gall, Narrow FMR Linewidth dependence on \ngrowth conditions in LPE YIG films, IEEE Trans. Magn. 23 , 3724 (1987). \n[45 ] I. I. Syvorotka, I. M. Syvorotka, and S. B. Ubizsk ii, Thick epitaxial YIG films with narrow \nFMR linewitdhs , Solid State Phenom. 200 , 250 (2013). \n[46 ] S. Maedl, I. Stasinopoulos, D. Grundler, Spin waves with large length and few 100 nm \nwavelengths in thin yttrium iron garnet grown at th e wafer scale , Appl. Phys. Lett. 111 , \n012403 (2017). \n[47 ] V. G. Harris, A. Geiler, Y. Chen, S. D. Yoon, M. W u, A. Yang, Z. Chen, P. He, P. V. Parimi, \nX. Zuo, C. E. Patton, M. Abe, O. Acher, and C. Vitt oria, Recent advances in processing and \napplication of microwave ferrites , J. Magn. Magn. Mater. 321 , 2035 (2009). \n[48 ] M. Pardavi-Horvath, Microwave applications of soft ferrites , J. Magn. Magn. Mater. 215 -\n216 , 171 (2000). \n[49 ] P. Görnert, A. Lorenz, M. Lindner, and H. Richert, Magneto-Optic Garnet Sensor Films: \nPreparation, Characterization, Application in: Crystal Growth Technology ed. by P. Capper, \nP. Rudolph WILEY-VCH Verlag Weinheim, 2010. \n[50] C. Dubs, O. Surzhenko, R. Linke, A. Danilewsk y, U. Brückner, and J. Dellith, Sub-\nmicrometer yttrium iron garnet LPE films with low f erromagnetic resonance losses, J. Phys. \nD: Appl. Phys. 50 , 204005 (2017). \n[51] N. Beaulieu, N. Kervarec, N. Thiery, O. Klein , V. Naletov, H. Hurdequint, G. de Loubens, J. \nBen Youssef and N. Vukadinovic, Temperature Dependence of Magnetic Properties of a \nUltrathin Yttrium-Iron Garnet Film Grown by Liquid Phase Epitaxy: Effect of a Pt \nOverlayer, IEEE Magn. Lett. 9, 3706005 (2018). \n[52 ] P. C. Dorsey, S. E. Bushnell, R. G. Seed, and C. V ittoria, Epitaxial yttrium iron garnet films \ngrown by pulsed laser deposition , J. Appl. Phys. 74, 1242, (1993). \n[53] N. Kumar, D. Misra, N. Venkataramani, S. Pras ad, and R. Krishnan, Magnetic properties of \npulsed laser ablated YIG thin films on different su bstrates, J. Magn. Magn. Mater. 272-276 , \nE899 (2004). \n[54] S. A. Manuilov, R. Fors, S. I. Khartsev, and A. M. Grishin, Submicron Y 3Fe 5O12 Film \nMagnetostatic Wave Band Pass Filters, J. Appl. Phys. 105 , 033917 (2009). 24 [55 ] H. Wang, C. Du, P. C. Hammel, and F. Yang, Strain-tunable magnetocrystalline anisotropy \nin epitaxial Y 3Fe 5O12 thin films , Phys. Rev. B 89 , 134404 (2014). \n[56 ] N. S. Sokolov, V. V. Fedorov, A. M. Korovin, S. M. Suturin, D. A. Baranov, S. V. Gastev, \nB. B. Krichevtsov, K. Y. Maksimova, A. I. Grunin, V . E. Bursian, L. V. Lutsev, and M. \nTabuchi, Thin yttrium iron garnet films grown by pulsed lase r deposition: Crystal structure, \nstatic, and dynamic magnetic properties, J. Appl. Phys. 119 , 023903 (2016). \n[57 ] C. T. Wang, X. F. Liang, Y. Zhang, X. Liang, Y. P. Zhu, J. Qin, Y. Gao, B. Peng, N. X. Sun, \nL. Bi, Controlling the magnetic anisotropy in epitaxial Y 3Fe 5O12 films by manganese doping, \nPhysical Review B 96 , 224403 (2017). \n[58] A. Quindeau, C. O. Avci, W. Liu, C. Sun, M. Man n, A. S. Tang, M. C. Onbasli, D. Bono, P. \nM. Voyles, Y. Xu, R. Robinson, G. S. D. Beach, and C. A. Ross, Tm 3Fe 5O12 /Pt \nHeterostructures with Perpendicular Magnetic Anisot ropy for Spintronic Applications , Adv. \nElectr. Mater. 3, 1600376 (2017). \n[59 ] J. Fu, M. Hua, X. Wen, M. Xue, S. Ding, M. Wang, P . Yu, S. Liu, J. Han, C. Wang, H. Du, \nY. Yang, and J. Yang, Epitaxial growth of Y 3Fe 5O12 thin films with perpendicular magnetic \nanisotropy, Appl. Phys. Lett 110, 202403 (2017). \n[60 ] T. Yoshimoto, T. Goto, K. Shimada, B. Iwamoto, Y. N akamura, H. Uchida, C. A. Ross, and \nM. Inoue, Static and Dynamic Magnetic Properties of Single-Cr ystalline Yttrium Iron \nGarnet Films Epitaxially Grown on Three Garnet Subs trates , Adv. Electron. Mater. 4, \n1800106 (2018). \n[61 ] V. H. Ortiz, M. Aldosary, J. Li, Y. Xu, M. I. Lohm ann, P. Sellappan, Y. Kodera, J. E. Garay, \nand J. Shi, Systematic control of strain-induced perpendicular magnetic anisotropy in \nepitaxial europium and terbium iron garnet thin fil ms, APL Materials 6, 121113 (2018). \n[62 ] L. Soumah, N. Beaulieu, L. Qassym, C. Carrétéro, E . Jacquet, R. Lebourgeois, J.B. Youssef, \nP. Bortolotti, V. Cros, and A. Anane, Ultra-low damping insulating magnetic thin films ge t \nperpendicular, Nat. Commun. 9, 3355, (2018). \n[63] Y. Choi and S. J. Chung, Annealing behaviors of lattice misfit in YIG and La -doped YIG \nfilms grown on GGG substrates by LPE method, J. Cryst. Growth 191 , 754 (1998). \n[64 ] P. Hansen, K. Witter, and W. Tolksdorf, Magnetic and magneto-optic properties of lead- \nand bismuth-substituted yttrium iron garnet films , Phys. Rev. B 27 , 6608 (1983). \n[65] J. Robertson, Liquid phase epitaxy of garnets, J. Cryst. Growth 45 , 233 (1978). \n[66] P. Zaumseil, RCRefSim (Rocking curve and reflectivity simulation) , Frankfurt (Oder), \n(2005), zaumseil@ihp-microelectronics.com. \n[67 ] U. Barth and A. Witzmann, Program for registering and handling of RBS spectra , FSU Jena \n1984 (2018). \n[68 ] N. P. Barradas, C. Jeynes, and R. P. Webb, Simulated annealing analysis of Rutherford \nbackscattering data, Appl. Phys. Lett. 71 , 291 (1997). \n[69 ] See Supplemental Material at http:…., Stress calcu lation, uniaxial magnetic anisotropy \ncalculations, FMR resonance equations, as well as r esults of angle-dependent FMR \nmeasurements. \n[70 ] J. M. Hinckley and J. Singh , Influence of substrate composition and crystallog raphic \norientation on the band structure of pseudomorphic Si-Ge alloy films , Phys. Rev. B 42 , 3546 \n(1990). \n[71 ] D. Sander, The correlation between mechanical stress and magne tic anisotropy in ultrathin \nfilms, Rep. Prog. Phys. 62 , 809 (1999). 25 [72] D. L. Huber, Elastic properties of garnets , in Landolt-Börnstein - Group III Condensed \nMatter 4A, ed. by K.-H. Hellwege and A. M. Hellwege , Springer-Verlag Berlin Heidelberg \n(1970) \n[73] S. Haussühl, D. Mateika, and W. Tolksdorf, Elastic and Thermoelastic Constants of \nY3Fe 5O12 -, Nd 3Ga 5O12 -und Sm 3Ga 5O12 -garnets , Z. Naturforsch. A 31, 390 (1976). \n[74 ] I. Utke, L. Parthier, and M. Schenk, The influence of interdiffusion on the lattice misf it of \nepitaxial structures, J. Cryst. Growth 123, 269 (1992). \n[75] S. M. Suturin, A. M. Korovin, V. E. Bursian, L. V. Lutsev, V. Bourobina, N. L. Yakovlev, \nM. Montecchi, L. Pasquali, V. Ukleev, A. Vorobiev, A. Devishvili, and N. S. Sokolov, Role \nof gallium diffusion in the formation of a magnetic ally dead layer at the \nY3Fe 5O12 /Gd 3Ga 5O12 epitaxial interface, Phys. Rev. Mater. 2, 104404 (2018). \n[76] A. Mitra, O. Cespedes, Q. Ramasse, M. Ali, S. Marmion, M. Ward, R. M. D. Brydson, C. J. \nKinane, J. F. K. Cooper, S. Langridge, and B. J. Hi ckey, Interfacial Origin of the \nMagnetisation Suppression of Thin Film Yttrium Iron Garnet, Sci. Rep. 7, 11774 (2017). \n[77] J. Mendil, M. Trassin, Q. Bu, J. Schaab, M. Baumgartner, C. Murer, P. T. Dao, J. \nVijayakumar, D. Bracher, C. Bouillet, C. A. F. Vaz, M. Fiebig, and P. Gambardella , \nMagnetic properties and domain structure of ultrath in yttrium iron garnet/Pt bilayers , \nPHYSICAL REVIEW MATERIALS 3, 034403 (2019) \n[78] Kh. Zakeri, I. Barsukov, N. K. Utochkina, F. M. Rom er, J. Lindner, R. Meckenstock, U. van \nHorsten, H. Wende, W. Keune, M. Farle, S. S. Kalari ckal, K. Lenz, and Z. Frait, Magnetic \nproperties of epitaxial Fe(3)Si/MgO(001) thin films , Phys. Rev. B 76 , 214421 (2007). \n[79] K. Zakeri, J. Lindner, I. Barsukov, R. Meckenstock, M. Farle, U. von Horsten, H. Wende, \nW. Keune, J. Rocker, S. S. Kalarickal, K. Lenz, W. Kuch, K. Baberschke, and Z. Fraît, Spin \ndynamics in ferromagnets: Gilbert damping and two-m agnon scattering, Phys. Rev. B 76 , \n104416 (2007). \n[80 ] L. Baselgia, M. Warden, F. Waldner, S. L. Hutton, J . E. Drumheller, Y. Q. He, P. E. Wigen, \nM. Marysko, Derivation of the resonance frequency from the fre e energy of ferromagnets, \nPhys. Rev. B 38 , 2237 (1988). \n[81] S. Manuilov, S. Khartsev, and A. Grishin, Pulsed laser deposited Y 3Fe 5O12 films: Nature of \nmagnetic anisotropy I, J. Appl. Phys. 106 , 123917 (2009). \n[82] J. Lindner, I. Barsukov, C. Raeder, C. Hassel, O. Posth, R. Meckenstock, P. Landeros, and \nD. L. Mills, Two-magnon damping in thin films in case of canted magnetization: Theory \nversus experiment , Phys. Rev. B 80 , 224421 (2009). \n[83] C. Chappert, K. L. Dang, P. Beauvillain, H. H urdequint, and D. Renard, Ferromagnetic \nresonance studies of very thin cobalt films on a go ld substrate , Phys. Rev. B 34 , 3192 \n(1986). \n[84] M. Chen, C. E. Patton, G. Srinivasan, and Y. T. Zha ng, Ferromagnetic resonance foldover \nand spin-wave instability in single-crystal YIG fil ms , IEEE Transaction on Magnetics 25 , \n3485 (1989). \n[85] R. Arias and D. L. Mills, Extrinsic contributions to the ferromagnetic resona nce response of \nultrathin films, Phys. Rev. B 60 , 7395 (1999). \n[86] R. Arias and D. L. Mills, Extrinsic contributions to the ferromagnetic resona nce response of \nultrathin films, J. Appl. Phys. 87 , 5455 (2000). \n[87] J. Lindner, K. Lenz, E. Kosubek, K. Baberschk e, D. Spoddig, R. Meckenstock, J. Pelzl, Z. \nFrait, and D. L. Mills, Non-Gilbert-type damping of the magnetic relaxation in ultrathin \nferromagnets: Importance of magnon-magnon scatterin g, Phys. Rev. B 68 , 060102(R) \n(2003). 26 [88] M. B. Jungfleisch, A. V. Chumak, A. Kehlberger, V. Lauer, D. H. Kim, M. C. Onbasli, C. A. \nRoss, M. Kläui, and B. Hillebrands, Thickness and power dependence of the spin-pumping \neffect in Y 3Fe 5O12 /Pt heterostructures measured by the inverse spin H all effect, Phys. Rev. B \n91 , 134407 (2015). \n[89] M. Haidar , M. Ranjbar , M. Balinsky , R. K. Dumas , S. Khartsev , and J. Åkerman, Thickness- \nand temperature-dependent magnetodynamic properties of yttrium iron garnet thin films, \nJournal of Applied Physics 117 , 17D119 (2015). \n[90 ] Z. Fang , A. Mitra , A. L. Westerman , M. Ali , C. Ciccarelli , O. Cespedes , B. J. Hickey, and A. \nJ. Ferguson, Thickness dependence study of current-driven ferrom agnetic resonance in \nY3Fe 5O12 /heavy metal bilayers, Appl. Phys. Lett. 110 , 092403 (2017). \n[91 ] A. Talalaevskij, M. Decker, J. Stigloher, A. Mitra, H. S. Körner, O. Cespedes, C. H. Back, \nand B. J. Hickey , Magnetic properties of spin waves in thin yttrium i ron garnet films , Phys. \nRev. B 95 , 064409 (2017). \n[92] Y. Shiota, S. Kasukawa, T. Moriyama, and T. Ono, Spin wave propagation in sputter-\ndeposited YIG nanometer films , Conference: 2018 IEEE International Magnetic Conf erence \n(INTERMAG), DOI: 10.1109/INTMAG.2018.8508046. \n[93] G. Winkler, Magnetic garnets , in: Vieweg Tracts in Pure and Applied Physics , vol 5 \n(Braunschweig: Vieweg 1981). \n[94 ] P. Hansen, Magnetic Anisotropy and Magnetostriction in Garnets in: Physics of magnetic \ngarnets (Enrico Fermi Lectures vol. LXX) ed. by A. Paoletti (North-Holland, Amsterdam, \n1978), pp. 56-133. \n[95] B. Bhoi, B. Kim, Y. Kim, M.-W. Kim, J.H. Lee, and S.-K. Kim, J. Appl. Phys. 123 , 203902 \n(2018). \n[96] P. J. Besser, J. E. Mee, P. E. Elkins, and D. M. H einz, A stress model for heteroepitaxial \nmagnetic oxide films grown by chemical vapor deposi tion, Mater. Res. Bull. 6, 1111 (1971). \n[97] P. Hansen, P. Röschmann, and W. Tolksdorf, Saturation magnetization of \ngallium ‐substituted yttrium iron garnet , J. Appl. Phys. 45 , 2728 (1974). \n[98] J. M. Gomez-Perez, S. Vélez, L. McKenzie-Sell, M. Amado, J. Herrero-Martín, J. López-\nLópez, S. Blanco-Canosa, L. E. Hueso, A. Chuvilin, J. W. A. Robinson, and F. Casanova, \nSynthetic Antiferromagnetic Coupling Between Ultrat hin Insulating Garnets , Phys. Rev. \nAppl. 10, 044046 (2018) . \n[99] J. F. K. Cooper, C. J. Kinane, S. Langridge, M. Ali, B. J. Hickey, T. Niizeki, K. Uchida, E. \nSaitoh, H. Ambaye, and A. Glavic, Unexpected structural and magnetic depth dependence of \nYIG thin films, Phys. Rev. B 96 , 104404 (2017). \n[100] M. Chern, W. Lee, and D. Liou, Curie temperatures of Y 3Fe 5O12 /Gd 3Fe 5O12 superlattices, J. \nMagn. Magn. Mater. 170 , L243 (1997). \n[101 ] Strictly speaking this is valid only for [001] ori ented systems for all others, if the cubic \nlattice constant values of afilm and asubstrate are almost identical. 27 Supplemental Material: \nLow damping and microstructural perfection of sub-4 0nm-thin yttrium iron garnet films \ngrown by liquid phase epitaxy \n \nCarsten Dubs, 1 Oleksii Surzhenko, 1 Ronny Thomas, 2 Julia Osten, 2 Tobias Schneider, 2 Kilian Lenz, 2 \nJörg Grenzer, 2 René Hübner,2 Elke Wendler 3 \n \n1 INNOVENT e.V. Technologieentwicklung, Prüssingstr. 27B, 07745 Jena, Germany \n2 Institute of Ion Beam Physics and Materials Resear ch, Helmholtz-Zentrum Dresden-Rossendorf, \nBautzner Landstr. 400, 01328 Dresden, Germany \n3 Institut für Festkörperphysik, Friedrich-Schiller- Universität Jena, Helmholtzweg 3, 07743 Jena, \nGermany \n \n \nI. STRAIN CALCULATIONS \n \nIn the following we will derive the in-plane (horiz ontal) stress σ|| as a function of the out-of-plane \n(vertical) lattice misfit ⊥\nfilmdδ for a [111] oriented cubic system. These calculati ons are based on the \nelasticity theory following mainly Hinckley [70 ], Sander [71 ] and Ortiz et al . [61 ]. Assuming a fully \npseudomorphic system there is only one parameter to be determined: The out-of-plane lattice misfit \n⊥\nfilmdδ . This value can be directly obtained from the data of the HR-XRD measurements a nd/or from \nthe corresponding simulations of the symmetrical (4 44) and (888) reflections. \nThe vertical and parallel lattice misfits can be ca lculated by \n , 0||\nsubstrate||\nsubstrate||\nfilm ||\nfilm\nsubstratesubstrate film\nfilm =−=−=⊥⊥ ⊥\n⊥\ndd dddd dd δ δ (S1) \nwhere dk\ni with i = [substrate, (pseudomorph) film or (cubic) relaxe d film] is the (measured) net \nplane distances for the k = ⊥ (vertical) or || (parallel) direction with respect to the substrate surface. \nIgnoring any dynamical diffraction effects, the out -of-plane lattice misfit can be directly determined \nfrom the measurement as follows: \n \nB qq\nqq qq dθθδ δtansubstrate substratesubstrate film\nfilm film∆− =∆− =−− = − =⊥⊥\n⊥⊥ ⊥\n⊥ ⊥, (S2) \nwhere q⊥film and q⊥substrate are the derived peak positions in the Q-space of th e thin film and the \nsubstrate, respectively. ∆θB is the (kinematical) Bragg angular difference “thi n film – substrate” and \nθB is the Bragg Peak position of the substrate, respe ctively. These formulas follow directly from the \nderivative of Bragg’s law. \nThe in- and out-of-plane strains are given as follo ws: \n .||\nfilm relaxed||\nfilm relaxed||\nfilm ||\nfilm relaxedfilm relaxed film\ndd d\ndd d −=−=⊥⊥ ⊥\n⊥ε ε (S3) \nThe general relationship between stress and strain is defined as follows: \n ,kl ijkl ij ε σ C= (S4) \nwhere σij are the stress, ε kl the strain and Cijkl the second order stiffness tensors and the summati on is \ndone over the repeated indices. The subscripts ij and kl refer to the axes of the coordinates system of 28 the unit cell (1,2,3 = x,y,z ). The samples under investigations have a [111] ou t-of-plane orientation; \ntherefore, the corresponding rotation matrices have to be applied: \n ijkll k j i CUUUU Cδ γ β α αβγδ=′ . (S5) \nFor the [111] oriented surfaces U 111 yields to \n \n\n\n\n −\n=\n310\n3231\n21\n6131\n21\n61\n111U . (S6) \n \n \n \nFigure S1 : Representation of the cubic unprimed and the rota ted, primed coordinate system for an \n<111> oriented thin film; where x´, y´ correspond to the in-plane (||) directions and z´ to the out-of-\nplane ( ⊥) direction; after [71 ]. \n \n \nThe in-plane stress ||σ′ can be expressed in terms of the out-of-plane latt ice misfit ⊥\nfilmdδ obtained by \nXRD measurements. \n \nFor a cubic pseudomorphic system we can write: \n 1313 1212 1111 11 ε ε ε σ c c c + + = (S7) \n ( )⊥′+′+′=′ ε ε σ12 || 12 11 || c c c (S8) \nwith \n ⊥′− =ε ν ε|| (S9) \nresulting in: \n ||\n44 12 1112 11\n44 ||4 226 ε σc c cc cc+ ++=′ . (S10) \n \n 29 \nTaking the corresponding rotation matrices and rela tionships into account [101 ]: \n ⊥ ⊥ ⊥\n+− =+=film 111111\n||\nfilm 1111and11d d δννε δνε , (S11) \nwhere \n .4 4 24 2\n44 12 1144 12 11 111\nc c cc c c\n− ++ +=ν (S12) \n \nThe in-plane stress can be now expressed in terms o f the out-of-plane lattice misfit by: \n ⊥− =′film 44 || 2 dcδ σ . (S13) \nHere, c44 is the component from the stiffness tensor and ⊥\nfilmdδ is the out-of-plane lattice misfit as \ndefined above. \n \n \nII. ANISOTROPY CALCULATIONS FOR (111) ORIENTED EPIT AXIAL GARNET FILMS \n \nThe stress-induced anisotropy parameter for the cub ic (111) orientation can be calculated according \nto [94] by \n 111||23λ =Kσ σ′ − , (S14) \nwhere σ´|| is the above calculated in-plane stress for {111} oriented thin films, and λ 111 is the \ncorresponding magnetostriction constant. \nThe stress-induced anisotropy parameter is therefor e given by: \n 111 film 443 λdc=Kσ⊥δ . (S15) \nThe perpendicular magnetic anisotropy field can be calculated according to [43]: \n growth cub 2 H+ H+ H= Hstress ⊥ . (S16) \nAssuming negligible growth-induced contributions Hgrowth and applying the cubic anisotropy field \nfor (111) film orientation obtained by FMR measurem ents \n \nscubMK= H4\n34− , (S17) \nand taking into account the stress-induced anisotro py field \n \ns sσ\nstressMλ=MK= H111||3 2 σ′\n− , (S18) \nthe effective perpendicular anisotropy field result s in \n \nsMλ +KH39 4111|| 4\n2σ′\n− =⊥ . (S19) 30 From the resonance conditions for the perpendicular (M || [111 ]) magnetized epitaxial thin film, the \neffective saturation magnetization can be obtained by [64 ] \n \n+ − − =⊥\ns ssMK\nMKM Hf2 4\neff2\n344πω, (S20) \nfrom which the effective saturation magnetization c an be calculated by \n ⊥ −2 eff eff 4 4 H πM= πM=Hs . (S21) \n \nIII. FERROMAGNETIC RESONANCE \n \nFrom the free energy density given by Eq. (1) of th e main text, the resonance equations have been \ncalculated applying the approach of Baselgia et al. [80 ]. The resonance conditions for the frequency-\ndependences with field out-of-plane ( f⊥) and in-plane ( f|| ) read: \n \n \n\n\n+ − − \n\n− − =⊥MK\nMKM HMKM H fe e|| 2 4\nff4\nff 23443442π ππγ, (S22) \n ( ) ( ) ( )\n\n\n\n\n\n− − − − + ×\n\n\n− − = ϕ ϕϕ π ϕϕπγ3 cos 2 cos24 2cos2\n222\n4 2 || 2 4\nff|| 2\n||MK\nMK\nMKM HMKH fu e u\n (S23). \n \nExamples of angle- and frequency-dependent FMR meas urements with out- and in-plane \nconfiguration of the magnetic bias field are shown in Figure S2. 31 -30° 0° 30° 60° 90° 120° 345f = 10 GHz \n11 nm \n21 nm \n30 nm \n42 nm \n Fits Hres (kOe)\nθH\n0 5 10 15 010 20 30 40 f (GHz)\nH (kOe) \n0 5 10 15 010 20 30 40 \nθH=0° f(GHz)\nH (kOe) 11 nm \n 21 nm \n 30 nm \n 42 nm \n Fit (11 nm) θH=90° \n 11 nm \n 21 nm \n 30 nm \n 42 nm (a) \n(b) \n(c) 2.76 2.77 2.78 2 .7 9 2 .8 0 FMR- S igna l (arb . units)\nH (kOe) 42 nm \nf = 10 GHz \n4.30 4.32 4.34 4.36 4.38 4 .4 0 FMR-Signa l (arb . units)\nH (kOe) 11 nm \nf = 8 GHz θH\nφHH→\n[110] _ [112] _M→\nY IG(111) φθ\n \nFigure S2. (a) Polar angular dependencies of the FM R measured at f = 10 GHz. The inset shows the \nFMR coordinate system. Solid lines are fits accordi ng to the resonance equation. (b) Frequency \ndependencies of the resonance field measured with f ield in-plane and (c) out-of-plane. The solid \nblack line is a fit to the 11 nm dataset. Other fit curves have been omitted for visual clarity. Inset s \nshow FMR spectra and the indicated positions includ ing Lorentzian fits. " }, { "title": "1905.07278v1.High_efficiency_triple_resonant_inelastic_light_scattering_in_planar_optomagnonic_cavities.pdf", "content": "arXiv:1905.07278v1 [physics.optics] 17 May 2019Keywords : Optomagnonic Cavity, Voigt Geometry, Magnetostatic Spin Waves , Inelastic\nLight Scattering, Time Floquet Method\nHigh-efficiency triple-resonant inelastic light scatterin g in planar\noptomagnonic cavities\nPetros Andreas Pantazopoulos,∗Kosmas L. Tsakmakidis,\nEvangelos Almpanis, Grigorios P. Zouros, and Nikolaos Stefanou\nSection of Solid State Physics, National and Kapodistrian U niversity of Athens,\nPanepistimioupolis, GR-157 84 Athens, Greece\n(Dated: May 20, 2019)\nAbstract\nOptomagnonic cavities have recently been emerging as promi sing candidates for implementing\ncoherent microwave-to-optical conversion, quantum memor ies and devices, and next generation\nquantum networks. A key challenge in the design of such cavit ies is the attainment of high efficien-\ncies, which could, e.g., be exploited for efficient optical in terfacing of superconducting qubits, as\nwell as the practicality of the final designs, which ideally s hould be planar and amenable to on-chip\nintegration. Here, on the basis of a novel time Floquet scatt ering-matrix approach, we report on\nthe design and optimization of a planar, multilayer optomag nonic cavity, incorporating a Ce:YIG\nthin film, magnetized in-plane, operating in the triple-res onant inelastic light scattering regime.\nThis architecture allows for conversion efficiencies of abou t 5%, under realistic conditions, which is\norders of magnitude higher than alternative designs. Our re sults suggest a viable way forward for\nrealizing practical information inter-conversion betwee n microwave photons and optical photons,\nmediated by magnons, with efficiencies intrinsically greate r than those achieved in optomechanics\nand alternative related technologies, as well as a platform for fundamental studies of classical and\nquantum dynamics in magnetic solids, and implementation of futuristic quantum devices.\nPACS numbers:\n1I. INTRODUCTION\nOptomagnoniccavitiesarejudiciouslydesigneddielectricstructure sthatincludemagnetic\nmaterials capable of simultaneously confining light and spin waves in the same region of\nspace. This confinement leads, under certain conditions, to stron g enhancement of the\ninherently weak interaction between the two fields, which allows for a n efficient microwave-\nto-optical transduction, enabling, e.g., optical interfacing of sup erconducting qubits1,2.\nTheoptomagnonic interactionis expected to belarger when theso- called triple-resonance\ncondition is met, i.e., when the frequency of a cavity magnon matches a photon transition\nbetween two resonant modes. This implies that the cavity must supp ort two well-resolved\noptical resonances (in the hundred terahertz range) separate d by a few gigahertz, which\nrequires quality factors at least of the order of 105, as schematically depicted in figure 1.\nA (sub)millimeter-sized sphere, made of a low-loss dielectric magnetic material, consti-\ntutes a simple realization of an optomagnonic cavity. The sphere sup ports densely spaced\nlong-lifetime optical whispering gallery modes3–7, and infrared incident light evanescently\ncoupled to these modes can be scattered by a uniformly precessing (so-called Kittel) spin\nwave toa neighbouring optical whispering gallery mode. Inthe prosp ect of achieving smaller\nmodal volumes and larger spatial overlap between the interacting fi elds, higher-order mag-\nnetostatic modes8–10, magnetically split optical Mie resonances in small spheres11, as well\nas particles of different shapes12have been proposed. However, these proposals currently\nface appreciable challenges in the fabrication of high-quality particle s and/or the efficient\nexcitation of the spin waves.\nA promising alternative design of optomagnonic cavities is based on planargeometries,\nwhich can exhibit even stronger magnon-to-photon conversion effi ciencies13, while at the\nsame time allowing integration into a hybrid opto-microwave chip using m odern nanofab-\nrication methods. To this end, optomagnonic cavities formed in a mag netic dielectric film\nbounded by two mirrors14–16, or in a defect layer in a dual photonic-magnonic periodic lay-\nered structure17, have also been investigated. However, the studies reported so f ar refer to\nthe Faraday configuration, with out-of-plane magnetized films, wh ere it is challenging to\nobtain two optical resonances in the required close proximity to eac h other.\nIn this work we show that, by using in-plane magnetized films in the so- called Voigt con-\nfiguration, wecanovercometheafore-describedshortcomingso fprevious schemes anddesign\n2/g90out /g90in /g39/g90/g58\n/g900/g900~ 10 -4 \nFIG. 1: Schematic of inelastic light scattering through mag non absorption in an optomagnonic\ncavity. The frequency of a cavity magnon, Ω, matches a photon transition between two resonant\noptical modes: Ω = ∆ ω≡ωout−ωin(triple-resonance condition).\nefficient optomagnonic cavities operating in the triple-resonance re gime. In section II we de-\nscribe our statically magnetized structure and discuss its optical r esponse. In section III\nwe summarize our recently developed fully dynamic time Floquet metho d for layered opto-\nmagnonic structures16and in section IV we present details of our attained numerical result s.\nThe last section concludes the article.\nII. STRUCTURE DESIGN\nWe propose a simple design of planar optomagnonic cavity, simultaneo usly confining light\nand spin waves in the same subwavelength region of space. It consis ts of an iron garnet thin\nfilm bounded symmetrically by two-loss, dielectric Bragg mirrors, in air , as schematically\nillustrated in figure 2(a).\nIron garnets are ferrimagnetic materials exhibiting important func tionalities for bulk\n3and thin-film device applications that require magnetic insulators, ow ing to their unique\nphysical properties such as high optical transparency in a wide ran ge of wavelengths, high\nCurie temperature, ultra-low spin-wave damping, and strong magn eto-optical coupling18.\nIn our work, we consider cerium-substituted yttrium iron garnet ( Ce:YIG) which, at the\ntelecom wavelength of 1 .5µm, has a relative electric permittivity ǫ= 5.10+i4×10−4and a\nFaraday coefficient f=−0.0119, while its relative magnetic permeability equals unity. The\nCe:YIG film extends from −d/2 tod/2 and is magnetically saturated to M0by an in-plane\nbias magnetic field H0oriented, say, along the xdirection. Therefore, the corresponding\nrelative electric permittivity tensor, neglecting the small Cotton-M outon contributions, is of\nthe form20\nǫ=\nǫ0 0\n0ǫ if\n0−if ǫ\n. (1)\nWe consider the Voigt geometry with light propagating in the y-zplane. The struc-\nture in this geometry, with the magnetic field parallel to the surface and also perpendicular\nto the propagation direction, remains invariant under reflection wit h respect to the plane\nof incidence. Consequently, contrary to the Faraday configurat ion studied in our previous\nwork15–17, the transverse magnetic (TM) and transverse electric (TE) pola rization modes,\ni.e., modes with the electric field oscillating in and normal to the plane of in cidence, respec-\ntively, are eigenmodes of the system. Interestingly, in the chosen geometry, the magnetic\nfilm behaves as isotropic, with permittivity ǫ−f2/ǫandǫfor TM- and TE-polarized waves,\nrespectively. In other words, only TM-polarized light is affected by t he (magnetic) polariza-\ntion field.\nEach Bragg mirror consists of an alternate sequence of six SiO 2and six Si quarter-wave\nlayers, i.e., dm/radicalig\n(2πnm/λ)2−q2y=π/2, where dm(m: SiO 2or m: Si) is the layer thickness\nandnmthe corresponding refractive index ( nSiO2= 1.47 andnSi= 3.5) at the operation\nwavelength λ≈1.5µm21,22. Due to translation invariance parallel to the x-yplane, the\nin-plane component of the wave vector, qy= 2πsinθ/λ, whereθis the angle of incidence,\nremains constant. Taking, for instance, qy= 3µm−1, which corresponds to an angle of\nincidence of about 45o, we obtain dSiO2= 290 nm and dSi= 110 nm. Accordingly, we\nchoose a thickness d= 350 nm for the Ce:YIG film to satisfy the half-wave condition that\ncorresponds to transmission maxima.\n4M\nz\n/s48/s46/s48/s48/s46/s53/s49/s46/s48/s49/s46/s52/s57/s55/s51 /s49/s46/s52/s57/s55/s50\n/s50/s48/s48/s46/s50/s50 /s50/s48/s48/s46/s50/s52/s40 /s109/s41\n/s84/s69\n/s47/s50 /s40/s84/s72/s122/s41/s84/s84/s77\n/s32(a)\n(b)\nFIG. 2: (a) Schematic view of the optomagnonic cavity under s tudy. It is formed by a 350-\nnm-thick Ce:YIG film magnetized in-plane (along the xdirection), bounded symmetrically by two\nBragg mirrors, each consisting of6periodsofalternating S iO2andSilayers ofthickness 290nmand\n110 nm, respectively, grown along the zdirection. For light incident with qy= 3µm−1, the cavity\nsupports two localized resonant modes, one of TM and the othe rs of TE polarization, manifested in\nthe corresponding transmission spectrum shown in (b), with the dotted and solid curves referring\nto the lossless and lossy structure, respectively. A snapsh ot of the associated electric field profiles\nalong the zdirection in the lossless case is illustrated in (a).\nThis design provides two (one TM and one TE) high-quality-factor re sonances within\nthe lowest Bragg gap, at a wavelength of about 1.5 µm, separated by a frequency difference\n∆f= 9.5 GHz that matches the frequency of magnetostatic spin waves18,20. These resonant\nmodes are strongly localized in the region of the Ce:YIG film, which can b e considered as a\ndefect in the periodic stacking sequence of the Bragg mirrors. Abs orption losses reduce the\ntransmittance peak. In particular, the long-lifetime TE resonance is strongly suppressed in\nthe presence of dissipative losses, as shown by the solid line blue line in fi gure 2(b).\nIt should be pointed out that the position and width of the optical re sonances can be\ntailored at will by appropriate selection of the materials, and by prop erly adjusting the\ngeometric parameters of the structure and the angle of incidence .\n5III. THEORY FOR LAYERED OPTOMAGNONIC STRUCTURES\nThe magnetic Ce:YIG film supports magnetostatic spin waves where t he magnetization\nprecesses in-phase, elliptically, throughout the film (uniform prece ssion mode) with angular\nfrequencyΩ =/radicalbig\nΩH(ΩH+ΩM), whereΩ H=γµ0H0andΩ M=γµ0M0,γbeingthegyromag-\nnetic ratio and µ0the magnetic permeability of vacuum20. The corresponding magnetization\nfield profile is given by\nM(r,t)/M0=/hatwidex+ηAysin(Ωt)/hatwidey+ηAzcos(Ωt)/hatwidez, (2)\nwhereAy=/radicalbig\n(ΩH+ΩM)/(2ΩH+ΩM),Az=/radicalbig\nΩH/(2ΩH+ΩM), andηis an amplitude\nfactor that defines the magnetization precession angle.\nUnder the action of the spin wave, the magnetic film and, consequen tly, the entire struc-\nture can be looked upon as a periodically driven system because the m agnetization field,\ngiven by Eq. (2), induces a temporal perturbation15\nδǫ(t) =1\n2/bracketleftbig\nδǫexp(−iΩt)+δǫ†exp(iΩt)/bracketrightbig\n(3)\nin the permittivity tensor of the statically magnetized material, wher e\nδǫ=fη\n0iAzAy\n−iAz0 0\n−Ay0 0\n. (4)\nThe solutions of the underlying Maxwell equations are Floquet modes F(r,t) =\nRe{F(r,t)exp(−iωt)}, withF(r,t+T) =F(r,t),T= 2π/Ω, where by Fwe denote\nelectric field, electric displacement, magnetic field, and magnetic indu ction, while ωis the\nFloquet quasi-frequency, similarly to the Floquet quasi-momentum ( or else the Bloch wave\nvector) when there is spatial periodicity23,24. Seeking Floquet modes in the form of plane\nwaves with given qyand expanding all time-periodic quantities into truncated Fourier se ries\nin the basis of complex exponential functions exp( inΩt),n=−N,−N+1,...,N, leads to\nan eigenvalue-eigenvector equation, which has 4(2 N+ 1) physically acceptable solutions16.\nWe characterize them by the following indices: s= +(−) that denotes waves propagating\nor decaying in the positive (negative) zdirection, p= 1,2 that indicates the two eigen-\npolarizations, and ν=−N,−N+ 1,···,Nwhich labels the different eigenmodes. These\neigenmodes are polychromatic waves, each composed of 2 N+1 monochromatic components\n6of angular frequency ω−nΩ,n=−N,−N+ 1,...,N16. We note that, in a static ho-\nmogeneous medium, the corresponding eigenmodes of the electrom agnetic (EM) field are\nmonochromatic waves characterized by the indices s,p, andn.\nScattering of an eigenmode occurs at an interface between two diff erent homogeneous\nmedia. For such a planar interface between a static and a time-perio dic medium, the relative\ncomplex amplitudes of the transmitted (reflected) waves, denote d byQI\npν;p′n′(QIII\npn;p′n′) for\nincidence intheforwarddirection or QIV\npn;p′ν′(QII\npν;p′ν′)for incidence inthebackward direction\nin the configuration shown in figure 3, are obtained in the manner des cribed in Ref.16.\nPrimed indices refer to the incident wave. For an interface between two static homogeneous\nmedia, the Qmatrices relate monochromatic waves and are diagonal in n, which reflects\nfrequency conservation. We note that, in order to evaluate the s cattering properties of\nlayered optomagnonic structures in a straightforward manner, t he waves on each side of\na given interface are expressed around different points, at a dista nce−d1andd2from\nthe center of the interface (see figure 3), so that all backward a nd forward propagating\nor evanescent waves in the region between two consecutive interf aces refer to the same\n(arbitrary) origin. Of course, because of translation invariance p arallel to the x-yplane, the\nchoice of the x-ycomponents of d1andd2are immaterial; thus, for simplicity, we choose d1\nandd2along the zdirection.\nThe transmission and reflection matrices of a pair of consecutive int erfaces, i and i+1,\nare obtained by properly combining those of the two interfaces so a s to describe multiple\nscattering to any order. This leads to the following expressions aft er summing up the infinite\ngeometric series involved, as schematically illustrated in figure 3, i.e.,\nQI(i,i+1)=QI(i+1)[I−QII(i)QIII(i+1)]−1QI(i)\nQII(i,i+1)=QII(i+1)+QI(i+1)QII(i)[I−QIII(i+1)QII(i)]−1QIV(i+1)\nQIII(i,i+1)=QIII(i)+QIV(i)QIII(i+1)[I−QII(i)QIII(i+1)]−1QI(i)\nQIV(i,i+1)=QIV(i)[I−QIII(i+1)QII(i)]−1QIV(i+1). (5)\nIt should benotedthat thewaves onthe left (right) ofthepair of in terfaces arereferred toan\norigin at a distance −d1(i) [d2(i+1)] from the center of the i-th [(i+1)-th] interface. We also\nrecall that, though the choice of d1andd2associated to each interface is to a certain degree\narbitrary, it must besuch that d2z(i)+d1z(i+1) equals thethickness ofthelayer between the\n7Dynamic Static\nQI\npν ;p'n'\nQIV \npn ;p'ν'QII \npν ;p'ν'QIII\npn ;p'n'\nO1 O2 d1d2 z+ + +...\n+ + +...+ + +...+ + +... Q :I\nQ :II \nQ :III\nQ :IV \nFIG. 3: Left-hand diagram: Transmission and reflection matr ices for a planar interface between a\nstatic and a dynamic medium, defined with respect to appropri ate origins, O1andO2, at distances\n−d1andd2from a center at the interface, respectively. Right-hand di agram: The transmission\nand reflection matrices of two consecutive interfaces are ev aluated by summing up all relevant\nmultiple-scattering processes.\ni-thand(i+1)-thinterfaces. It is obvious that onecan repeat the above process to obtainthe\ntransmission and reflection matrices Qof three consecutive interfaces, by combining those\nof the pair of the first interfaces with those of the third interface , and so on, by properly\ncombining the Qmatrices of component units, one can obtain the Qmatrices of a slab\nwhich comprises any finite number of interfaces23,24. This method applies to an arbitrary\nslab which comprises periodically time-varying layers, provided that a ll dynamic media have\nthe same temporal periodicity. It is then straightforward to calcu late the transmittance, T,\nand reflectance, R, of the slab as the ratio of the transmitted and reflected, respec tively,\nenergy flux to the energy flux associated with the incident wave. TandRare given by the\nsum of the corresponding quantities over all scattering channels ( p,n):T=/summationtext\np,nTpnand\nR=/summationtext\np,nRpn. It is worthnoting that, because of the time variation of the permit tivity\ntensor, the EM energy is not conserved even in the absence of diss ipative (thermal) losses.\nIn this case, A= 1−T −R >0(<0) means energy transfer from (to) the EM to (from)\n8the spin-wave field.\nWe close this section by pointing out a useful polarization selection ru le, which can be\nreadily derived in the linear-response approximation. To first order , the coupling strength\nassociated to the photon-magnon scattering is proportional to t he overlap integral G=\n/an}bracketle{tout|δǫ|in/an}bracketri}ht, where/an}bracketle{trt|in/an}bracketri}ht=Ein(z)exp[i(q/bardbl·r−ωt)] and/an}bracketle{tout|r′t′/an}bracketri}ht=Eout⋆(z)exp[−i(q′\n/bardbl·\nr−ω′t)] denote appropriate incoming and outgoing monochromatic time-h armonic waves in\nthe static magnetic layered structure. Using Eq. (3) we obtain\nG= 4π3fηδ(q/bardbl−q′\n/bardbl)/bracketleftbig\nδ(ω−ω′−Ω)g−+δ(ω−ω′+Ω)g+/bracketrightbig\n(6)\nwhereg±=/integraldisplay\ndzu±·/bracketleftbig\nEout⋆(z)×Ein(z)/bracketrightbig\n, withu±=∓Ay/hatwidey+iAz/hatwidez. The delta functions in\nEq. (6) express conservation of in-plane momentum and energy in in elastic light scattering\nprocesses that involve emission and absorption of one magnon by a p hoton, as expected in\nthe linear regime. Obviously, the amplitude of transition between two optical eigenmodes of\nthe same polarization, TM or TE, is identically zero because the corre sponding eigenvectors\nare real. In other words, one-magnon processes change the linea r polarization state of a\nphoton.\nIV. RESULTS AND DISCUSSION\nWe now assume continuous excitation of a uniform-precession spin- wave mode in the\nmagnetic film, with a relative amplitude η= 0.06, which induces a periodic time variation in\nthecorresponding electricpermittivitytensor, givenbyEq. (3). T heoptomagnonicstructure\nis illuminated from the left by TM-polarized light with qy= 3µm−1at the corresponding\nresonance frequency, which corresponds to an angle of incidence of about 45o. The dynamic\noptical response of the structure is calculated with sufficient accu racy by considering a cutoff\nofN= 5 in the Fourier series expansions involved in our time Floquet scatte ring-matrix\nmethod outlined in section III.\nfigure 4(a) shows the total (transmitted plus reflected) intensit ies,In=/summationtext\np(Tpn+Rpn),\nas a function of the spin-wave frequency Ω /2π. It can be seen that inelastic light scattering\nis negligible when the allowed final photon states fall within a gap, wher e the optical density\nof states is very low, and we essentially have only the elastic outgoing beam. On the\ncontrary, when the spin-wave frequency matches the frequenc y difference ∆ f= 9.5 GHz\n9/s53 /s49/s48 /s49/s53/s48/s46/s48/s48/s46/s53/s49/s46/s48\n/s45/s48/s46/s51/s48/s46/s48\n/s53 /s49/s48 /s49/s53/s48/s46/s51/s48/s46/s52/s40/s100/s41/s40/s99/s41/s40/s98/s41\n/s110 /s50/s110 /s49\n/s32/s32/s73\n/s110\n/s47/s50 /s40/s71/s72/s122/s41/s110 /s48\n/s32/s32\n/s32/s49/s48/s52\n/s65\n/s32\n/s32/s65\n/s47/s50 /s40/s71/s72/s122/s41\nFIG. 4: The structure of figure 2(a), under continuous excita tion of a uniform precession spin-wave\nmode of angular frequency Ω with a relative amplitude η= 0.06, is illuminated from the left by\nTM-polarized light with qy= 3µm−1at the corresponding resonance frequency [see figure 2(b)].\nVariation of the dominant elastic and inelastic total outgo ing light intensities versus the spin-wave\nfrequency (a) and corresponding optical absorption (b) and (c). Dotted and solid curves refer to\nthe lossless and lossy structure, respectively.\nbetween the two optical resonances [see figure 2(a)], the triple-r esonance condition is fulfilled\nand one-magnon absorption processes are favoured, leading to e nhanced intensities of the\ncorresponding ( n=−1) inelastically transmitted and reflected light beams, with conversio n\nefficiency of the order of 30% if dissipative losses are neglected. At t he same time, the elastic\nbeam intensity is considerably reduced while the other inelastic proce sses are also resonantly\naffected, though to a much lesser degree, as shown in figure 4(a) a nd also in figure 5.\nOverall, there is an excess number of magnons absorbed, which can be accounted for by\nour fully dynamic time Floquet scattering-matrix method16. This is manifested as a small\nnegative absorption peak [see figure 4(b)], which clearly indicates a r esonant energy transfer\nfrom the magnon to the photon field.\nConsidering a saturation magnetization M0= 150 emu /cm3for Ce:YIG19, the triple-\nresonance condition (Ω /2π= 9.5 GHz) is achieved with a bias magnetic field H0= 2.5 kOe.\nInthiscase, theconeangleofmagnetizationprecession(ellipticalin thechosenconfiguration)\nattains a maximum of 2 .75o, which is a tolerable value for linear spin waves.\n10/s53 /s49/s48 /s49/s53/s49/s48/s45/s53/s49/s48/s45/s51/s49/s48/s45/s49/s49/s48/s48\n/s53 /s49/s48 /s49/s53/s49/s48/s45/s57/s49/s48/s45/s55/s49/s48/s45/s53/s49/s48/s45/s51/s49/s48/s45/s49\n/s40/s98/s41/s32\n/s32/s73\n/s84/s77/s59 /s110\n/s40/s97/s41\n/s47/s50 /s40/s71/s72/s122/s41 /s47/s50 /s40/s71/s72/s122/s41/s110 /s51/s32\n/s32/s73\n/s84/s69/s59 /s110/s110 /s48\n/s110 /s50/s110 /s49\n/s110 /s49\n/s110 /s51\n/s110 /s50\nFIG. 5: Polarization-converting (a) and polarization-con serving (b) contributions to the spectrum\nof the figure 4(a). The peak in (a) indicated by the arrow corre sponds to the resonant transition\nwhen accomplished by absorption of three magnons.\nIt is interesting to note that the triple-resonance condition can be accomplished by many-\nmagnon absorption processes as well ( mΩ/2π= ∆f), provided that the number of magnons,\nm, isoddinordertochangethepolarizationstateofthephoton, fro mTMtoTE, asrequired\nin our case. We recall that our method of calculation is not restricte d to the first-order Born\napproximation and thus it can describe nonlinear effects that are us ually relatively weak.\nForexample, such a three-magnonabsorptionprocess ismanifest ed asa peakintheintensity\nof then=−3 outgoing beam, for Ω /2π= ∆f/3≈3.2 GHz, as pointed out by the arrow in\nfigure 5(a).\nAs can be seen in figure 4(a), when dissipative losses are taken into a ccount, the elastic\nbeamintensity is uniformlyby about 30%, inagreement withthe result s shown infigure 2(b)\nfor the TM mode. Here, when the triple-resonance condition is satis fied, the corresponding\ndrop in the n=−1 beam is considerably larger because of the longer lifetime of the fina l\n(TE) state but, nonetheless, the optical conversion efficiency is s till as high as 5%. We\nnote that, because of the high quality factor of the final (TE) sta te and the presence of\nnon-negligible losses in this case, we overall obtain resonant optical absorption (instead of\ngain in the lossless case), as shown in figure 4(c).\n11V. CONCLUSIONS\nTo conclude, we have presented a detailed analysis and optimization o f a planar opto-\nmagnonic structure operating in the triple-resonance regime and a llowing for optical con-\nversion efficiencies of the order of 5% [cf. figure 4(a)] under realist ic conditions, mediated by\na uniformly precessing spin wave. The outlined time Floquet multiple-sc attering methodol-\nogy was able to resolve absorption and emission of multiple magnons, in dicating that under\nspecial conditions the attained conversion efficiencies mediated by m ultiple magnons can be\ncomparable to those mediated by a single magnon [cf. orange and pink dotted lines in fig-\nure 5(a)]. We have also found that the absorption or emission of a ma gnon leads to a change\nin the polarization of the optical conversion process. An interestin g further objective would\nbe to extend the current approach to the full spatio-temporal Floquet scattering-matrix\nmethodology, which should allow for investigating, among others, su rface Dammon-Eshbach\nand backward volume waves with an in-plane propagation wave vecto r that can lead to\nmore exotic physical behavior, including emergence of a paraxial ou tgoing scattered beam\nand bandgap formation.\nAcknowledgments\nP.A.P. was supported by the General Secretariat for Research an d Technology (GSRT)\nandtheHellenic FoundationforResearch andInnovation(HFRI) th rougha PhD scholarship\n(No. 906). K.L.T., E.A., and G.P.Z. were supported by HFRI and GSRT un der Grant 1819.\nReferences\n∗Electronic address: pepantaz@phys.uoa.gr\n1Tabuchi Y, Ishino S, Noguchi A, Ishikawa T, Yamazaki R, Usami K and Nakamura Y 2015\nCoherent coupling between a ferromagnetic magnon and a supe rconducting qubit Science349,\n405408\n122Lachance-QuirionD,TabuchiY,IshinoY,NoguchiA,Ishikaw aT,Yamazaki RandNakamuraY\n2017Resolvingquantaofcollective spinexcitations inami llimeter-sized ferromagnet Sci. Adv. 3,\ne1603150\n3Osada A, Hisatomi R, Noguchi A, Tabuchi Y, Yamazaki R, Usami k , Sadgrove M, Yalla R,\nNomura M and Nakamura Y 2016 Cavity optomagnonics with spin- orbit coupled photons\nPhys. Rev. Lett. 116, 223601\n4Zhang X, Zhu N, Zou C.-L. and Tang H X 2016 Optomagnonic whispe ring gallery microres-\nonatorsPhys. Rev. Lett. 117, 123605\n5Haigh J A, Nunnenkamp A, Ramsay A J and Ferguson A J 2016 Triple -resonant Brillouin light\nscattering in magneto-optical cavities Phys. Rev. Lett. 117, 133602\n6Viola-Kusminskiy S, Tang H X and Marquard F 2016 Coupled spin -light dynamics in cavity\noptomagnonics Phys. Rev. A 94, 033821\n7Sharma S, Blanter Y M and Bauer G E W 2017 Light scattering by ma gnons in whispering\ngallery mode cavities Phys. Rev. B 96, 094412\n8Haigh J A, Lambert N J, SharmaS, Blanter Y M, Bauer G E W and Rams ay A J 2018 Selection\nrules for cavity-enhanced Brillouin light scattering from magnetostatic modes Phys. Rev. B 97,\n214423\n9Osada A, Gloppe A, Hisatomi R, Noguchi A, Yamazaki R, Nomura M , Nakamura Y and\nUsami K 2018 Brillouin light scattering by magnetic quasivo rtices in cavity optomagnon-\nicsPhys. Rev. Lett. 120, 133602\n10Osada A, Gloppe A, Nakamura Y and Usami K 2018 Orbital angular momentum conservation\nin Brillouin light scattering withina ferromagnetic spher eNew J. Phys. 20, 103018\n11Almpanis E 2018 Dielectric magnetic microparticles as phot omagnonic cavities: Enhancing the\nmodulation of near-infrared light by spin waves Phys. Rev. B 97, 184406\n12Graf J, Pfeifer H, Marquardt F, and Viola Kusminskiy S 2018 Ca vity optomagnonics with\nmagnetic textures: Coupling a magnetic vortex to light Phys. Rev. B 98, 241406(R)\n13Kostylev M and Stashkevich A A 2019 Proposal for a microwave p hoton to optical photon\nconverter based on traveling magnons in thin magnetic films J. Magn. Magn. Mat. 484, 329–\n344\n14Liu T Y, Zhang X F, Tang H X and Flatt´ e M E 2016 Optomagnonics in magnetic solids\nPhys. Rev. B 94, 060405(R)\n1315PantazopoulosPA,StefanouN,AlmpanisEandPapanikolaou N 2017Photomagnonicnanocav-\nities for strong light–spin-wave interaction Phys. Rev. B 96, 104425\n16Pantazopoulos P A and Stefanou N 2019 Layered optomagnonic s tructures: Time Floquet\nscattering-matrix approach Phys. Rev. B 99, 144415\n17Pantazopoulos P A, Papanikolaou N and Stefanou N 2019 Tailor ing coupling between light and\nspin waves with dual photonic-magnonic resonant layered st ructures J. Opt.21, 015603\n18ZvezdinAKandKotov VA1997 Modern Magnetooptics and Magnetooptical Materials (Bristol:\nInstitute of Physics Publishing)\n19Onbasli M C, Beran L, Zahradn´ ık M, Kuˇ cera M, Antoˇ s R, Mistr ´ ık J, Dionne G F, Veis M and\nRoss K A 2016 Optical and magneto-optical behavior of Cerium Yttrium Iron Garnet thin films\nat wavelengths of 200–1770 nm Sci. Rep. 6, 23640\n20Stancil D D and Prabhakar A 2009 Spin Waves-Theory and Applications (Boston: Springer)\n21Pierce D T and Spicer W E 1972 Electronic structure of amorpho us Si from photoemission and\noptical studies Phys. Rev. B 5, 307\n22Gao L, Lemarchand F and Lequime M 2012 Exploitation of multip le incidences spectrometric\nmeasurements for thin film reverse engineering Opt. Express 20, 15734–15751\n23Stefanou N, Yannopapas V and Modinos A 1998 Heterostructure s of photonic crystals: fre-\nquency bands and transmission coefficients Comput. Phys. Commun. 113, 49–77\n24Stefanou N, Yannopapas V and Modinos A 2000 MULTEM 2: A new ver sion of the program for\ntransmissionandband-structurecalculations ofphotonic crystalsComput. Phys. Commun. 132,\n189–196\n14" }, { "title": "2111.02236v1.Efficient_geometrical_control_of_spin_waves_in_microscopic_YIG_waveguides.pdf", "content": "1 \n Efficient g eometrical control of spin waves in microscopic YIG waveguides \nS. R. Lake1 , B. Divinskiy2*, G. Schmidt1,3, S. O. Demokritov2, and V . E. Demidov2 \n1Institut für Physik, Martin -Luther -Universität Halle -Wittenberg, 06120 Halle, Germany \n2Institute for Applied Physics, University of Muenster, 48149 Muenster, Germany \n3Interdisziplinäres Zentrum für Materialwissenschaften , Martin -Luther -Universität Halle -\nWittenberg, 06120 Halle, Germany \n \nWe study experimentally and by micromagnetic simulations the propagation of spin waves \nin 100-nm thick YIG waveguides , where the width linearly decreases from 2 to 0.5 m over a \ntransition region with varying length between 2.5 and 10 m. We show that this geometry result s \nin a down conversion of the wavelength , enabling efficient generation of waves with wavelengths \ndown to 350 nm. We also find that th is geometry leads to a modification of the group velocity , \nallow ing for almost -dispersionless propagation of spin -wave pulses . Moreover , we demonstrate \nthat the in fluence of energy concentration outweighs that of damping in these YIG waveguides , \nresulting in an overall increase of the spin -wave intensity during propagation in the transition \nregion. These findings can be utilized to improve the efficiency and functio nality of magnonic \ndevices which use spin waves as an information carrier. \n \n*Corresponding author, e -mail: b_divi01@uni -muenster.de 2 \n Spin waves propagating in microscopic magnetic waveguides present a flexib le and highly \nfunctional tool for transmission and processing of information on the nano -scale1-4. Among the \nmost important advantages provided by spin waves is their controllabili ty by the magnetic field, \nwhich , for example, enables efficient control of t heir propagation characteristics by electric \ncurrent s. This controllability also forms the basis of using spatially non -uniform , dipolar magnetic \nfields to manipulate spin waves5-7. Because these fields are determined by the waveguide’s \ngeometry , varying its spatial parameters enable s different mode transformations and wavelength \nconversion7-15. Although tuning spin waves by using geometrical effects provides many \nopportunities for the implementation of magnonic devices, the functionality of this approach is \nstrongly limited by the spatial attenuation. Indeed, in metallic waveguides with a small decay \nlength, the passage through a conversion region can lead to a massive loss of spin-wave intensity16. \nThe restrictions imposed by the fast spatial decay of spin waves can be overcome by using \nhigh-quality , nanometer s-thick films of the low-damping magnetic insulator , yttrium iron garnet \n(YIG)17-19, where the decay length of spin waves can surpass many tens of micrometers20-22. \nRecently it was shown that these films can be structured on the micrometer and the sub -micrometer \nscale without significantly increasing the magnetic damping23-25. Additionally, magnetic dynamics \nin these films can be controlled by sp in-torque effects, which can be used to enhance further the \npropagation characteristics26,27 and generate propagating spin waves by dc electric currents without \nthe need to use energy -inefficient microwave excitation28. These features make ultrathin YIG fi lms \nan excellent candidate for magnonic applications where spin waves are steered via geometrical \nparameters. \n In this Letter , we study the control of spin -wave propagation characteristics in \nmicroscopic , ultrathin -YIG waveguides in which the width linearly decreases along the 3 \n propagation direction . By using spatially -, temporally -, and phase -resolved measurements and \nmicromagnetic simulations , we show that the spatial variation of the demagnetizing field caused \nby the narrowing of the waveguide results in a robust decrease of the spin-wave wavelength. Due \nto the minimal spatial attenuation, this wavelength conversion occurs without the decrease of the \nspin-wave intensity during propagation in the transition region. On the contrary , due to spatial \ncompression, the intensity exhibits a noticeable increase, which becomes particularly pronounced \nfor shorter transition lengths . These effects can be utilized to implement highly efficient excitation \nof short -wavelength spin waves . Additionally, we show that the geometrical control can be used \nto tune the propagation velocity of spin -wave pulses and reach a regime where the velocity is \nalmost independent of the spin -wave frequency . Our findings demonstrate a simple and robust \nmethod to control spin -wave propag ation which can enhance the functionality of nano scale \nmagnonic devices. \nFigure 1(a) shows the schematics of our experiment. We study a microscopic spin-wave \nwaveguide patterned from a 100-nm thick YIG film grown by pulsed -laser deposition (PLD) . The \nYIG film is characterized by a saturation magnetization of 4πM = 1.75 kG and a Gilbert damping \nconstant α = 4×10-4, as determined from ferromagnetic -resonance measurements. The width of the \nwaveguide, w, linearly decreases from 2 m to 0.5 m over a 10 - m long transition region. The \nspin waves are excited by using a 500 -nm wide and 150 -nm thick inductive Au antenna \nperpendicular to the waveguide, with its right -hand edge located at the beginning of the transition \nregion. \nThe structures were patterned on a GGG <111> substrate using a two -layer PMMA resist \nand subsequent electron beam lithography. After development in isopropanol , 110 nm of YIG was \ndeposited via PLD, following a recipe published by Hauser et al. (Ref. 19). The sample was then 4 \n placed in aceto ne for lift -off of extraneous material and afterwards annealed in a pure oxygen \natmosphere19. Next, 10 nm of YIG were etched using phosphoric acid in order to remove seams \nthat can appear at the edges of the structures due to the mobility of the deposited atoms during \nPLD. Finally, the overlying antenna was patterned using a tri-layer PMMA resist, evaporation of \nTi (10 nm) and Au (150 nm), and lift -off. \nThe YIG waveguide is magnetized to saturation by an in-plane , static magnetic field , H0, \napplied along the Au antenna . Because of demagnetization effects, the internal magneti c field Hint \nis smaller than H0. It is not uniform across the waveguide width and strongly differs in the \nwaveguide’s wide st and narrow est parts (see the distribution in Fig. 1(b) calculated by using the \nmicromagnetic simulation software MuMax3 (Ref. 29)). At H0 = 1000 Oe, the maximum internal \nfield is 945 and 785 Oe in the wide st and the narrow est part, respectively. As seen from Fig. 1(c), \nthis difference results in a shift of approx imately 0.7 to 0.8 GHz in the dispersion curves . \nWe note that the dispersion curves calculated by using MuMax3 (curves in Fig. 1(c)) \ncoincide well with those obtained from phase -resolved measurements (symbols in Fig. 1(c)) \ndescribed in detail below. This good agreement allows us to rely on results of simulations to obtain \nthe information about the propagation of spin waves which cannot be obtained from direct \nmeasurements. \nTo analyze the propagation of spin waves experimentally , we use the time- and phase -\nresolved micro -focus Brillouin light scattering (BLS) spectroscopy16. We focus the probing laser \nlight with the wavelength of 473 nm and a power of 0.25 mW into a diffraction -limited spot on \nthe surface of the YIG waveguide (see Fig. 1(a)) and analyze the light inelastically scattered from \nspin waves. The measured signal , or BLS intensity , is proportional to the intensity of spin waves \nat the position of the probing spot, which allows us to record two-dimensional spin-wave intensity 5 \n maps. Additionally, by using the interference of the scattered light with the probing light \nmodulated by the microwave excitation signal, we measure the spatial maps of cos( ), where is \nthe phase difference between the spin wave and the signal applied to the antenna. The Fourier \nanalysis of the se maps provides direct information about the wavelength of spin waves at a given \nexcitatio n frequency . \nFigures 2(a) and 2(b) show representative phase and intensity maps recorded at the \nexcitation frequency f=4.5 GHz. The left edge of the maps corresponds to the position x=0.5 m \nwhich is selected to avoid measuring where the probing light is partially blocked by the antenna . \nThe data of Fig. 2(a) indicate that the w avelength of spin waves gradually decreases during \npropagation in the transition region, reflecting the frequency shift in the dispersion spectrum due \nto the continuous reduction of the internal static magnetic field as seen in Figs. 1(b) and 1(c). We \nnote that the phase profiles are slightly disturbed in the vicinity of the antenna, which is caused by \nthe weak excitation of higher -order transverse waveguide mode s16. The slight periodic transverse \nmodulation of the intensity distribution seen in Fig. 2(b) also demonstrates this effect . \nAnalysis of the experimental maps shows that in the transition region the wavelength of \nthe spin wave decreases from about 4 m to 0.5 m, i.e., by a factor of 8, (point -down triangles in \nFig. 2(c)). This is in quantitative agreement with the results obtained from micromagnetic \nsimulations ( point -up triangles in Fig. 2(c)). The wavelength -conversion process is characterized \nthoroughly in Fig. 2(d), which shows the spin -wave wavelength at the end of the transition region , \nOUT, as a function of the wavelength of the spin wave excited by the antenna , EXC. We note that \nthe efficiency of the inductive excitation by the 500-nm wide antenna quickly decreases for waves \nwith wavelength s smaller than 1 m (Ref. 16), limiting the interval of EXC accessible in the \nexperiment . This restriction is a significant drawback of the inductive excitation mechanism, 6 \n which strongly limits its use in magnonic devices operating with short -wavelength spin waves. As \nseen from the da ta of Fig. 2(d), the observed conversion of the wavelength allows one to extend \nthe range of usable wavelengths down to 350 nm. \nFrom the point of view of technical applications, the d emonstrated wavelength conversion \nis advantageous only if it is not acco mpanied by a strong decrease of the intensity of the spin wave \nin the transition region. On one hand, one expects a spatial decrease of the intensity due to the \ndamping and/or wave reflections. On the other hand, the narrowing of the waveguide is expected \nto result in an increase of the wave’s intensity due to its energy being concentrated into a smaller \ncross section. To prove which of these mechanisms dominate s in the studied waveguide , we \nanalyze spatial dependencies of the spin -wave intensity obtained from the measurements and \nmicromagnetic simulations (Fig. 3(a)) . The experimental curve in Fig. 3(a) exhibits an almost \nconstant intensity in the interval x=0.5-7 m. This indicates that the energy -concentration effect \napproximately compensates the effect s of the damping. However, at larger x, the intensity quickly \ndecreases . We emphasize that this observation cannot be related to wave reflection s because the \nintensity profile shows no signature of the formation of a standing wave. We also note that the \nobserved decrease is not reproduced in the micromagnetic simulations. The calculated intensity \ncoincides well with the experimental one in the range x=0.5-7 m. However, contrary to \nexperimental data, the calculated intensity noticeably increases in the vicinity of the end of the \ntransition region. We associate this discrepancy with the wavelength -dependent sensitivity of the \nmeasurement apparatus. Indeed, the sensitivity of magneto -optical techniques is known to decrease \nwith decreasing wavelength of spin waves , and vanish when the latter becomes equal to the \ndiameter of the probing light spot, d. Assuming d=0.3 m and decreasing from 4 to 0.5 m, one \ncan estimate that the experimental sensitivity decrease s approximately by a factor of 4. This is in 7 \n good agreement with the ratio between the calculated and experimental intensities at x>10 m in \nFig. 3(a) . Taking this into account , we base our further analysis on the results of simulations. \nConsidering the calculated intensity curve (Fig. 3(a)) and comparing the intensit y of spin \nwaves at the beginning of the transition region with the intensity at a point 0.5 m beyond the end \nof this region, we conclude that the conversion process is accompanied by an increase of the spin -\nwave intens ity by approximately a factor of 1.5. This result clearly proves the suitability of the \nproposed conversion mechanism for practical applications. Additionally, as shown by the data of \nFig. 3(b), the intensity enhancement can be further improved by reducing the length of the \ntransition region. Note here, that this reduction also leads to stronger reflections of the wave at the \nend of the transition, as seen from the increasing intensity drop at that point (marked by arrows in \nFig. 3(b)) . However, this advers e effect does not compromise the overall increase of the intensity \nenhancement (see the inset in Fig. 3(b)). \nFinally, we analyze the effects of the spatial variation of the waveguide geometry on the \npropagation of spin -wave pulses. As can be seen in Fig. 1(c), the reduction of the waveguide width \naffects not only the wavelength of a spin wave at a given frequency, but also the slope of the \ndispersion curve, which determines the group velocity. In other words, during the propagation in \nthe transition region, the dispersion of a spin-wave pulse is expected to change as well. In order to \naddress this phenomenon, we perform time-resolved BLS measurements using an excitation signal \nin the form of 20 -ns long pulses and determin e the temporal delay of the spin-wave pulses at \ndifferent spatial positions. In agreement with the above arguments, the found dependence of the \npropagation delay (Fig. 4(a)) is not linear within the transition region and clearly exhibits a gradual \ndecelera tion of the pulse. The observed deceleration can be used, for example, to implement \ncontrollable compression of the spin -wave pulses in the space domain. 8 \n From the local slope of the dependence shown in Fig. 4(a) , we determine the spin-wave \ngroup velocities at the beginning of the transition region ( x=0.5 m) and at its end ( x=10 m). \nFigure 4(b) summarizes the se results obtained at different excitation frequencies. These data show \nthat the initial -stage group velocity depends strongly on the carrier frequen cy, f, while the velocity \nat x=10 m is almost independent of frequency. The latter observation indicates that the spin -wave \npulses experience nearly dispersionless propagation in the narrow ( w=0.5 m) waveguide30. In \nnarrow waveguides, the spectral region where the group velocity has weak frequency dependence \ncorresponds to spin waves with relatively short wavelengths30. These are difficult to excite by an \ninductive antenna but, by the down conversion presented here , can be easily achieved . The data of \nFig. 4(b) show that the demonstrated approach makes it possible to achieve propagation of spin -\nwave pulses over longer distances without pulse broadening by dispersion effects . \nIn conclusion, we show that the geometrical control in ultrathin -YIG waveguides p rovides \npractical opportunities for spin-wave manipulation s, such as the down conversion of the \nwavelength and the tuning of the propagation velocity. We demonstrate that the intensity of spin \nwaves can be maintained while passing through the control region , due to the small damping in \nYIG, and can in fact noticeably increase due to spatial compression. These findings can be used \nfor implementation of energy -efficient magnonic devices that exploits sub-micrometer \nwavelengths. \nThis work was supported in part by the Deutsche Forschungsgemeinschaft (DFG, German \nResearch Foundation) – Project -ID 433682494 – SFB 1459 and TRR227 TP B02. \n \n 9 \n Data availability \nThe data that support the findings of this study are available from the corresponding author upon \nreasonable request. \nReferences \n1. S. Neusser and D. Grundler, Adv. Mater. 21, 2927 (2009). \n2. V. V. Kruglyak, S. O. Demokritov, and D. Grundler, J. Phys. D: Appl. Phys. 43, 264001 \n(2010). \n3. B. Lenk, H. Ulrichs, F. Garbs, and M. Münzenberg, Phys. Rep. 507, 107–136 (2011). \n4. A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands, Nat. Phys. 11, 453 (2015). \n5. V. E. Demidov, S. O. Demokritov, K. Rott, P. Krzysteczko, and G. Reiss , Appl. Phys. Lett. \n92, 232503 (2008). \n6. J. Topp, J. Podbielski, D. Heitmann, and D. Grundler, Phys. Rev. B 78, 024431 (2008). \n7. V. E. Demidov, J. Jersch, S.O. Demokritov, K. Rott, P. Krzysteczko, and G. Reiss , Phys. Rev. \nB 79, 054417 (2009). \n8. V. E. Demidov, M. P. Kostylev , K. Rott, J. Münchenberger, G. Reiss, and S. O. Demokritov, \nAppl. Phys. Lett. 99, 082507 (2011). \n9. K. Vogt, H. Schultheiss, S. Jain, J. E. Pearson, A. Hoffmann, S. D. Bader, and B. Hillebrands, \nAppl. Phys. Lett. 101, 042410 (2012). \n10. C. S. Davies, A. Francis, A. V. Sadovnikov, S. V. Chertopalov, M. T. Bryan, S. V. Grishin, \nD. A. Allwood, Y. P. Sharaevskii, S. A. Nikitov, and V. V. Kruglyak, Phys. Rev. B 92, \n020408(R) (2015). 10 \n 11. Z. Zhang, M. Vogel, J. Holanda, M. B. Jungfleisch, C. Liu, Y. Li, J. E. Pearson, R. Diva n, \nW. Zhang, A. Hoffmann, Y. Nie, and V. Novosad, Appl. Phys. Lett. 115, 232402 (2019). \n12. D. V. Kalyabin, A. V. Sadovnikov, E. N. Beginin, and S. A. Nikitov , J. Appl. Phys. 126, \n173907 (2019). \n13. S. Mieszczak, O. Busel, P. Gruszecki, A. N. Kuchko, J. W. Kłos, and M. Krawczyk, Phys. \nRev. Applied 13, 054038 (2020 ). \n14. A. Haldar and A . O. Adeyeye , Appl. Phys. Lett. 119, 060501 (2021) . \n15. H. Yu, J. Xiao , and H. Schultheiss , Physics Reports , 905, 1 (2021). \n16. V. E. Demidov and S. O. Demokritov, IEEE Trans. Mag. 51, 0800215 (2015). \n17. Y. Sun, Y. Y. Song, H. Chang, M. Kabatek, M. Jantz, W. Schneider, M. Wu, H. Schultheiss, \nand A. Hoffmann, Appl. Phys. Lett. 101, 152405 (2012). \n18. O. d’Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef, C. Hahn, A. H. Molpeceres, C. \nCarretero, E. Jacquet, C. Deranlot, P. Bortolotti, R. Lebourgeois, J. -C. Mage, G. de Loubens, \nO. Klein, V. Cros, and A. Fert, Appl. Phys. Lett. 103, 082408 (2013). \n19. C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt, M. Qaid, H. Deniz, D. Hesse, M. \nSawicki, S. G. Ebbinghaus, and G. Schmidt, Sci. Rep. 6, 20827 (2016). \n20. H. Yu, O. d’Allivy Kelly, V. Cros, R. Bernard, P. Bortolotti, A. Anane, F. Brandl, R. Huber, \nI. Stasinopoulos, and D. Grundler, Sci. Rep. 4, 6848 (2014). \n21. M. Collet, O. Gladii, M. Evelt, V. Bessonov, L. Soumah, P. Bortolotti, S. O. Demokritov, Y. \nHenry, V. Cros, M. Bailleul, V. E. Demidov, and A. Anane, Appl. Phys. Lett. 110, 092408 \n(2017). 11 \n 22. C. Liu, J. Chen, T. Liu, F. Heimbach, H. Yu, Y. Xiao, J. Hu, M. Liu, H. Chang, T.Stueckler, \nS. Tu, Y. Zhang, Y. Zhang, P. Gao, Z. Liao, D. Yu, K. Xia, N. Lei, W. Zhao, and M. Wu, Nat. \nCommun. 9, 738 (2018). \n23. S. Li, W. Zhang, J. Ding, J. E. Pearson, V. Novosad, and A. Hoffmann, Nanoscale 8, 388 \n(2016). \n24. B. Heinz, T. Br ächer, M. Schneider, Q. Wang, B. L ägel, A. M. Friedel, D. Breitbach, S. \nSteinert, T. Meyer, M. Kewenig, C. Dubs, P. Pirro, and A. V. Chumak, Nano Letters 20, \n4220−4227 (2020). \n25. G. Schmidt , C. Hauser, P. Trempler, M. Paleschke, and E.T. Papaioannou, Phys. Stat. Sol. B \n257, 1900644 (2020). \n26. M. Evelt, V. E. Demidov, V. Bessonov, S. O. Demokritov, J. L. Prieto, M. Munoz, J. Ben \nYoussef, V. V. Naletov, G. de Loubens, O. Klein, M. Collet, K. Garcia -Hernandez, P. \nBortolotti, V. Cros, and A. Anane, Appl. Phys. Lett. 108, 172406 (2016). \n27. T. Wimmer, M. Althammer, L. Liensberger, N. Vlietstra, S. Geprägs, M. Weiler, R. Gross, \nand H. Huebl , Phys. Rev. Lett. 123, 257201 (2019). \n28. M. Evelt, L. Soumah, A. B. Rinkevich, S. O. Demokritov, A. Anane, V. Cros, J. Ben \nYoussef, G. de Loubens, O. Klein, P. Bortolotti, and V. E. Demidov, Phys. Rev. Appl. 10, \n041002 (2018). \n29. A. Vanstee nkiste, J. Leliaert, M. Dvornik, M. Helsen, F. Garcia -Sanchez, and B. Van \nWaeyenberge, AIP Adv. 4, 107133 (2014). \n30. B. Divinskiy, H. Merbouche, K. O. Nikolaev, S. Michaelis de Vasconcellos, R. Bratschitsch, \nD. Gouéré, R. Lebrun, V. Cros, J. Ben Youssef, P. Bortolotti, A. Anane, S. O. Demokritov, \nand V. E. Demidov, Phys. Rev. Appl. 16, 024028 (2021). 12 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 1. (a) Schematics of the experiment. Inset shows the scanning -electron micrograph of the \nsample recorded under a n angle of 70°. (b) Distribution of the internal static magnetic field in the \nwaveguide calculated by using micromagnetic simulations. (c) Dispersion curves of spin waves \nin the wide st (w=2 m) and the narrow est (w=0.5 m) parts of the waveguide obtained from \nmicromagnetic si mulations (curves) and from phase -resolved measurements (symbols). The data \nare obtained at H0=1000 Oe. \n13 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 2. Representative maps of the spin -wave phase (a) and intensity (b) recorded by BLS at the \nexcitation frequency f=4.5 GHz. The left edge of the maps corresponds to the displacement x=0.5 \nm from the edge of the antenna. (c) Spatial dependence of the wavelength of the spin wave with \nthe frequency f=4.5 GHz . Vertical dashed line marks the end of the transition region. (d) Spin -\nwave wavelength at the end of the transition region , OUT, as a function of the wavelength of the \nspin wave excited by the antenna , EXC. Dashed curve – guide for the eye. In ( c) and ( d): point -\ndown triangles – experimental data, point -up triangl es – results of micromagnetic simulations. \nThe data are obtained at H0=1000 Oe. \n14 \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 3. (a) Spatial dependences of the spin -wave intensity integrated over the width of the \nwaveguide obtained from the measurements and micromagnetic simulations, as labelled. Vertical \ndashed line marks the end of the transition region. (b) Spatial dependence of the spin -wave \nintensity calculated for the waveguides with the transition length of 10 and 5 m, as labelled. \nArrows mark the intensity drop du e to reflections at the end of the transition region. Inset shows \nthe ratio between the intensity detected at a point 0 .5 m beyond the end of the transition region \nand the intensity detected at its beginning as a function of the transition length. The dat a are \nobtained at H0=1000 Oe and f=4.5 GHz . \n \n \n15 \n \n \n \n \n \n \n \n \n \n \n \nFIG. 4. Spatial dependence of the propagation delay measured for a 20 -ns long spin -wave pulse \nat the carrier frequency f=4.5 GHz . Vertical dashed line marks the end of the transition region. \nFrequency dependence of the group velocity at the beginning of the transition region ( x=0.5 m) \nand at its end ( x=10 m), as labelled. Symbols – experimental data. Curves – guide for the eye. \nThe data are obtained at H0=1000 Oe. \n \n \n" }, { "title": "1610.07362v2.Tunable_sign_change_of_spin_Hall_magnetoresistance_in_Pt_NiO_YIG_structures.pdf", "content": "Tunable sign change of spin Hall magnetoresistance in Pt/NiO/YIG structures\nDazhi Hou,1, 2Zhiyong Qiu,1, 2,\u0003Joseph Barker,3Koji Sato,1Kei Yamamoto,3, 4, 5Sa ul\nV\u0013 elez,6Juan M. Gomez-Perez,6Luis E. Hueso,6, 7F\u0012 elix Casanova,6, 7and Eiji Saitoh1, 2, 3, 8\n1WPI Advanced Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n2Spin Quantum Recti\fcation Project, ERATO, Japan Science and Technology Agency, Sendai 980-8577, Japan\n3Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n4Institut f ur Physik, Johannes Gutenberg Universit at Mainz, D-55099 Mainz, Germany\n5Department of Physics, Kobe University, 1-1 Rokkodai, Kobe 657-8501, Japan\n6CIC nanoGUNE, 20018 Donostia-San Sebastian, Basque Country, Spain\n7IKERBASQUE, Basque Foundation for Science, 48011 Bilbao, Basque Country, Spain\n8Advanced Science Research Center, Japan Atomic Energy Agency, Tokai 319-1195, Japan\nSpin Hall magnetoresistance (SMR) has been investigated in Pt/NiO/YIG structures in a wide\nrange of temperature and NiO thickness. The SMR shows a negative sign below a temperature\nwhich increases with the NiO thickness. This is contrary to a conventional SMR theory picture\napplied to Pt/YIG bilayer which always predicts a positive SMR. The negative SMR is found to\npresist even when NiO blocks the spin transmission between Pt and YIG, indicating it is governed\nby the spin current response of NiO layer. We explain the negative SMR by the NiO 'spin-\rop'\ncoupled with YIG, which can be overridden at higher temperature by positive SMR contribution\nfrom YIG. This highlights the role of magnetic structure in antiferromagnets for transport of pure\nspin current in multilayers.\nMagnetoresistance plays essential roles in providing\nboth a fundamental understanding of electron transport\nin magnetic materials and in various technological ap-\nplications. Anisotropic magnetoresistance (AMR) [1, 2],\ngiant magnetoresistance [3, 4], and tunneling magnetore-\nsistance [5{8] underpin technologies in sensors, memo-\nries, and data storage. Recent studies of thin \flm bi-\nlayer systems comprised of a normal metal (NM) and a\nferromagnetic insulator (FI) revealed a new type of mag-\nnetoresistance called spin Hall magnetoresistance (SMR)\n[9{11], originating from the interplay between the spin\naccumulation at the NM/FI interface and the magnetiza-\ntion of the FI layer. When the NM layer has a signi\fcant\nspin-orbit interaction, e.g. Pt, an in-plane charge current\njcinduces a spin current via the spin Hall e\u000bect, which\nin turn generates a spin accumulation near the NM/FI\ninterface. At the same time, this spin accumulation is\na\u000bected by the orientation of the magnetization in the\nferromagnet. The conductivity of the NM layer is thus\nsubject to a magnetization dependent modi\fcation to the\nleading order in \u00122\nSHE, where\u0012SHEis the spin Hall angle\nin the NM layer.\nSince the discovery of SMR, experimental studies were\ninstigated in various systems [12{19]. The amplitude of\nSMR is de\fned as the di\u000berence of the resistivities with\nan applied \feld, H, parallel (\u001ak) and perpendicular ( \u001a?)\ntojc:\u001aSMR=\u001ak\u0000\u001a?. This is predicted to be always\npositive because when Hkjc, the FI can absorb more\nspin current, by which the back\row required to ensure\nthe stationary state is reduced at the FI/NM interface,\nin turn causing less secondary forward charge current,\nand therefore gives : \u001ak> \u001a?[9, 10]. Positive \u001aSMR is\nfound in most experimental observations.\nVery recently, a negative SMR ( \u001ak<\u001a?) was reportedwhen an antiferromagnetic (AFM) insulator, in this case\nNiO, is inserted between Pt and YIG [20]. The negative\nSMR was also found to revert to the conventional positive\nsign at higher temperatures. Signal contamination from\nother magnetoresistances such as AMR was excluded by a\nsystematic \feld angle dependence measurement. This re-\nsult challenges the present understanding of SMR. Since\nthe SMR does not change its sign in the Pt/YIG bilayer\nstructure, the NiO layer must be the cause. However, it\nis not clear why NiO should give a negative SMR since\nantiferromagnets are thought only to a\u000bect the e\u000eciency\nof the spin communication between Pt and YIG [21{26].\nIn this letter, we report the temperature dependence\nof SMR in Pt/NiO/YIG structures with di\u000berent thick-\nnesses of NiO. The temperature at which the SMR be-\ncomes negative is found to depend on the NiO thickness.\nThe anomalous negative SMR at low temperatures is ex-\nplained from a `spin-\rop' con\fguration whereby the N\u0013 eel\norder of the NiO is perpendicularly coupled to the mag-\nnetization of YIG [27]. As the spin conductivity of NiO\nincreases with increasing temperature [24{26], the mo-\nments of the YIG beneath have an increasing in\ruence\non the total SMR signal. The positive SMR contribution\nfrom YIG competes the negative SMR from NiO. At the\nsign change, the competition leads to a vanishing SMR.\nAbove, in the high temperature regime, the positive SMR\nof the YIG dominates. We introduce a phenomenological\nmodel to describe the competition between the positive\nand negative SMR contributions, which reproduces the\nNiO thickness dependent SMR sign change behaviors in\nPt/NiO/YIG.\nAn epitaxial YIG \flm of thickness 3 \u0016m was grown\non a gadolinium gallium garnet (111) substrate prepared\nby the liquid phase epitaxy. NiO \flms of di\u000berent thick-arXiv:1610.07362v2 [cond-mat.mes-hall] 8 Mar 20172\n30 40 50 60 70 80\nYIG(444)\nNiO(222)Intensity (a.u.)\n2θ (deg)NiO(111)\nPt \nNiO \nYIG \n3 nm \nFIG. 1. X-ray di\u000braction patterns of a 50 nm NiO \flm on\nYIG(111). Inset shows the cross section TEM photo for a\nPt/NiO/YIG trilayer measured in the transport experiment.\nnesses were grown by sputtering onto the YIG at 400\u000eC.\nThe \flm was then covered with 4 nm of sputtered Pt.\nThe X-ray di\u000braction patterns of a 50 nm NiO \flm on\nYIG is plotted in Fig. 1, which only shows (111) and (222)\nNiO peaks of narrow line width. It suggests that the NiO\n\flm is of high crystallinity and a (111) preferred orienta-\ntion. The inset in Figure 1 shows a representative cross-\nsection TEM picture for a Pt/NiO/YIG sample, which\ncon\frms a good thickness uniformity and clean interface.\nFigure 2(a) shows the illustration of the magnetore-\nsistance (MR) measurement setup and the de\fnition\nof magnetic \feld angles. Standard four-probe method\nis employed for the MR observation at current density\n\u0018108A/m2, and MR can be detected either by sweep-\ningHalong a \fxed direction or by rotating Hof the same\nmagnitude [9]. Figure 2(b) shows the MR measured by\nHsweeping in a Pt/NiO(2.5 nm)/YIG sample at \feld\nangle\u000b= 0\u000efor various temperatures. The range of\nmagnetic \feld over which the magnetoresistance occurs,\ncoincides with that of the switching process of YIG [28].\nThe MR data for T >140 K is consistent with the predic-\ntion\u001ak> \u001a?of the SMR theory. When T=140 K, the\nMR nearly vanishes. For T <140 K, a sign change of MR\nis observed and the MR amplitude increases with decreas-\ning temperature. The MR data from the same sample at\n\feld angle\u000b= 90\u000eis plotted in Fig. 2(c), which shows\nthe same feature of the sign change. The SMR ratio\n\u0001\u001aSMR=\u001axxextracted from Fig. 2(b) and 2(c) are plot-\nted in Fig. 2(d). Figure 2(e) and 2(f) show the \feld angle\ndependence of resistance in Pt/NiO(2 nm)/YIG at 260 K\nand 20 K, which not only reproduces the MR sign change\nbehaviour, but con\frms the SMR-type \feld angle depen-\ndence symmetry as well [20]. Thus, it looks reasonable\nto claim that SMR is the dominant contribution for the\nMR in Pt on NiO/YIG, since other mechanisms such as\nanisotropic magnetoresistance will cause a di\u000berent \feld\nangle dependence [29]. However, the sign change of the\nmagnetoresistance in the low temperature regime seems\nto be at odds with SMR which, conventionally, can only\nbe positive [10].\n0 100 200 300 400-40-2002040\nα = 0˚ ΔSMR/xx (10-6)\nT (K)α = 90˚ Rxx (Ω)(d) \n2e-5ΔSMR/2xx= 0˚ αt = 2.5 nm NiO \n-400 -200 0 200 400\nH (Oe)(b)\n0 -400 -200 0 200 40\nH (Oe) 20 K40 K 60 K100 K140 K180 K220 K260 K300 K340 K\n2e-5= 90˚ α(c) \n 20 K40 K 60 K100 K140 K180 K220 K260 K300 K340 K/ xx(H)xx(400 Oe) \n/ xx(H)xx(400 Oe) (a) \nHβ\nxz\n(a) \nγ\nx y,J z\nH\ne(a) \nHαx y,J ez\ny,J e(a)\n98.29898.29998.30098.30198.302Rxx (Ω)\n62.305262.305662.306062.3064 α\nβ\nγ\n-90 0 90 180 270\nα, β, γ (deg ) \n20K260Kα\nβ\nγ\n-90 0 90 180 270\nα, β, γ (deg )(e) \n(f) Pt \nNiO\nYIGFIG. 2. (a), The illustration for the magnetoresistance mea-\nsurement setup for various magnetic \feld ( H) orientations. \u000b,\n\fand\rare the \feld angles de\fning the Hdirections when H\nis applied in the x-y,x-zandy-zplanes, respectively. (b), (c),\nMagnetoresistance measured by Hsweeping for a Pt/NiO(2.5\nnm)/YIG at \u000b= 0\u000eand 90\u000efor various temperatures. (d),\nTemperature dependence of the SMR ratio \u0001 \u001aSMR=\u001axxfor\nPt/NiO(2.5 nm)/YIG at \u000b= 0\u000eand 90\u000e. (e), (f), Field an-\ngle dependent resistance measured for Pt/NiO(2 nm)/YIG at\n260 K and 20 K with jHj= 20000 Oe, which shows positive\nand negative SMR, respectively.\nFig. 3(a) shows the temperature dependence of the\nSMR ratio measured in Pt/NiO/YIG devices with dif-\nferent NiO thicknesses, dNiO. The change in sign of the\nSMR occurs at higher temperatures in larger dNiOsam-\nples. ThedNiOdependence proves to be a key piece of in-\nformation for understanding the negative SMR. Further-\nmore, the SMR ratios have (positive) maxima at higher\ntemperatures for thicker NiO samples. These dNiOde-\npendent characteristics show a quantitative e\u000bect of the\nNiO on the SMR modulation, rather than a nuanced in-\nterface e\u000bect [30].\nTo gain further insight into the temperature depen-\ndence of spin transport in NiO, we carried out spin pump-\ning measurements for the same samples, in which spin\ncurrent is injected from YIG through NiO to generate\na voltage in Pt via the inverse spin Hall e\u000bect (ISHE)\n[22]. The Pt/NiO/YIG device is placed on a coplanar\nwaveguide which serves as a 5 GHz microwave source at\n14 dbm, and the details of the experimental setup can\nbe found elsewhere [24]. The ISHE voltage VISHE from\nall the samples is plotted against Tin Fig. 3(b), the be-\nhaviour of which is very similar to the result we found\nin Pt/CoO/YIG [24]: spin transmission is nearly zero for3\n0 100 200 300 400024680 100 200 300 400-20020406080\n \n \n \n \n \n \nT (K) 2.0 nm\n 2.2 nm\n 2.5 nm\n 2.7 nm 4.0 nm 5.4 nm 7.0 nm 15 nm\n30 nm\nT (K)\n ΔSMR/xx (10-6 ) VISHE (μV) 0 200 40001V V(b)(a)\nFIG. 3. (a), The SMR ratio measured in Pt/NiO( dNiO)/YIG\ndevices with di\u000berent NiO thickness dNiOat various temper-\natures, which shows that the SMR sign change temperature\nis lower for a thinner NiO sample. The SMR ratio peak posi-\ntions are marked by arrows. Negative SMR at low tempera-\ntures can be observed for all the NiO thickness except dNiO=\n30 nm. The dashed curves are the \ftting based on Eq. (2).\n(b),VISHE in Pt/NiO/YIG devices versus temperature from\nspin pumping measurement. The peak positions are marked\nby arrows, which are found to be close to the SMR ratio peak\npositions marked in Figure 2a. The inset shows the normal-\nizedVISHE temperature dependence.\nlow temperature limit and increases with temperature to\nreach the maximum around the N\u0013 eel point. At room\ntemperature, VISHE shows a non-monotonic dNiOdepen-\ndence, which is consistent with previous result. Fig. 3(b)\ninset shows the normalized VISHE temperature depen-\ndence, in which the data for dNiO= 5.4 nm, 7 nm and 15\nnm collapse into a single curve. This con\frms that the\nVISHE is governed by the NiO spin conductivity, which\nshows the same Tdependence when NiO is thick enough\nto exhibit bulk property. For dNiO= 30 nm,VISHE is\nbelow our measurement sensitivity 5 nV.\nAn important conclusion can be drawn by combining\nthe results from SMR and spin pumping measurements:\nthe negative SMR does not rely on the spin transmis-\nsion between Pt and YIG, because it reaches the largest\nmagnitude for the lowest temperature at which NiO spin\nconductivity vanishes. This argument can be further sup-ported by the fact that the negative SMR is present even\nfordNiO= 15 nm, where the NiO spin conductivity is\nnearly zero throughout the entire temperature range. It\nindicates that the negative SMR is not caused by the\nmagnetic moment of the YIG layer but that of the NiO\nlayer, which is beyond any model based on spin commu-\nnication between YIG and Pt [10, 31].\nLet us next provide an explanation for the negative\nSMR. The SMR in the trilayer system in this experiment\nis governed by the spin current through the Pt/NiO in-\nterface, which also re\rects the e\u000bect of the presence of\nthe NiO/YIG interface. The sign change and the thick-\nness dependent behavior can be understood by assum-\ning a `spin-\rop' coupling between NiO and YIG [27, 32],\nwhich means the antiferromagnetic axis (N\u0013 eel vector unit\nnAFM) in NiO is perpendicular to the YIG magnetization\nunit vectormFIas illustrated in Fig. 4(a). Although a\nperpendicular coupling has not yet been con\frmed ex-\nperimentally for NiO on YIG, spin-\rop coupling between\nNiO and other ferromagnets is quite common and well\nunderstood[27, 33, 34]. For dNiObelow the domain wall\nwidth of NiO (\u001815 nm) [35], which is the case for nearly\nall the samples, nAFM tends to be uniform in NiO, which\nis strongly coupled with YIG and can be manipulated by\nmagnetic \feld [36]. Thus, nAFM is always perpendicu-\nlar toHbelow the N\u0013 eel temperature, because the mFI\nis parallel to H. In the low temperature limit, e.g. 10\nK, the spin current generated in Pt can not penetrate\nthrough the NiO, thus the SMR signal is only caused\nby the NiO layer. The NiO local moments perpendicu-\nlar toHgives rise to a 90-degree phase shift in the SMR\n\feld angular dependence with respect to the conventional\nSMR [9]. Such a 90-degree phase shift in a four-fold SMR\n\feld angular dependence is equivalent to a sign reversal\nin the conventional de\fnition of MR, which explains the\nnegative SMR in Pt/NiO/YIG at low temperatures. For\ndNiO= 30 nm which is beyond the domain wall width,\nnAFM at the Pt/NiO interface decouples with mFIand\ndoes not respond to H, which explains the vanishing of\nthe negative SMR.\nAt higher temperatures, but below the N\u0013 eel point,\nantiferromagnetic order is maintained but the spin cur-\nrent from Pt has some transmission through NiO, which\nmakes the e\u000bect of the YIG more visible as illustrated\nin Fig. 4(b). The negative SMR contribution from NiO\nand positive SMR contribution from YIG compete with\neach other. With increasing temperature, NiO becomes\nmore transparent to the spin current, so the SMR con-\ntribution from YIG is enhanced. The SMR from NiO\nmay also be suppressed because of the attenuation of the\nantiferromagnetic order at elevated temperatrues. As a\nresult, the zero point of the SMR occurs at a temperature\nwhere the antiferromagnet is still in the ordered phase.\nThinner NiO layers have a lower N\u0013 eel point due to the \f-\nnite size e\u000bect [37], hence the SMR also changes the sign\nat lower temperatures in thinner-NiO samples, which is4\n(d) ΔSMR/xx\nT0T( = 0)ΔSMR T 0\nH H H(a) ) c ( ) b (\nmFInAFM\nmFInAFM\nmFIPt \nYIGNiO\nFIG. 4. Illustrations for the magnetic structure and spin\ntransport in Pt/NiO/YIG at di\u000berent temperatures. The red\nand green arrows represent the phenomenologically described\nspin currents, j1and j2in Eq. (1), respectively. The length of\nthe arrow describes the penetration depth of the spin current.\n(a),Tclose to the low temperature limit. (b), Tfar above the\nlow temperature limit and lower than the N\u0013 eel temperature.\n(c),Thigher than the N\u0013 eel temperature. (d), Illustration of\nT-dependent SMR in which the temperatures corresponding\nto the conditions in Fig. 4(a), (b) and (c) are marked with\nred circle.\nin accordance with our observation shown in Fig. 3(a).\nAround the N\u0013 eel point as illustrated in Fig. 4(c), the\nspin transparency of NiO are maximized [24], where\nthe SMR contribution from YIG reaches its peak value\nand the SMR contribution from NiO vanishes. As ex-\nplained above, all the main features of the SMR data\nin Pt/NiO/YIG, such as negative SMR at low tempera-\ntures,dNiOdependent sign change temperature and peak\ntemperature, can be interpreted by the `spin-\rop' con-\n\fguration. Figure 4(d) shows an illustration of \u001aSMRtemperature dependence, in which the temperature cor-\nresponding to these features are marked. We note that\nnegative SMR has also been reported in bilayers of Pt on\ngadolinium iron garnet and Ar-sputtered YIG, in which\nthe garnet interface moments can align perpendicularly\ntoH[30, 38].\nA simple phenomenological model based on the picture\ndiscussed above can also provide a quantitative descrip-\ntion of the observed SMR temperature dependence. Let\nus consider a NM/AFM/FI trilayer system. The key as-\nsumption is that we can describe the spin current through\nthe NM/AFM interface by\nejs=GAFnAFM\u0002(nAFM\u0002\u0016s) +t(T)mFI\u0002(mFI\u0002\u0016s)\n=ej1+ej2; (1)\nGAFis the real part of the spin mixing conductance at\nNM/AFM interface. \u0016sis the spin accumulation at the\nsame interface. The \frst term, which we denote by ej1,\nis what is expected for NM/AFM bilayer systems as seen\nin the case studied in Ref. [39]. We have introduced the\nsecond term, which is denoted by ej2, to phenomenologi-\ncally capture the e\u000bect of the FI layer. t(T) encapsulates\nthe temperature dependent transparency of the AFM to\nthe spin current. In the case that the AFM is completely\ntransparent the NM/FI bilayer result mFI\u0002(mFI\u0002\u0016s)\nis recovered. The linear combination of the NM/AFM\nand NM/FI terms has been chosen in an attempt to em-\nulate our SMR data in the NM/AFM/FI system, seen\nin Fig. 3(a), which seems to indicate a crossover from\nNM/AFM bilayer like behavior at low temperatures to\nNM/FI bilayer like behavior for higher temperatures.\nOnce we admit the form of the interfacial spin current\nin Eq. (1), we can calculate the SMR by employing the\ndi\u000busion equation and the Onsagar principle, according\nto Refs. [10, 39]. The SMR contribution to the longitu-\ndinal resistivity then is given by\n\u000e\u001a\n\u001a0=2\u00122\nSHE\u00152\nN\ndN\u001bGAFcos2\u001en+t(T) cos2\u001em+\u0017t(T)GAFsin2(\u001em\u0000\u001en)\n1 +\u0017GAF+\u0017t(T) +\u00172t(T)GAFsin2(\u001em\u0000\u001en)tanh2\u0012dN\n2\u0015N\u0013\n; (2)\nwhere we de\fned \u0017= (2\u0015N=\u001b) coth(dN=\u0015N) with\u0015N\nand\u0012SHE being the spin di\u000busion length and the spin\nHall angle in NM, respectively, and \u001b=\u001a\u00001\n0is the con-\nductivity of the NM layer. Here, \u001en(m)denotes the angle\nbetweennAFM(mFI) and the applied current jcin NM.\nNow we set out a hypothesis that the crossover between\nthe negative and positive SMR is of the same origin as\nthe temperature dependence of the spin pumping signal\n(Fig. 3(b)). In order to support it, the temperature de-\npendence of t(T) is obtained by \ftting to the spin pump-ing data. The resulting function is then used alongside\nthe other parameters in Eq. (1) to \ft the SMR data to\ntest the validity of our model.\nBased on the observation that the ISHE signal in\nFig. 3(b) is roughly exponential in the intermediate tem-\nperature regime, we employ VISHE/t(T)/eaT\u00001 to re-\nproduce the temperature dependence of both spin pump-\ning and SMR. The exponential behavior may not apply\nnear the N\u0013 eel temperature and the data points near and\nabove the N\u0013 eel temperature have been excluded from the5\n\ftting. Under these assumptions, acan be determined\nfrom the spin pumping data (TABLE I).\nWe then \ft \u000e\u001a=\u001aj\u001em=0\u0000\u000e\u001a=\u001aj\u001em=\u0019=2based on Eq. (2)\nto the experimentally obtained SMR ratio \u0001 \u001aSMR=\u001axx\nin Fig. 3(a) using the \ftted value of afrom theVISHE\ndata. We \fx \u0015N= 1:5nm,dN= 4:0nm,\u001a0=\u001b\u00001= 860\n\nnm, and\u0012SHE = 0:05, which are taken to be relevant\nvalues to the present experiment, and we further deter-\nmineGAFandGFfrom the data, where the latter two\nare de\fned by t(T) =GF(eaT\u00001);\u001en\u0000\u001em=\u0019=2, re-\nspectively. The temperature dependence of \u001a0and\u0012SHE\nis ignored since they scale in some powers of T, which is\nwiped out by the exponential change in t(T). The \ft-\nting curves can quantitatively reproduce the SMR sign\nchange behavior as shown in Fig. 3(a), and the \ftting\nparameters are summarized in TABLE I.\ndNiOa[K\u00001]\u0002102GAF GF\n2:0 1:83\u00060:22 3:58\u00060:32\u000210128:39\u00060:57\u00021011\n2:2 1:38\u00060:19 4:48\u00060:17\u000210127:78\u00060:26\u00021011\n2:7 1:42\u00060:10 3:67\u00060:09\u000210123:01\u00060:08\u00021011\n4:0 1:16\u00060:09 2:46\u00060:13\u000210122:22\u00060:14\u00021011\nTABLE I. The results of the \ftting with the data from the\nSMR and spin pumping signals. The parameters are de\fned\nin the main text. The units of the last two columns are both\n[\n\u00001m\u00002].\nOur result highlights the importance of magnetic struc-\nture in AFM for spin transport, which suggests an al-\nternative degree of freedom of spin manipulation. The\nNiO-induced SMR indicates that spin current response\nof AFM is anisotropic, which opens the possibility to use\nAFM insulator as a spin current valve or memory.\nNote added: |Recently, we became aware of similar\nresults for the SMR sign change observed in Pt/NiO/YIG\nby W. Linetal: [31]. The NiO-thickness dependent SMR\nat room temperature was also reported by Yu-Ming Hung\net al: [40].\n\u0003qiuzy@imr.tohoku.ac.jp\n[1] I. A. Campbell, A. Fert, and O. Jaoul, J. Phys. C 3, S95\n(1970).\n[2] T. McGuire and R. Potter, IEEE Trans. Magn. 11, 1018\n(1975).\n[3] G. Binasch, P. Gr unberg, F. Saurenbach, and W. Zinn,\nPhys. Rev. B 39, 4828 (1989).\n[4] M. N. Baibich, J. M. Broto, A. Fert, F. N. Van Dau,\nF. Petro\u000b, P. Etienne, G. Creuzet, A. Friederich, and\nJ. Chazelas, Phys. Rev. Lett. 61, 2472 (1988).\n[5] M. Julliere, Phys. Lett. A 54, 225 (1975).\n[6] T. Miyazaki and N. Tezuka, Journal of Magnetism and\nMagnetic Materials 139, L231 (1995).\n[7] S. Yuasa, T. Nagahama, A. Fukushima, Y. Suzuki, and\nK. Ando, Nat. Mater. 3, 868 (2004).[8] S. S. P. Parkin, C. Kaiser, A. Panchula, P. M. Rice,\nB. Hughes, M. Samant, and S.-H. Yang, Nat. Mater.\n3, 862 (2004).\n[9] H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida,\nY. Kajiwara, D. Kikuchi, T. Ohtani, S. Gepr ags,\nM. Opel, S. Takahashi, R. Gross, G. E. W. Bauer, S. T. B.\nGoennenwein, and E. Saitoh, Phys. Rev. Lett. 110,\n206601 (2013).\n[10] Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer,\nS. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer,\nPhys. Rev. B 87, 144411 (2013).\n[11] M. Althammer, S. Meyer, H. Nakayama, M. Schreier,\nS. Altmannshofer, M. Weiler, H. Huebl, S. Gepr ags,\nM. Opel, R. Gross, D. Meier, C. Klewe, T. Kuschel, J.-M.\nSchmalhorst, G. Reiss, L. Shen, A. Gupta, Y.-T. Chen,\nG. E. W. Bauer, E. Saitoh, and S. T. B. Goennenwein,\nPhys. Rev. B 87, 224401 (2013).\n[12] M. Weiler, M. Althammer, M. Schreier, J. Lotze,\nM. Pernpeintner, S. Meyer, H. Huebl, R. Gross,\nA. Kamra, J. Xiao, Y.-T. Chen, H. Jiao, G. E. W. Bauer,\nand S. T. B. Goennenwein, Phys. Rev. Lett. 111, 176601\n(2013).\n[13] S. Meyer, M. Althammer, S. Gepr ags, M. Opel, R. Gross,\nand S. T. B. Goennenwein, Applied Physics Letters 104,\n242411 (2014).\n[14] R. Iguchi, K. Sato, D. Hirobe, S. Daimon, and E. Saitoh,\nApplied Physics Express 7, 013003 (2014).\n[15] M. Schreier, T. Chiba, A. Niedermayr, J. Lotze,\nH. Huebl, S. Gepr ags, S. Takahashi, G. E. W. Bauer,\nR. Gross, and S. T. B. Goennenwein, Phys. Rev. B 92,\n144411 (2015).\n[16] J. Lotze, H. Huebl, R. Gross, and S. T. B. Goennenwein,\nPhys. Rev. B 90, 174419 (2014).\n[17] S. R. Marmion, M. Ali, M. McLaren, D. A. Williams,\nand B. J. Hickey, Phys. Rev. B 89, 220404 (2014).\n[18] M. Isasa, A. Bedoya-Pinto, S. V\u0013 elez, F. Golmar,\nF. Snchez, L. E. Hueso, J. Fontcuberta, and F. Casanova,\nApplied Physics Letters 105, 142402 (2014).\n[19] C. O. Avci, K. Garello, A. Ghosh, M. Gabureac, S. F.\nAlvarado, and P. Gambardella, Nat Phys 11, 570 (2015).\n[20] T. Shang, Q. F. Zhan, H. L. Yang, Z. H. Zuo, Y. L. Xie,\nL. P. Liu, S. L. Zhang, Y. Zhang, H. H. Li, B. M. Wang,\nY. H. Wu, S. Zhang, and R.-W. Li, Applied Physics\nLetters 109, 032410 (2016).\n[21] C. Hahn, G. de Loubens, V. V. Naletov, J. B. Youssef,\nO. Klein, and M. Viret, EPL (Europhysics Letters) 108,\n57005 (2014).\n[22] H. Wang, C. Du, P. C. Hammel, and F. Yang, Phys.\nRev. Lett. 113, 097202 (2014).\n[23] T. Moriyama, S. Takei, M. Nagata, Y. Yoshimura,\nN. Matsuzaki, T. Terashima, Y. Tserkovnyak, and\nT. Ono, Applied Physics Letters 106, 162406 (2015).\n[24] Z. Qiu, J. Li, D. Hou, E. Arenholz, A. T. N'Diaye, A. Tan,\nK.-i. Uchida, K. Sato, S. Okamoto, Y. Tserkovnyak, Z. Q.\nQiu, and E. Saitoh, Nature Communications 7, 12670\n(2016).\n[25] L. Frangou, S. Oyarz\u0013 un, S. Au\u000bret, L. Vila, S. Gam-\nbarelli, and V. Baltz, Phys. Rev. Lett. 116, 077203\n(2016).\n[26] W. Lin, K. Chen, S. Zhang, and C. L. Chien, Phys. Rev.\nLett. 116, 186601 (2016).\n[27] N. C. Koon, Phys. Rev. Lett. 78, 4865 (1997).\n[28] K. Uchida, Z. Qiu, T. Kikkawa, R. Iguchi, and E. Saitoh,\nApplied Physics Letters 106, 052405 (2015).6\n[29] X. Zhou, L. Ma, Z. Shi, W. J. Fan, J.-G. Zheng, R. F. L.\nEvans, and S. M. Zhou, Phys. Rev. B 92, 060402 (2015).\n[30] S. V\u0013 elez, A. Bedoya-Pinto, W. Yan, L. E. Hueso, and\nF. Casanova, Phys. Rev. B 94, 174405 (2016).\n[31] W. Lin and C. L. Chien, Phys. Rev. Lett. 118, 067202\n(2017).\n[32] T. Schulthess and W. Butler, Physical Review Letters\n81, 4516 (1998).\n[33] I. P. Krug, F. U. Hillebrecht, M. W. Haverkort,\nA. Tanaka, L. H. Tjeng, H. Gomonay, A. Fraile-\nRodr\u0013 \u0010guez, F. Nolting, S. Cramm, and C. M. Schneider,\nPhys. Rev. B 78, 064427 (2008).\n[34] J. Li, A. Tan, S. Ma, R. F. Yang, E. Arenholz, C. Hwang,\nand Z. Q. Qiu, Phys. Rev. Lett. 113, 147207 (2014).\n[35] M. Fraune, U. Rdiger, G. Gntherodt, S. Cardoso, and\nP. Freitas, Applied Physics Letters 77, 3815 (2000).\n[36] W. Kim, E. Jin, J. Wu, J. Park, E. Arenholz, A. Scholl,C. Hwang, and Z. Q. Qiu, Phys. Rev. B 81, 174416\n(2010).\n[37] D. Alders, L. Tjeng, F. Voogt, T. Hibma, G. Sawatzky,\nC. Chen, J. Vogel, M. Sacchi, and S. Iacobucci, Physical\nReview B 57, 11623 (1998).\n[38] K. Ganzhorn, J. Barker, R. Schlitz, B. A. Piot, K. Ollefs,\nF. Guillou, F. Wilhelm, A. Rogalev, M. Opel, M. Al-\nthammer, S. Gepr ags, H. Huebl, R. Gross, G. E. W.\nBauer, and S. T. B. Goennenwein, Phys. Rev. B 94,\n094401 (2016).\n[39] S. Takei, B. I. Halperin, A. Yacoby, and Y. Tserkovnyak,\nPhys. Rev. B 90, 094408 (2014); Y.-T. Chen, S. Taka-\nhashi, H. Nakayama, M. Althammer, S. T. B. Goennen-\nwein, E. Saitoh, and G. E. W. Bauer, Journal of Physics:\nCondensed Matter 28, 103004 (2016).\n[40] Y.-M. Hung, C. Hahn, H. Chang, M. Wu, H. Ohldag,\nand A. D. Kent, AIP Advances 7, 055903 (2017)." }, { "title": "2112.01727v1.Microwave_Amplification_in_a_PT__symmetric_like_Cavity_Magnomechanical_System.pdf", "content": "arXiv:2112.01727v1 [quant-ph] 3 Dec 2021Microwave Amplification in a PT-symmetric-like Cavity Magnomechanical System\nHua Jin1, Zhi-Bo Yang1, Jing-Wen Jin1, Jian-Yu Liu1, Hong-Yu Liu1,∗and Rong-Can Yang2,3,4†\n1Department of Physics, College of Science, Yanbian Univers ity, Yanji, Jilin 133002, China\n2College of Physics and Energy, Fujian Normal University,\nFujian Provincial Key Laboratory of Quantum Manipulation a nd New Energy Materials, Fuzhou, 350117, China\n3Fujian Provincial Engineering Technology Research Center of Solar\nEnergy Conversion and Energy Storage, Fuzhou, 350117, Chin a and\n4Fujian Provincial Collaborative Innovation Center for Adv anced High-Field\nSuperconducting Materials and Engineering, Fuzhou, 35011 7, China\n(Dated: December 6, 2021)\nWe propose a scheme that can generate tunable magnomechanic ally induced amplification in a\ndouble-cavityparity-time-( PT-)symmetric-likemagnomechanical systemunderastrongco ntrol and\nweak probe field. The system consists of a ferromagnetic-mat erial yttrium iron garnet (YIG) sphere\nplaced in a passive microwave cavity which is connected with another active cavity. We reveal that\nideally induced amplification of the microwave probe signal may reach the maximum value 106when\ncavity-cavity, cavity-magnon and magnomechanical coupli ng strengths are nonzero simultaneously.\nThe phenomenon might have potential applications in the fiel d of quantum information processing\nand quantum optical devices. Besides, we also find the phenom ena of slow-light propagation. In\nthis case, group speed delay of the light can achieve 3 .5×10−5s, which can enhance some nonlinear\neffect. Moreover, due to the relatively flat dispersion curve , the proposal may be applied to sensitive\noptical switches, which plays an important role in storing p hotons and quantum optical chips.\nI. INTRODUCTION\nThe interaction between light and matter is an impor-\ntant subject in the field of quantum optics. The study\nof light toward the perspective of quantum leads to some\ninteresting phenomena different from classical ones. One\nof the most famous phenomenon is induced transparency\n(such as electromagnetically/optomechanically induced\ntransparency)[ 1–16], aswellasinducedabsorption[ 3,14]\nand induced amplification [ 15–20] which has been widely\nstudied in current decades. Besides, signal amplification\nwhose aim is to increase signal-to-noise ratio is signifi-\ncantly crucial in the field of quantum information and\nquantum optics. It is known that optical amplification\nusually results from the inversion of particle numbers\nunder the action of a pumping field and stimulated ra-\ndiation. It can directly amplify optical signals without\nconverting them into electrical ones so as to possess a\nhigh degree of transparency on the format and rate of\nsignals, making the whole optical fiber communication\ntransmission system more simple and flexible [ 15,16]. It\nis noted that there are many mechanisms of light ampli-\nfication, such as adding external drive and changing de-\ntuning conditions. Through the coupling effect of strong\nphoton tunneling, double-cavityOMS not only showsthe\ncharacteristics of photomechanically-induced absorption,\nphotomechanically-inducedamplificationandsimplenor-\nmal mode splitting (NMS), but also adjusts the photon\ntunneling intensity. The transformation from photome-\nchanical induced absorption to photomechanical induced\namplification can be further realized. In this article we\n∗liuhongyu@ybu.edu.cn\n†rcyang@fjnu.edu.cnbuild our mechanism by adding active cavities. In ad-\ndition, the added gain scheme is widely used in quan-\ntum information and quantum communication due to its\nexcellent characteristics of convenience and easy adjust-\nment and may very useful for optical and microwave am-\nplifiers [21].\nParity-time( PT) symmetry, the non-Hermitian Hami-\ntonian, which has a real spectra was proposed by Beb-\nder in 1998 firstly and attracted wide attention [ 22–30].\nSincePT-symmetry requires a strict balance between\nloss and gain. However the balance condition may be\ntoo difficult for the realistic implementation, especially\nwhen tiny disturbances are inevitable. PT-symmetric-\nlike system not requiring the strict balance can still fol-\nlow the predictions of the PT-symmetry in many cases\nand thus attract considerable attention [ 31,32]. At\nthe exceptional point, where the system undergoes the\ntransition from the PT-symmetric-like phase and PT-\nsymmetric-like broken, pairs of eigenvalues collide and\nbecome complex has manifested in various physical sys-\ntem, such as photonics, electronics, acoustics, phonon-\nics. And the OMIA of the PT-symmetric OMS has been\nachieved in the whispering-gallery-mode microtoroidal\ncavities. Common PT symmetric systems have two-\ncavity systems, but there are also examples of single\ncavities achieving effective gain by introducing external\ndrives or other means [ 27].\nIn the past few years, cavity magnonics, a new inter-\ndiscipline, attracted much attention. It mainly explores\nthe interaction between confined electromagnetic fields\nand magnons, especially Yttrium iron garnet (YIG) [ 33–\n41]. The reason is that the Kittel mode within YIG has\na low damping rate and holds great magnonic nonlinear-\nities [39]. In addition, the high spin density of magnons\nallows strong coupling between magnons and photons,2\nProbe Field Control Field \nYIG\nmay\nxz\nb\u0012 a\u0013\nFIG. 1. Schematic of the setup studied in this paper. A\ncavity magnomechanical system consists of one ferromagnet ic\nyttrium iron garnet (YIG) sphere placed inside a passive mi-\ncrowave cavity, which connected with an auxiliary cavity. A\nbias magnetic field is applied in the zdirection on the sphere\ntoexcites themagnon modes, which are strongly coupled with\nthe cavity field. In the YIG sphere, bias magnetic field ac-\ntivates the magnetostrictive interaction. The magnetic co u-\npling strength of a magnon depends on the diameter of the\nsphere and the direction of the external bias field [ 45]. We\nassumed that the YIG’s magnomechanical interactions were\ndirectly enhanced by microwave driving (in the ydirection)\nits magnon mode. Cavity, phonon, and magnon modes are\nlabeledai,b,m(i= 1,2).\ngiving rise to quasiparticles, i.e. the cavity-magnon po-\nlaritons. Then strong coupling between magnons and\ncavity photons can be observed at both low and room\ntemperature. In this case, a large number of quantum-\ninformation-related problems have been studied by this\nmethod, including the coupling of magnons with super-\nconductingqubits, observationofbistability [ 42,43], cav-\nity spintronics, energy level attraction of cavity mag-\nnetopolaron, magnon dark modes. Other interesting\nphenomena including magneton-induced transparency\n(MIT), magnetically induced transparency (MMIT), and\nmagnetically controlled slow light have also been stud-\nied [44].\nIn this paper, we utilize a cavity-magnomechanical\nsystem, which consists of a YIG sphere placed inside\na three-dimensional microwave cavity that is connected\nwith anpassivecavityto realizemicrowaveamplification.\nThrough the discussion the properties of absorption and\ntransmission, we obtain the amplification in the context\nofPT-symmetric-like cavity magnomechanical system.\nThe remaining parts are organized as follows. In\nSec.II,weintroducethemodelofourproposal. InSec. II,\nwe plot the magnomechanically induced transparency\nwindow profiles. In Sec. III, we explore magnomechan-\nically induced amplification of the PT-symmetric-like\ncavity magnomechanical system and slow light propega-\ntion Sec. IV, we present the conclusion of our work.II. MODEL AND HAMILTONIAN\nWe use a hybrid cavity magnomechanical system that\nconsists of one high-quality YIG sphere placed inside\na microwave cavity which connects with another empty\ncavity, as shown in Fig. 1. The YIG sphere has 250 µm\nin diameter and ferric ions Fe+3of density ρ= 4.22×\n1027m−3. This causes a total spin S= 5/2ρVm=\n7.07×1014, where Vmis the volume of the YIG and\nSis the collective spin operator which satisfies the alge-\nbra i.e., [ Sα,Sβ] =iεαβγSγ. A uniform bias magnetic\nfield (along z direction) is applied on the sphere, exciting\nthe magnon mode that is then coupled to the first cav-\nity field via magnetic-dipole interaction. In addition, the\nexcitation of the magnon mode (i.e. Kittel mode) inside\nthe sphere leads to a variable magnetization that results\nin the deformation of its lattice structure. The magne-\ntostrictiveforcecausesvibrationsofthe YIG, resultingin\nmagnon-phonon interaction within YIG spheres [ 45]. It\nis noted that the single-magnon magnomechanical cou-\npling strength depended on sphere diameter and direc-\ntion of the external bias field is very weak. In this case,\nmagnomechanical interaction of YIG can be enhanced\nby directly driving its magnon mode via an external mi-\ncrowave field. Furthermore, the first cavity is not only\ncoupled to the second cavity, but also driven by a weak\nprobe field. With consideration of the situation, the\nHamiltonian for the whole system reads [ 44,46]\nH//planckover2pi1=ωmˆm†ˆm+ωa1ˆa†\n1ˆa1+ωa2ˆa†\n2ˆa2+ωbˆb†ˆb\n+g1(ˆm†ˆa1+ ˆmˆa†\n1)+g2ˆm†ˆm(ˆb+ˆb†)\n+J(ˆa†\n1ˆa2+ˆa†\n2ˆa1)+iΩ(ˆm†e−iωput−ˆmeiωput)\n+iεpr(ˆa†\n1e−iωprt−ˆa1eiωprt),(1)\nwhere ˆa†\nj(j= 1,2), ˆm†andˆb†(ˆaj, ˆmandˆb) are the cre-\nation (annihilation) operators of the jth cavity, magnon\nand phonon, respectively. They all satisfy the stan-\ndard commutation relations for bosons. ωaj,ωm,ωb\nrepresent the resonance frequencies for the jth cavity,\nmagnonandphonon, respectively. g1(J)denotesthecou-\npling strength between the first cavity mode and magnon\n(the second cavity), and g2is the coupling constant be-\ntween magnon and phonon. It is noted that the fre-\nquencyωmis determined by the gyromagnetic ratio γ\nand external bias magnetic field Hi.e.,ωm=γHwith\nγ/2π= 28GHz. In addition, Ω =√\n5/4γ√\nNB0is\nthe Rabi frequency, which is dependent of the coupling\nstrength of the driving field with amplitude B0and fre-\nquencyωpu. Andωpris the probe field frequency having\namplitude εpr=/radicalbig\n2Ppκ1//planckover2pi1ωpr. It should be noted that\nwehaveignoredthenonlinearterm Kˆm†ˆm†ˆmˆminEq.(1)\nthat may arise due to strongly driven magnon mode [ 43]\nso as to K|/angbracketleftm/angbracketright|3≪Ω. With the rotating wave approxi-3\nmation, we can rewrite the whole Hamiltonian as\nH//planckover2pi1= ∆mˆm†ˆm+∆a1ˆa†\n1ˆa1+∆a2ˆa†\n2ˆa2+ωbˆb†ˆb\n+g1(ˆm†ˆa1+ ˆmˆa†\n1)+g2ˆm†ˆm(ˆb+ˆb†)\n+J(ˆa†\n1ˆa2+ˆa†\n2ˆa1)+iΩ(ˆm†−ˆm)\n+iεpr(ˆa†\n1e−iδt−ˆa1eiδt),(2)\nwith ∆ aj=ωaj−ωpu(j= 1,2), ∆m=ωm−ωpu, and\nδ=ωpr−ωpu.\nIn order to obtain the evolution of aj(t),m(t) andb(t),\nwe use quantum Heisenberg-Langevin equations, which\ncan be expressed by\n˙ˆa1=−i∆a1ˆa1−ig1ˆm−κ1ˆa1+εpre−iδt\n+√2κ1ˆain\n1(t)−iJˆa2,\n˙ˆa2=−i∆a2ˆa2−κ2ˆa2+√\n2κ2ˆain\n2(t)−iJˆa1,\n˙ˆm=−i∆mˆm−ig1ˆa1−κmˆm−ig2ˆm(ˆb+ˆb†)\n+√2κmˆmin(t)+Ω,\n˙ˆb=−iωbˆb−ig2ˆm†ˆm−κbˆb+√2κbˆbin(t)(3)\nwhereκ1(κ2),κbandκmare the decay rates of the cav-\nities, phonon and magnon modes, respectively. ˆ ain\n1(t),\nˆain\n2(t),ˆbin(t) and ˆmin(t) are the vacuum input noise\noperators which have zero mean values and satisfies/angbracketleftbig\nˆqin/angbracketrightbig\n= 0(q=a1,a2,m,b). The magnon mode m\nis strongly driven by a microwave field that causes a\nlarge steady-state amplitude corresponds to |/angbracketleftms/angbracketright| ≫1.\nMoreover, owing to the magnon coupled to the cavity\nmodethroughthebeam-splitter-typeinteraction, thetwo\ncavity fields also exhibit large amplitudes |/angbracketleftajs/angbracketright| ≫1.\nThen we can linearize the quantum Langevin equations\naround the steady-state values and take only the first-\norder terms in the fluctuating operator:/angbracketleftBig\nˆO/angbracketrightBig\n=Os+\nˆO+e−iδt+ˆO−eiδt[43], where ˆO=a1,a2,b,m.the steady-\nstate solutions are given by\na1s=−(ig1ms+iJa2s)\ni∆a1+κ1,a2s=−iJa1s\ni∆a2+κ2,\nbs=−ig2|ms|2\niωb+κb,\nms=−ig1a1s+Ω\ni/tildewide∆m+κm,\n/tildewide∆m= ∆m+g2(bs+bs∗)(4)\nIn ordertoachieveourmotivationofsignalamplification,\nwe neglect off resonance terms to let ˆO−= 0, but ˆO+\nsafisfying the relations\n(iλ−κ1)ˆa1+−ig1ˆm+−iJˆa2++εpr= 0,\n(iλ−κ2)ˆa2+−iJˆa1+= 0,\n(iλ−κm)ˆm+−ig1ˆa1+−iGˆb+= 0,\n(iλ−κb)ˆb+−iG∗ˆm+= 0,(5)0 0.5 1 1.5 2\nδ/ωb0100200300400|tp|2\n(a)\n0 0.5 1 1.5 2\nδ/ωb05101520|tp|2\n(b)\n0 0.5 1 1.5 2\nδ/ωb11.21.41.61.8|tp|2\n(c)\n0 0.5 1 1.5 2\nδ/ωb11.21.41.6|tp|2\n(d)\nFIG. 2. The transmission |tp|2spectrum of probe field as\nfunction of δ/ωbwhen only interaction between two cavities is\nnonzero, (a) J/2π= 0.6MHz, (b) J/2π= 0.8MHz, (c) J/2π=\n2.0MHz and (d) J/2π= 6MHz.\nwhere we have set G=g2ms,λ=δ−ωb,ωai≫κi\n(i= 1,2), and ∆ a1= ∆a2=/tildewide∆m=ωb. In this case, we\ncan easily obtain\nˆa1+=εpr\nκ1−iλ+J2\nκ2−iλ+g12\nκm−iλ+|G|2\nκb−iλ.(6)\nBy use of the input-output relation for the cavity field\nεout=εin−2κ1/angbracketlefta1+/angbracketrightand setting εin= 0, the amplitude\nof the output field can be written as\nε′\nout=εout\nεpr=2κ1ˆa1+\nεpr. (7)\nThe real and imaginary parts of the output field are Re\n[ε′\nout] =κ1(ˆa1++ ˆa∗\n1+)/εprand Im [ ε′\nout] =κ1(ˆa1+−\nˆa∗\n1+)/εpr. These factors describe the absorption and dis-\npersion of the systems, respectively.\nIII. INDUCED AMPLIFICATION AND SLOW\nLIGHT PROPEGATION IN\nPT-SYMMETRIC-LIKE\nMAGNOMECHANICALLY SYSTEMS\nFor the numerical calculation, we use parameters cho-\nsen from a recent experiment on a hybrid magnome-\nchanical system, where ωa1/2π=ωa2/2π= 10GHz,\nωb/2π= 10MHz, κb/2π= 100Hz, ωm/2π= 10GHz,\nκ1/2π= 2.0MHz,κm/2π= 0.1MHz,g1/2π= 1.0MHz,\nG/2π= 3.5MHz,∆ a1= ∆a2=/tildewide∆m=ωb,ωd/2π=\n10GHz are set [ 26,33,34].4\n0 0.5 1 1.5 2\nδ/ωb11.11.21.31.41.5|tp|2\n(a)\n0 0.5 1 1.5 2\nδ/ωb11.11.21.31.41.5|tp|2\n(b)\n0 0.5 1 1.5 2\nδ/ωb11.11.21.31.41.5|tp|2\n(c)\n0 0.5 1 1.5 2\nδ/ωb123456|tp|2\n(d)\nFIG. 3. The transmission |tp|2spectrum of probe field as\nfunction of δ/ωbwhen only coupling between magnon and\nphonon is absent means G= 0,J/2π= 3.0MHz (a) g1/2π=\n1.0MHz, (b) g1/2π= 1.2MHz, (c) g1/2π= 1.5MHz and (d)\ng1/2π= 2.0MHz.\nAt first, we consider the transmission rate |tp|2as a\nfunction of the probe detuning δ/ωbin the context of\nparity-time-( PT-) symmetric-like magnomechanical sys-\ntem. FromEq.( 7), the rescaledtransmissioncorrespond-\ning to the probe field can be expressed as\ntp= 1−2κ1ˆa1+\nεpr. (8)\nWe first depict the transmission spectrum of the probe\nfield against the scaled detuning δ/ωb, for different val-\nues ofJin Fig.2, where the phonon-magnon coupling\nrate and photon-magnon interaction parameters are set\nto zero, i.e. G=g1= 0. From Fig. 2(a), we can observe\nthat the transmission peak near δ=ωbwhich is asso-\nciated with the coupling rate of two cavities can much\nbe larger than 1. The reason is that the gain cavity\ncan scatter photons into the dissipative cavity. From\nFig2(a)-(d), transmission coefficient decreases with the\nincrease of coupling strength between two cavities. And\nwe got a downward dip with two peaks From Fig 2(c)-\n(d), amplification area becomes wider when Jgetting\nlager simultaneously. This means that we can adjust the\ntransmission coefficient and the size of the amplification\nregion by changing the coupling between the two cavities\nwhen the system is double-cavity PT-symmetric-likeand\nthe cavity contains no magnon.\nNext, we introduced one more coupling constant only\nset the coupling between magnon-phonon G= 0. We got\nanother peak near δ=ωbcompared with Fig 2(c)-(d),\nwhich was caused by coupling between magnon-photon\nin Fig3. This is because the magnon can scatter the0 0.5 1 1.5 2\nδ/ωb012345|tp|2×106\n(a)\n0 0.5 1 1.5 2\nδ/ωb01000300050007000|tp|2\n(b)\n0 0.5 1 1.5 2\nδ/ωb040010001200|tp|2\n(c)\n0 0.5 1 1.5 2\nδ/ωb0100200300400|tp|2\n(d)\nFIG. 4. The transmission |tp|2spectrum of probe field as\nfunction of δ/ωbwhenG/2π= 2.0MHz,g1/2π= 6.0MHz (a)\nJ/2π= 0.64MHz, (b) J/2π= 0.8MHz, (c) J/2π= 2MHz and\n(d)J/2π= 4MHz.\nphotons of the active field into the probe field via indi-\nrect interaction. However, from Fig 3(b)-(d), the middle\npeak became taller when g1increases. Hence the effect of\nlight amplification caused by the interaction of magnon-\nphoton get better as g1increase. And with the increasing\nofmiddlepeak, theheightoftwopeaksonbothsidesstay\nthe same, that is, the light amplification caused by the\ncoupling between two cavities not affected by g1. How-\never, the amplification effect is not ideal.\nWe show the transmission spectrum when three cou-\npling constants are nonzero simultaneously and coupling\nbetweenmagnon-photonlagerthanmagnon-phonon g1>\nGin Fig4(a)-(d). We got only one amplification\npeak when the coupling between two cavities J/2π=\n0.64MHz, another upward peak appeared with the in-\ncreasing of J, and the height of two peaks is the same\nand the amplification effect induced by the interaction\nof magnon-phonon and magnon-photon were superior at\nthis time, this is because magnon and phonon can also\nscatter the photons of active cavity field into the probe\nfield. And since the excited states of the cavity field are\npumped into higher energy levels, they stay long enough\ncan also be amplified by stimulated radiation. Amplifi-\ncation area becomes wider when Jgetting lager simul-\ntaneously. These results provide an effective way to re-\nalize continuous optical amplification and have practical\nsignificance for the construction of quantum information\nprocessing enhancement signal based on cavity magnetic\nsystem.\nFinally, we plotted the transmission spectrum of the\nprobe field against the scaled detuning δ/ωb, for different\nvalues of /tildewide∆m. From Fig 5(a)-(d), The obvious displace-5\n0 0.5 1 1.5 2\nδ/ωb020406080|tp|2\n(a)\n0 0.5 1 1.5 2\nδ/ωb020406080|tp|2\n(b)\nFIG. 5. The transmission |tp|2spectrum of probe field as\nfunction of δ/ωbwhen three coupling constants are nonzero,\n(a)/tildewide∆m= 0.5ωb, (b)/tildewide∆m= 1.5ωb.\n0 0.5 1 1.5 2\nδ/ωb-0.501233.5τg(s)×10-5\nFIG. 6. The group delay τgas functions of δ/ωbwhenG=\n2MHz,J/2π= 6.3MHz,g1/2π= 6.1MHz.\nment ofthe twopeaksmeansthat wecannotonly change\nthe value of amplification and the size of the amplifica-\ntion region by adjusting the coupling strength, but also\nflexibly change the location of the amplification region.\nMoreover, the phase φtof the output field can be givenas\nφt= arg[εout] (9)\nAnd the rapid phase dispersion of output field can\ncause the group delay, which can expressed as\nτg=∂φt\n∂ωpr(10)\nFrom Fig. 6shows that the group delay τgas a func-\ntion of the detuning δ/ωbwhen three coupling constants\nare present. We can observe double peaks and double\ndips, peaks corresponding positive group delay i.e., slow\nlight propagation, dips corresponding negative group de-\nlay means fast light propagation. And we can realize\ngroup speed delay of 3 .5×10−5s, a tunable switch from\nslow to fast can be achieved by adjusting the gain of ac-\ntive cavity or coupling constants.\nIV. CONCLUSION\nIn conclusion, we study the transmission of probe field\ninthesituationof PT-symmetric-likeunderastrongcon-\ntrol field in a hybrid magnomechanical system in the\nmicrowave regime and realized ideal induced amplifica-\ntion when three coupling constants are nonzero simulta-\nneously, which due to gain cavity, magnon and phonon\ncan also scatter the photons into the dissipative cavity.\nTherefore, our results are not only providing rich scien-\ntific insight in terms of new physics but also potentially\nhave important long-term technological implications, in-\ncluding the development of on-chip optical systems that\nsupport states of light that are immune to back scat-\nter, are robust against perturbation and feature guar-\nanteed unidirectional transmission. Then we achieved a\ngroup delay of 3 .5×10−5seconds. Slowing down the en-\nergyspeed oflight allowsphotonsto interact with matter\nenough to enhance some nonlinear effects. And because\nthe dispersion curve is relatively flat, a small change in\nfrequency will also cause a large change in photon mo-\nmentum, so it can be made into a more sensitive opti-\ncal switch. Finally, the slow light effect slows down the\nenergy speed of light, which can play a role of storing\nphotons and quantum optical chips.\nACKNOWLEDGMENTS\nThis work is supported by the National Natural Sci-\nence Foundation of China (Grant No. 62165014) and\nthe Fujian Natural Science Foundation (Grant No.\n2021J01185).\n[1] S.E. Harris, J.E. Field, Phys.Rev.Lett. 64, 1107 (2019).\n[2] R. Thomas, C. Kupchak, G. S. Agarwal and A. I.Lvovsky, Opt. Express. 21, 6880 (2013).\n[3] H. Fredrik, S. Albert, J. K. Tobias and H. Hans, New. J.6\nPhys.14, 123037 (2012).\n[4] H. Y. Ma and L. Zhou, Phys. Rev. B. 22, 024204 (2013).\n[5] K. J. Boller, A. Imamoglu and S. E. Harris, Phys. Rev.\nLett.66, 2593 (1991).\n[6] M. Fleishhauer, A. Imamoglu and J. P. Marangos, Rev.\nMod. Phys. 77, 633 (2005).\n[7] S. M. Huang and G. S. Agarwal, Phys. Rev. A. 83,\n043826 (2011).\n[8] H. Jing, Phys. Rev. A, 90, 043839 (2014).\n[9] M. Karuza, Phys. Rev. A, 88, 013804 (2013).\n[10] Y. He, Phys. Rev. A, 91, 013827 (2015).\n[11] W. J. Nie, A. X. Chen and Y. H. Lan, Phys. Rev. A, 93,\n023841 (2016).\n[12] H. Xiong and Y. Wu, Appl. Phys. Rev. 5, 031305 (2018).\n[13] L. W. Liu, D. J. Gengzang and X. J. An, Chin. Phys. B\n27, 034205 (2018).\n[14] M. Karuza, C. Biancofiore, M. Bawaj, C. Molinelli, M.\nGalassi, R. Natali, P. Tombesi, G. Di Giuseppe, and D.\nVitali, Phys. Rev. A. 88, 013804 (2013).\n[15] L. W. Liu, D. J. Gengzang and X. J. An, Chin. Phys. B\n27, 034205 (2018).\n[16] X. B. Yan, W. Z. Jia and Y. Li, Frontiers of Physics. 10,\n354-357(2015).\n[17] X. B. Yan, W. Z. Jia, Y. Li, J. H. Wu, X. L. Li and H.\nW. Mu, Front. Phys. 10, 104202 (2015).\n[18] T.Wang, M.H.Zheng, C.H.Bai, D.Y.Wang, A.D.Zhu,\nH. F. Wang and S.Zhang, Ann. Phys, Berlin1800228\n(2018).\n[19] B. Wang, Z. X. Liu, C. Kong, H. Xiong, and Y. Wu, Opt.\nExpress 26, 20248 (2018).\n[20] Q. Yang, B. P. Hou and D. G. Lai, Opt. Express. 25,\n(2017)\n[21] C. Jiang, L. N. Song and Y. Li, Phys. Rev. A. 99, 023823\n(2019).\n[22] Y. L. Liu, R. B. Wu and J. Zhang, Phys. Rev. A. 95,\n013843 (2017).\n[23] J. Zhang, B. Peng, ¸ S. K. ¨Ozdemir, Y.-x. Liu, H. Jing,\nX.-y. L¨ u, Y.-l. Liu, L. Yang, and F. Nori, Phys. Rev. B.\n92, 115407 (2015).\n[24] X. Y. Lv, H Jing and J. Y. Ma, Phys. Rev. Lett. 114,\n253601 (2015).\n[25] C. M. Bender and S. Boettcher, Phys. Rev. Lett. 70,\n947(2007).\n[26] K. G. Makris, R. El-Ganainy, D. N. Christodoulides, andZ. H. Musslimani, Phys. Rev. Lett. 100, 103904(2008).\n[27] Z. Lin, H. Ramezani, T. Eichelkraut, T. Kottos, H. Cao,\nand D. N. Christodoulides, Phys. Rev. Lett. 106, 213901\n(2011).\n[28] H. Jing, S. K. ¨Ozdemir, X.-Y. L¨ u, J. Zhang, L. Yang,\nand F. Nori, Phys. Rev. Lett. 113, 053604(2014).\n[29] X. Li and X.-T. Xie, Phys. Rev. A, 90, 033804 (2014).\n[30] S. N. Huai, Y. L. Liu, J. Zhang, L. Yang, and Y. X. Liu,\nPhys. Rev. A. 99, 043803 (2019).\n[31] S. Chakraborty and A. K. Sarma, Phys. Rev. A. 100,\n063846 (2019).\n[32] Y. T. Chen, L. Du, Y. Zhang and J. H. Wu, Phys. Rev.\nA.103, 053712 (2020).\n[33] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y. Nakamura, Phys. Rev. Lett. 133, 083603\n(2014).\n[34] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, Phys. Rev. Applied, 2,\n054002 (2014).\n[35] H.Huebl,C.W.Zollitsch, J.Lotze, F.Hoche, M.Greifen -\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nPhys. Rev. Lett. 111, 127003 (2013).\n[36] X. Zhang, C. L. Zou, L. Jiang, and H. X. Tang, Phys.\nRev. Lett. 113, 156401 (2014).\n[37] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y. Nakamura, Phys. Rev. Lett. 113, 083603\n(2014).\n[38] D. Zhang, X. M. Wang, T. F. Li, X. Q. Luo, W. Wu, F.\nNori, and J. Q. You, npj Quantum Inf. 1, 15014 (2015).\n[39] C. Kittel, Phys. Rev. 73, 155 (1948).\n[40] X. Y. Zhang, C. L. Zou, L. Jiang, and H. X. Tang, Sci.\nAdv.2, e1501286(2016).\n[41] S. N. Huai, Y. L. Liu, J. Zhang, L. Yang, and Y. X. Liu,\nPhys. Rev. A. 99, 043803 (2019).\n[42] Z. B. Yang, H. Jin, J. W. Jin, J. Y. Liu, H. Y. Liu, and\nR. C. Yng, Phys. Rev. Research 3, 023126 (2021).\n[43] Y. P. Wang, G. Q. Zhang, D. K. Zhang, T. F. Li, C. M.\nHu, and J. Q. You, Phys. Rev. Lett. 120, 057202 (2018).\n[44] U. Kamran and M. Tahir Naseem, Phys. Rev. A 102,\n033721 (2020).\n[45] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Sci. Adv.\n2,e1501286 (2016)\n[46] J. Li and S.-Y. Zhu, New J. Phys. 21, 085001 (2019)." }, { "title": "1306.0784v1.Determination_of_the_origin_of_the_spin_Seebeck_effect___bulk_vs__interface_effects.pdf", "content": "Determination of the origin of the spin Seebeck e\u000bect - bulk vs. interface e\u000bects\nAndreas Kehlberger,\u0003Ren\u0013 e R oser, Gerhard Jakob, and Mathias Kl aui\nInstitute of Physics, University of Mainz, 55099 Mainz, Germany\nUlrike Ritzmann, Denise Hinzke, and Ulrich Nowak\nDepartment of Physics, University of Konstanz, D-78457 Konstanz, Germany\nMehmet C. Onbasli, Dong Hun Kim, and Caroline A. Ross\nDepartment of Materials Science and Engineering,\nMassachusetts Institute of Technology, Cambridge, Massachusetts 02139, USA\nMatthias B. Jung\reisch and Burkard Hillebrands\nFachbereich Physik and Landesforschungszentrum OPTIMAS,\nTechnische Universit at Kaiserslautern, Kaiserslautern 67663, Germany\n(Dated: November 2, 2021)\nThe observation of the spin Seebeck e\u000bect in insulators has meant a breakthrough for spin\ncaloritronics due to the unique ability to generate pure spin currents by thermal excitations in\ninsulating systems without moving charge carriers. Since the recent \frst observation, the under-\nlying mechanism and the origin of the observed signals have been discussed highly controversially.\nHere we present a characteristic dependence of the longitudinal spin Seebeck e\u000bect amplitude on the\nthickness of the insulating ferromagnet (YIG). Our measurements show that the observed behavior\ncannot be explained by any e\u000bects originating from the interface, such as magnetic proximity e\u000bects\nin the spin detector (Pt). Comparison to theoretical calculations of thermal magnonic spin currents\nyields qualitative agreement for the thickness dependence resulting from the \fnite e\u000bective magnon\npropagation length so that the origin of the e\u000bect can be traced to genuine bulk magnonic spin\ncurrents ruling out parasitic interface e\u000bects.\nPACS numbers: 72.20.Pa, 72.25.Mk, 75.30.Ds, 85.80.-b\n\u0003Graduate School Materials Science in Mainz, Staudinger Weg 9, 55128, GermanyarXiv:1306.0784v1 [cond-mat.mtrl-sci] 4 Jun 20132\nINTRODUCTION\nIn the fast evolving \feld of spin caloritronics[1] many interesting discoveries have been made, such as the magneto\nSeebeck e\u000bect[2], and the spin Seebeck e\u000bect (SSE) in metals[3], and semiconductors[4]. One of the most interesting\ne\u000bects is the SSE in ferromagnetic insulators (FMI)[5], such as yttrium iron garnet (YIG). Even in insulators this\ne\u000bect o\u000bers the possibility to generate a pure spin current by just thermal excitation. Hence this spin current excited\nin an insulating system is not carried by moving charge carriers but by excitations of the magnetization, known as\nmagnons. Common theories explain this magnonic SSE, being due to a di\u000berence between the phonon- and magnon\ntemperatures TNandTm[6, 7], while other theories rely on a strong local magnon-phonon[8] coupling. Up to now no\nexperimental method has been capable of directly observing this temperature di\u000berence of magnons and phonons[9]\nso that the origin of the genuine SSE in the transverse con\fguration is still unclear. Furthermore, in the transverse\ncon\fguration a thermal gradient is generated in the \flm plane, while the detection layer is on top of the ferromagnetic\n\flm. Due to di\u000berences in the thermal conductivity of the substrate and ferromagnetic \flm and the thickness di\u000berence\nbetween \flm and substrate as well as the temperature di\u000berences between sample and environment, it is challenging to\ngenerate only a pure in-plane thermal gradient without an out-of-plane component[10]. In conductors this out-of-plane\ncomponent of the thermal gradient will unavoidably lead to parasitic e\u000bects, such as the anomalous Nernst e\u000bect, that\nsuperimpose with any genuine SSE signals in the transverse geometry. For insulators an alternative geometry provides\na better controlled con\fguration. The so called longitudinal con\fguration[11] establishes the thermal gradient in the\nout-of-plane direction across the substrate, the ferromagnetic thin \flm and the detection layer on top. This opens\nthe possibility to study the SSE independently of the thermal conductivity of the substrate, which does not a\u000bect the\ndirection of the thermal gradient. Another key point, which complicates the interpretation of the SSE experiments,\nis the detection method for the thermally excited spin currents. Most spin caloric experiments rely on the indirect\nmeasurement by the inverse spin Hall e\u000bect (ISHE)[12] to detect the spin current pumped by the SSE. The measured\ninverse spin Hall voltage[6, 13] is predicted to be:\nVISHE = \u0002 SHElN\u001aN\nIpump\ns\u000b\n\u0019\u0002SHElN\u001aN\r~g\"#kB\n\u0019MsVaA\u0010\nTm\u0000TN\u0011\n: (1)\nHere, \u0002 SHEis the spin Hall angle of the spin detector material, lNthe length between the voltage contacts, and \u001aN\nthe resistance of detection layer. The underlying spin current\nIpump\ns\u000b\nitself depends on the gyromagnetic ratio \r, the\nsaturation magnetization MS, the spin mixing conductance g\"#, the Boltzmann constant kB, the coherence volume\nof the magnetic system Va, the contact area A, and the temperature di\u000berence of the magnons of the FMI and the\nphonons of the normal metal (NM) at the interface\u0000\nTm\u0000TN\u0001\n. Unfortunately the spin mixing conductance g\"#and\nwith that the pumped spin currents Ipump\ns are very sensitive to the interface quality[14]. This interplay of the interface\nand the ISHE makes it necessary to carefully maintain the properties of the interface if one wants to compare di\u000berent\nsamples. Furthermore parasitic e\u000bect may be caused by the detection layer itself: Most experiments use platinum3\nTable I. Thickness of YIG and Pt, crystalline orientation and number of samples for each series.\nSeries Number YIG (nm) Pt (nm) Orientation in-situ etching\n1 70,130,200 8.5 100 yes\n2 20,70,130,200,300 8.5 100 yes\n3 40,80,100,130,150 10.3 100 no\n(Pt) for this layer, due to the high spin Hall angle, making it an e\u000ecient spin current detector[15]. Many experiments\nhave shown that the paramagnetic Pt shows a measureable magnetoresistance e\u000bect in contact with YIG[16, 17]. The\nYIG/Pt interface has been investigated more closely by X-ray magnetic circular dichroism measurements that reveal\npossibly a small induced magnetic moment of the Pt[18]. In combination with a thermal gradient, this proximity\ne\u000bect can cause an additional parasitic thermoelectric e\u000bect, the anomalous Nernst e\u000bect. For this reason one needs\neven in insulating ferromagnets to clearly distinguish between such parasitic interface e\u000bects and the genuine spin\nSeebeck e\u000bects due to spin currents[19]. Other recent measurements[20, 21] attribute such magnetoresistance e\u000bects\nto a spin Hall magnetoresistance and observe no proximity e\u000bect[22]. So given these di\u000berent contradicting claims\nthere is a clear need to distinguish whether the observed signals originate from a parasitic interface e\u000bect or a real\nbulk spin Seebeck e\u000bect.\nHere we present a detailed study of the relevant length scales of the longitudinal SSE in in YIG/Pt by varying\nthe thickness of the ferromagnetic insulator. The obtained results show an increasing and saturating SSE signal with\nincreasing YIG \flm thickness. By determining also the dependence of the magnetoresistive e\u000bect and the saturation\nmagnetization on the thickness, we can exclude an interface e\u000bect as the source of the measured signal. By atomistic\nspin simulation of the propagation of exchange magnons in temperature gradients, we are able to explain this behavior\nas being due to a \fnite e\u000bective propagation length of the thermally excited magnons.\nRESULTS\nAll Y 3Fe5O12samples presented in this paper were grown by pulsed laser deposition with \flm thicknesses ranging\nfrom 20 nm to 300 nm as shown in table I. The samples have been sorted into three series, where the interface conditions\nare identical for samples within one series. Details are given in the Methods section.\nWe \frst determined the intrinsic magnetic properties of every sample by SQUID magnetometry. Fig. 1a shows the\nsaturation magnetization ( MS) as a function of \flm thickness. Apart from very thin \flms (20 nm), we \fnd values of\napproximately 120 kA/m \u000625 kA/m, which is close to the literature value of 140 kA/m for YIG thin \flm samples[23].\nFor the very thin \flms, a decrease of the moment has been previously observed for other thin YIG \flms produced by\nPLD[24].\nTo estimate the in\ruence of the YIG/Pt interface coupling, which was previously claimed to be the origin of the\nmeasured SSE signals[16], we checked the magnetic \feld dependence of the Pt resistivity. The magnetoresistive e\u000bect,4\n05 0100150200250300040801201600\n5 01001502002503000123 \nSeries 1 \nSeries 2 \nSeries 3Ms (kA/m)Y\nIG thickness (nm) \n \nSeries 2 \nSeries 3Δρ/ρY\nIG thickness (nm)ba \nFigure 1. Thickness dependence of saturation magnetization and magnetoresistance e\u000bect. (a) Saturation\nmagnetization ( MS) as a function of YIG \flm thickness. Each series is marked in di\u000berent colors. The literature value of\n140 kA/m is indicated by a grey dashed line. The error of 25 kA/m takes into account that the active magnetic volume of\nthe \flm had to be estimated and the subtraction of the paramagnetic substrate signal. The uncertainty in the thickness\ndetermination translates into an error of the active magnetic volume and therefore an error of MS.(b)\u0001\u001a=\u001aas a function of\nYIG-layer thickness measured for series 2 and 3. The y-axis error represents one standard deviation combined with a systematic\nerror considering the temperature variability of the measurement.\nwhich was observable in every sample, showed a dependence on the magnetization direction as well as an in-plane\nangular dependence that can be explained by the novel spin Hall magnetoresistance e\u000bect[20, 21]. To determine the\ncorrelation with YIG \flm thickness, we measured the in-plane resistivity for \u0012= 90\u000eand\u0012= 0\u000ein a four-point contact\ncon\fguration. From this data we calculated \u0001 \u001a=\u001a= 2 (\u001a0\u000e\u0000\u001a90\u000e)=(\u001a0\u000e+\u001a90\u000e), as shown in Fig. 1b. For each series\n\u0001\u001a=\u001aremained constant, and largely independent of the YIG \flm thickness. Due to the identical interface conditions\nfor samples of one series, we can assume that the magnetoresistive e\u000bect amplitude exhibits no signi\fcant dependence\non the YIG-\flm thickness as expected for an interface e\u000bect. The changes of the absolute magnetoresistance signal\nbetween the di\u000berent series can be explained by the change of the Pt-thickness and a residual variation of the interface\nquality.\nWith the knowledge of the thickness dependence of these material and interface-related parameters, we can now\nascertain whether the spin Seebeck e\u000bect is correlated to one of those parameters. Three series of YIG \flms have\nbeen investigated in terms of the spin-Seebeck coe\u000ecient (SSC), covering a thickness range from 20 nm to 300 nm.\nA more detailed explanation of the SSC measurements is given in the Methods section. Fig. 2 shows the measured\nYIG-layer thickness dependence of the SSC for each series.\nBelow 100 nm, \flms of each series showed an increase of the signal amplitude with increasing thickness. For larger\nthicknesses the signal starts to saturate. This saturation behavior could be observed in all our series that consist of\nepitaxial single crystalline \flms. The samples of series 3 generated signals a factor of two lower than the signals of the\nother series, due to no in-situ interface etching prior to the Pt deposition, which leads to a less transparent interface5\n0501001502002503000,00,20,40,60,8 \n SSC (µV/K)Y\nIG thickness (nm) Series 1 \nSeries 2 \nSeries 3\nFigure 2. Spin Seebeck coe\u000ecient as a function of YIG layer thickness . SSC as a function of YIG-layer thickness.\nThe samples are sorted into di\u000berent series. Samples of one series have been processed under identical conditions. Data points\nof each series are connected for clarity. The error in y-axis corresponds to one standard deviation of the measurement data\ncombined with a systematic error taking into account the uncertainty of the mechanical mounting.\nfor the magnons and therefore a smaller spin mixing conductance[14]. This observation underlines the importance of\nthe interface conditions for the comparison of di\u000berent samples, but the absolute trend of the thickness dependence\nwas not a\u000bected by this.\nDISCUSSION\nIn order to understand the origin of the signal, we compare the thickness dependence of the SSC with the thickness\ndependence of possible underlying mechanisms: When comparing this thickness scaling with that of the saturation\nmagnetization MS, shown in Fig. 1a, we can exclude a direct correlation. We would expect a constant SSC for \flms\nthicker than 40 nm, since only \flms below 40 nm showed a MSdependence on the YIG thickness. Secondly we compare\nthe thickness dependence of the magnetoresistive e\u000bect, shown in Fig. 1b, with the one of the SSC. Again one would\nexpect a constant contribution to the measured signal independent of the YIG \flm thickness when comparing with\nthe thickness dependence of the magnetoresistive e\u000bect. For this reason we can exclude that any interface coupling\ne\u000bect leads to the observed thickness dependence of the SSC. Even if the magnetoresistive e\u000bect in combination with\na thermal gradient leads to a Nernst e\u000bect, the signals produced by it deliver a constant o\u000bset for each series, which\ncannot be the source of the signal with the thickness dependence that we observe. This is of major importance as it\nallows us to conclude that the source of the observed signals is not the currently discussed proximity e\u000bects at the6\ninterface[16]. The clear thickness dependence points to an origin in the bulk of the YIG.\nIn the following analysis we assume that the role of the YIG thickness for the SSE might be due to a \fnite length\nscale for magnon propagation in the YIG material. In order to investigate this we simulate the propagation of\nthermally excited magnons in a temperature gradient using an atomistic spin model. The model is generic and not\nintended to describe YIG quantitatively. It contains a ferromagnetic nearest-neighbor exchange interaction Jand an\nuniaxial anisotropy with easy-axis along x-direction and anisotropy constant dx= 0:1J. We investigate a cubic system\nwith 512\u00028\u00028 spins, which are initialized parallel to the x-axis. The dynamics of the spin system is calculated by\nsolving the stochastic Landau-Lifshitz-Gilbert equation numerically with the Heun-Method[25]. The phonons provide\na heat-bath for the spin system where we assume a linear temperature gradient over the length Linx-direction as\nshown in Fig. 3. This temperature pro\fle remains constant during the simulation. After an initial relaxation, the\nlocal, reduced magnetization m(x) depends on the space coordinate xand its pro\fle is determined as an average over\nall spins Siin the corresponding y-z-plane and additionally as an average over time.\nDue to the temperature gradient, magnons propagate from the hotter towards the colder region of the system and\nthis magnonic spin current leads to deviations of the local magnetization m(x) from its local equilibrium value m0(x)\nwhich would follow from the local temperature Tp(x) of the phonon system. A temperature dependent calculation\nof the equilibrium magnetization m0(T) for a system with constant temperature allows us to describe this deviation,\nwhich we de\fne as magnon accumulation \u0001 m(x)[26] via\n\u0001m(x) =m(x)\u0000m0(x;Tp(x)) . (2)\nFig. 3 shows this magnon accumulation \u0001 mxas a function of space coordinate xin a system with a damping\nconstant of \u000b= 0:01 and a temperature pro\fle with a linear temperature gradient of \u0001 T= 10\u00005J=(kBa), whereais\nthe lattice constant of the cubic system, for two di\u000berent lengths Lof the temperature gradient. At the hotter end\nof the gradient magnons propagate towards the cooler region of the system and this reduces the number of the local\nmagnons and increases the local magnetization. On the other side at the cold end of the gradient magnons arriving\nfrom hotter parts of the system decrease the local magnetization. The resulting magnon accumulation is symmetric\nin space and changes its sign in the center of the temperature gradient. The spatial dependence of the magnon\naccumulation as well as the height of the peaks at the hot and cold end are a\u000bected by the mean e\u000bective propagation\nlength of the magnons[26] in the system. If the length Lof the gradient is smaller than the mean propagation length\nof the magnons, the magnon accumulation depends linearly on the space coordinate x. For higher values of the length\nLthe accumulation at the center of the gradient vanishes and appears only at the edges of the temperature gradient.\nThis is in agreement with simulation by Ohe et al. of the transverse spin Seebeck e\u000bect[7]. In their simulation\nthey modify the mean propagation length of the magnons by changing the damping constant and obtain comparable\nresults.\nThe e\u000bective mean propagation length \u0018of the magnons can be estimated by \ftting it to the function.7\n-100- 500 5 01 00-3-2-10123T\np (J/kB) Δm • 105x\n [# spins] 20a \n100a0,000,01 \nFigure 3. Magnon accumulation in a spin system with a temperature gradient. Magnon accumulation \u0001 mas a\nfunction of the space coordinate xfor a given phonon temperature Tpincluding a temperature gradient of two di\u000berent lengths\nL= 20a;100a\nThe magnitude of the magnon accumulation at the cold end of the gradient increases with increasing length Lup\nto a saturation value depending on the mean propagation length of the magnons. The magnon accumulation can be\nunderstood as the averaged sum of the magnons, which can reach the end of the gradient. As illustrated in Fig. 4 only\nthose magnons from distances smaller than their propagation length contribute to the resulting magnon accumulation\nat the cold end of the temperature gradient. Xiao et al. showed that the resulting spin current from the ferromagnet\ninto the non-magnetic material is proportional to the temperature di\u000berence between the magnon temperature Tm\nin the ferromagnet and the phonon temperature of the non-magnetic material TN[6]. Here, for simplicity, we assume\nthat the temperature of the non-magnetic material is TN= 0 K and no back\row from the non-magnetic material\nexists. The magnon temperature Tmat the cold end of the gradient can be calculated from the local magnetization\nm(x). The resulting magnon temperature dependence on the length Lof the temperature gradient saturates due to\nthe mean propagation length of the magnons as shown in Fig. 5 for two di\u000berent damping constants \u000b. The variation\nof the damping constant leads to variation of the mean magnon propagation length and, consequently, di\u000berent length\nscales where saturation for the magnon temperature sets in.\nTcold\nm/\u0010\n1\u0000exp\u0010\n\u0000L\n\u0018\u0011\u0011\n. (3)8\nferromagnetic insulator normal metal\nFigure 4. Origin of SSC thickness dependence. Illustration of the saturation e\u000bect of the measured voltage due to a \fnite\npropagation length of the excited magnonic spin currents.\n05 01 001 502 000,00,20,40,60,81,00\n1 002 003 004 000,00,20,40,60,81,0bTm/ Tc • 104L\n [# spins] α 0.01 \nα 0.05a \n normalized SSCY\nIG thickness (nm) Series 1 \nSeries 2 \nSeries 3\nFigure 5. Comparison between the theoretical and the experimental results. (a) Magnon temperature Tmat the cold\nend of the temperature gradient as a function of the length Lof the temperature gradient for two di\u000berent damping constants\n\u000bshows a saturation e\u000bect depending on the propagation length of the thermally excited magnons. (b)Normalized SSC data\nand corresponding \ft functions plotted against the YIG thickness. SSC data have been normalized to the saturation value\nfor an in\fnitely large system. From the \ft we obtain an e\u000bective magnon propagation length of 101 nm \u00065 nm for series 1,\n127 nm \u000644 nm for series 2 and 89 nm \u000619 nm for series 3.\nThe resulting \fts are shown as solid lines in Fig. 5. The calculated values are comparable to other calculations\nof the mean propagation length of thermally induced magnons [26]. This mean propagation length depends on the9\nfrequency spectra of the excited magnons, with that on the model parameters and the damping process during their\npropagation. The latter depends on the damping constant \u000bas well as the frequency !and the group velocity of the\nmagnons@!=@ q[27].\nThe proportionality between the magnon temperature and the measured ISHE-voltage[6] allows us now to evaluate\nthe SSC data points using eq. 3. Each series was evaluated separately as can be seen in Fig. 2b. We obtain a mean\ne\u000bective magnon propagation length of 101 nm \u00065 nm for series 1, 127 nm \u000644 nm for series 2, and 89 nm \u000619 nm for\nseries 3. By this we derive, independent of the di\u000berent interface qualities between the series, an e\u000bective mean\npropagation length for thermally excited magnons of the order of 110 nm \u000616 nm from all our series. Based on our\nmodel, we can now explain the behavior of the SSC data qualitatively: The increase of the SSC with increasing\nYIG \flm thickness below 110 nm can be attributed to an increasing magnon accumulation at the interface, while the\naccumulation starts to saturate and therefore the ISHE-voltage in thicker \flms. Consequently we can assume that\nthe magnon emitting source is the ferromagnetic thin \flm and thus we can pinpoint the origin of the observed signal\nto the magnonic spin Seebeck e\u000bect.\nIn conclusion, we have observed an increasing and saturating spin Seebeck signal with increasing YIG \flm thickness.\nThis behavior can neither be explained by the thickness dependence of the saturation magnetization nor magnetore-\nsistive e\u000bects in the Pt detection layer or any other interface e\u000bect. Instead we present a model based on atomistic\nsimulations that attributes this characteristic behavior to a \fnite propagation length of thermally excited magnons,\nwhich are created in the bulk of the ferromagnetic material. From the evaluation of our data we obtain an e\u000bective\nmean propagation length of the order of 110 nm for thermally excited magnons, which is in agreement with other\nstudies predicting a \fnite propagation length of thermally excited magnons of the order of 100 nm [27]. Our results\nthus clearly allow us to rule out parasitic interface e\u000bects and identify thermal magnonic spin currents as the source\nof the observed signals and thus identify unambiguously the longitudinal spin Seebeck e\u000bect.\nMETHODS\nThin \flm Y 3Fe5O12samples were grown by pulsed laser deposition from a stoichiometric powder target, using\na KrF excimer laser ( \u0015= 248 nm) with a \ruence of 2 :6 J/cm2, and repetition rate of 10 Hz[28]. Monocrystalline\n10 mm\u000210 mm\u00020:5 mm gadolinium gallium garnet (Gd 3Ga5O12,GGG) substrates in the (100) crystalline orientation\nwere used to ensure an epitaxial growth of the \flms, due to the small lattice mismatch below 0 :06 %. The optimal\ndeposition conditions were found for a substrate temperature of 650\u000eC\u000630\u000eC and an oxygen partial pressure of\n6:67\u000210\u00003mbar. In order to improve the crystallographic order and to reduce oxygen vacancies, every \flm was\nex-situ annealed at 820\u000eC\u000630\u000eC by rapid temperature annealing for 300 s under a steady \row of oxygen. X-ray\nre\rectometry (XRR) and pro\flometer (Tencor P-16 Surface Pro\flometer) measurements were done to determine the\n\flm thickness, while the crystalline quality was measured by X-ray di\u000braction.\nThe samples are sorted into series to highlight the di\u000berent platinum deposition conditions and therefore interface10\nqualities, which have been used to study interface in\ruence. This in\ruence is minimized for samples within a series by\nsputtering and cleaning these samples at the same time. Therefore the Pt thickness and the interface preparation were\nkept identical, while the YIG \flm thickness varied. Between the series the interface preparations and thus qualities\ndi\u000ber and lead to di\u000berent spin mixing conductance and thus di\u000berent signal amplitudes for a given thermally excited\nspin current. For the Pt deposition the samples had been transferred at atmosphere and may therefore have su\u000bered\nfrom surface contamination. In order to enhance the interface quality, an in-situ low power ion etching of the YIG\nsurface was performed for some series prior the deposition. DC-magnetron sputtering was used for a homogeneous\ndeposition of the Pt-\flm under an argon pressure of 1 \u000210\u00002mbar at room temperature. XRR measurements were\ndone afterwards to control the Pt thickness. In the last fabrication step, the Pt-layer was structured by optical\nlithography and ion etching in order to reduce in\ruences on the ISHE-voltage by slight variations of the sample\ngeometry. Fig. 6a shows a sketch of the \fnal sample stack.\nV \n𝐻 \n𝛻𝑇 \n Θ \n5 mm \nGGG (100) \nYIG (100) \nx \nz \n 500 µm \n-60 -30 0 30 60-8-6-4-202468\nV\n Voltage (µV)\nH-Field (Oe) 11K\n 9K\n 7K\n 5K\n 3K\n 1K\n036912-6-3036\nT|| -z T|| -z \n T|| z VISHE (µV)\n Tz(K) T|| -za b \nFigure 6. Experimental con\fguration and measured signals. (a) Sketch of the sample con\fguration geometry. The\nstructured grey layer, indicates the 4 mm long platinum stripes with 1 :2 mm large triangular shaped contact pads. The YIG\nlayer is indicated by yellow, the GGG substrate by light grey. For a further understanding of the spin caloric measurements\nthe direction of thermal gradient and the in-plane magnetic \feld have also been marked. (b): Recorded voltage signals for\nthe 200 nm thick YIG \flm of series 2. Each color represents a di\u000berent stable temperature di\u000berence. The inset shows the\nevaluated ISHE-voltage VISHE for both directions of the thermal gradient \u0001 Tz.\nFor the thermoelectric transport measurements a setup was constructed that is able to generate a temperature\ndi\u000berence up to 15 K at room temperature in the parallel and anti-parallel out-of-plane direction. Two copper blocks\ncan either serve as heat source or cooling bath to establish a temperature di\u000berence between both blocks, while\nthe sample is mounted in between. The relative temperature di\u000berence, which was used in the graphs and for the\ncalculation of the spin Seebeck coe\u000ecient, was determined by the di\u000berence between those two copper blocks. To\nensure a good thermal connection, each sample was mounted with thermally conductive adhesive transfer tape. In\naddition the tape compensated misalignments of the sample mounting. Due to the pressure sensitive heat conduction\nof the tape, springs were used to mechanically press the two copper blocks together with a constant force in order to\nreproduce the same conditions for every measurement.\nMagnons, generated by the thermal gradient in the ferromagnetic layer, will now propagate, depending on the11\norientation of the thermal gradient, to the FMI/NM interface. An exchange interaction of the local moments of the\nFMI and the conduction electrons of the NM leads to a spin transfer torque, which creates spin-polarized charge carrier\nin the NM[13]. Due to the inverse spin-Hall e\u000bect in Pt, a charge carrier separation, based on the spin orientation, is\ntaking place, leading to a measureable potential di\u000berence at the edges of the stripe geometry[12, 13]. Our setup used\na two point-con\fguration, shown in Fig. 6a, to detect this voltage by a nanovoltmeter (Keithley 2182A). By sweeping\nthe in-plane magnetic \feld with \u0012= 90\u000ewith respect to the platinum stripes in addition to an out-of-plane thermal\ngradient, one is able to measure magnetic \feld dependence of the measured voltage as shown as in Fig. 6b.\nTo exclude in\ruences of a ground o\u000bset, the ISHE-voltage VISHE needs to be extracted from these voltages signals\nby dividing the di\u000berence of the voltage in saturation for positive and negative H-\feld of the signals, \u0001 V, by two. If\none now plots the ISHE-Voltage against the corresponding out-of-plane thermal di\u000berence \u0001 Tz, as done in the inset\nof Fig. 6b the SSC can be derived from the slope by a linear \ft. Dependent on the direction of the thermal gradient\nthe SSC will switch its sign, while the absolute value should be the same. The values of the order of 0 :54\u0016V=K\n(200 nm thick YIG \flm with 8 :5 nm of Pt) derived for the SSC, in our setup and sample preparation, are similar to\nexperiments of other groups[5, 8, 11, 17, 29]. A SSC data point for one sample, as shown in Fig. 2, is the result of an\naverage of the measurements of the two stripes per sample and for both directions of the thermal gradient.\n[1] G. E. W. Bauer, E. Saitoh, and B. J. van Wees, Nature Mat. 11, 391 (2012).\n[2] M. Walter, J. Walowski, V. Zbarsky, M. M unzenberg, M. Sch afers, D. Ebke, G. Reiss, A. Thomas, P. Peretzki, M. Seibt,\nJ. S. Moodera, M. Czerner, M. Bachmann, and C. Heiliger, Nature Mat. 10, 742 (2011).\n[3] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa, and E. Saitoh, Nature 455, 778 (2008).\n[4] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, J. P. Heremans, and R. C. Myers, Nature Mat. 9, 898 (2010).\n[5] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai, G. E. W.\nBauer, S. Maekawa, and E. Saitoh, Nature Mat. 9, 894 (2010).\n[6] J. Xiao, G. E. W. Bauer, K. Uchida, E. Saitoh, and S. Maekawa, Phys. Rev. B 81, 214418 (2010).\n[7] J. Ohe, H. Adachi, S. Takahashi, and S. Maekawa, Phys. Rev. B 83, 115118 (2011).\n[8] H. Adachi, K. Uchida, E. Saitoh, J.-i. Ohe, S. Takahashi, and S. Maekawa, Appl. Phy. Lett. 97, 252506 (2010).\n[9] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. D. Karenowska, G. A. Melkov, and B. Hillebrands, arXiv:1209.3405.\n[10] S. Y. Huang, W. G. Wang, S. F. Lee, J. Kwo, and C. L. Chien, Phys. Rev. Lett. 107, 216604 (2011).\n[11] K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and E. Saitoh, Appl. Phy. Lett. 97, 172505 (2010).\n[12] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phy. Lett. 88, 182509 (2006).\n[13] Y. Kajiwara, K. Harii, S. Takahashi, and J. Ohe, Nature 464, 262 (2010).\n[14] M. B. Jung\reisch, V. Lauer, R. Neb, A. V. Chumak, and B. Hillebrands, arXiv:1302.6697.\n[15] O. Mosendz, V. Vlaminck, J. E. Pearson, F. Y. Fradin, G. E. W. Bauer, S. D. Bader, and A. Ho\u000bmann, Phys. Rev. B 82,\n214403 (2010).\n[16] S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang, J. Wu, T. Y. Chen, J. Q. Xiao, and C. L. Chien, Phys. Rev. Lett.\n109, 107204 (2012).12\n[17] M. Weiler, M. Althammer, F. Czeschka, H. Huebl, M. Wagner, M. Opel, I.-M. Imort, G. Reiss, A. Thomas, R. Gross, and\nS. Goennenwein, Phys. Rev. Lett. 108, 106602 (2012).\n[18] Y. M. Lu, Y. Choi, C. M. Ortega, X. M. Cheng, J. W. Cai, S. Y. Huang, L. Sun, and C. L. Chien, Phys. Rev. Lett. 110,\n147207 (2013).\n[19] D. Qu, S. Y. Huang, J. Hu, R. Wu, and C. L. Chien, Phys. Rev. Lett. 110, 067206 (2013).\n[20] H. Nakayama, M. Althammer, T. Y. Chen, K. Uchida, Y. Kajiwara, D. Kikuchi, T. Ohtani, S. Gepr ags, M. Opel,\nS. Takahashi, R. Gross, G. E. W. Bauer, S. T. B. Goennenwein, and E. Saitoh, arXiv:1211.0098.\n[21] N. Vliestra, J. Shan, V. Castel, B. J. van Wees, and J. B. Youssef, arXiv:1301.3266v1.\n[22] S. Geprags, S. Meyer, S. Altmannshofer, M. Opel, F. Wilhelm, A. Rogalev, R. Gross, and S. T. B. Goennenwein, Appl.\nPhy. Lett. 101, 262407 (2012).\n[23] A. A. Serga, A. V. Chumak, and B. Hillebrands, J. Phys. D: Appl. Phys. 43, 264002 (2010).\n[24] N. Kumar, D. S. Misra, N. Vankataramani, S. Prasad, and R. Krishnan, J. Magn. Magn. Mater. 272-276 , e899 (2004).\n[25] U. Nowak, \\Handbook of magnetism and advanced magnetic materials,\" (John Wiley & Sons, 2007) Chap. Classical\nSpin-Models.\n[26] U. Ritzmann, D. Hinzke, and U. Nowak, (in preparation).\n[27] A. A. Kovalev and Y. Tserkovnyak, Europhys. Lett. 97, 67002 (2012).\n[28] L. Bi, J. Hu, P. Jiang, D. H. Kim, G. F. Dionne, L. C. Kimerling, and C. A. Ross, Nature Photon. 5, 758762 (2011).\n[29] A. Kirihara, K. Uchida, Y. Kajiwara, M. Ishida, Y. Nakamura, T. Manako, E. Saitoh, and S. Yorozu, Nature Mat. 11,\n686 (2012).\nAuthor contributions\nM.K. and G.J. conceived and supervised the research. A.K. and R.R. performed the experiments and analyzed\nthe data. M.C.O., D.H.K. and C.A.R. provided and characterized the YIG \flms A.K. and R.R. structured and\ncharacterized the samples. U.R., D.H. and U.N. worked on the theoretical modelling. B.J. and B.H. performed\nadditional sample analysis. A.K. organized and wrote the paper with all authors contributing to the discussions and\npreparation of the manuscript.\nACKNOWLEDGMENTS\nThe authors would like to thank the Deutsche Forschungsgemeinschaft (DFG) for \fnancial support via SPP 1538\n\"Spin Caloric Transport\" and the Graduate School of Excellence Materials Science in Mainz (MAINZ) GSC 266, the\nEU (IFOX, NMP3-LA-2012246102, MAGWIRE, FP7-ICT-2009-5 257707, MASPIC, ERC-2007-StG 208162) and the\nNational Science Foundation." }, { "title": "1810.07384v2.Perpendicularly_magnetized_YIG_films_with_small_Gilbert_damping_constant_and_anomalous_spin_transport_properties.pdf", "content": "Perpendicularly magnetized YIG films with small Gilbert \ndamping constant and anomalous spin transport properties \nQianbiao Liu1, 2, Kangkang Meng1*, Zedong Xu3, Tao Zhu4, Xiao guang Xu1, Jun \nMiao1 & Yong Jiang1* \n \n1. Beijing Advanced Innovation Center for Materials Genome Engineering, University of Science and \nTechnology Beijing, Beijing 100083, China \n2. Applied and Engineering physics, Cornell University, Ithaca, NY 14853, USA \n3. Department of Physics, South University of Science and Technology of China , Shenzhen 518055, \nChina \n4. Institute of Physics, Chinese Academy of Sciences, Beijing 100190, China \nEmail: kkmeng@ustb.edu.cn ; yjiang@ustb.edu.cn \n \nAbstract: The Y 3Fe5O12 (YIG) films with perpendicular magnetic anisotropy (PMA) \nhave recently attracted a great deal of attention for spintronics applications. Here, w e \nreport the induced PMA in the ultrathin YIG films grown on \n(Gd 2.6Ca0.4)(Ga 4.1Mg 0.25Zr0.65)O12 (SGGG) substrate s by epitaxial strain without \npreprocessing. Reciprocal space mapping shows that the film s are lattice -matched to \nthe substrate s without strain relaxation. Through ferromagnetic resonance and \npolarized neutron reflectometry measurements, we find that these YIG films have \nultra-low Gilbert damping constant (α < 1×10-5) with a magnetic dead layer as thin as \nabout 0.3 nm at the YIG/SGGG interfaces. Moreover, the transport behavior of the \nPt/YIG/SGGG films reveals an enhancement of spin mixing conductance and a large \nnon-monotonic magnetic field dependence of anomalous Hall effect as compared with \nthe Pt/YIG/Gd 3Ga5O12 (GGG) films. The non- monotonic anomalous Hall signal is \nextracted in the temperature range from 150 to 350 K, which has been ascribed to the possible non -collinear magnetic order at the Pt/YIG interface induced by uniaxial \nstrain. \n \nThe spin transport in ferrim agnetic insulator (FMI) based devices has received \nconsiderable interest due to its free of current -induced Joule heating and beneficial for \nlow-power spintronic s applications [1, 2]. Especially, the high-quality Y3Fe5O12 (YIG) \nfilm as a widely studied FMI has low damping constant, low magnetostriction and \nsmall magnetocrystalline anisotropy, making it a key material for magnonics and spin \ncaloritronics . Though the magnon s can carr y information over distances as long as \nmillimeters in YIG film , there remain s a challenge to control its magnetic anisotropy \nwhile maintaining the low damping constant [3] , especially for the thin film with \nperpendicular magnetic anisotropy (PMA) , which is very useful for spin polarizers, \nspin-torque oscillators, magneto -optical d evices and m agnon valve s [4-7]. In addition, \nthe spin- orbit torque (SOT) induced magnetization switching with low current \ndensities has been realized in non -magnetic heavy metal (HM)/FMI heterostructures , \npaving the road towards ultralow -dissipation SOT de vices based on FMI s [8-10]. \nFurthermore, p revious theoretical studies have pointed that the current density will \nbecome much smaller if the domain structures were topologically protected (chiral) [11]. However, most FMI films favor in-plane easy axis dominated by shape \nanisotropy , and the investigation is eclipsed as compared with ferromagnetic materials \nwhich show abundant and interesting domain structures such as chiral domain walls and magnetic skyrmions et al. [12-17]. Recently, the interface- induc ed chiral domain walls have been observed in centrosymmetric oxides Tm 3Fe5O12 (TmIG) thin films, \nand the domain walls can be propelled by spin current from an adjacent platinum \nlayer [18]. Similar with the TmIG films, the possible chiral magnetic structures are \nalso expected in the YIG films with lower damping constan t, which would further \nimprove the chiral domain walls’ motion speed. \nRecently, several ways have been reported to attain the perpendicular ly \nmagnetized YIG films , one of which is utiliz ing the lattice distortion and \nmagnetoelastic effect induced by epitaxial strain [1 9-22]. It is noted that the strain \ncontrol can not only enable the field -free magnetization switching but also assist the \nstabilization of the non- collinear magnetic textures in a broad range of magnetic field \nand temperature. Therefore, abundant and interesting physical phenomena would \nemerge in epitaxial grown YIG films with PMA. However, either varying the buffer \nlayer or doping would increase the Gilbert damping constant of YIG, which will \naffect the efficiency of the SOT induced magnetization switching [20, 21]. On the \nother hand, these preprocessing would lead to a more complicate magnetic structures \nand impede the further discussion of spin transport properties such as possible \ntopological Hall effect (THE). \nIn this work, we realized the PMA of ultrathin YIG films deposited on SGGG \nsubstrates due to epitaxial strain . Through ferromagnetic resonance (FMR) and \npolarized neutron reflectometry (PNR) measurements, we have found that the YIG \nfilms had small Gilbert damping constant with a magnetic dead layer as thin as about \n0.3 nm at the YIG/SGGG interfaces. Moreover, we have carried out the transport measurements of the Pt/YIG/SGGG films and observed a large non -monotonic \nmagnet ic field dependence of the anomalous Hall resistivity, which did not exis t in \nthe compared Pt/YIG/GGG films. The non -monotonic anomalous Hall signal was \nextracted in the temperature range from 150 to 350 K, and we ascribed it to the \npossible non -collinear magnetic order at the Pt/YIG interfaces induced by uniaxial \nstrain. \n \nResults \nStructural and magnetic characterization. The epitaxial YIG films with varying \nthickness from 3 to 90 nm were grown on the [111] -oriented GGG substrate s (lattice \nparameter a = 1.237 nm) and SGGG substrates (lattice parameter a = 1.248 nm) \nrespectively by pulsed laser deposition technique (see methods). After the deposition, \nwe have investigated the surface morphology of the two kinds of films using atomic \nforce microscopy (AFM) as shown in Fig. 1 ( a), and the two films have a similar and \nsmall surface roughness ~0.1 nm. Fig. 1 ( b) shows the enlarged XRD ω-2θ scan \nspectra of the YIG (40 nm) thin film s grow n on the two different substrates (more \ndetails are shown in the Supplementary Note 1 ), and they all show predominant (444) \ndiffraction peaks without any other diffraction peaks, excluding impurity phases or other crystallographic orientation s and indicat ing the single -phase nature. According \nto the (444) diffraction pe ak position and the reciprocal space map of the (642) \nreflection of a 40 -nm-thick YIG film grown on SGGG as shown in Fig. 1(c), we have \nfound that the lattice constant of SGGG (~1.248 nm) substrate was larger than the YIG layer (~1.236 nm). We quantify thi s biaxial strain as ξ = (aOP - aIP)/aIP, where a OP \nand aIP represent the pseudo cubic lattice constant calculated from the ou t-of-plane \nlattice constant d(4 4 4) OP and in-plane lattice constant d(1 1 0) IP, respectively, \nfollowing the equation of \n2 2 2lkhad\n++= , with h, k, and l standing for the Miller \nindices of the crystal planes . It indicates that the SGGG substrate provides a tensile \nstress ( ξ ~ 0.84%) [21]. At the same time, the magnetic properties of the YIG films \ngrown on the two different substrates were measured via VSM magnetometry at room \ntemperature. According to the magnetic field ( H) dependence of the magnetization (M) \nas shown in Fig. 1 (d), the magnetic anisotropy of the YIG film grown on SGGG \nsubstrate has been modulated by strain, while the two films have similar in -plane \nM-H curves. \nTo further investigate the quality of the YIG films grown on SGGG substrates \nand exclude the possibility of the strain induced large stoichiometry and lattice \nmismatch, compositional analyse s were carried out using x -ray photoelectron \nspectroscopy (XPS) and PNR. As shown in Fig. 2 (a), the difference of binding \nenergy between the 2p 3/2 peak and the satellite peak is about 8.0 eV, and the Fe ions \nare determined to be in the 3+ valence state. It is found that there is no obvious \ndifference for Fe elements in the YIG films grown on GGG and SGGG substrates. \nThe Y 3 d spectrums show a small energy shift as shown in Fig. 2 (b) and the binding \nenergy shift may be related to the lattice strain and the variation of bond length [21]. \nTherefore, the stoichiometry of the YIG surface has not been dramatically modified \nwith the strain control. Furthermore, we have performed the PNR meas urement to probe the depth dependent struc ture and magnetic information of YIG films grown on \nSGGG substrates. The PNR signals and scattering length density (SLD) profiles for \nYIG (12.8 nm)/SGGG films by applying an in- plane magnetic field of 900 mT at \nroom temperature are shown in Fig. 2 ( c) and ( d), respectively. In Fig. 2(c), R++ and \nR-- are the nonspin -flip reflectivities, where the spin polarizations are the same for the \nincoming and reflected neutrons. The inset of Fig. 2(c) shows the experimental and \nsimulated spin -asymmetry (SA), defined as SA = ( R++ – R--)/(R++ + R--), as a function \nof scattering vector Q. A reasonable fitting was obtained with a three- layer model for \nthe single YIG film, containing the interface layer , main YIG layer and surface layer. \nThe nuclear SLD and magnetic SLD are directly proportional to the nuclear scattering \npotential and the magnetization , respectively . Then, the depth- resolved structural and \nmagnetic SLD profiles delivered by fitting are s hown in Fig. 2(d) . The Z -axis \nrepresents the distance for the vertical direction of the film, where Z = 0 indicates the \nposition at the YIG/SGGG interface. It is obvious that there is few Gd diffusion into \nthe YIG film, and the dead layer (0.3 nm ) is much thinner than the reported values \n(5-10 nm) between YIG (or T mIG) and substrates [23 -25]. The net magnetization of \nYIG is 3.36 μB (~140 emu/cm3), which is similar with that of bulk YIG [2 6]. The \nPNR results also showed that besides the YIG/ SGGG interface region, there is also \n1.51- nm-thick nonmagnetic surface layer, which may be Y2O3 and is likely to be \nextremely important in magnetic proximity effect [ 23]. \n Dynamical characterization and spin transport properties. To quantitatively \ndetermine the magnetic anisotropy and dynamic properties of the YIG films, the FMR \nspectra were measured at room temperature using an electron paramagnetic resonance \nspectrometer with rotating the films. Fig. 3(a) shows the geometric configuration of the angle reso lved FMR measurements. We use the FMR absorption line shape to \nextract the resonance field (H\nres) and peak -to-peak linewidth ( ΔHpp) at different θ for \nthe 40 -nm-thick YIG fil ms grown on GGG and SGGG substrates, respectively. The \ndetails for 3 -nm-thick YIG film are show n in the Supp lementary Note 2 . According to \nthe angle dependence of H res as shown in Fig. 3(b), one can find that as compared \nwith the YIG films grown on GGG substrate s, the minimum Hres of the 40- nm-thick \nYIG film grown on SGGG substrate increases with varying θ from 0° to 90° .On the \nother hand, according to the frequency dependence of Hres for the YIG (40 nm) films \nwith applying H in the XY plane as shown in Fig. 3(c), in contrast to the YIG/GGG \nfilms, the H res in YIG/SGGG films could not be fitted by the in-plane magnetic \nanisotropy Kittel formula 21)] 4 ( )[2(/\neff res res πM H Hπγ/ f + = . All these results \nindicate that the easy axis of YIG (40 nm) /SGGG films lies out -of-plane. The angle \ndependent ΔHpp for the two films are also compared as shown in Fig. 3(d) , the \n40-nm-thick YIG film grown on SGGG substrate has an optimal value of Δ Hpp as low \nas 0.4 mT at θ =64°, and the corresponding FMR absorption line and Lorentz fitting \ncurve are shown in Fig. 3(e). Generally , the ΔHpp is expected to be minimum \n(maximum) along magnetic easy (hard) axis, which is basically coincident with the \nangle dependent ΔHpp for the YIG films grown on GGG substrates. However, as shown in Fig. 3(d), the ΔHpp for the YIG/SGGG films shows an anomalous variation. \nThe lowest ΔHpp at θ=64° could be ascribed to the high YIG film quality and ultrathin \nmagnetic dead layer at the YIG/SGGG interface. It should be noted that , as compared \nwith YIG/GGG films , the Δ Hpp is independent on the frequency from 5 GHz to 14 \nGHz as shown in Fig. 3(f). Then, w e have calculate d the Gilbert damping constant α \nof the YIG (40 nm)/SGGG films by extracting the Δ Hpp at each frequency as shown in \nFig. 3(f). The obtained α is smaller tha n 1 × 10−5, which is one order of magnitude \nlower than t he report in Ref. [20] and would open new perspectives for the \nmagnetization dynamics. According to the theor etical theme, the ΔHpp consists of \nthree parts: Gilbert damping, two magnons scattering relaxation process and \ninhomogeneities, in which both the Gilbert damping and the two magnons scattering \nrelaxation process depend on frequency. Therefore, the large Δ Hpp in the YIG/SGGG \nfilms mainly stems from the inhomogeneities, w hich will be discussed next with the \nhelp of the transport measurements. All of the above results have proven that the \nultrathin YIG films grown on SGGG substrate s have not only evident PMA but also \nultra-low Gilbert damping constant. \nFurthermore, we have also investigated the spin transport properties for the high \nquality YIG film s grown on SGGG substrate s, which are basically sensitive to the \nmagnetic details of YIG. The magnetoresistance (MR) has been proved as a powerful \ntool to effectively explore magnetic information originating from the interfaces [ 27]. \nThe temperature dependent spin Hall magnetoresistance (SMR) of the Pt (5 nm)/YIG \n(3 nm) films grown on the two different substrates were measured using a small and non-perturbative current densit y (~ 106 A/cm2), and the s ketches of the measurement \nis shown in Fig. 4 (a). The β scan of the longitudinal MR, which is defined as \nMR=ΔρXX/ρXX(0)=[ρXX(β) -ρXX(0)]/ρXX(0) in the YZ plane for the two films under a 3 T \nfield (enough to saturate the magnetization of YIG ), shows cos2β behavior s with \nvarying temperature for the Pt/YIG/GGG and Pt/YIG/SGGG films as shown in Fig. 4 \n(b) and (c), respectively. T he SMR of the Pt/YIG /SGGG films is larger than that of \nthe Pt/YIG /GGG films with the same thickness of YIG at room temperature, \nindica ting an enhanced spin mixing conductance ( G↑↓) in the Pt/YIG /SGGG films. \nHere, it should be noted that the spin transport properties for the Pt layers ar e \nexpected to be the same because of the similar resistivity and film s quality . Therefore, \nthe SGGG substrate not only induces the PMA but also enhances G ↑↓ at the Pt/YIG \ninterface. Then, we have also investigated the field dependent Hall resistivities in the \nPt/YIG/SGGG films at the temperature range from 260 to 350 K as shown in Fig. 4(d). \nThough the conduction electrons cannot penetrate into the FMI layer, the possible \nanomalous Hall effect (AHE) at the HM/FMI interface is proposed to emerge, and the \ntotal Hall resistivity can usually be expressed as the sum of various contributions [28, \n29]: \nS-A S H ρ ρ H R ρ + + =0 , (1) \nwhere R0 is the normal Hall coefficient, ρ S the transverse manifestation of SMR, and \nρS-A the spin Hall anomalous Hall effect (SAHE) resistivity. Notably, the external field \nis applied out -of-plane, and ρs (~Δρ1mxmy) can be neglected [ 29]. Interestingly, the \nfilm grown on SGGG substrate shows a bump and dip feature during the hysteretic measurements in the temperature range from 260 to 350 K. In the following \ndiscussion, we term the part of extra anomalous signals as the anomalous SAHE resistivity ( ρ\nA-S-A). The ρ A-S-A signals clearly coexist with the large background of \nnormal Hall effect. Notably, the broken (space) inversion symmetry with strong \nspin-orbit coupling (SOC) will induce the Dzyaloshinskii -Moriya interaction (DMI) . \nIf the DMI could be compared with the Heisenberg exchange interaction and the \nmagnetic anisotropy that were controlled by st rain, it c ould stabilize non-collinear \nmagnetic textures such as skyrmions, producing a fictitious magnetic field and the \nTHE . The ρA-S-A signals indicate that a chiral spin texture may exist, which is similar \nwith B20-type compounds Mn 3Si and Mn 3Ge [ 30,31]. To more clearly demonstrate \nthe origin of the anomalous signals, we have subtracted the normal Hall term , and the \ntemperature dependence of ( ρS-A + ρ A-S-A) has been shown in Fig. 4 (e). Then, we can \nfurther discern the peak and hump structure s in the temperature range from 260 to 350 \nK. The SAHE contribution ρS-A can be expressed as 𝜌𝑆−𝐴=𝛥𝜌2𝑚𝑍 [32, 33],\n where \n𝛥𝜌2 is the coefficient depending on the imaginary part of G ↑↓, and mz is the unit \nvector of the magnetization orientation along the Z direction . The extracted Hall \nresist ivity ρA-S-A has been shown in Fig. 4 (f), and the temperature dependence of the \nlargest ρA-S-A (𝜌𝐴−𝑆−𝐴Max) in all the films have been shown in Fig. 4 (g). Finite values of \n𝜌𝐴−𝑆−𝐴Max exist in the temperature range from 150 to 350 K , which is much d ifferen t \nfrom that in B20 -type bulk chiral magnets which are subjected to low temperature and \nlarge magnetic field [34]. The large non -monotonic magnetic field dependence of anomalous Hall resistivity could not stem from the We yl points, and the more detailed \ndiscussion was shown in the Supplementary Note 3. \nTo further discuss the origin of the anomalous transport signals, we have \ninvestigated the small field dependence of the Hall resistances for Pt (5 nm) /YIG (40 \nnm)/SGGG films as shown in Fig. 5(a). The out-of-plane hysteresis loop of \nPt/YIG/SGGG is not central symmetry, which indicates the existence of an internal \nfield leading to opposite velocities of up to down and down to domain walls in the \npresence of current along the +X direction. The large field dependences of the Hall \nresistances are shown in Fig. 5(b), which could not be described by Equation (1). \nThere are large variations for the Hall signals when the external magnetic field is \nlower than the saturation field ( Bs) of YIG film (~50 mT at 300 K and ~150 mT at 50 \nK). More interestingly, we have firstly applied a large out -of-plane external magnetic \nfield of +0.8 T ( -0.8 T) above Bs to saturate the out -of-plane magnetization \ncomp onent MZ > 0 ( MZ < 0), then decreased the field to zero, finally the Hall \nresistances were measured in the small field range ( ± 400 Oe), from which we could \nfind that the shape was reversed as shown in Fig. 5(c). Here, we infer that the magnetic structures at the Pt/YIG interface grown on SGGG substrate could not be a \nsimple linear magnetic order. Theoretically , an additional chirality -driven Hall effect \nmight be present in the ferromagnetic regime due to spin canting [3 5-38]. It has been \nfound that the str ain from an insulating substrate could produce a tetragonal distortion, \nwhich would drive an orbital selection, modifying the electronic properties and the \nmagnetic ordering of manganites. For A\n1-xBxMnO 3 perovskites, a compressive strain makes the ferromagnetic configuration relatively more stable than the \nantiferromagnetic state [3 9]. On the other hand, the strain would induce the spin \ncanting [ 40]. A variety of experiments and theories have reported that the ion \nsubstitute, defect and magnetoelast ic interaction would cant the magnetization of YIG \n[41-43]. Therefore, if we could modify the magnetic order by epitaxial strain, the \nnon-collinear magnetic structure is expected to emerge in the YIG film. For YIG \ncrystalline structure, the two Fe sites ar e located on the octahedrally coordinated 16(a) \nsite and the tetrahedrally coordinated 24(d) site, align ing antiparallel with each other \n[44]. According to the XRD and RSM results, the tensile strain due to SGGG \nsubstrate would result in the distortion ang le of the facets of the YIG unit cell smaller \nthan 90 ° [45]. Therefore, the magneti zations of Fe at two sublattice s should be \ndiscussed separately rather than as a whole. Then, t he anomalous signals of \nPt/YIG/SGGG films could be ascribed to the emergence o f four different Fe3+ \nmagnetic orientation s in strained Pt/YIG films, which are shown in Fig. 5(d). For \nbetter to understand our results, w e assume that, in analogy with ρ S, the ρA-S-A is larger \nthan ρA-S and scales linearly with m ymz and mxmz. With applying a large external field \nH along Z axis, the uncompensated magnetic moment at the tetrahedrally coordinated \n24(d) is along with the external fields H direction for |H | > Bs, and the magnetic \nmoment tends to be along A (-A) axis when the external fields is swept from 0.8 T \n(-0.8 T) to 0 T. Then, if the Hall resistance was measured at small out -of-plane field , \nthe uncompensated magnetic moment would switch from A (-A) axis to B (-B) axis. In \nthis case, the ρ A-S-A that scales with Δ ρ3(mymz+mxmz) would change the sign because the mz is switched from the Z axis to - Z axis as shown in Fig. 5(c). However, there is \nstill some problem that needs to be further clarified. There are no anomalous signals \nin Pt/YIG/GGG films that could be ascribed to the weak strength of Δρ3 or the strong \nmagnetic anisotropy . It is still valued for further discussion of the origin of Δ ρ3 that \nwhether it could stem from the skrymions et al ., but until now we have not observed \nany chiral domain structures in Pt/YIG/SGGG films through the Lorentz transmission \nelectron microscopy. Therefore, we hope that future work would involve more \ndetailed magnetic microscopy imaging and microstructure analysis, which can further elucidate the real microscopic origin of the large non -monotonic magnetic field \ndependence of anomalous Hall resistivity. \n \nConclusion \nIn conclusion, the YIG film with PMA could be realized using both epitaxial strain \nand growth -induced anisotropies. These YIG films grown on SGGG substrates had \nlow G ilbert damping constants (<1 ×10\n-5) with a magnetic dead layer as thin as about \n0.3 nm at the YIG/SGGG interface. Moreover, we observe d a large non -monotonic \nmagnetic field dependence of anomalous Hall resistivity in Pt/YIG/SGGG films, \nwhich did not exist in Pt/YIG/GGG films. The non -monotonic anomalous portion of \nthe Hall signal was extracted in the temperature range from 150 to 350 K and w e \nascribed it to the possible non -collinear magnetic order at the Pt/YIG interface \ninduced by uniaxial strain. The present work not only demonstrate that the strain \ncontrol can effectively tune the electromagnetic properties of FMI but also open up the exp loration of non -collinear spin texture for fundamental physics and magnetic \nstorage technologies based on FMI. \n \nMethods \nSample preparation. The epitaxial YIG films with varying thickness from 3 to 90 \nnm were grown on the [111] -oriented GGG substrate s (lattice parameter a =1.237 nm) \nand SGGG substrates (lattice parameter a =1.248 nm) respectively by pulsed laser \ndeposition technique . The growth temperature was TS =780 ℃ and the oxyg \npressure was varied from 10 to 50 Pa . Then, the films were annealed at 780℃ for 30 \nmin at the oxygen pressure of 200 Pa . The Pt (5nm) layer was deposited on the top of \nYIG films at room temperature by magnetron sputtering. After the deposition, the \nelectron beam lithography and Ar ion milling were used to pattern Hall bars, and a lift-off process was used to form contact electrodes . The size of all the Hall bars is 20 \nμm×120 μm. \nStructural and magnetic characterization. The s urface morphology was measured \nby AFM (Bruke Dimension Icon). Magnetization measurements were carried out \nusing a Physical Property Measurement System (PPMS) VSM. A detailed \ninvestigation of the magnetic information of Y IG was investigated by PNR at the \nSpallation Neutron Source of China. \nFerromagnetic resonance measurements. The measurement setup is depicted in Fig. \n3(a). For FMR measurements, the DC magnetic field was modulated with an AC field. \nThe transmitted signal was detected by a lock -in amplifier. We observed the FMR spectrum of the sample by sweeping the external magnetic field. The data obtained \nwere then fitted to a sum of symmetric and antisymmetric Lorentzian functions to \nextract the linewidth. \nSpin transport measurements . The measurements were carried out using PPMS \nDynaCool. \n \nAcknowledgments \nThe authors thanks Prof. L. Q. Yan and Y. Sun for the technical assistant in \nferromagnetic resonance measurement . This work was partially supported by the \nNational Science Foundation of China (Grant Nos. 51971027, 51927802, 51971023 , \n51731003, 51671019, 51602022, 61674013, 51602025), and the Fundamental Research Funds for the Central Universities (FRF- TP-19-001A3). \n References \n[1] Wu, M.-Z. & Hoffmann , A. Recent advances in magnetic insulators from \nspintronics to microwave applications. Academic Press , New York, 64 , 408 \n(2013) . \n[2] Maekawa, S. Concepts in spin electronics. Oxford Univ., ( 2006) . \n[3] Neusser, S. & Grundler, D. Magnonics: spin waves on the nanoscale. Adv. Mater., \n21, 2927- 2932 ( 2009) . \n[4] Kajiwara , Y. et al. Transmission of electrical signals by spin -wave \ninterconversion in a magnetic insulator. Nature 464, 262- 266 (2010). [5] Wu, H. et al. Magnon valve effect between two magnetic insulators. Phys. Rev. \nLett. 120, 097205 ( 2018). \n[6] Dai, Y. et al. Observation of giant interfacial spin Hall angle in Y 3Fe5O12/Pt \nheterostructures. Phys. Rev. B . 100, 064404 ( 2019) . \n[7] Xiao, J. & Bauer, G. E. Spin -wave excitation in magnetic insulators by \nspin-transfer torque. Phys. Rev. Lett. 108, 217204 (2012). \n[8] Nakayama, H. et al. Spin Hall magnetoresistance induced by a nonequilibrium proximity effect. Phys. Rev. Lett. 110, 206601 (2013) . \n[9] Li, P. et al. Spin –orbit torque -assisted switching in magnetic insulator thin films \nwith perpendicular magnetic anisotropy. Nat. Commun. 7, 1-8 (2016) . \n[10] Avci, C. O. et al. Current -induced switching in a magnetic insulator. Nat. Mater. \n16, 309-314 (2017) . \n[11] Fert, A., Nicolas, R. & Vincent, C. Magnetic skyrmions: advances in physics and potential applications. Nat. Rev. Mater. 2, 1 -15 (2017) . \n[12] Soumyanarayanan, A. et al. Emergent phenomena induced by spin –orbit coupling \nat surfaces and interfaces. Nature 539, 509- 517 (2016). \n[13] Butenko, A. B., et al. Stabilization of skyrmion textures by uniaxial distortions in noncentrosymmetric cubic helimagnets. Phys. Rev. B 82 , 052403 (2010) . \n[14] Nii, Y. et al. Uniaxial stress control of skyrmion phase. Nat. Commun. 6, 1 -7 \n(2015) . \n[15] Meng, K. K. et al. Anomalous Hall effect and spin -orbit torques in MnGa/IrMn \nfilms: Modification from strong spin Hall effect of the antiferromagnet. Phys. Rev. B 94, 214413 (2016) . \n[16] Ado, I. A., Oleg A. T. & Titov, M. Microscopic theory of spin -orbit torques in \ntwo dimensions. Physical Review B 95, 094401 (2017) . \n[17] Liu, Q. B. et al. Modulated spin orbit torque in a Pt/Co/Pt /YIG multilayer by \nnonequilibrium proximity effect. A ppl. Phys. Lett. 112, 022402 (2018) . \n[18] Avci, C. O. et al. Interface -driven chiral magnetism and current -driven domain \nwalls in insulating magnetic garnets. Nat. Nanotechnol. 14, 561-566 (2019). \n[19] Fu, J. et a l. Epitaxial growth of Y 3Fe5O12 thin films with perpendicular magnetic \nanisotropy. Appl. Phys. Lett. 110 , 202403 (2017) . \n[20] Soumah, L. et al. Ultra -low damping insulating magnetic thin films get \nperpendicular. Nat. Commun. 9, 1-6 (2018). \n[21] Wang C. T. et al. Con trolling the magnetic anisotropy in epitaxial Y 3Fe5O12 films \nby manganese doping. Phys. Rev. B 96, 224403 (2017). \n[22] Bauer J. J., Rosenberg E. R. & Ross C. A. Perpendicular magnetic anisotropy and \nspin mixing conductance in polycrystalline europium iron garnet thin films. Phys. \nRev. Mater. 2 , 094405 (2018). \n[23] Cooper J. F. et al. Unexpected structural and magnetic depth dependence of YIG \nthin films Phys . Rev. B 96, 104404 (2017) . \n[24] Suturin S. M. et al. Role of gallium diffusion in the formation of a magnetically \ndead layer at the Y 3Fe5O12/Gd 3Ga5O12 epitaxial interface. 104404. Phys. Rev. \nMater. 2, 104404 (2018) . \n[25] Shao Q. et al. Exploring interfacial exchang e coupling and sublattice effect in heavy metal/ferrimagnetic insulator heterostructures using Hall measurements, \nx-ray magnetic circular dichroism, and neutron reflectometry. Phys. Rev. B 99, \n104401 (2019) . \n[26] Hansen P., Röschmann P & Tolksdorf W. Saturation magnetization of gallium ‐\nsubstituted yttrium iron garnet. J. Appl. Phys. 45, 2728- 2732 (1974) . \n[27] Vélez S. et al. Competing effects at Pt/YIG interfaces: Spin Hall \nmagnetoresistance, magnon excitations, and magnetic frustration. Phys. Rev. B \n94, 174405 (2016) . \n[28] Chen Y. T. et al. Theory of spin Hall magnetoresistance. Phys. Rev. B 87 , \n144411(2013). \n[29] Meyer S. et al. Anomalous Hall effect in YIG/Pt bilayers. Appl. Phys. Lett. 106, \n132402 (2015). \n[30] Liang D. et al. Current -driven dynamics of skyrmions stabilized in M nSi \nnanowires revealed by topological Hall effect. Nat. Commun. 6, 1-8 (2015) . \n[31] Shibata K. et al. Towards control of the size and helicity of skyrmions in \nhelimagnetic alloys by spin- orbit coupling. Nat. Nanotech. 8, 723- 728 (2013) . \n[32] Vlietstra N. et al. Spin -Hall magnetoresistance in platinum on yttrium iron garnet: \nDependence on platinum thickness and in- plane/out -of-plane magnetization. Phys. \nRev. B 87, 184421 (2013). \n[33] Xiao D., Chang M. C. & Niu Q. Berry phase effects on electronic properties. Rev. \nMod. Phys. 82, 1959 (2010). \n[34] Neubauer A. et al. Topological Hall effect in the A phase of MnSi. Phys. Rev. Lett. 102, 186602 (2009). \n[35] Kimata M. et al. Magnetic and magnetic inverse spin Hall effects in a \nnon-collinear antiferromagnet. Nature 565, 627-630 (2019). \n[36] Hou Z. et al. Observation of various and spontaneous magnetic skyrmionic \nbubbles at room temperature in a frustrated kagome magnet with uniaxial \nmagnetic anisotropy. Adv. Mater. 29, 1701144 (2017) . \n[37] Leonov A. O. & Mostovoy M. Multiply periodic s tates and isolated skyrmions in \nan anisotropic frustrated magnet. Nat. Commun. 6, 1-8 (2015) . \n[38] Nakatsuji S., Kiyohara N. & Higo T. Large anomalous Hall effect in a non-collinear antiferromagnet at room temperature. Nature 527, 212-215 (2015). \n[39] Quindeau A. et al. Tm\n3Fe5O12/Pt heterostructures with perpendicular magnetic \nanisotropy for spintronic applications. Adv. Electron. Mater. 3, 1600376 (2017). \n[40] Singh G. et al. Strain induced magnetic domain evolution and spin reorientation transition in epitaxial manganit e films. Appl. Phys. Lett. 101 , 022411 (2012). \n[41] Parker G. N. & Saslow W. M. Defect interactions and canting in ferromagnets. Phys. Rev. B 38, 11718 (1988). \n[42] Rosencwaig A. Localized canting model for substituted ferrimagnets. I. Singly \nsubstituted YIG systems. Can. J. Phys. 48, 2857- 2867(1970). \n[43] AULD B. A. Nonlinear magnetoelastic interactions. Proceedings of the IEEE, 53, \n1517- 1533 (1965). \n[44] Ching W. Y., Gu Z. & Xu Y N. Th eoretical calculation of the optical properties \nof Y\n3Fe5O12. J. Appl. Phys. 89, 6883- 6885 (2001). [45] Baena A., Brey L. & Calder ón M. J. Effect of strain on the orbital and magnetic \nordering of manganite thin films and their interface with an insulator. Phys. Rev. \nB 83, 064424 (2011). \n \nFigure Captions \n \nFig. 1 Structural and magnetic properties of YIG films. (a) AFM images of the \nYIG films grown on the two substrates (scale bar, 1 μ m). (b) XRD ω-2θ scans of the \ntwo different YIG films grown on the two substrates . (c) High -resolution XRD \nreciprocal space map of t he YIG film deposited on the SGGG substrate. (d) Field \ndependence of the normalized magnetization of the YIG films grown on the two \ndifferent substrates . \n \n \nFig. 2 Structural and magnetic properties of YIG films. Room temperature XPS \nspectra of (a) Fe 2p and (b) Y 3d for YIG films grown on the two substrates . (c) P NR \nsignals (with a 900 mT in -plane field) for the spin -polarized R++ and R-- channels. \nInset: The experimental and simulated SA as a function of scattering vector Q. (d) \nSLD profiles of the YIG/SGGG films. The nuclear SLD and magnetic SLD is directly \nproportional to the nuclear scattering potential and the magnetization , respectively. \n \n \n \n \nFig. 3 Dynamical properties of YIG films . (a) The geometric configuration of the \nangle dependent FMR measurement. (b) The angle dependence of the H res for the YIG \nfilms on GGG and SGGG substrates. (c) The frequency dependence of the H res for \nYIG films grown on GGG and S GGG substrates. (d) The ang le dependence of Δ Hpp \nfor the YIG films on GGG and SGGG substrates. (e) FMR spectrum of the \n40-nm-thick YIG film grown on SGGG substrate with 9.46 GHz at θ =64°. (f) The \nfrequency dependence of Δ Hpp for the 40 -nm-thick YIG films grown on GGG and \nSGGG substr ates. \n \nFig. 4 Spin transport properties of Pt/YIG (3nm) films . (a) The definition of the \nangle, the axes and the measurement configurations. ( b) and ( c) Longitudinal MR at \ndifferent temperatures in Pt/YIG/GGG and Pt/YIG/SGGG films respectively (The \napplied magnetic field is 3 T). (d) Total Hall resistivities vs H for Pt/YIG/SGGG films \nin the temperature range from 260 to 300 K. (e) (ρS-A+ρA-S-A) vs H for two films in the \ntemperature range from 260 to 300 K. (f) ρ A-S-A vs H for Pt/YIG/SGGG films at 300K. \nInset: ρS-A and ρS-A + ρ A-S-A vs H for Pt/YIG/SGGG films at 300K. (g) Temperature \ndependence of the 𝜌𝐴−𝑆−𝐴𝑀𝑎𝑥. \n \n \n \nFigure 5 S pin transport properties of Pt/YIG ( 40 nm) films . (a) and (b) The Hall \nresistances vs H for the Pt/YIG/SGGG films in the temperature range from 50 to 300 \nK in small and large magnetic field range, respectively. (c) The Hall resistances vs H \nat small magnetic field range after sweeping a large out -of-plane magnetic field +0.8 \nT (black line) and - 0.8 T (red line) to zero. (d) An illustration of the orientations of the \nmagnetizations Fe ( a) and Fe ( d) in YIG films with the normal in -plane magnetic \nanisotropy (IMA), the ideal strain induced PMA and the actual magnetic anisotropy \ngrown on SGGG in our work. \n" }, { "title": "1905.07884v2.Quantum_drives_produce_strong_entanglement_between_YIG_samples_without_using_intrinsic_nonlinearities.pdf", "content": "Quantum drives produce strong entanglement between YIG samples without using intrinsic\nnonlinearities\nJayakrishnan M. Prabhakarapada Nair1,\u0003and G. S. Agarwal1, 2,y\n1Institute for Quantum Science and Engineering, Texas A &M University, College Station, TX 77843, USA\n2Department of Biological and Agricultural Engineering,\nDepartment of Physics and Astronomy, Texas A &M University, College Station, TX 77843, USA\n(Dated: July 15, 2019)\nWe show how to generate an entangled pair of yttrium iron garnet (YIG) samples in a cavity-magnon system\nwithout using any nonlinearities which are typically very weak. This is against the conventional wisdom which\nnecessarily requires strong Kerr like nonlinearity. Our key idea, which leads to entanglement, is to drive the cav-\nity by a weak squeezed vacuum field generated by a flux-driven Josephson parametric amplifier (JPA). The two\nYIG samples interact via the cavity. For modest values of the squeezing of the pump, we obtain significant en-\ntanglement. This is the principal feature of our scheme. We discuss entanglement between macroscopic spheres\nusing several di \u000berent quantitative criteria. We show the optimal parameter regimes for obtaining entanglement\nwhich is robust against temperature. We also discuss squeezing of the collective magnon variables.\nYttrium iron garnet (YIG), an excellent ferrimagnetic sys-\ntem, has attracted considerable attention during the past few\nyears. The Kittel mode [1] in YIG possesses unique properties\nincluding rich magnonic nonlinearities [2] and a low damping\nrate [3] and in addition the high spin density in YIG allows\nstrong coupling between magnons and microwave cavity pho-\ntons giving rise to quasiparticles, namely the cavity-magnon\npolaritons [3–8]. Strong coupling between the YIG sphere\nand the cavity photons have been observed at both cryogenic\nand room temperatures [8]. Aided by these superior proper-\nties, YIG is reckoned to be the key ingredient in future quan-\ntum information networks [9]. Thus a variety of intriguing\nphenomena have been investigated in the context of magnons.\nThis include the observation of bistability [10], cavity spin-\ntronics [7, 11], level attraction for cavity magnon-polaritons\n[12], magnon dark modes [13], the exceptional point [14] etc.\nBy virtue of the strong coupling among magnons, a multi-\ntude of quantum information aspects have been investigated\nincluding the coupling of magnons to a superconducting qubit\n[15] and phonons [16]. Other interesting phenomena involve\nmagnon induced transparency [17], magnetically controllable\nslow light [18] etc.\nOwing to the diverse interactions of magnons with other in-\nformation carriers, YIG o \u000ber a novel platform in the analysis\nof macroscopic quantum phenomena. The coherent phonon-\nmagnon interactions due to the radiation pressure like mag-\nnetostrictive deformation [19] was studied. The nonlinear\ninteraction between magnons and phonons can give rise to\nmagnomechanical entanglement which further transfers to\nphoton-magnon and photon-phonon subsystems, generating\na tripartite entangled state [20]. Another recent work pro-\nposed a scheme to create squeezed states of both magnons\nand phonons in a hybrid magnon-photon-phonon system [21].\nThe squeezing generated in the cavity was transferred to the\nmagnons via the cavity-magnon beamsplitter interaction.\nThere is not much work on the coupling of two macroscopic\nYIG samples in a cavity. Recently the spin current genera-\ntion in a YIG sample due to excitation in another YIG sam-\nple has been investigated [11]. This arises from the cavitymediated coupling between the two samples. It is thus nat-\nural to consider the possibility of quantum entanglement be-\ntween two YIG samples as there has been significant interest\nin the study of quantum entanglement between macroscopic\nsystems. Recently there has been remarkable success in the\nobservation of quantum entanglement between macroscopic\nmechanical oscillators [22, 23] with photonic crystal cavities\nand with superconducting quibits. In addition entanglement\nbetween cavity field and mechanical motion has been reported\n[24]. The conventional wisdom of producing entanglement\ninvolves nonlinearities in the system. The well known nonlin-\nearities are the magnetostrictive interaction [16] and the Kerr\ne\u000bect [2]. The magnetostrictive force allows the magnons to\ncouple to the phonons and can be used to generate magnon-\nphonon entanglement [20]. The Kerr nonlinearity arises from\nthe magnetocrystalline anisotropy and has been used to pro-\nduce bistability in magnon-photon systems. In recent publica-\ntions, these nonlinearities have been used to produce entangle-\nment between two magnon modes in a magnon-cavity system\n[25–27].\nHere we present a scheme to generate an entangled pair of\nYIG spheres in a cavity-magnon system without using any\nnonlinearities. In addition, we also investigate the squeezed\nstates of the coupled system of two YIG spheres. Two YIG\nspheres are coupled to the cavity field and the cavity is driven\nby a squeezed vacuum field [30, 31], resulting in a squeezed\ncavity field. A flux-driven Josephson parametric amplifier\n(JPA) is used to generate the squeezed vacuum microwave\nfield. The squeezing in the cavity will be transferred to the\ntwo YIG samples due to the cavity-magnon beamsplitter in-\nteraction. Based on experimentally attainable parameters, we\nshow that significant bipartite entanglement can be generated\nbetween the YIG samples. The entanglement is robust against\ntemperature. Our results can be extended to other geometries\nof YIG. Further the method that we propose is quite generic\nand can be used for other macroscopic systems.\nWe consider the cavity-magnon system [16, 19, 20] which\nconsists of cavity microwave photons and magnons, as shown\nin figure 1. The magnons are quasiparticles, a collective ex-arXiv:1905.07884v2 [quant-ph] 11 Jul 20192\nSignal\nPump\nOutput\nYIG\nYIG\nYIG\nFIG. 1: Two YIG spheres are placed inside a microwave cav-\nity near the maximum magnetic field of the cavity mode, and si-\nmultaneously in a uniform bias magnetic field. The cavity is\ndriven by a week squeezed vacuum field generated by a flux-driven\nJPA. The magnetic field of the cavity mode is in the xdirec-\ntion and the bias magnetic field is applied along the zdirection.\ncitation of a large number of spins in a YIG sphere. They are\ncoupled to the cavity photons via the magnetic dipole interac-\ntion. The Hamiltonian of the system reads [32]\nH=~=!aaya+!m1my\n1m1+!m2my\n2m2\n+gm1a(a+ay)(m1+my\n1)+gm2a(a+ay)(m2+my\n2);(1)\nwhere a(ay) are the annihilation (creation) operator of cav-\nity mode, m1,m2(my\n1,my\n2) are the annihilation (creation)\noperators of the two magnon modes and they represent the\ncollective motion of spins via the Holstein-Primako \u000btrans-\nformation [33] in terms of Bosons. The parameters !a,!mi\n(i=1,2) are the resonance frequencies of the cavity and the\nmagnon modes. Hereafter, wherever we use a subscript ‘ i’ it\ncan take values from 1 to 2. The magnon frequency is given\nby the expression !mi=\rHi, where\r=2\u0019=28 GHz /T is\nthe gyromagnetic ratio and Hiare the external bias magnetic\nfields. The gmiain Eq.(1) are the linear photon-magnon cou-\npling strengths. The cavity is driven by a week squeezed vac-\nuum field generated by a flux driven JPA. JPAs can in prin-\nciple amplify a single signal quadrature without adding any\nextra noise. The squeezed vacuum is generated by degenerate\nparametric down-conversion using the nonlinear inductance\nof Josephson junctions [34–44] and a squeezing down to 10%\nof the vacuum variance has been produced [36]. The oper-\nation of generating squeezed vacuum is depicted in figure 1.\nVacuum fluctuations are at the signal port and the pump field\nis applied at frequency 2 !s. The pump photon splits into a\nsignal and an idler photon. Strong quantum correlations be-\ntween the signal and idler photons are generated which re-\nsult in squeezing. The output is at the frequency !s[37, 40].\nThe Hamiltonian described by Eq.(1) does not contain terms\ninvolving the input drive field. We use standard quantum\nLangevin formalism to model the system and the equations\ndescribing the evolution of the system operators will contain\nthe input drive terms. Applying the rotating-wave approxima-tiongmia(a+ay)(mi+my\ni) becomes gmia(amy+aym) [3–7, 16].\nIn the rotating frame at the frequency !sof the squeezed vac-\nuum field, the quantum Langevin equations (QLEs) describ-\ning the system can be written as follows\n˙a=\u0000(i\u0001a+ka)a\u0000igm1am1\u0000igm2am2+p\n2kaain;\n˙m1=\u0000(i\u0001m1+km1)m1\u0000igm1aa+p\n2km1min\n1; (2)\n˙m2=\u0000(i\u0001m2+km2)m2\u0000igm2aa+p\n2km2min\n2;\nwhere \u0001a=!a\u0000!s,\u0001mi=!mi\u0000!s,kais the dissipation rate of\nthe cavity, kmiare the dissipation rates of the magnon modes,\nandain,min\niare the input noise operators of the cavity and\nmagnon modes, respectively. The input noise operators are\ncharacterized by zero mean and the following correlation re-\nlations [45],hain(t)ainy(t0)i=(N+1)\u000e(t\u0000t0),hainy(t)ain(t0)i=\nN\u000e(t\u0000t0),hain(t)ain(t0)i=M\u000e(t\u0000t0),hainy(t)ainy(t0)i=\nM\u0003\u000e(t\u0000t0), whereN=sinh2r,M=ei\u0012sinhrcosh rwith r\nand\u0012being the squeezing parameter and the phase of the\ninput squeezed vacuum field, respectively. We have the\nother input correlations for the magnon as hmin\ni(t)miny\ni(t0)i=\n[Nmi(!mi)+1]\u000e(t\u0000t0),hminy\ni(t)min\ni(t0)i=Nmi(!mi)\u000e(t\u0000t0),\nwhere Nmi(!mi)=[exp(~!mi\nkBT)\u00001]\u00001are the equlibrium mean\nthermal magnon numbers of the two magnon modes.\nWe now show that the YIG spheres can be entangled by\nresonantly driving the cavity with a squeezed vacuum field.\nWe write down the field operators as their steady state values\nplus the fluctuations around the steady state. The fluctuations\nof the system can be described by the QLEs\n\u000e˙a=\u0000(i\u0001a+ka)\u000ea\u0000igm1a\u000em1\u0000igm2a\u000em2+p\n2kaain;\n\u000e˙m1=\u0000(i\u0001m1+km1)\u000em1\u0000igm1a\u000ea+p\n2km1min\n1; (3)\n\u000e˙m2=\u0000(i\u0001m2+km2)\u000em2\u0000igm2a\u000ea+p\n2km2min\n2:\nThe quadratures of the cavity field and the two magnon modes\nare given by \u000eX=(\u000ea+\u000eay)=p\n2,\u000eY=i(\u000eay\u0000\u000ea)=p\n2,\u000exi=\n(\u000emi+\u000emy\ni)=p\n2 and\u000eyi=i(\u000emy\ni\u0000\u000emi)p\n2, and similarly for\nthe input noise operators. The QLEs describing the quadrature\nfluctuations ( \u000eX;\u000eY;\u000ex1;\u000ey1;\u000ex2;\u000ey2) can be written as\n˙u(t)=Au(t)+n(t); (4)\nwhere u(t)=[\u000eX(t);\u000eY(t);\u000ex1(t);\u000ey1(t);\u000ex2(t);\u000ey2(t)]T,\nn(t)=[p2kaXin;p2kaYin;p\n2km1xin\n1;p\n2km1yin\n1;p\n2km2xin\n2;p\n2km2yin\n2]Tand\nA=2666666666666666666666664\u0000ka\u0001a 0 gm1a 0 gm2a\n\u0000\u0001a\u0000ka\u0000gm1a0\u0000gm2a0\n0 gm1a\u0000km1\u0001m1 0 0\n\u0000gm1a0\u0000\u0001m1\u0000km10 0\n0 gm2a 0 0\u0000km2\u0001m2\n\u0000gm2a0 0 0 \u0000\u0001m2\u0000km23777777777777777777777775: (5)\nThe system is a continuous variable (CV) three- mode Gaus-\nsian state and it can be completely described by a 6 \u00026\ncovariance matrix (CM) Vdefined as V(t)=1\n2hui(t)uj(t0)+3\n(a)\n (b)\nFIG. 2: Density plot of bipartite entanglement Em1m2between\nthe two magnon modes versus \u0001aand\u0001m1(a) with \u0001m2= \u0001 m1,\nr=1,\u0012=0,T=20 mK, (b) with \u0001m2= \u0001 m1,r=2,\n\u0012=0,T=20 mK. Other parameters are given in the text.\n00.050.10.150.20.250.3\nTemperature (K)0.40.50.60.70.80.9Em1m2\nFIG. 3: Plot of bipartite entanglement Em1m2be-\ntween the two magnon modes against temperature\nwith \u0001a= \u0001 m1= \u0001 m2=0,r=2 and\u0012=0:\nuj(t0)ui(t)i, (i,j=1, 2....6). The steady state CM Vcan be\nobtained by solving the Lyapunov equation [46, 47]\nAV+VAT=\u0000D; (6)\nwhere Dis the di \u000busion matrix defined as hni(t)nj(t0)+\nnj(t0)ni(t)i=2=Di j\u000e(t\u0000t0). We use logarithmic negativ-\nity [48] as the quantitative measure to investigate the bi-\npartite entanglement Em1m2between the two magnon modes.\nIt can be obtained from Em1m2=max[0;\u0000ln(2\u0017\u0000)] where\n\u0017\u0000=min[eig(i\nP12VP 12)],\n = i\u001byL\ni\u001by,P12=1L\n\u001bz\nand\u001by,\u001bzare the Pauli matrices [49]. Figure 2(a)-(b) shows\nthe bipartite entanglement between the two magnon modes\nat two di \u000berent squeezing parameters. We use a set of ex-\nperimentally feasible parameters [16]: !a=2\u0019=10 GHz,\nka=2\u0019=5kmi=2\u0019=5 MHz, gm1a=gm2a=4kaandT=20\nmK, Nm1=Nm2\u00190 at 20 mK. The YIG sphere has a diam-\neter 250-\u0016mand the number of spins N\u00193:5\u00021016. We\nhave adopted the parameters so that the two magnon modes\nare identical. We observe that \u0001a= \u0001 m1= \u0001 m2=0, in other\nwords!a=!s,!mi=!sare optimal for the entanglement\nbetween the two YIG samples. At resonance we observe the\nmaximum amount of entanglement and it increases with the\nincrease in the squeezing parameter. Figure 3 shows that the\n(a)\n (b)\nFIG. 4: (a)h\u000eM2\nxi+h\u000em2\nyiagainst \u0001aand\u0001m1with \u0001m2= \u0001 m1,\nr=2,\u0012=0,T=20 mK. (b)h\u000eM2\nxiagainst \u0001aand squeez-\ning parameter rwith \u0001m1= \u0001 m2=0,\u0012=0, T=20 mK.\nbipartite entanglement is quite robust against temperature. We\nobserve significant amount of entanglement even at T=0.5 K\nwhich is quite remarkable for the system of two YIG spheres.\nWe have chosen identical coupling between photon and the\ntwo magnon modes. In the case of unequal coupling the en-\ntanglement goes down. Although we have chosen two identi-\ncal YIG spheres, one can have two cuboidal YIG samples as in\n[11] with an angle \u0012between the external magnetic field and\nthe local microwave magnetic field at one YIG sample. This\nmakes the resonance frequencies of the two samples di \u000berent.\nTo compare our results with the protocols using nonlinear\nmethods, a recent work [26] produced an entanglement close\nto 0.25 between the magnon modes at a temperature 10 mK\nthrough a Kerr nonlinearity introduced by a strong classical\ndrive. The use of a di \u000berent kind of nonlinearity, namely the\nmagnetostrictive interaction in one YIG sphere produces sim-\nilar entanglement [25] at a temperature 10 mK. The entan-\nglement vanishes as the temperature approaches 20 mK. In\ncontrast our scheme for entanglement generation produces a\nsteady and strong entanglement between 0 to 100 mK and a\nsignificant amount of entanglement is present even at 500 mK.\nThe mechanism of the entanglement generation will become\nclear from the discussion below.\nNext we discuss two di \u000berent criteria for entanglement in\na two mode CV system. The advantage of these criteria over\nlogarithmic negativity is that the former can be easily exam-\nined through experiments [22, 23], though in a qualitative\nway. The first inseparability condition proposed by Simon\n[49] and Duan et al. [50] is the su \u000ecient condition for entan-\nglement in a two mode CV system. We define a new set of\noperators M=(m1+m2)=p\n2,m=(m1\u0000m2)=p\n2. The cri-\nterion suggests that if the two modes are separable then they\nshould satisfy the following inequality\nh\u000eM2\nxi+h\u000em2\nyi\u00151; (7)\nwhere\u000eMxand\u000emyare the fluctuations in the quadratures Mx\nandmydefined as Mx=(M+My)=p\n2,my=i(my\u0000m)=p\n2.\nIn other words, violation of the inequality in Eq.(7) means the\nexistance of entanglement between the two YIG samples. Fig-\nure 4(a) shows that there is region around \u0001a=0 and \u0001m1=0\n(resonance) in which h\u000eM2\nxi+h\u000em2\nyiis less than one and it is4\na clear manifestation of the entanglement present between the\nYIG samples. Mancini et al. [51] derived another inequality\nwhich is useful in characterizing separable states. It suggests\nthat if the two mode CV system is separable, then it should\nsatisfy the following inequality\nh\u000eM2\nxih\u000em2\nyi\u00151=4: (8)\nHence the violation of Eq.(8) implies that the YIG samples\nare entangled. We use identical coupling strengths between\nthe cavity and the two YIG samples. Therefore when \u0001m1=\n\u0001m2=0 the Hamiltonian of the system in the rotating frame\nof the drive can be written as\nH=~= \u0001 aaya+p\n2gm1a(a+ay)(M+My): (9)\nThe Hamiltonian does not contain a term involving mandmy.\nHence the fluctuations in mwill be equal to the fluctuations\nat time t=0. Since matt=0 is in the vacuum state (at\nlow temperature 20 mK), we have h\u000em2\nyi=1=2. Figure 4(b)\nshows that there is a region close to resonance where the quan-\ntityh\u000eM2\nxiis less than 1 =2. This violates the inequality in\nEq.(8) and hence the two YIG samples are entangled. This\nfurther corroborates our results. As a byproduct of our results\nwe investigate the squeezing of the two magnon modes and\nshow that it can be acheived by resonantly driving the cavity\nwith a squeezed vacuum field. We are interested in the vari-\nances of the cavity and magnon mode quadratures and they\nare given by diagonal elements of the time-dependent CM\nV(t) as defined previously. The amount of squeezing in a\nmode quadrature Xcan be expressed in decibels (dB). It is\nobtained from the expression \u000010log10[h\u000eX(t)2i=h\u000eX(t)2ivac],\nwhereh\u000eX(t)2ivac=1\n2. As discussed in [21] when the cav-\nity and the two magnon modes are decoupled, the cavity field\nis squeezed as a result of the squeezed driving field and the\nmagnon modes possesses vacuum fluctuations. As we in-\ncrease the coupling strength, squeezing is partially trans \u000bered\nto the two identical YIG samples. The blue region in figure\n5(a)-(b) represents the region of squeezing. For r=2 the\ninput squeezing is about 17.35 dB. We observed a squeezing\nof about 2.27 dB for each of the two magnon modes at res-\nonance with T=20 mK. Note that figure 5 give the magnon\nquadrature when both the YIG samples are present. Figure\n6(a) shows that the magnon squeezing is robust against tem-\nperature. We observe moderate squeezing for both spheres\neven at T=0.35 K. At resonance we also find a squeezing of\nabout 7.28 dB for the Mxquadrature of the collective variable\nM. This is comparable to the results when one had only one\nYIG sample present and clearly manifested in figures 6(b)-(c).\nIn conclusion, We have presented a scheme to generate an\nentangled pair of YIG samples in a cavity-magnon system.\nEntanglement of magnon modes can be generated through res-\nonantly driving the cavity by a squeezed vacuum field and it\ncan be realized using experimentally attainable parameters.\nThe entanglement produced is robust against temperature.\nWe observe considerable amount of entanglement even at\nT=0:5K. We have also discussed possible strategies to mea-\nsure the generated entanglement. We have also showed that\n(a)\n (b)\nFIG. 5: (a) Variance of the first magnon quadrature h\u000ex1(t)2iver-\nsus\u0001aand\u0001m1. (b) Variance of the first magnon quadrature against\nsqueezing parameter rand phase\u0012. The other parameters in (a) are\nr=2,\u0012=0,\u0001m1= \u0001 m2,T=20 mK. Other parameters in (b) are \u0001a=\n\u0001m1= \u0001 m2=0 and T=20 mK.h\u000ex2(t)2iis identical toh\u000ex1(t)2i.\n(a)\n (b)\n(c)\nFIG. 6: (a) Variance of the first magnon quadrature h\u000ex1(t)2i\nagainst squeezing parameter rand temperature Twhen both YIG\nsamples are present. (b) Variance of the first magnon quadrature\nh\u000ex1(t)2iagainst squeezing parameter rand temperature Twith\nonly one YIG sample is present. (c) Variance h\u000eM2\nxiof the col-\nlective variable Magainst squeezing parameter rand temperature\nT. The other parameters are \u0001a= \u0001 m1= \u0001 m2=0,\u0012=0.\nby employing the same method squeezed states of magnons\nin two di \u000berent modes can be achieved. For an input squeez-\ning of 17.35 dB we have observed a squeezing of about 2.27\ndB for the magnon modes at T=20 mK.\nOur scheme for entangling YIG samples does not require\nany nonlinearities and hence goes against the conventional\nwisdom of producing entanglement. This provides an entirely\nnew method for entangling macroscopic systems, which can\nbe used in other macroscopic systems.\nACKNOWLEDGMENTS\nJayakrishnan would like to thank Jie Li for helpful discus-\nsions, carefully reading the article and providing constructive\nfeedback.5\n\u0003jayakrishnan00213@tamu.edu\nygirish.agarwal@tamu.edu\n[1] C. Kittel, On the theory of ferromagnetic resonance absorption ,\nPhys. Rev. 73, 155 (1948).\n[2] Y .-P. Wang, G.-Q. Zhang, D. Zhang, X.-Q. Luo, W. Xiong, S.-P.\nWang, T.-F. Li, C.-M. Hu, and J. Q. You, Magnon Kerr e \u000bect\nin a strongly coupled cavity-magnon system , Phys. Rev. B 94,\n224410 (2016).\n[3] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Strongly cou-\npled magnons and cavity microwave photons , Phys. Rev. Lett.\n113, 156401 (2014).\n[4] Y . Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami,\nand Y . Nakamura, Hybridizing ferromagnetic magnons and mi-\ncrowave photons in the quantum Limit , Phys. Rev. Lett. 113,\n083603 (2014).\n[5] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifenstein,\nA. Marx, R. Gross, and S. T. B. Goennenwein, High coopera-\ntivity in coupled microwave resonator ferrimagnetic insulator\nhybrids , Phys. Rev. Lett. 111, 127003 (2013).\n[6] M. Goryachev, Warrick G. Farr, Daniel L. Creedon, Yaohui Fan,\nMikhail Kostylev, and Michael E. Tobar, High-cooperativity\ncavity QED with magnons at microwave frequencies , Phys. Rev.\nAppl. 2, 054002 (2014).\n[7] L. Bai, M. Harder, Y . P. Chen, X. Fan, J. Q. Xiao, and C.-M. Hu,\nSpin pumping in electrodynamically coupled magnon-photon\nsystems , Phys. Rev. Lett. 114, 227201 (2015).\n[8] D. Zhang, X.-M. Wang, T.-F. Li, X.-Q. Luo, W. Wu, F. Nori,\nand J. Q. You, Cavity quantum electrodynamics with ferromag-\nnetic magnons in a small yttrium-iron-garnet sphere , npj Quan-\ntum Information 1, 15014 (2015).\n[9] D. L.-Quirion, Y . Tabuchi, A. Gloppe, K. Usami, and Y . Naka-\nmura, Hybrid quantum systems based on magnonics , Applied\nPhysics Express 12, 070101 (2019).\n[10] Y .-P Wang, G.-Q Zhang, D. Zhang, T.-F. Li, C.-M. Hu, and\nJ. Q. You, Bistability of cavity magnon polaritons , Phys. Rev.\nLett.120, 057202 (2018).\n[11] L. Bai, M. Harder, P. Hyde, Z. Zhang, C.-M. Hu, Y . P. Chen,\nand J. Q. Xiao, Cavity mediated manipulation of distant spin\ncurrents using a cavity-magnon-polariton , Phys. Rev. Lett. 118,\n217201 (2017).\n[12] M. Harder, Y . Yang, B. M. Yao, C. H. Yu, J. W. Rao, Y . S. Gui,\nR. L. Stamps, and C.-M. Hu, Level attraction due to dissipative\nmagnon-photon coupling , Phys. Rev. Lett. 121, 137203 (2018).\n[13] X. Zhang, C.-L Zou, N. Zhu, F. Marquardt, L. Jiang, and H.\nX. Tang, Magnon dark modes and gradient memory , Nat. Com-\nmun. 6, 8914 (2015).\n[14] D. Zhang, X.-Q. Luo, Y .-P. Wang, T.-F Li, and J. Q. You, Ob-\nservation of the exceptional point in cavity magnon-polaritons ,\nNat. Commun. 8, 1368 (2017).\n[15] Y . Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki,\nK. Usami, and Y . Nakamura, Coherent coupling between a fer-\nromagnetic magnon and a superconducting qubit , Science. 349,\n405 (2015).\n[16] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Cavity mag-\nnomechanics , Sci. Adv. 2, e1501286 (2016).\n[17] B. Wang, Z.-X. Liu, C. Kong, H. Xiong, and Y . Wu, Magnon-\ninduced transparency and amplification in PT-symmetric\ncavity-magnon system , Opt. Express 26, 20248 (2018).\n[18] C. Kong, B. Wang, Z.-X. Liu, H. Xiong, and Y . Wu, Magneti-\ncally controllable slow light based on magnetostrictive forces ,\nOpt. Express. 27, 5544 (2019).[19] C. Kittel, Interaction of spin waves and ultrasonic waves in fer-\nromagnetic crystals , Phys. Rev. 110, 836 (1958).\n[20] J. Li, S.-Y . Zhu, and G. S. Agarwal, Magnon-photon-phonon\nentanglement in cavity magnomechanics , Phys. Rev. Lett. 121,\n203601 (2018).\n[21] J. Li, S.-Y . Zhu, and G. S. Agarwal, Squeezed states of magnons\nand phonons in cavity magnomechanics , Phys. Rev. A 99,\n021801(R) (2019).\n[22] R. Reidinger, A. Wallucks, I. Marinkovi ´c, C. L ¨oschnauer, M.\nAspelmeyer, S. Hong, and S. Gr ¨oblacher, Remote quantum en-\ntanglement between two micromechanical oscillators , Nature\n(London) 556, 473 (2018).\n[23] C. F. Ockeloen-Korppi, E. Damsk ¨agg, J.-M. Pirkkalainen, M.\nAsjad, A. A. Clerk, F. Massel, M. J Wooley, and M. A. Sil-\nlanp¨a¨a,Stabilized entanglement of massive mechanical oscilla-\ntors, Nature (London) 556, 478 (2018).\n[24] T. A. Palomaki, J. D. Teufel, R. W. Simmonds, and K. W. Lehn-\nert,Entangling mechanical motion with microwave fields , Sci-\nence342, 710 (2013).\n[25] J. Li and S.-Y . Zhu, Entangling two magnon modes via magne-\ntostrictive interaction , arXiv:1903.00221v1 [quant-ph].\n[26] Z. Zhang, M. O. Scully, G. S. Agarwal, Quantum entan-\nglement between two magnon modes via Kerr nonlinearity ,\narXiv:1904.04167v1 [quant-ph].\n[27] However these nonlinearities are weak and require strong\ndrives, thus the realization of entanglement is di \u000ecult. There\nhave been exceptions especially in the context of superconduct-\ning qubits where strong Kerr nonlinearities have been used to\nproduce a variety of cat states [28]. Theoretical description for\nKerr nonlinearity produced CAT states is given in [29].\n[28] G. Kirchmair, B. Vlastakis, Z. Leghtas, S. E. Nigg, H. Paik,\nE. Ginossar, M. Mirrahimi, L. Frunzio, S. M. Girvin, and R.\nJ. Schoelkopf, Observation of quantum state collapse and re-\nvival due to the single-photon Kerr e \u000bect, Nature 495, 205-209\n(2013)\n[29] K. Tara, G. S. Agarwal, and S. Chaturvedi, Production of\nSchrdinger macroscopic quantum-superposition states in a\nKerr medium , Phys. Rev. A. 47, 5024 (1993)\n[30] K. J ¨ahne, C. Genes, K. Hammerer, M, Wallquist, E. S. Polzik,\nand P Zoller, Cavity-assisted squeezing of a mechanical oscil-\nlator , Phys. Rev. A. 79, 063819 (2009).\n[31] S. Huang and G. S. Agarwal, Entangling nanomechanical os-\ncillators in a ring cavity by feeding squeezed light , New J. Phys.\n11, 103044 (2009).\n[32] We consider the size of the YIG sphere much smaller than the\nmicrowave wavelength and hence the e \u000bect of radiation pres-\nsure is negligible. The magnon-phonon coupling has been mea-\nsured [16] to be 8 or 9 orders smaller than the magnon-cavity\ncoupling and hence we can neglect the e \u000bect of magnetostric-\ntive forces.\n[33] T. Holstein and H. Primako \u000b,Field dependence of the intrinsic\ndomain magnetization of a ferromagnet , Phys. Rev. 581098\n(1940).\n[34] B. Yurke, Squeezed-state generation using a Josephson para-\nmetric amplifier , J. Opt. Soc. Am. B 4, 1551 (1987); B. Yurke,\nP. G. Kaminsky, R. E. Miller, E. A. Whittaker, A. D. Smith, A.\nH. Silver, and R. W. Simon, Observation of 4.2-K equilibrium-\nnoise squeezing via a Josephson-parametric amplifier , Phys.\nRev. Lett. 60, 764 (1988); B. Yurke, L. R. Corruccini, P. G.\nKaminsky, L.W. Rupp, A. D. Smith, A. H. Silver, R. W. Simon,\nand E. A Whittaker, Observation of parametric amplification\nand deamplification in a Josephson parametric amplifier , Phys.\nRev. A. 39, 2519 (1989).6\n[35] R. Movshovich, B. Yurke, P. G. Kaminsky, A. D. Smith, A.\nH. Silver, R. W. Simon, and M. V . Schneider, Observation of\nzero-point noise squeezing via a Josephson-parametric ampli-\nfier, Phys. Rev. Lett. 65, 1419 (1990).\n[36] M. A Castellanos- Beltran, K. D. Irwin, G. C. Hilton, L. R. Vale,\nand K. W. Lehnert, Amplification and squeezing of quantum\nnoise with a tunable Josephson metamaterial , Nat. Phys. 4, 929\n(2008).\n[37] T. Yamamoto, K. Inomata, M. Watanabe, K. Matsuba, T.\nMiyazaki, W. D. Oliver, Y . Nakamura, and J. S. Tsai, Flux-\ndriven Josephson parametric amplifier , Appl. Phys. Lett. 93,\n042510 (2008).\n[38] F. Mallet, M. A. Castellanos-Beltran, H. S. Ku, S. Glancy, E.\nKnill, K. Irwin, G. C. Hilton, L. R. Vale, and K. W. Lehn-\nert,Quantum State Tomography of an Itinerant Squeezed Mi-\ncrowave Field , Phys. Rev. Lett. 106, 220502 (2011).\n[39] E. P. Menzel, R. Di Candia, F. Deppe, P. Eder, L. Zhong, M.\nIhmig, M. Haeberlein, A. Baust, E. Ho \u000bmann, D. Ballester, K.\nInomata, T. Yamamoto, Y . Nakamura, E. Solano, A. Marx, and\nR. Gross, Path entanglement of continuous-variable quantum\nmicrowaves , Phys. Rev. Lett. 109, 250502 (2012).\n[40] L. Zhong, E. P. Menzel, R. Di Candia, P. Eder, M. Ihmig, A.\nBaust, M. Haeberlein, E. Ho \u000bman, K. Inomata, T. Yamamoto,\nE. Solano, F. Deppe, A. Marx, and R. Gross, Squeezing with a\nflux-driven Josephson parametric amplifier , New. J. Phys. 15,\n125013 (2013).\n[41] K. G. Fedorov et al .,Displacement of propagating squeezed\nmicrowave states , Phys. Rev. Lett. 117, 020502 (2016).\n[42] S. Kono et al.,Nonclassical photon number distribution in a\nsuperconducting cavity under a squeezed drive , Phys. Rev. Lett.119, 023602 (2017).\n[43] A. Bienfait et al .,Magnetic resonance with squeezed mi-\ncrowaves , Phys. Rev. X. 7, 041011 (2017).\n[44] M. Malnou, D. A. Palken, Leila R. Vale, Gene C. Hilton, and\nK. W. Lehnert, Optimal operation of a Josephson parametric\namplifier for vacuum squeezing , Phys. Rev. Applied 9044023\n(2018).\n[45] C. W. Gardiner, Inhibition of atomic phase decays by squeezed\nlight: a direct e \u000bect of squeezing , Phys. Rev. Lett. 56, 1917\n(1986).\n[46] D. Vitali, S. Gigan, A. Ferreira, H. R. B ¨ohm, P. Tombesi, A.\nGuerreiro, V . Vedral, A. Zeilinger, and M. Aspelmeyer, Op-\ntomechanical entanglement between a movable mirror and a\ncavity field , Phys. Rev. Lett. 98, 030405, (2007).\n[47] P. C. Parks and V . Hahn, Stability theory (Prentice Hall,\nNewyork, 1993).\n[48] J. Eisert, Ph.D. thesis, University of Potsdam, Potsdam, 2001;\nG. Vidal and R. F. Werner, Computable measure of entangle-\nment , Phys. Rev. A 65, 032314 (2002); M. B. Plenio, Logarith-\nmic negativity: a full entanglement monotone that is not convex ,\nPhys. Rev. Lett. 95, 090503 (2005).\n[49] R. Simon, Peres-Horodecki separability criterion for continu-\nous variable systems , Phys. Rev. Lett. 84, 2726 (2000).\n[50] L.-M Duan, G. Giedke, J. I. Cirac, and P. Zoller, Inseparability\ncriterion for continuous variable systems , Phys. Rev. Lett. 84,\n2722 (2000).\n[51] S. Mancini, V . Giovannetti, D. Vitali and P. Tombesi, En-\ntangling macroscopic oscillators exploiting radiation pressure ,\nPhys. Rev. Lett. 88, 120401 (2002)." }, { "title": "2401.10772v2.Nonreciprocal_Pancharatnam_Berry_Metasurface_for_Unidirectional_Wavefront_Manipulation.pdf", "content": "Nonreciprocal Pancharatnam-Berry Metasurface for Unidirectional \nWavefront Manipulation \n \nHao Pan1, Mu Ku Chen2, Din Ping Tsai2, and Shubo Wang1,3 * \n \n1Department of Physics, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong \nKong, China. \n2Department of Electrical Engineering, City University of Hong Kong, Tat Chee Avenue, \nKowloon, Hong Kong, China. \n3City University of Hong Kong Shenzhen Research Institute, Shenzhen, Guangdong 518057, \nChina. \n \n*Corresponding author: shubwang@cityu.edu.hk \n \nABSTRACT \nOptical metasurfaces have been widely used for manipulating electromagnetic waves due to \ntheir low intrinsic loss and easy fabrication. The metasurfaces employing the Pancharatnam-\nBerry (PB) geometric phase, called PB metasurfaces, have been extensively applied to realize \nspin-dependent functionalities, such as beam steering, focusing, holography, etc. The demand \nfor PB metasurfaces in complex environments has brought about one challenging problem, i.e., \nthe interference of multiple wave channels that limits the performance of PB metasurfaces. A \npromising solution is developing nonreciprocal PB metasurfaces that can isolate undesired \nwave channels and exhibit unidirectional functionalities. Here, we propose a mechanism to \nrealize nonreciprocal PB metasurfaces of subwavelength thickness by using the magneto-\noptical effect of YIG material in synergy with the PB geometric phase of spatially rotating \nmeta-atoms. Using full-wave numerical simulations, we show that the metasurface composed \nof dielectric cylinders and a thin YIG layer can achieve nearly 92% and 81% isolation of \ncircularly polarized lights at 5.5 GHz and 6.5 GHz, respectively, attributed to the enhancement \nof the magneto-optical effect by the resonant Mie modes and Fabry-Pérot cavity mode. In \naddition, the metasurface can enable efficient unidirectional wavefront manipulations of \ncircularly polarized lights, including nonreciprocal beam steering and nonreciprocal beam \nfocusing. The proposed metasurface can find highly useful applications in optical \ncommunications, optical sensing, and quantum information processing. \n \n \n Ⅰ. INTRODUCTION \nThe recent decade has witnessed significant progress in the design and fabrication of artificial \noptical structures working at microwave [1,2], terahertz [3,4], infrared [5,6], and visible optical \nbands [7,8], which can exhibit intriguing electromagnetic (EM) properties not existing in nature \n[9,10]. One important type is an ultrathin layer of structures known as metasurfaces. \nMetasurfaces can induce strong light-matter interaction in the nanoscale, and they benefit from \nsmall intrinsic loss and easy fabrication compared to conventional bulky metamaterials. By \ncarefully designing the subwavelength elements (i.e., meta-atom) in each unit cell, \nmetasurfaces can give rise to various fascinating wavefront-manipulation functionalities, such \nas perfect absorption [11-13], structural colors [14,15], anomalous reflection or refraction [16-\n18], surface wave excitation [19,20], metalens [21-23], metaholograms [24-26], and many \nothers [27,28]. Different from conventional diffractive optical devices, metasurfaces not only \ncan employ the resonance phase and propagation phase (also called dynamic phase) but also \ncan utilize the PB geometric phase derived from the spatial rotation of meta-atoms [29-33], \nleading to the so-called PB metasurfaces. Therefore, the PB metasurfaces can acquire an extra \ndegree of freedom to control the wavefront of circularly polarized (CP) light besides the \nresonance and dynamic phases, giving rise to many intriguing phenomena such as photonic \nspin Hall effect [34,35], vortex beam generation [36,37], etc. Meanwhile, the PB metasurfaces \ncan serve as a powerful platform for developing CP-light-associated applications, e.g., the CP \nwave control of the motions of biomolecules exhibiting chiral structures [38,39]. Consequently, \nthe PB metasurfaces have great application potential in the next-generation photonic devices \nwith multifunctionalities. \nDespite the PB metasurfaces’ unprecedented performance in wavefront manipulation, their \nfunctionalities are intrinsically restricted by the Lorentz reciprocity [40]. Introducing additional \nmechanisms to break the reciprocity of PB metasurfaces can generate new functionalities that \nare essential to many applications, such as invisible sensing, full-duplex communication, and \nnoise-tolerant quantum computation, etc., where nonreciprocity can prevent the backscattering \nfrom defects or boundaries [41-43]. In addition, nonreciprocity allows metasurfaces to exhibit \ndifferent properties for the opposite propagating waves, thus giving rise to Janus-type \nfunctionalities. One effective way to achieve nonreciprocity is by using gyroelectric or \ngyromagnetic materials sensitive to magnetic-field biasing, which exhibit asymmetric \npermittivity or permeability tensor accounting for the Faraday-magneto-optical (FMO) effect \n[44]. Qin et al. proposed a set of self-biased nonreciprocal magnetic metasurfaces to achieve \nbidirectional wavefront modulation based on the different hybrid resonant-dynamic phase profiles for bidirectional CP waves [45]. However, the local resonant phase can be easily \naffected by the coupling from neighboring meta-atoms, resulting in an undesired phase profile \nthat limits the performance of the metasurface. In contrast, the PB geometric phase only \ndetermined by the rotation angle of meta-atoms is a better mechanism for realizing the stable \nnonreciprocal wavefront manipulation. Zhao et al. presented an interesting metadevice \ncombining a PB metasurface and an anisotropic metasurface, which can simultaneously realize \nphase modulation and nonreciprocal isolation [46]. The metadevice involves a complex \nmultilayer structure with a large thickness that inevitably affects its diffraction efficiency. \nTherefore, simple and thin PB metasurfaces capable of achieving high-efficiency nonreciprocal \nwavefront manipulation are highly desirable. \nIn this article, we report a nonreciprocal PB metasurface composed of elliptical dielectric \ncylinders and a thin YIG layer to simultaneously realize PB-phase-based wavefront \nmanipulation and microwave isolation. The thin YIG layer under a magnetic field bias can give \nrise to strong spin-selective isolation due to the FMO effect and Fabry-Pérot (FP) resonance. \nMeanwhile, the resonant coupling between the Mie modes in the dielectric cylinder and the FP \nmode in the YIG layer can effectively tune the nonreciprocal band by enhancing the FMO \neffect, thus achieving a high isolation ratio of nearly 92% and 81% at 5.5 GHz and 6.5 GHz, \nrespectively. The PB phase of each meta-atom can be individually controlled via the \ncorresponding optical-axis rotation and is unaffected by the FMO effect. Following a digital \ncoding metasurface design methodology, the proposed nonreciprocal PB metasurfaces can \noffer multiple functionalities with high isolation, which are demonstrated by the tailored \nmetadeflector with nonreciprocal beam steering and the metalens with nonreciprocal focusing. \nOur proposed all-dielectric nonreciprocal PB metasurface can find applications in multiple \nfields, e.g., EM wave isolation, nonreciprocal antennas, optical sensing, quantum information \nprocessing, etc. \n \nⅡ. RESULTS AND DISCUSSIONS \nA. Unidirectional spin-selective nonreciprocal metasurface \nThe metasurface consists of subwavelength meta-atoms arranged in a square lattice with period \nl, as depicted in Fig. 1(a). Each meta-atom comprises a dielectric cylinder sitting on a YIG \nsubstrate of thickness t. Under the external biased magnetic field along the + z-direction, the \nYIG is characterized by a permeability tensor with asymmetric off-diagonal elements [44] 00\n0\n0 0 1r r\nr ri\ni \n \n \n , (1) \nwhere0\n2 2\n01m\nr\nm ,2 2\n0m\nr , 0m sM , 0 0 0H i , γ = 1.579×1011 C/kg is \nthe gyromagnetic ratio, 4π Ms = 1780 G is the saturation magnetization, B0 = μ0H0 = 0.05 T is \nthe external magnetic field, α = 0.002 is the damping factor, and μ0 is the vacuum permeability. \nThe relative permittivity of YIG is εr1 = 15. The dielectric cylinder has relative permittivity εr2 \n= 24 and relative permeability μr2 = 1. Its major and minor axis are a and b, respectively, and \nits height is h. The orientation of the cylinder is denoted by the angle θ. In this configuration, \nthe metasurface exhibits different refractive indices for the incident CP waves with the \nwavevector parallel and antiparallel to the biased magnetic field, attributed to the FMO effect. \nThus, the metasurface can give rise to spin- and direction-dependent manipulation of EM \nwaves. \nTo illustrate the physical mechanism, we first analyze the spin-selective transmission of \nnormally incident CP waves in the thin infinite YIG layer with the + z magnetic field bias. The \nreflection and transmission coefficients for the left-hand circularly polarized (LCP) and right-\nhand circularly polarized (RCP) EM waves with wavevectors antiparallel to the biased \nmagnetic field can be derived straightforwardly (See Appendix A for the derivations), and their \nexpressions are \n\n 2 2\n2 221 1\n1 1f\nL\nf\nLi k t f\nLf\nRLi k t f f\nL LY e\nr\nY e Y \n\n , \n 0( )\n2 224\n1 1f\nL\nf\nLi k k tf\nf L\nLLi k t f f\nL LY et\nY e Y\n\n , \n\n 2 2\n2 221 1\n1 1f\nR\nf\nRi k t f\nRf\nLRi k t f f\nR RY e\nr\nY e Y \n\n , \n 0( )\n2 224\n1 1f\nR\nf\nRi k k tf\nf R\nRRi k t f f\nR RY et\nY e Y\n\n , (2) \nwhere 1f\nL r r rY and 1f\nR r r rY are the relative wave admittances for the LCP \nand RCP waves forward propagating in the YIG layer, 1 0( )f\nL r r rk k and \n1 0( )f\nR r r rk k are the corresponding LCP and RCP wavevectors in the YIG layer, and k0 \nis the wavevector in free space. Here, the superscript “+” denotes the + z-biased magnetic field, \n“f” denotes forward incidence (i.e., - z-direction), and the subscript “ RL” (“LR”) denotes the CP \nconversion from LCP to RCP (RCP to LCP). It can be noted from Eq. (2) that the off-diagonal \nelement κr in the permeability tensor results in the different impedances and wavevectors for \nthe LCP and RCP waves, which leads to the differences in the co-polarized transmission \n(2| |f\nLLtand 2| |f\nRRt) and cross-polarized reflection (2| |f\nRLrand2| |f\nLRr). Figure 1(b) shows the transmission spectra given by Eq. (2) (denoted by the blue symbol lines). For the considered \nthin YIG layer, the FP cavity resonance can enhance the FMO effect and increase the \ntransmission difference (i.e., 2 2| | | |f f\nRR LLt t ) for the LCP and RCP incident waves. This \ntransmission difference can reach a maximum of nearly 94% around 6.8 GHz. To verify the \nanalytical results, we conducted full-wave finite-element simulations by using COMSOL and \ncomputed the transmission spectra. The numerical results are denoted by the red symbol lines \nin Fig. 1(b), which is consistent with the analytical results. In addition, as the forward (− z-\ndirection) normally incident LCP wave is equivalent to the backward (+ z-direction) normally \nincident RCP wave for the infinite YIG layer with the + z-biased magnetic field, Fig. 1(b) also \nindicates that the thin YIG layer can exhibit an evident nonreciprocal-transmission feature, i.e., \nthe large transmission contrast between the forward and backward CP waves (See Appendix A \nfor details). \nFigure 1(c) shows the transmission spectra of the PB metasurface under the incidence of \nthe LCP and RCP plane waves. We set θ=0° for the rotation angle of all the dielectric cylinders. \nDue to the breaking of cylindrical symmetry by the meta-atoms, the helicity of the wave is not \nconserved, and the transmitted wave generally contains both LCP and RCP components. We \nnotice that the transmission is dominated by the cross-polarized components f\nRLt and b\nLRt for \nthe forward LCP and backward RCP incidence, respectively, which have two resonance peaks \nat 5.5 GHz and 6.5 GHz with differences (2 2| | | |f b\nRL LRt t ) of 92% and 81%, respectively. The large \nisolation ratio can be attributed to the FMO effect of the YIG layer enhanced by the Mie \nresonance in the dielectric cylinders. To understand the effect of the Mie resonant modes of the \ncylinders, we show in Fig. 1(d) the numerically calculated multipole decomposition of the \ncylinder scattering power under the excitation of the forward incident LCP wave (See \nAppendix C for the multipole decomposition). It is noted that the two resonances at 5.5 GHz \nand 6.5 GHz are mainly attributed to the magnetic dipole mode and the hybrid magnetic dipole-\nelectric quadrupole mode, respectively. The resonant electric and magnetic field amplitudes \nare shown in the insets of Fig.1(d). We notice that the magnetic field inside the cylinder is \nstrongly enhanced at 5.5 GHz, while both the electric and magnetic fields are strongly localized \nin the cylinder at 6.5 GHz due to the resonant electric quadrupole and magnetic dipole \nresonances. The resonant coupling between these hybrid Mie resonances and the FP cavity \nresonance in the YIG layer can enhance the interaction between the wave and the magnetic \nmaterial, leading to the enhanced FMO effect and thus the strong nonreciprocity of the \nmetasurface [47]. We further investigate the relationship between the nonreciprocal properties of the PB \nmetasurface and various system parameters, including the cylinder height h, the biased \nmagnetic field B0, and the incident angle. Figure 2(a) shows the numerically simulated isolation \nratio 2 2| | | |f b\nRL LRt t as a function of the cylinder height h for the system in Fig. 1(a). As seen, the \nisolation peaks undergo redshift as h increases, which is expected since the eigenfrequencies \nof the Mie modes in the cylinder are generally inversely proportional to the geometric size of \nthe cylinder. Specifically, as h varies, the spectral profile of the first resonance maintains a \nLorentz shape, where the local maximum of the isolation remains above 85%. In contrast, the \nspectral profile of the second resonance undergoes dramatic variation due to the interference \nwith other multipoles, as evidenced by the sharp transition of isolation from negative to positive \nvalues. \n Figure 2(b) shows the isolation ratio 2 2| | | |f b\nRL LRt t of the proposed metaisolator when the \nexternal magnetic field is B0 = 0.05 T, B0 = 0 T, and B0 = −0.05 T (corresponding to red, magenta, \nand blue symbol lines, respectively). We notice that the results for different biasing directions \nare nearly antisymmetric with respect to the case of B0 = 0 T which induces zero isolation. This \ncan be understood as follows. The transmission coefficients follow the relationships \nf b\nRL RLt t and b f\nLR LRt t because the forward normally incident LCP (RCP) wave is \nconverted to RCP (LCP) wave by the elliptical cylinder and the resulting RCP (LCP) wave is \nequivalent to the LCP (RCP) wave backward normally incident on the YIG layer (similar to \nthe property of single YIG layer mentioned above). In addition, the magnetic field bias \ndirection decides the spin-selective transmission of the metaisolator. For the opposite magnetic \nbiasing, we can obtain the relationships b b\nRL LRt t and f f\nLR RLt t (See Appendix B for details). \nConsequently, we have the relationships f b\nRL LRt t and b f\nLR RLt t , and thus \n 2 2 2 2| | | | | | | |f b f b\nRL LR RL LRt t t t , i.e., reversing the direction of biased magnetic field leads to a sign \nchange of the isolation value in Fig. 2(b). Figure 2(c) shows the dependence of the isolation on \nthe magnitude of the external magnetic field. We notice that the isolation peaks at 5.5 GHz and \n6.5 GHz are blue-shifted without obvious reduction of the isolation ratio, demonstrating the \nrobust performance of the proposed metasurface isolator. \nWe also investigate the effect of the incident angle of CP waves on the isolation. At large \nincident angles, higher-order diffractions can appear, and we only consider the isolation for the \n0th-order cross-polarized transmission under the forward LCP and backward RCP wave \nincidence with the same incident angle. As depicted in Fig. 2(d), the isolation at the resonance frequency of 5.5 GHz will slightly shift with the increase of the incident angle. At large incident \nangles, the isolation at 5.5 GHz is reduced owing to the combined effect of the resonance shift \nand change of CP conversion efficiency in the elliptical cylinder. Notably, the isolation can \nstill reach above 80% for the incident angle as large as 45°. Interestingly, the isolation ratio at \n6.5 GHz is insensitive to the variation of the incident angle, and it can maintain a large value \nabove 80% for the incident angle within [0°, 60°]. Therefore, the proposed nonreciprocal \nmetaisolator can achieve a stable and high isolation ratio at the targeted frequencies for a wide \nrange of incident angles, which lays the foundation for further nonreciprocal wavefront \nmanipulations. \nIn addition to manipulating the wave amplitude, the metasurface can also be applied to \nachieve unidirectional phase manipulation for the transmitted CP wave. This is done by varying \nthe orientational angle θ of the dielectric cylinder to induce PB geometric phases, as shown by \nthe inset in Fig. 3. For CP waves normally forward incident on the metasurface, the output \nwaves can be expressed as \n 2 ( , )\n2 ( , )0\n0out f i x y in\nL LR L\nout f i x y in\nR RL RE t e E\nE t e E\n \n \n , (3) \nwhere f\nLRt and f\nRLt are the cross-polarized transmission coefficients for the forward incident \nRCP and LCP waves, respectively. The superscript “±” denotes the direction of the external \nbiased magnetic field B0. The dielectric cylinder can induce a PB phase shift φ = 2σθ, where σ \n= +1 (σ = –1) for the LCP (RCP) wave. Figure 3 shows the simulated amplitude of the \ntransmitted electric field (blue symbol line) and the PB phase (red symbol line) for different \norientation angles of the cylinder. As seen, the orientation angle θ of the cylinder has a \nnegligible impact on the transmission amplitude, which is around 96% for different rotation \nangles. Meanwhile, the PB phase agrees with the relationship φ= 2σθ. The stable high CP \ntransmission and the PB phase of 2π range lay the foundation for designing wavefront-\nmanipulation metasurfaces. \nB. Nonreciprocal PB metadeflector for beam steering \nOwing to the superior nonreciprocal isolation under the large-angle incidence and the stable \nPB phase of the meta-atoms, it is possible to construct a nonreciprocal metadeflector with an \non-demand phase profile to manipulate the propagation direction of the incident CP beam. \nFigure 4(a) schematically shows the concept of the nonreciprocal PB-phase-based \nmetadeflector with the + z-biased magnetic field. The meta-atoms are invariant along y direction, \nbut they are orientated differently in the x direction to induce the PB geometric phase profile. At 5.5 GHz, the metasurface can convert the forward incident LCP wave into the RCP wave \nand deflect it away from the normal direction. Meanwhile, the metasurface can isolate the \nbackward RCP wave incident along the opposite deflection direction, i.e., the time-reversed \nwave of the deflected RCP wave. \nThe transmitted wavevector and the incident wavevector satisfy the phase-matching \ncondition in the periodic structure [48]: \n out in PBk k mk , (4) \nwhere kout = 2πsinθout/λ, kin = 2πsinθin/λ, kPB = 2π/P, θin and θout are the incident and deflected \nangles, respectively, λ is the incident wavelength, P is the period size of the supercell (covering \n2π phase range) along the y-direction, and m is the deflection order. For the normally incident \nwave (θin = 0°), Eq. (4) can be simplified as sin θout = mλ/P where the supercell period P = Nl \nwith N being the meta-atom number in the supercell and l being the meta-atom period. The \ndiscrete PB phase profile in the supercell can be expressed as φ(n) = 2πn/N where n denotes \nthe n-th meta-atom in the supercell, thus requiring a rotation angle distribution θ(n) = πn/N. \nFollowing this principle, we design four different metadeflectors working at 5.5 GHz with the \nsupercells consisting of 4, 6, 8, and 12 meta-atoms, respectively. These metasurfaces induce \nthe 1st-order diffraction at the angles 74.64°, 40°, 28.82°, and 18.75°, respectively. Figure 4(b) \nshows the simulated electric field ( Ey) profiles at 5.5 GHz for the four metasurfaces. The \ndeflection angles of the output beam are consistent with analytical values given by Eq. (4). \nUnder the forward normal incidence, the 1st-order diffraction efficiency in these four cases is \n66.44%, 92.1%, 95.59%, and 96.08%, respectively. Under the backward incidence, the \ntransmission efficiency in the four cases is 6.64%, 0.79%, 0.026%, and 0.002%, respectively. \nAccordingly, the isolation ratios are 59.8%, 91.31%, 95.564%, and 96.078%, which \ndemonstrate the highly efficient nonreciprocal beam steering function of the proposed \nmetadeflectors. Additionally, we note that for 6-, 8-, and 12-cell cases, the deflected beams are \nmainly composed of the 1st-order diffraction, while higher-order diffraction components begin \nto appear in the output beam of the 4-cell case, which can be attributed to the large wavevector \ncomponent parallel to the metasurface. The emergence of the higher-order diffractions in this \ncase decreases the isolation ratio and leads to a complex output wavefront. \nC. Nonreciprocal PB metalens for beam focusing \nThe PB-phase-based planar metalenses with excellent performance, e.g., high numerical \naperture (NA), have been widely proposed and fabricated, generating broad applications in \nimaging [49,50], microscopy [51], and spectroscopy [52,53]. However, the effect of backscattering is usually neglected in conventional PB metalenses, thus limiting their \napplications in the platforms requiring anti-echo and anti-reflection functions. Introducing \nnonreciprocity to PB metalenses can be a solution to this problem. This corresponds to the \nconcept of nonreciprocal PB metalens for unidirectional beam focusing, as illustrated in Fig. \n5(a). The forward normally incident LCP wave passes through the nonreciprocal metalens with \nthe +z-biased magnetic field and is focused into one spot, but the RCP wave radiated from the \nfocusing spot, i.e., the time-reversal excitation, will be blocked by the metalens, thus realizing \nthe nonreciprocal beam focusing. \nThe PB phase profile φ(x,y) of the metalens should follow [49] \n 2 2 2 2( , )x y f x y f , (5) \nwhere λ is the wavelength, f is the focal length, x and y are the coordinates of each meta-atom. \nSimilar to the metadeflector mentioned above, we consider the metalens with invariant phase \nprofile in x-direction. The rotation angle profile of the meta-atoms in this case is \n 2 2( )y f y f , which has the discretized form 2 2 2( )n f n l f , where n \ndenotes the n-th meta-atom, and l is the period of each meta-atom. To demonstrate the \nnonreciprocal focusing functionality, we design three metalenses with different focal lengths \n1.5λ, 2λ, and 3λ (λ=54.5 mm at 5.5 GHz), respectively. We conduct numerical simulations for \nthe nonreciprocal focusing realized by the three metalenses. Figure 5(b) depicts the simulated \nelectric-field distributions in the yz-plane with the forward incident LCP (the upper panels) and \nthe backward RCP radiation from the focal point (the bottom panels). It is noticed that the \nforward incident LCP waves are focused into spots at different focal points. The corresponding \nfocal lengths are determined to be 80.62 mm, 108.85 mm, and 149.83 mm, respectively. The \ndiscrepancy between the theoretical and simulated focal lengths can be attributed to the \ncoupling effect between the adjacent meta-atoms. Figures 5(c)-(e) show the intensity on the \nfocal planes with the diffraction-limited ( λ/(2×NA)) full width at half-maximum (FWHM) of \n30 mm, 30.27 mm, and 31.47 mm, respectively. The corresponding NA of the metalenses is \n0.908, 0.9, and 0.866, respectively. To understand the nonreciprocity of the metalenses, we \ncalculate the light transmission under the forward incidence, which reaches 79.9%, 85.1%, and \n89.64% for the three cases, respectively. Meanwhile, the focusing efficiency is found to be \n68.18%, 73.14%, and 74.51% for the three cases, respectively, where the focusing efficiency \nis defined as the fraction of the incident light that passes through a circular aperture in the focal \nplane with a diameter equal to three times of the FWHM spot size [54]. Additionally, we find that the backward RCP radiation from the focal point only gives rise to the transmission of \n12.9%, 14.67%, and 10.9%, respectively. Therefore, the isolation ratios of the three metalenses \nare 55.28%, 58.47%, and 63.61%, respectively. The contrast between the focusing efficiency \nunder forward incidence and the transmission under backward radiation clearly demonstrates \nthe nonreciprocal focusing functionality of the designed PB metalenses. \n \nⅢ. CONCLUSION \nTo summarize, we have demonstrated that high-performance nonreciprocal wavefront \nmanipulation of CP beams can be achieved by using the magnetic-biased PB metasurfaces \nconsisting of elliptical dielectric cylinders and a thin magnetic YIG layer. Due to the strong \nresonant coupling between the Mie modes in the cylinders and the FP cavity mode in the thin \nYIG layer, the FMO effect can be greatly enhanced near the resonant frequencies, thus giving \nrise to significant spin-selective nonreciprocal isolation. Meanwhile, the stable PB phase and \nthe large isolation ratio over a wide range of incident angles can guarantee efficient \nnonreciprocal wavefront manipulation. By designing the PB phase gradient profile, we have \ndemonstrated two types of nonreciprocal functional metasurfaces: the metadeflectors that can \nrealize nonreciprocal beam steering with different deflection angles, and the high-NA \nmetalenses that can realize nonreciprocal focusing with different focal lengths. The proposed \nnonreciprocal PB metasurfaces can simultaneously achieve high-efficiency wavefront \nmanipulation and large isolation ratio, which pave the way to the applications in wave \nmultiplexing for high-capacity communications and optical imaging with anti-reflection \nfunctions. \nACKNOWLEDGEMENTS \nThe work described in this paper was supported by grants from the Research Grants Council \nof the Hong Kong Special Administrative Region, China (Projects No. AoE/P-502/20 and No. \nCityU 11308223). \n \nAPPENDIX A: SPIN-SELECTIVE TRANSMISSION OF AN INFINITE YIG LAYER \nThe yttrium iron garnet (YIG) material is a common magnetic material that can show obvious \nasymmetry spin characteristics, e.g., the different propagation constants and impedances for \nthe orthogonal CP states, due to the large off-diagonal elements in the permeability tensor under \nthe external magnetic field biasing. For the considered thin YIG layer, the FP cavity resonance \ncan enhance the FMO effect. To understand this property, we analytically determine the \ntransmission and reflection for different CP waves propagating through the YIG layer. Consider an LCP wave backward (+ x direction) normally incident onto the YIG layer of \nthickness t and with the + x-biased magnetic field, as shown in Fig. 6, the electric and magnetic \nfields in regions 1, 2, and 3 can be expressed as: \nRegion 1: \n 0\n00\n1ik xE e\ni \n incE, 0\n0 00\n1ik xY E i e \n incH, 0'\n'0\nik x\ny\nzE e\nE \n refE, 0'\n0\n'0\nik x\nz\nyY E e\nE \n \n refH. (A1) \nRegion 2: \n10\n1b\nLik x\nLE e\ni \n LCPE, \n1 00\n1b\nLik x b\nL LE YY i e \n LCPH , \n10\n1b\nRik x\nRE e\ni \n RCPE, \n1 00\n1b\nRik x b\nR RE YY i e \n RCPH , (A2) \n20\n1f\nLik x\nLE e\ni \n LCPE, \n2 00\n1f\nLik x f\nL LE YY i e \n LCPΗ, \n20\n1f\nRik x\nRE e\ni \n RCPE , \n2 00\n1f\nRik x f\nR RE YY i e \n RCPH . (A3) \nRegion 3: \n 0 \"\n\"0\nik x\ny\nzE e\nE \n tranE . (A4) \nwhere 0 0 0Y is the wave admittance in free space, 1( )b f\nL R r r rY Y and \n1( )b f\nR L r r rY Y are the relative wave admittances for LCP and RCP waves normally \nforward (- x-direction) and backward (+ x-direction) propagating in the YIG material, \n1 0( )b f\nL R r r rk k k and 1 0( )b f\nR L r r rk k k are the wave vectors of the LCP and \nRCP waves normally forward (- x-direction) and backward (+ x-direction) propagating in the \nYIG material, k0 is the wave vector in free space. Furthermore, according to the boundary \nconditions ( 20 n 1e H H , 0 n 1 2e Ε E ) between regions 1 and 2 ( x=0), and between \nregions 2 and 3 ( x=t), we can get eight equations to solve for the eight unknowns in Eq. (A1-\nA4), which can be expressed as below: \nAt x=0: \n'\n0 1 1 2 2\n'\n0 1 1 2 2\n'\n0 0 1 0 1 0 2 0 2 0\n'\n0 0 1 0 1 0 2 0 2 0( )\n( )y L R L R\nz L R L R\nb b f f\nz L L R R L L R R\nb b f f\ny L L R R L L R RE E E E E E\niE E iE iE iE iE\nY iE E iE YY iE YY iE YY iE YY\nY E E E YY E YY E YY E YY \n \n \n (A5) \nAt x=t: 0\n0\n0\"\n1 1 2 2\n\"\n1 1 2 2\n1 0 1 0 2 0 2 0\n\"\n0\n1 0f f b b\nL R L R\nf f b b\nL R L R\nf f b b\nL R L R\nb\nLik t ik t ik t ik t ik t\nL R L R y\nik t ik t ik t ik t ik t\nL R L R z\nik t ik t ik t ik tb b f f\nL L R R L L R R\nik t\nz\nik tb\nL LE e E e E e E e E e\niE e iE e iE e iE e E e\niE YY e iE YY e iE YY e iE YY e\nY E e\nE YY e \n \n \n\n \n \n \n\n01 0 2 0 2 0\n\"\n0f f b\nR L R ik t ik t ik tb f f\nR R L L R R\nik t\nyE YY e E YY e E YY e\nY E e\n \n (A6) \nBy solving the Eq. (A5-A6), we can get the solutions: \n2\n0\n122 2\n1\n2\n0\n222 2\n22\n' 0\n22 2\n22\n' 0\n22 2\n\" 02 ( 1)\n( 1) ( 1)\n0\n0\n2 ( 1)\n( 1) ( 1)\n(1 )( 1)\n( 1) ( 1)\n(1 )( 1)\n( 1) ( 1)\n4b\nL\nb\nL\nf\nR\nb\nL\nb\nL\nb\nL\nb\nLi k t b\nL\nLi k t b b\nL L\nR\nL\nf\nR\nRi k t f f\nR R\ni k tb\nL\nyi k t b b\nL L\ni k tb\nL\nzi k t b b\nL L\nyE Y eE\nY e Y\nE\nE\nE YE\nY e Y\nE Y eE\nY e Y\nE Y eE i\nY e Y\nE YE\n \n\n\n\n \n \n \n \n \n0\n0( )\n22 2\n( )\n\" 0\n22 2( 1) ( 1)\n4\n( 1) ( 1)b\nL\nb\nL\nb\nL\nb\nLi k k tb\nL\ni k t b b\nL L\ni k k tb\nL\nzi k t b b\nL Le\nY e Y\nE Y eE i\nY e Y\n \n\n (A7) \nFrom Eq. (A7), we can observe that there exists the LCP wave along the + x-direction and the \nRCP wave along the - x-direction in the YIG layer, thus inducing coherent interference and the \nFP cavity resonance. Additionally, we note that the reflected and transmissive waves are always \nthe RCP and LCP waves, respectively, and their coefficients can be represented as \n22\n22 2(1 )( 1)\n( 1) ( 1)b\nL\nb\nLi k tb\nb L\nRLi k t b b\nL LY er\nY e Y \n , \n0( )\n22 24\n( 1) ( 1)b\nL\nb\nLi k k tb\nb L\nLLi k t b b\nL LY et\nY e Y\n\n , (A8) \nwhere the superscript “+” indicates the + x-directional magnetic biasing, “ b” represents the \nbackward normal incidence (+ x-direction), “ RL” symbolizes the CP state conversion from LCP \nto RCP, and of course “ LL” stands for the CP state conservation for LCP wave. Similarly, we \nalso can get the solutions of the reflected and transmitted coefficients for the case of the RCP wave normally backward (+ x-direction) passing through the t-thick YIG layer with + x-\ndirectional magnetic biasing: \n22\n22 2(1 )( 1)\n( 1) ( 1)b\nR\nb\nRi k tb\nb R\nLRi k t b b\nR RY er\nY e Y \n , \n0( )\n22 24\n( 1) ( 1)b\nR\nb\nRi k k tb\nb R\nRRi k t b b\nR RY et\nY e Y\n\n . (A9) \nComparing Eq. (A8) with Eq. (A9), it can be concluded that the difference in spin-dependent \nreflection and transmission is determined by the off-diagonal element κr. Furthermore, when \nthe external magnetic field reverses the direction, the off-diagonal element in the permeability \ntensor will change from κr to –κr, thus the intrinsic admittance and wave vector of the LCP \n(RCP) wave in the case of + x-biased magnetic field case will be equal to those of the RCP \n(LCP) wave in the case of – x-biased magnetic field. Therefore, the corresponding reflection \nand transmissive coefficients can be expressed by \n,\n,b b b b\nRL LR LR RL\nb b b b\nLL RR RR LLr r r r\nt t t t \n \n (A10) \nMeanwhile, due to the symmetry feature of YIG layer relative to the yz-plane, the reverse of \nthe applied magnetic field is equivalent to the reverse of the incident direction of CP waves, \nthus getting b f\nRL LRr r , b f\nLR RLr r , b f\nLL RRt t , and b f\nRR LLt t . Thus, the spin-selective transmission \nand reflection also depend on the incident direction in addition to the magnetic field biasing. \n \nAPPENDIX B: DEPENDENCE OF TRANSMISSION ON THE DIRECTIONS OF \nINCIDENCE AND BIASED MAGNETIC FIELD FOR METAISOLATOR \nThe relationship between the CP states, wave propagation direction, and the biased magnetic \nfield direction for the proposed metaisolator is numerically verified in Fig. 7. It can be noted \nin Fig. 7(a) that the cross-polarized transmissions for normally forward and backward incident \nLCP waves are nearly identical (i.e., f b\nRL RLt t ). This is also true for the RCP wave (i.e., \nf b\nLR LRt t ). A similar phenomenon can also be found in the case of − z-biased magnetic field \nshown in Fig. 7(b). This can be understood as follows. For the forward LCP wave passing \nthrough the metasurface with the + z-biased magnetic field, the cross-polarized transmission \ncan be expressed as f L R f\nRL c Rt t t , where L R\nctdenotes the conversion from LCP wave to \nRCP wave and f\nRt is the forward RCP transmission for the YIG layer under the resonant \ncoupling of the dielectric cylinder. Similarly, for the backward LCP wave, the cross-polarized transmission can be represented by b b L R\nRL L ct t t , where b\nLt is the backward LCP \ntransmission for the YIG layer under the resonant coupling of the dielectric cylinder. It should \nbe noted that the forward RCP transmission f\nRt is equal to the backward LCP transmission \nb\nLt for the YIG layer in the presence of the Mie resonances of the cylinder, similar to property \nof the single YIG layer (discussed in Appendix A). Since the Mie resonances in the elliptical \ncylinder are spin-independent, the efficiency of its coupling to the YIG layer is unaffected by \nthe CP state. Therefore, f b\nRL RLt t can be concluded. Meanwhile, their co-polarized \ntransmission can also be expressed as (1 )f L R f\nLL c Lt t t and (1 )b b L R\nLL L ct t t , respectively. \nSince f b\nL Lt t owing to the nonreciprocal characteristic of YIG, we can obtain f b\nLL LLt t . \nAdditionally, the magnetic-biased direction determines the spin-selective property of the \nmetaisolator due to the electromagnetic characteristic of YIG. As demonstrated by the equal \ntransmission of different CP states passing through the metaisolators with the opposite \nmagnetic biasing, i.e., f f\nLR RLt t and f f\nRL LRt t . To summarize, these relationships can be \ndescribed by f b f b\nRL RL LR LRt t t t and f b f b\nLR LR RL RLt t t t . \n \nAPPENDIX C: ELECTROMAGNETIC MULTIPOLE EXPANSION \nThe external field can induce the charge density ρ and current density J in the metasurface, \nwhich give rise to electromagnetic multipoles. Therefore, the resonance response of the \nmetastructure can be understood based on the multipole decompositions. The multipole \nmoments can be evaluated using the current density J(r) within the unit cell ( α, β, γ=x, y, z) as \n[55-57]: \n 31d rip J , (C1) \n 3 1\n2d rc m r J , (C2) \n 2 3 1210r d rc T r J r J , (C3) \n 3\n, ,1 2[ ( )]2 3eQ r J r J d ri r J, (C4) \n 3 3\n,1[( ) ] [( ) ]3mQ r d r r d rc r J r J , (C5) \n 2 2 3\n, ,1[4 ( ) 5 ( ) 2 ( )]28TQ r J r r J r J r d rc r J r J , (C6) where p, m, T, Qe, Qm, and QT represent the electric dipole, magnetic dipole, toroidal dipole, \nelectric quadrupole, magnetic quadrupole, and toroidal quadrupole, respectively, c is the light \nspeed. The total scattered power Is of the metasurface can be expressed as [58] \n 4 4 5\n2 2\n3 3 4\n6 6 62 2 2\n, , 5 5 5 52 2 4\n3 3 3\n2 1\n3 5 40s\ne mIc c c\nQ Q Oc c c c \n \n p m p T\nT (C7) \nWe evaluated each term on the right-hand side of Eq. (C7) for the metaisolator, and the results \nare shown in Fig. 1(d). \n \nREFERENCES \n[1] K. Chen, Y. Feng, F. Monticone, J. Zhao, B. Zhu, T. Jiang, L. Zhang, Y. Kim, X. Ding, S. \nZhang, A. Alù, and C. W. Qiu, A reconfigurable active Huygens' metalens, Adv. Mater. 29, \n1606422 (2017). \n[2] Y. Liu, J. Sun, Y. Che, K. Qi, L. Li, and H. Yin, High numerical aperture microwave \nmetalens, Opt. Lett. 45, 6262 (2020). \n[3] Y. Xu, J. Gu, Y. Gao, Q. Yang, W. Liu, Z. Yao, Q. Xu, J. Han, and W. Zhang, Broadband \nachromatic terahertz metalens constituted by Si-SiO2-Si hybrid meta-Atoms, Adv. Funct. \nMater. 33, 2302821 (2023). \n[4] X. Zang, H. Ding, Y. Intaravanne, L. Chen, Y. Peng, J. Xie, Q. Ke, A. V. Balakin, A. P. \nShkurinov, X. Chen, Y. Zhu, and S. Zhuang, A multi-foci metalens with polarization-\nrotated focal points, Laser Photonics Rev. 13, 1900182 (2019). \n[5] Y. Wang, Q. Chen, W. Yang, Z. Ji, L. Jin, X. Ma, Q. Song, A. Boltasseva, J. Han, V. M. \nShalaev, and S. Xiao, High-efficiency broadband achromatic metalens for near-IR \nbiological imaging window, Nat. Commun. 12, 5560 (2021). \n[6] G. Yoon, K. Kim, S. Kim, S. Han, H. Lee, and J. Rho, Printable nanocomposite metalens \nfor high-contrast near-infrared imaging, ACS Nano 15, 698 (2021). \n[7] S. Wei, G. Cao, H. Lin, X. Yuan, M. Somekh, and B. Jia, A varifocal graphene metalens \nfor broadband zoom imaging covering the entire visible region, ACS Nano 15, 4769 (2021). \n[8] J. Kim, J. Seong, W. Kim, G. Y. Lee, S. Kim, H. Kim, S. W. Moon, D. K. Oh, Y. Yang, J. \nPark, J. Jang, Y. Kim, M. Jeong, C. Park, H. Choi, G. Jeon, K. Lee, D. H. Yoon, N. Park, \nB. Lee, H. Lee, and J. Rho, Scalable manufacturing of high-index atomic layer-polymer \nhybrid metasurfaces for metaphotonics in the visible, Nat. Mater. 22, 474 (2023). \n[9] N. Meinzer, W. Barnes, and I. Hooper, Plasmonic meta-atoms and metasurfaces, Nature \nPhoton. 8, 889 (2014). \n[10] H. Chen, A. J. Taylor, and N. Yu, A review of metasurfaces: physics and applications, Rep. \nProg. Phys. 79, 076401 (2016). \n[11] Y. Huang, K. Kaj, C. Chen, Z. Yang, S. R. Ul Haque, Y. Zhang, X. Zhao, R. D. Averitt, \nand X. Zhang, Broadband terahertz silicon membrane metasurface absorber, ACS \nPhotonics 9, 1150 (2022). \n[12] W. Yu, Y. Lu, X. Chen, H. Xu, J. Shao, X. Chen, Y. Sun, J. Hao, and N. Dai, Large-area, \nbroadband, wide-angle plasmonic metasurface absorber for midwavelength infrared \natmospheric transparency window, Adv. Optical Mater. 7, 1900841 (2019). \n[13] S. Jia, T. Fu, J. Peng, and S. Wang, Broadband and large-area optical chirality generated \nby an achiral metasurface under achiral excitation, Phys. Rev. A 108, 053504 (2023). [14] W. Yue, S. Gao, S. Lee, E. Kim, and D. Choi, Highly reflective subtractive color filters \ncapitalizing on a silicon metasurface integrated with nanostructured aluminum mirrors, \nLaser Photonics Rev. 11, 1600285 (2017). \n[15] A. Tittl, A. Leitis, M. Liu, F. Yesilkoy, D. Y. Choi, D. N. Neshev, Y. S. Kivshar, and H. \nAltug, Imaging-based molecular barcoding with pixelated dielectric metasurfaces, Science \n360, 1105 (2018). \n[16] M. R. Akram, G. Ding, K. Chen, Y. Feng, and W. Zhu, Ultrathin single layer metasurfaces \nwith ultra-sideband operation for both transmission and reflection, Adv. Mater. 32, \n1907308 (2020). \n[17] Y. Zheng, K. Chen, W. Yang, L. Wu, K. Qu, J. Zhao, T. Jiang, and Y. Feng, Kirigami \nreconfigurable gradient metasurface, Adv. Funct. Mater. 32, 2107699 (2022). \n[18] N. K. Grady, J. E. Heyes, D. R. Chowdhury, Y. Zeng, M. T. Reiten, A. K. Azad, A. J. \nTaylor, D. A. R. Dalvit, and H. T. Chen, Terahertz metamaterials for linear polarization \nconversion and anomalous refraction, Science 340, 1304 (2013). \n[19] W. Sun, Q. He, S. Sun, and L. Zhou, High-efficiency surface plasmon metacouplers: \nconcept and microwave-regime realizations, Light Sci. Appl. 5, e16003 (2016). \n[20] Y. Chen, X. Zheng, X. Zhang, W. Pan, Z. Wang, S. Li, S. Dong, F. Liu, Q. He, L. Zhou, \nand S. Sun, Efficient meta-couplers squeezing propagating light into on-chip \nsubwavelength devices in a controllable way, Nano Lett. 23, 3326 (2023). \n[21] M. Y. Shalaginov, S. An, Y. Zhang, F. Yang, P. Su, V. Liberman, J. B. Chou, C. M. \nRoberts, M. Kang, C. Rios, Q. Du, C. Fowler, A. Agarwal, K. A. Richardson, C. R. Baleine, \nH. Zhang, J. Hu, and T. Gu, Reconfigurable all-dielectric metalens with diffraction-limited \nperformance, Nat. Commun. 12, 1225 (2021). \n[22] L. Li, Z. Liu, X. Ren, S. Wang, V. C. Su, M. K. Chen, C. H. Chu, H. Y. Kuo, B. Liu, W. \nZang, G. Guo, L. Zhang, Z. Wang, S. Zhu, and D. P. Tsai, Metalens-array-based high-\ndimensional and multiphoton quantum source, Science 368, 1487 (2020). \n[23] T. Li, C. Chen, X. Xiao, J. Chen, S. Hu, and S. Zhu, Revolutionary meta-imaging: from \nsuperlens to metalens, Photonics Insights 2, R01 (2023). \n[24] P. Georgi, Q. Wei, B. Sain, C. Schlickriede, Y. Wang, L. Huang, and T. Zentgraf, Optical \nsecret sharing with cascaded metasurface holography, Sci. Adv. 7, eabf9718 (2021). \n[25] X. Li, X. Zhang, R. Zhao, G. Geng, J. Li, L. Huang, and Y. Wang, Independent light field \nmanipulation in diffraction orders of metasurface holography, Laser Photonics Rev. 16, \n2100592 (2022). \n[26] H. Ren, X. Fang, J. Jang, J. Bürger, J. Rho, and S. A. Maier, Complex-amplitude \nmetasurface-based orbital angular momentum holography in momentum space, Nat. \nNanotechnol. 15, 948 (2020). \n[27] A. H. Dorrah, N. A. Rubin, A. Zaidi, M. Tamagnone, and F. Capasso, Metasurface optics \nfor on-demand polarization transformations along the optical path, Nat. Photonics 15, 287 \n(2021). \n[28] A. Tripathi, A. Zalogina, J. Liao, M. Wurdack, E. Estrecho, J. Zhou, D. Jin, S. S. Kruk, \nand Y. Kivshar, Metasurface-controlled photonic Rashba effect for up-conversion \nphotoluminescence, Nano Lett. 23, 2228 (2023). \n[29] W. Pan, Z. Wang, Y. Chen, X. Zheng, S. Li, X. Tian, Q. He, L.Zhou, and S. Sun, \nEfficiently controlling near-field wavefronts via designer metasurfaces, ACS Photonics 10, \n2423 (2023). \n[30] Q. Song, M. Odeh, J. Z. Pérez, B. Kanté, and P. Genevet, Plasmonic topological \nmetasurface by encircling an exceptional point, Science 373, 1133 (2021). \n[31] M. V. Berry, Quantal phase factors accompanying adiabatic changes, Proc. Roy. Soc. A \nMath. Phys. Eng. Sci. 392, 45 (1984). [32] S. Pancharatnam, Generalized theory of interference and its applications, Proc. Indian \nAcad. Sci. Sect. A 44, 398 (1956). \n[33] Y. Guo, M. Pu, F. Zhang, M. Xu, X. Li, X. Ma, and X. Luo, Classical and generalized \ngeometric phase in electromagnetic metasurface, Photonics Insights 1, R03 (2022). \n[34] R. Jin, L. Tang, J. Li, J. Wang, Q. Wang, Y. Liu, and Z. G. Dong, Experimental \ndemonstration of multidimensional and multifunctional metalenses based on photonic spin \nHall effect, ACS Photonics 7, 512 (2020). \n[35] S. Liu, S. Qi, Y. Li, B. Wei, P. Li, and J. Zhao, Controllable oscillated spin Hall effect of \nBessel beam realized by liquid crystal Pancharatnam-Berry phase elements, Light Sci. Appl. \n11, 219 (2022). \n[36] Q. Zhou, M. Liu, W. Zhu, L. Chen, Y. Ren, H. J. Lezec, Y. Lu, A. Agrawal, and T. Xu, \nGeneration of perfect vortex beams by dielectric geometric metasurface for visible light, \nLaser Photonics Rev. 15, 2100390 (2021). \n[37] H. Zhang, X. Sha, Q. Chen, J. Cheng, Z. Ji, Q. Song, S. Yu, and S. Xiao, All-dielectric \nmetasurface-enabled multiple vortex emissions, Adv. Mater. 34, 2109255 (2022). \n[38] M. Tonouchi, Cutting-edge terahertz technology, Nat. Photonics 1, 97 (2007). \n[39] P. U. Jepsen, D. G. Cooke, and M. Koch, Terahertz spectroscopy and imaging modern \ntechniques and applications, Laser Photonics. Rev. 5, 124 (2011). \n[40] X. Guo, Y. Ding, Y. Duan, and X. Ni, Nonreciprocal metasurface with space-time phase \nmodulation, Light Sci. Appl. 8, 123 (2019). \n[41] Z. Yang, Y. Cheng, N. Wang, Y. Chen, and S. Wang, Nonreciprocal light propagation \ninduced by a subwavelength spinning cylinder, Opt. Express 30, 27993 (2022). \n[42] H. Shi, Z. Yang, C. Zhang, Y. Cheng, Y. Chen, and S. Wang, Robust exceptional point of \narbitrary order in coupled spinning cylinders, Opt. Express 29, 29720 (2021). \n[43] H. Shi, Y. Cheng, Z. Yang, Y. Chen, and S. Wang, Optical isolation induced by \nsubwavelength spinning particle via spin-orbit interaction, Phys. Rev. B 103, 094105 \n(2021). \n[44] D. M. Pozar, Microwave engineering , John Wiley & Sons, USA 2011. \n[45] W. Yang, J. Qin, J. Long, W. Yan, Y. Yang, C. Li, E. Li, J. Hu, L. Deng, Q. Du, and L. Bi, \nA self-biased non-reciprocal magnetic metasurface for bidirectional phase modulation, Nat. \nElectron. 6, 225 (2023). \n[46] D. Zhao, F. Fan, J. Liu, Z. Tan, H. Wang, Q. Yang, Q. Wen, and S. Chang, Terahertz \nmagneto-optical metadevice for active spin-selective beam steering and energy distribution \nwith nonreciprocal isolation, Optica 10, 1295 (2023). \n[47] S. Xia, D. O. Ignatyeva, Q. Liu, J. Qin, T. Kang, W. Yang, Y. Chen, H. Duan, L. Deng, D. \nLong, M. Veis, V. I. Belotelov, and L. Bi, Enhancement of the Faraday effect and magneto-\noptical figure of merit in all-dielectric metasurfaces, ACS Photonics 9, 1240 (2022). \n[48] D. Zhao, Z. Tan, H. Zhao, F. Fan, and S. Chang, Active terahertz beam deflection and \nnonreciprocal spin chirality selection based on magneto-optical P-B metasurface with \nstacked-graphene layers, Opt. Lett. 47, 818 (2022). \n[49] S. Wang, P. C. Wu, V. C. Su, Y. C. Lai, M. K. Chen, H. Y. Kuo, B. H. Chen, Y. H. Chen, \nT. T. Huang, J. H. Wang, R. M. Lin, C. H. Kuan, T. Li, Z. L. Wang, S. Zhu, and D. P. Tsai, \nA broadband achromatic metalens in the visible, Nat. Nanotechnol. 13, 227 (2018). \n[50] R. J. Lin, V. C. Su, S. Wang, M. K. Chen, T. L. Chung, Y. H. Chen, H. Y. Kuo, J. W. \nChen, J. Chen, Y. T. Huang, J. H. Wang, C. H. Chu, P. C. Wu, T. Li, Z. Wang, S. Zhu, and \nD. P. Tsai, Achromatic metalens array for full-colour light-field imaging, Nat. Nanotechnol. \n14, 227 (2019). \n[51] Y. Luo, C. H. Chu, S. Vyas, H. Y. Kuo, Y. H. Chia, M. K. Chen, X. Shi, T. Tanaka, H. \nMisawa, Y. Y. Huang, and D. P. Tsai, Varifocal metalens for optical sectioning \nfluorescence microscopy, Nano Lett. 21, 5133 (2021). [52] C. Chen, W. Song, J. W. Chen, J. H. Wang, Y. H. Chen, B. Xu, M. K. Chen, H. Li, B. \nFang, J. Chen, H. Y. Kuo, S. Wang, D. P. Tsai, S. Zhu, and T. Li, Spectral tomographic \nimaging with aplanatic metalens, Light Sci. Appl. 8, 99 (2019). \n[53] G. Cai, Y. Li, Y. Zhang, X. Jiang, Y. Chen, G. Qu, X. Zhang, S. Xiao, J. Han, S. Yu, Y. \nKivshar, and Q. Song, Compact angle-resolved metasurface spectrometer, Nat. Mater. 23, \n71 (2024). \n[54] A. Arbabi, Y. Horie, A. J. Ball, M. Bagheri, and Andrei Faraon, Subwavelength-thick \nlenses with high numerical apertures and large efficiency based on high-contrast \ntransmitarrays, Nat. Commun. 6, 7069 (2015). \n[55] E. E. Radescu, and G. Vaman, Exact calculation of the angular momentum loss, recoil \nforce, and radiation intensity for an arbitrary source in terms of electric, magnetic, and \ntoroid Multipoles, Phys. Rev. E 65, 046609 (2002). \n[56] V. Savinov, V. A. Fedotov, and N. I. Zheludev, Toroidal dipolar excitation and \nmacroscopic electromagnetic properties of metamaterials, Phys. Rev. B 89, 205112 (2014). \n[57] G. N. Afanasiev, and Y. P. Stepanovsky, The electromagnetic field of elementary time-\ndependent toroidal sources, J. Phys. A: Gen. Phys. 28, 4565 (1995). \n[58] P. C. Wu, C. Y. Liao, V. Savinov, T. L. Chung, W. T. Chen, Y. W. Huang, P. R. Wu, Y. \nH. Chen, A. Q. Liu, N. I. Zheludev, and D. P. Tsai, Optical Anapole Metamaterial, ACS \nNano 12, 1920 (2018). \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 1. The PB metasurface isolator and its nonreciprocal properties. (a) The schematics of the \nmetaisolator and the meta-atom. The meta-atom is composed of an elliptical dielectric \nresonator and a YIG layer with geometric parameters l=14 mm, h=20 mm, a=12 mm, b=6 mm, \nand t=4.5 mm. The external biased magnetic field B0 is along + z direction. (b) The simulated \n(red symbol lines) and analytical (blue symbol lines) nonreciprocal transmission spectra of the \nYIG layer with B0=0.05 T pointing in the + z direction. 2| |f\nLLtand 2| |f\nRRt are the co-polarized \ntransmission for the forward (- z-direction) normally incident LCP and RCP waves, respectively. \n(c) The transmission spectra of the metaisolator with B0=0.05 T pointing in the + z direction. \n2| |f\nLLtand 2| |f\nRLt are the co- and cross-polarized transmission for the forward (- z-direction) \nnormally incident LCP waves, and 2| |b\nRRtand 2| |b\nLRtare those for the backward (+ z-direction) \nnormally incident RCP waves. (d) The normalized multipole scattering power of the dielectric \nelliptical cylinder under the excitation of the forward-incident LCP wave. p, m, T, Q e, and Q m \nare the electric dipole, magnetic dipole, toroidal dipole, electric quadrupole, and magnetic \nquadrupole, respectively. The inner image shows the corresponding electric and magnetic \nfields in the meta-atom at the resonant frequencies 5.5 GHz and 6.5 GHz. \n \nFIG. 2. The nonreciprocal characteristics of the PB-phase-based metaisolator. (a) The isolation \nratio (i.e. 2 2| | | |f b\nRL LRt t ) of the metaisolator as a function of the frequency and the height of \nthe dielectric elliptical cylinder. (b) The isolation ratio of the metasurface with the ± z-biased \nmagnetic field of 0.05 T or without the magnetic field for the normally incident CP light (i.e., \n2 2| | | |f b\nRL LRt t ). (c) The isolation ratio (i.e., 2 2| | | |f b\nRL LRt t ) as a function of the external + z-\nbiased magnetic field strength B0 and the frequency of normally incident CP waves. (d) The \nisolation ratio (i.e., 2 2| | | |f b\nRL LRt t ) as a function of the incident angle and the frequency of \nthe CP waves. The external magnetic field is in the + z-direction with a magnitude of 0.05 T. \n \n \n \nFIG.3. The cross-polarized transmission amplitude and phase shift for the metaisolator \ncomposed of elliptical dielectric cylinders with different orientation angles. The incident wave \nis LCP working at 5.5 GHz, and it normally incidents on the metasurface. \n \nFIG. 4. Nonreciprocal metadeflector for beam steering. (a) The schematic for the nonreciprocal \nbeam steering by the PB metadeflector with the magnetic-field biasing pointing in + z-direction. \n(b) The simulated normalized electric-field profiles for the supercells with different meta-atoms. \nThe incident wave is LCP for the upper-row panels and RCP for the bottom-row panels, and \ntheir propagation directions are denoted by the white arrows. The frequency is at 5 GHz. The \nmetadeflectors with the supercells consisting of 4, 6, 8, and 12 cells can achieve the deflection \nangle of 74.64°, 40°, 28.82°, and 18.75°. \n \nFIG. 5. The nonreciprocal PB metalens for beam focusing. (a) The schematic for the \nnonreciprocal focusing of the proposed PB metalens. The incident LCP beam is converted to \nthe RCP beam and focused at one point, while the RCP radiation from the focal point cannot \npass through the metalens. (b) The normalized electric-field distribution in the yz-plane when \nthe forward incident LCP beam passes through the metalenses with different focal lengths 1.5 λ, \n2λ, and 3λ (the upper panels), and when the RCP wave radiated from the focal points backward \npropagates into the metalenses (the bottom panels). The normalized intensity distribution at the \nfocal planes z=−1.5λ (c), z=−2λ (d), and z=−3λ (e), respectively, corresponding to the three \ncases in the upper panels of (b). \n \n \nFIG. 6. The schematic for the thin YIG layer with + x-biased magnetic field under the normal \nincidence of a LCP plane wave. \n \nFIG. 7. The comparison of cross-polarized transmission of different CP waves with different \npropagation directions through the metaisolator with magnetic biasing along +z (a) and -z (b) \ndirections shown in the insets. The magnitude of the magnetic field is 0.05 T. The subscript \n“LR” (“RL”) represents the CP state conversion from RCP to LCP (LCP to RCP). The \nsuperscripts “+” and “−” indicate the + z- and −z-biased magnetic field; “ f” and “b” stand for \nthe forward (− z-direction) and backward (+ z-direction) incidence. \n" }, { "title": "2209.00558v1.Growth_parameters_of_Bi0_1Y2_9Fe5O12_thin_films_for_high_frequency_applications.pdf", "content": "1 \n Growth parameters of Bi 0.1Y2.9Fe5O12 thin films for high frequency \napplications \n \nGanesh Gurjar1,4, Vinay Sharma2, S. Patnaik1,*, Bijoy K. Kuanr3 \n1School of Physical S ciences, Jawaharlal Neh ru University, New Delhi, INDIA 110067 \n2Department of Physics, Morgan State University, Baltimore, MD, USA 21251 \n3Special C entre for Nanosciences, Jawaharlal Nehru University, New Delhi , INDIA 110067 \n4Shaheed Rajguru College of Applied Sciences for Women, University of Delhi, INDIA 110096 \n \n \nAbst ract \n \nThe growth and characterization of Bismuth (Bi) substituted YIG ( Bi-YIG, Bi0.1Y2.9Fe5O12) thin \nfilms are reported. Pulsed laser deposited (PLD) films with thicknesses ranging from 20 to 150 nm \nwere grown o n Gadolinium Gallium Garnet substrates . Two substrate orientations of (100) and \n(111) were considered . The enhanced distribution of Bi3+ ions at dodecahedral site along (111) is \nobserved to lead to an increment in lattice constant from 12.379 Å in (1 00) to 12.415 Å in (1 11) \norient ed films. Atomic force microscopy images show ed decreasing roughness with increasing \nfilm thickness. Compared to (100) grown films, (111) orient ed films showed an increase in \nferromagnetic resonance linewid th and consequent increase in Gilbert dampin g. The lowest \nGilbert damping values are found to be (1.06±0. 12) × 10-4 for (100) and (2.30±0. 36) × 10-4 for (111) \noriented films with thickness of ≈150 nm . The observed value s of extrinsic linewidth, effective \nmagnetization , and anisotropic field are related to thickness of the films and substrate orientation. \nIn addition, the in-plane angular variation establishe d four-fold symmetry for the (100) deposited \nfilms unlike the case of (111) deposited films. This study prescribes growth condition s for PLD \ngrow n single-crystalline Bi -YIG films towards desired high frequency and magneto -optic al device \napplications. \n \nKeyword s: Bi-Yttrium iron oxide; Thin film; Lattice mismatch; Pulsed Laser Deposition; \nFerromagnetic resonance; Gilbert damping; Inhomogeneous br oadening . \nCorresponding authors: spatnaik@mail.jnu.ac.in 2 \n 1.1 Introduction \n \n One of the most important magnetic materials for studying high frequency magnetization \ndynamics is the Yttrium Iron Garnet (YIG, Y 3Fe5O12). Thin film form of YIG have attracted a \nhuge attention in the field of spintronic devices due to its large spin -wave propagation length , high \nCurie temperature T c ≈ 560 K [1], lowest Gilbert damping and strong magneto -crystalline \nanisotropy [2-7]. Due to these merits of YIG, it finds several ap plications such as in magneto -\noptical (MO) devices, spin-caloritronics [8,9] , and microwave resonators and filters [10-14]. \n The crystal structure of YIG is body centered cubic under Ia3̅d space group . In Wyckoff \nnotation, t he yttrium (Y) ions are located at the dodecahedral 24c sites, whereas the Fe ions are \nlocated at two distinct sites ; octahedral 16a and tetrahedral 24d . The oxygen ions are located in \nthe 96h sites [7]. The ferrimagnetism of YIG is induced via a super -exchange interaction at the ‘d’ \nand ‘a’ site between the non-equivalent Fe3+ ions. It has already been observed that substituting \nBi/Ce for Y in YIG improves magneto -optical responsiv ity [13,15 -21]. In addition, Bi substitution \nin YIG (Bi -YIG) is known to generate growth -induced anisotropy, therefore, perpendicular \nmagnetic anisotropy (PMA) can be achieved in Bi doped YIG, which is beneficial in applications \nlike magnetic memory and logic devices [7,22,23] . Due to its u sage in magnon -spintronics and \nrelated disciplines such as caloritronics, the study of fundamental characteristics of Bi -YIG \nmaterials is of major current interest due to their high uniaxial anisotropy and F araday rotation \n[17, 24-27]. Variations in the concentration of Bi3+ in YIG, as well as substrate orientation and \nfilm thickness, can improve strain tuned structural properties and magneto -optic characteristics . \nAs a result, selecting the appropriate substrate orientation and film thickness is important for \nidentifying the growth of Bi-YIG thin films. 3 \n The structural and magnetic characteristics of Bi -YIG [Bi 0.1Y2.9Fe5O12] thin film have been \nstudied in the current study. Gadolinium Gallium Garnet (GGG) substrates with orientations of \n(100) and (111) were used to grow thin films . The Bi-YIG films of four different thickness (≈20 \nnm, 50 nm, 100 nm and 150 nm ) were deposited in -situ by pulsed laser deposit ion (PLD) method \n[19,2 8] over single -crystalline GGG substrates . Along with structural characterization of PLD \ngrown films , magnetic properties were ascertained by using vibrating sample magnetometer \n(VSM) in conjunction with ferromagnetic resonance (FMR) techniques. FMR is a highly effective \ntool for studying magnetization dynamics. The FMR response not only provides information about \nthe magnetization dynamic s of the material such as Gilbert damping and anisotropic field, but also \nabout the static magnetic properties such as saturation magnetization and anisotropy field. \n \n1.2 Experiment \nPolycrystalline YIG an d Bi -YIG targets were synthesized via the solid -state reaction \nmethod. Briefly, yttrium oxide (Y 2O3) and iron oxide (Fe 2O3) powders from Sigma -Aldrich were \ngrounded for ≈14 hours before calcination at 1100 ℃. The calcined powders were pressed into \npellets of one inch and sintered at 1300 ℃. Using these polycrystalline YIG and Bi -YIG targets, \nthin films of four thicknesses ( ≈20 nm, 50 nm, 100 nm, and 150 nm) were synthesized in -situ on \n(100) - and (111) -oriented GGG substrates using the PLD method. The samples are labelled in the \ntext as 20 nm (100), 20 nm (111) , 50 nm (100) , 50 nm (111), 100 nm (100) , 100 nm (111) , 150 nm \n(100), and 150 nm (111) . Before deposition, GGG substrates were cleaned in an ultrasonic bath \nwith acetone and isopropanol for 30 minute s. The deposition chamber was cleaned and evacuated \nto 5.3×10-7 mbar. For PLD growth, a 248 nm KrF excimer laser (Laser fluence (2.3 J cm-2) with \n10 Hz pulse rate was used to ablate the material from the target . Oxygen pressure, target -to-4 \n substrate distance, and substrate temperature were maintained at 0.15 mbar, 5.0 cm, and 825 oC, \nrespectively. Growth rate of deposited films were 6 nm/min . The as -grown films were annealed \nin-situ for 2 hours at 825 oC in the presence of oxygen (0.15 mbar). The structural characterization \nof thin films were ascertained using X -ray diffraction (XRD) with Cu-Kα radiation (1.5406 Å). We \nhave performed the XRD me asurement at room temperature in -2 geometry and incidence angle \nare 20 degrees. The film's surface morphology and thickness were estimated using atomic force \nmicroscopy (AFM) (WITec GmbH , Germany ). The magnetic properties were studied using a \nvibrating sample magnetomet ry (VSM) in Cryogenic 14 Tesla Physical Property Measurement \nSystem (PPMS). FMR measurements were done on a coplanar waveguide (CPW) in a flip -chip \narrangement with a dc magnetic field applied perpendicular to the high -frequency magnetic field \n(hRF). A Keysight Vector Network Analyzer was used for this purpose. The CPW was rotated in \nthe film plane from 0º to 360º for in -plane () measurement s and from 0º to 18 0º for out of plane \n(θ) measurement. \nIn this study, the thickness of Bi -YIG was determined by employing methods such as laser \nlithography and AFM. We have calibrated the thickness of thin films with PLD laser shots. \nPhotoresist by spin coating is applied to a silicon substrate, and then straight-line patterns were \ndrawn on the photoresist coated substrates using laser photolithography. The PLD technique was \nused to deposit thin films of the required material onto a pattern -drawn substrate. It is then \nnecessary to wet etch the PLD grown thin fi lm in order to remove the photoresist coating. Then, \nAFM tip is scanned over the line pattern region in order to estimate the thickness of the grown \nsamples from the AFM profile image. \n \n 5 \n 1.3 Results and Discussion \n \n1.3.1 Structural properties \n \nFigure 1 (a)-(d) show the XRD pattern of (100)- and (111)-oriented Bi-YIG grown thin \nfilms with thickness ≈20-150 nm (Insets depict the zoomed image of XRD patterns) . XRD data \nindicate single -crystalline growth of Bi -YIG thin films . Figures 1 (e) and 1 (f) show the l attice \nconstant and lattice mismatch (with respect to substrate) determined from XRD data, respectively . \nThe cubic lattice constant 𝒂 is calculated using the formula , \n𝒂=𝜆√ℎ2+𝑘2+𝑙2\n2sin𝜃 (1) \n where the wavelength of Cu -Kα radiation is represented by 𝜆, diffraction angle by 𝜃, and the Miller \nindices of the corresponding XRD peak by [h, k, l] . Further, the l attice mismatch parameter (𝛥𝑎\n𝑎) \nis calculated using the equation , \n 𝛥𝑎\n𝑎=(𝑎𝑓𝑖𝑙𝑚 − 𝑎𝑠𝑢𝑏𝑠𝑡𝑟𝑎𝑡𝑒 )\n𝑎𝑓𝑖𝑙𝑚 100 (2) \nHere lattice constant of film and substrate are represented by 𝑎𝑓𝑖𝑙𝑚 and 𝑎𝑠𝑢𝑏𝑠𝑡𝑟𝑎𝑡𝑒 , respectively . \nThe reported lattice constant values are consistent with prior findings [15,17,21]. Lattice constant \nslightly increases with the increase in thickness of the film in the case of (111) as compared to \n(100) . Since the distribution of Bi3+ in the dodecahedral sit e is dependent on the substrate \norientation [7,23,2 9], the (111) oriented films show an increase in the lattice constant . In Bi -YIG \nfilms, this slight increase in the lattice constant (in the 111 direction) leads to a \ncompar atively larger lattice mismatch as seen in Fig. 1 (f). For 50 nm (111) Bi -YIG film, we \nachieved a lattice mismatch of ~0.47 , which is close to what has been reported earlier [30,31]. 6 \n Smaller value of lattice mismatch can reduc e the damping constant of the film [31]. We want to \nunderline the importance of lattice plane dependen t growth in conjunction with film thickness in \nindicating structural and magnetic property changes. \n \n1.3.2 Surface morphology \n \nFigure 2 (a) -(h) shows room temperature AFM images with root mean square (RMS) \nroughness. Roughness is essential from an application standpoint because the roughness directly \nimpacts the inhomogeneous linewidth broadening which leads to increase in the Gil bert damping. \nWe have observed RMS roughness around 0.5 nm or less for all grown Bi -YIG films which are \ncomparable to previous reported YIG films [32,33]. We have observed that RMS roughness \ndecreases with increase in thickness of the film. With (100) and (111) orientations, there is no \ndiscernible difference in roughness. Furthermore, roughness would be more affected by changes \nin growth factors and by substrate orientation [7,33,34]. \n \n1.3.3 Static magnetization study \n \n The room temperature ( ≈296 K ) VSM magnetization measurements were carried out with \napplied magnetic field parallel to the film plane (in-plane) . The paramagnetic contribution s from \nthe GGG substrate were carefully subtracted. F igure 3 (a)-(h) show s the magnetization plot s of Bi-\nYIG thin films of thickness ≈20-150 nm . Inset of Fig. 3 (i) shows the measured saturation \nmagnetization ( µ0MS) data of as-grown (100) and (111) -oriented Bi -YIG films which are \nconsistent with the previous reports [6,17,22,3 5,36]. Figure 3 ( i) shows plot of µ0Ms × t Vs. t, \nwhere ‘t’ is film thickness . This is done t o determine thickness of dead layer via linear 7 \n extrapolati on plot to the x -axis. The obtaine d magnetic dead -layer for (100) and (111) -oriented \nGGG substrates are 2.88 nm and 5.41 nm , which are comparable to previous reports [37-39]. The \nsaturation magnetization of Bi -YIG films increases as the thickness of the films increases . The \nincrease in saturation magnetization with increase in thickness can be understood by the following \nways . Firstly, ferromagnetic thin films are generally deposited with a thin magnetically dead layer \nover the interface with the substrate. This magnetic dead layer effect is larger in thinner films that \nleads to the decrease in net magnetization with the decrease in thickness [40,41]. Figure 3 (i) shows \nthe effect of magnetic dead laye r region near to the substrate. Secondly, t hicker films exhibit the \nbulk effect of YIG which, in turn, results in increas ed magnetization. \n \n1.3.4 Ferromagnetic r esonance study \n \n Figure 4 (a) -(d) shows the FMR absorption spectra of (100) and (111) -oriented films that \nare labeled with open circle ( Ο) and open triangle ( Δ) respectively . FMR experiment s were carried \nout at room temperature. In -plane dc magnetic field was a pplied parallel to film surface . To find \nthe effective magnetization and Gilbert damping, the FMR linewidth (∆H) and resonance magnetic \nfield (H r) are calculated using a Lorentzian fit of the FMR absorption spectra measured at 𝑓 = 1 \nGHz to 12 GHz. Effective magnetization field ( 0𝑀𝑒𝑓𝑓) were obtained from the fitting of Kittel's \nin-plane equation (Eq. 3) [42]. \n𝑓=𝛾\n2𝜋0√(𝐻𝑟)(𝐻𝑟+𝑀𝑒𝑓𝑓) (3), \nHere, 0𝑀𝑒𝑓𝑓=0(𝑀𝑠−𝐻𝑎𝑛𝑖), anisotropy field 𝐻𝑎𝑛𝑖=2𝐾1\n0𝑀𝑠, and 𝛾 being the gyromagnetic \nratio. Further, the dependence of FMR linewidth on microwave frequency shows a linear variation \n(Eq. 4) [42] from which the Gilbert damping parameter (α) and FMR linewidth broad ening ( 𝛥𝐻 0) \nwere obtained: \nµ0𝛥𝐻=µ0𝛥𝐻 0+4𝜋𝛼\n𝛾𝑓 (4) 8 \n where, 𝛥𝐻 0 is the inhomogeneous broadening linewidth and α is the Gilbert damping. Figures 4 \n(e) and 4 (f) show Kittel and linewidth fitted graphs, respectively . Figure 5 (a) -(d) shows the \nderived parameters acquired from the FMR study. The estimated Gilbert damping is con sistent \nwith data reported for sp in-wave propagation [3,22] . The value of α decreases as the thickness of \nthe film increases ( Fig. 5 (c)). Howe ver, in the instance of Bi -YIG with (111) orientation, there is \na substantial increase. This might be attributed qualitatively to the presence of Bi3+ ions, which \ncause strong spin-orbit coupling [43-45] as well as electron scattering inside the lattice when the \nlattice mismatch (or strain) increases [46]. Our earlier study [7] revealed a clear distribution of \nBi3+ ions along (11 1) planes, as well as slightly larger lattice mismatch in Bi -YIG (111). These \nresults explain the larger values of Gilbe rt damping, 0𝑀𝑒𝑓𝑓, and ΔH 0 values in Bi -YIG (111) (Fig. \n5). The change in 0𝑀𝑒𝑓𝑓 is due to u niaxial in -plane magne tic anisotropy and it is observed from \nmagnetization measurements using 0𝑀𝑒𝑓𝑓=0(𝑀𝑠−𝐻𝑎𝑛𝑖) [36,47,48]. The enhanced \nanisotropy field in the lower thic kness of Bi -YIG ( Fig. 5 (d) ) signifies the effect of dead magnetic \nlayer at the interface. The lattice mismatch between films and GGG substrates induces uniaxial in -\nplane magnetic anisotropy [36,47]. ΔH 0 has a magnitude that is similar to previously published \nvalues for the same substrate orientation [7,47]. In conclusion, Bi -YIG with (100) orientation \nproduces the lowest Gilbert damping facto r and inhomogeneous broadening linewidth . These are \nthe required optimal parameters for spintronics based devices. \n Figure 6 (a) shows the variation of resonance field with polar angle ( ) for the grown 20 \nnm-150 nm films , H is the angle measured between applied magnetic field and surface of film \n(shown in inset of Fig. 4 (a)). The FMR linewidth (ΔH) were extracted fr om fitting of FMR spectra \nwith L orentzian absorption functions. From Fig. 6 (a), we observe change in H r value for 50 nm \nBi-YIG film as 0.22 T and 0.27 T for (100) and (111) orientation respectively. Similarly, 0.21 T \nand 0.31 T change is observed in (100) and (111) orientation respectively for 100 nm Bi -YIG film . \nWe see that H r increases slightly in case o f (111) oriented film by changing the di rection of H from \n0º to 90º with regard to sample surface (inset of Fig. 4 (a)). The change in H r decreases with \nincrease in film thickness in cas e of (100) while it is reversed in case of (111 ). Figure 6 (b) shows 9 \n the variation of FMR linewidth with polar angle for 150 nm Bi -YIG film . Maximum FMR \nlinewidth is observed at 90º and it is slightly more as compared with (100) orientation. The \nenhanced variation of FMR linewidth in (111) oriented samples is generated due to the higher \ncontribution of two -magnon scatte ring in perpendicular geometry [49]. This can be understood \ndue to the higher anisotropy field in (111) oriented samples ( Fig. 5 (d)). \n Figure 6 (c) & (e) shows the azimuthal angle ( ) variation of H r. Frequency of 5 GHz is \nused in the measurement . From variation data (by changing the direction of H from 0 º to 360 \nwith regard to sample surface (inset of Fig. 4 (a)). We can see clearly in-plane anisotropy of four-\nfold in Bi-YIG (100) (Fig. 6 (c)) unlike in Bi-YIG (111) (Fig. 6 (e)). According to crystalline \nsurface symmetry there would be six -fold in -plane anisotropy in case of (111) orientation but we \nhave not observe d it, based on previous reports, it can be superseded by a mis cut-induced uniaxial \nanisotropy [33,50]. This reinforces our grown films' single -crystalline nature . The observed change \nin H r (H=0 to 45) is 6 .6 mT in 50 nm (100 ), 0.17 mT for 50 nm (111) , 6.2 mT in 100 nm (100) , \n0.17 mT for 100 nm (111) ) and 5.1 mT in 150 nm (100 ). As a result, during in -plane rotation, the \nhigher FMR field change observed along the (100) orientation. The dependent FMR field data \nshown in figure 6 (c) were fitted using the following Kittel relation [50] \n𝑓=𝛾\n2𝜋0√([𝐻𝑟cos(𝐻−𝑀)+𝐻𝑐cos4(𝑀−𝐶)+𝐻𝑢cos2(𝑀−𝑢)])×\n(𝐻𝑟cos(𝐻−𝑀)+𝑀𝑒𝑓𝑓+1\n4𝐻𝑐(3+cos4(𝑀−𝐶))+𝐻𝑢𝑐𝑜𝑠2(𝑀−𝑢)) (5) \nWith respect to the [100] direction of the GGG substrate, in -plane directions of the magnetic field, \nmagnetization, uniaxial, and cubic anisotropies are given by H, M, u and c, respectively. \n𝐻𝑢=2𝐾𝑢\nµ0𝑀𝑠 and 𝐻𝑐=2𝐾𝑐\nµ0𝑀𝑠 correspond to the uniaxial and cubic anisotropy fields, respectively, \nwith 𝐾𝑢 and 𝐾𝑐 being the uniaxial and cubic magnetic anisotropy constants, respectively. 10 \n Figure 6 (d) shows t he obtained uniaxial anisotropy field, cubic anisotropy field and saturation \nmagnetization field for (100) orientation. The obtained saturation magnetization field follows the \nsame pattern as we have obtained from the VSM measurements. The cubic anisotropy field \nincreases and then saturates with the thickness of the film. A large drop in the uniaxial anisotropy \nfield is observed with the thickness of the grown films. We have not got the in -plane angular \nvariation data for the 20 nm thick Bi -YIG sample and m ay be due to the low thickness of the Bi -\nYIG, it is not detected by our FMR setup. \n \n1.4 Conclusion \n In conclusion, we compare the properties of high-quality Bi -YIG thin films of four distinct \nthicknesses (20 nm, 50 nm, 100 nm, and 150 nm) grown on GGG substrates with orientations of \n(100) and (111). Pulsed laser deposition was used to synthesize the se films. AFM and XRD \ncharacterizations reveal th at the deposited thin films have smooth surfaces and are phase pure. \nAccording to FMR data, t he Gilbert damping value decreases with increase in film thickness . This \nis explained i n the context of a dead m agnetic layer . The (100) orientation has a lower va lue of \nGilbert damping, indicating that it is the preferable substrate for doped YIG thin films for high \nfrequency application . Bi-YIG on (111) orientation , on the other hand, exhibits anisotropic \ndominance, which is necessary for magneto -optic devices. Th e spin -orbit coupled Bi3+ ions are \nresponsible for the enhanced Gilbert damping in (111). We have also correlated ∆H 0, anisotropic \nfield, and effective magnetization to the variations in film thickness and substrate ori entation . In \n(100) oriented films, there is unambiguous observation of four-fold in -plane anisotropy. In \nparticular, Bi-YIG grown on (111) GGG substrates yields best result for optim al magnetization \ndynamics. This is linked to an enhanced magnetic anisotropy. Therefore, proper substrate 11 \n orientation and thickness are found to be important parameters for growth of Bi-YIG thin film \ntowards high frequency applications. \n \nAcknowledgments \nThis work is supported by the MHRD -IMPRINT grant, DST (SERB, AMT , and PURSE -\nII) gran t of Govt. of India. Ganesh Gurjar acknowledges CSIR, New Delhi for financial support . \nWe acknowledge AIRF, JNU for access of PPMS facility. \n 12 \n References \n[1] V. Cherepanov, I. Kolokolov, V. L’vov, The saga of YIG: Spectra, thermodynamics, \ninteraction and relaxation of magnons in a complex magnet, Phys. Rep. 229 (1993) 81 –\n144. https://doi.org/10.1016/0370 -1573(93)90107 -O \n[2] S.A. Manuilov, C.H. Du, R. Adur, H.L. Wang, V.P. Bhallamudi, F.Y. Yang, P.C. Hammel, \nSpin pumping from spinwaves in th in film YIG, Appl. Phys. Lett. 107 (2015) 42405. \nhttps://doi.org/10.1063/1.4927451 \n[3] C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt, M. Qaid, H. Deniz, D. Hesse, M. \nSawicki, S.G. Ebbinghaus, G. Schmidt, Yttrium Iron Garnet Thin Films with Very Low \nDamping Obtained by Recrystallization of Amorphous Material, Sci. Rep. 6 (2016) 20827. \nhttps://doi.org/10.1038/srep20827. \n[4] A.A. Serga, A. V Chumak, B. Hillebrands, YIG magnonics, J. Phys. D. Appl. Phys. 43 \n(2010) 264002. https://doi.org/10.1088/0022 -3727/43/26/260301 \n[5] W.T. Ruane, S.P. White, J.T. Brangham, K.Y. Meng, D. V Pelekhov, F.Y. Yang, P.C. \nHammel, Controlling and patterning the effective magnetization in Y 3Fe5O12 thin films \nusing ion irradiation, AIP Adv. 8 (2018) 56007. https://doi.org/10.106 3/1.5007058 \n[6] T. Liu, H. Chang, V. Vlaminck, Y. Sun, M. Kabatek, A. Hoffmann, L. Deng, M. Wu, \nFerromagnetic resonance of sputtered yttrium iron garnet nanometer films, J. Appl. Phys. \n115 (2014) 87 –90. https://doi.org/10.1063/1.4852135. \n[7] G. Gurjar, V. Sharma, S. Patnaik, B.K. Kuanr, Control of magnetization dynamics by \nsubstrate orientation in YIG thin films, Mater. Res. Express. (2021). \nhttp://iopscience.iop.org/article/10.1088/2053 -1591/ac0311. 13 \n [8] G.E.W. Bauer, E. Saitoh, B.J. Van Wees, Spin caloritr onics, Nat. Mater. 11 (2012) 391 –\n399. https://doi.org/10.1038/nmat3301 . \n[9] F. Mohmed, Y. -H. Lin, Investigation of spin Seebeck effect and magnetic damping in \nnanometer thick Ce 0.5Y2.5Fe5O12 films, Appl. Surf. Sci. 480 (2019) 1025 –1034. \nhttps://doi.org/10. 1016/j.apsusc.2019.02.155. \n[10] I. Zutic , H. Dery , Spintronics: Taming spin currents, Nat. Mater. 10 (2011) 647 –648. \nhttps://doi.org/10.1038/nmat3097 . \n[11] V. Sharma, J. Saha, S. Patnaik, B.K. Kuanr, YIG based broad band microwave absorber: \nA perspective o n synthesis methods, J. Magn. Magn. Mater. 439 (2017) 277 –286. \nhttps://doi.org/10.1016/j.jmmm.2017.04.098. \n[12] S.-Y.S.Y. Huang, X. Fan, D. Qu, Y.P.P. Chen, W.G.G. Wang, J. Wu, T.Y.Y. Chen, J.Q.Q. \nXiao, C.L.L. Chien, Transport magnetic proximity effects in platinum, Phys. Rev. Lett. \n109 (2012) 107204. https://doi.org/10.1103/PhysRevLett.109.107204. \n[13] A. Sposito, S.A. Gregory, P.A.J. de Groot, R.W. Eason, Combinatorial pulsed laser \ndeposition of doped yttrium iron garnet films on yttrium aluminium garnet, J. Appl. Phys. \n115 (2014) 53102. https://doi.org/10.1063/1.4864134 \n[14] J.C. Butler, J.J. Kramer, R.D. Esman, A.E. Craig, J.N. Lee, T. Ryuo, Microwave and \nmagneto -optic properties of bismuth -substituted yttrium iron garnet thin films, J. Appl. \nPhys. 67 (1 990) 4938 –4940. https://doi.org/10.1063/1.344738 \n[15] T. Fakhrul, S. Tazlaru, L. Beran, Y. Zhang, M. Veis, C.A.A. Ross, Magneto -Optical Bi: \nYIG Films with High Figure of Merit for Nonreciprocal Photonics, Adv. Opt. Mater. 7 \n(2019) 1900056. https://doi.org/ 10.1002/adom.201900056. 14 \n [16] M. Niyaifar, H. Mohammadpour, Study on magnetic role of Bi3+ ion by random cation \ndistribution model in Bi -YIG system, J. Magn. Magn. Mater. 396 (2015) 65 –70. \nhttps://doi.org/10.1016/j.jmmm.2015.08.009 \n[17] L. Soumah, N. Beauli eu, L. Qassym, C. Carrétéro, E. Jacquet, R. Lebourgeois, J. Ben \nYoussef, P. Bortolotti, V. Cros, A. Anane, J. Ben Youssef, P. Bortolotti, V. Cros, A. Anane, \nUltra -low damping insulating magnetic thin films get perpendicular, Nat. Commun. 9 \n(2018) 1 –6. http s://doi.org/10.1038/s41467 -018-05732 -1. \n[18] S. Higuchi, K. Ueda, F. Yahiro, Y. Nakata, H. Uetsuhara, T. Okada, M. Maeda, \nFabrications of cerium -substituted YIG thin films for magnetic field sensor by pulsed -laser \ndeposition, IEEE Trans. Magn. 37 (2001) 24 51–2453. https://doi.org/10.1109/20.951200. \n[19] H. Hayashi, S. Iwasa, N.J.J. Vasa, T. Yoshitake, K. Ueda, S. Yokoyama, S. Higuchi, H. \nTakeshita, M. Nakahara, Fabrication of Bi -doped YIG optical thin film for electric current \nsensor by pulsed laser deposit ion, Appl. Surf. Sci. 197 (2002) 463 –466. \nhttps://doi.org/10.1016/S0169 -4332(02)00364 -1. \n[20] F. Mohmed, Y.H. Lin, Investigation of spin Seebeck effect and magnetic damping in \nnanometer thick Ce 0.5Y2.5Fe5O12 films, Appl. Surf. Sci. 480 (2019) 1025 –1034. \nhttps://doi.org/10.1016/j.apsusc.2019.02.155. \n[21] M. Veis, E. Liskova , R. Anto s, S. Visnovsky, N. Kumar, D.S. Misra, N. Venkataramani, \nS. Prasad, R. Krishnan, Polar and longitudinal magneto -optical spectrosco py of bismuth \nsubstituted yttrium iron garnet films grown by pulsed laser deposition, Thin Solid Films. \n519 (2011) 8041 –8046. https://doi.org/10.1016/j.tsf.2011.06.007. \n[22] Y. Lin, L. Jin, H. Zhang, Z. Zhong, Q. Yang, Y. Rao, M. Li, Bi -YIG ferrimagnetic i nsulator \nnanometer films with large perpendicular magnetic anisotropy and narrow ferromagnetic 15 \n resonance linewidth, J. Magn. Magn. Mater. 496 (2020) 165886. \nhttps://doi.org/10.1016/j.jmmm.2019.165886. \n[23] E.M. Gyorgy, A. Rosencwaig, E.I. Blount, W.J. Tabo r, M.E. Lines, G eneral conditions for \ngrowth -induced anisotropy in garnets , Appl. Phys. Lett. 18 (1971) 479 –480. \nhttps://doi.org/10.1063/1.1653503 \n[24] H. Lee, Y. Yoon, S. Kim, H. K. Yoo, H. Melikyan, E. Danielyan, A. Babajanyan, T. \nIshibashi, B. Friedman, K. Lee, Preparation of bismuth substituted yttrium iron garnet \npowder and thin film by the metal -organic decomposition method, J. Cryst. Growth. 329 \n(2011) 27 –32. https://doi.org/10.1016/j.jcrysgro.2011.06.048 \n[25] Y. Yang, T. Liu, L. Bi, L. Deng, Recent advances in development of magnetic garnet thin \nfilms for applications in spintronics and photonics, J. Alloys Compd. 860 (2021) 158235. \nhttps://doi.org/10.1016/j.jallcom.2020.158235 \n[26] E. Lage, L. Beran, A.U. Quindeau, L. Ohnoutek, M. Kucera, R. Antos, S.R. Sani, G.F. \nDionne, M. Veis, C.A. Ross, Temperature -dependent Faraday rotation and magnetization \nreorientation in cerium -substituted yttrium iron garnet thin films, APL Mater. 5 (2017) \n36104. https://doi.org/10.1063/1.4976817 \n[27] E. Popova, A.F.F. Galeano, M. Deb, B. Warot -Fonrose, H. Kachkachi, F. Gendron, F. Ott, \nB. Berini, N. Keller, Magnetic anisotropies in ultrathin bismuth iron garnet films , Journal \nof magnetism and magnetic materials 335 (2013) 139 -143. \nhttps://doi.org/10.10 16/j.jmmm.2013.02.003 \n[28] Y. Lin, L. Jin, H. Zhang, Z. Zhong, Q. Yang, Y. Rao, M. Li, Bi -YIG ferrimagnetic insulator \nnanometer films with large perpendicular magnetic anisotropy and narrow ferromagnetic 16 \n resonance linewidth, J. Magn. Magn. Mater. 496 (2020 ) 165886. \nhttps://doi.org/10.1016/j.jmmm.2019.165886. \n[29] H. Callen, On growth -induced anisotropy in garnet crystals, Mater. Res. Bull. 6 (1971) \n931–938. https://doi.org/10.1016/0025 -5408(71)90071 -7 \n[30] B. Bhoi, B. Sahu, N. Venkataramani, R. Aiyar, S. Pr asad, Preparation of low microwave \nloss YIG thin films by pulsed laser deposition, IEEE Trans. Magn. 51 (2015) 1 –4. \n10.1109/TMAG.2015.2434850 \n[31] X. Liu, Q. Yang, D. Zhang, Y. Wu, H. Zhang, Magnetic properties of bismuth substituted \nyttrium iron garnet fi lm with perpendicular magnetic anisotropy, AIP Adv. 9 (2019) \n115001. https://doi.org/10.1063/1.5122998 \n[32] M.C. Onbasli, A. Kehlberger, D.H. Kim, G. Jakob, M. Kl aui, A. V. Chumak, B. \nHillebrands, C.A. Ross, Pulsed laser deposition of epitaxial yttrium iro n garnet films with \nlow Gilbert damping and bulk -like magnetization, APL Mater. 2 (2014). \nhttps://doi.org/10.1063/1.4896936. \n[33] A. Kehlberger, K. Richter, M.C. Onbasli, G. Jakob, D.H. Kim, T. Goto, C.A. Ross, G. \nGötz, G. Reiss, T. Kuschel, others, Enhanc ed magneto -optic Kerr effect and magnetic \nproperties of CeY 2Fe5O12 epitaxial thin films, Phys. Rev. Appl. 4 (2015) 14008. \nhttps://doi.org/10.1103/PhysRevApplied.4.014008 \n[34] S. Leitenmeier, T. Korner, J. Griesbauer, M. Herbort, A. Heinrich, and B. Stritzker, Studies \non the growth of epitaxial bismuth -substituted iron garnet on gadolinium gallium garnet \nsingle crystals by pul sed laser deposition , Journal of Crystal Growth 310.24 (2008) 5392 -\n5401. https://doi.org/10.1016/j.jcrysgro.2008.08.058 17 \n [35] A.A. Jalali -Roudsar, V.P. Denysenkov, S.I. Khartsev, A.M. Grishin, N. Adachi, T. Okuda, \nMicrowave and magneto -optic properties of p ulsed laser deposited bismuth iron garnet \nfilms, IEEE Trans. Magn. 37 (2001) 2454 –2456. https://doi.org/10.1109/20.951201. \n[36] B. Bhoi, B. Kim, Y. Kim, M. -K. Kim, J. -H. Lee, S. -K. Kim, Stress -induced magnetic \nproperties of PLD -grown high -quality ultrathin YIG films, J. Appl. Phys. 123 (2018) \n203902. https://doi.org/10.1063/1.5031198 \n[37] Z. Fang, A. Mitra, A.L. Westerman, M. Ali, C. Ciccarelli, O. Cespedes, B.J. Hickey, A.J. \nFerguson, Thickness dependence study of current -driven ferromagnetic resonance in \nY3Fe5O12/heavy metal bilayers, Appl. Phys. Lett. 110 (2017) 92403. \nhttps://doi.org/10.1063/1.4977490 \n[38] S.M. Suturin, A.M. Korovin, V.E. Bursian, L. V Lutsev, V. Bourobina, N.L. Yakovlev, M. \nMontecchi, L. Pasquali, V. Ukleev, A. Vorobiev, others, Role of gallium diffusion in the \nformation of a magnetically dead layer at the Y3Fe5O12/Gd 3Ga5O12 epitaxial interface, \nPhys. Rev. Mater. 2 (2018) 104404. \nhttps://doi.org/10.48550/arXiv.1811.01321 \n[39] J.F.K. Cooper, C.J. Kinane, S. Langridge, M. Ali, B.J. Hickey , T. Niizeki, K. Uchida, E. \nSaitoh, H. Ambaye, A. Glavic, Unexpected structural and magnetic depth dependence of \nYIG thin films, Phys. Rev. B. 96 (2017) 104404. \nhttps://doi.org/10.1103/PhysRevB.96.104404 \n[40] A. Mitra, O. Cespedes, Q. Ramasse, M. Ali, S. M armion, M. Ward, R.M.D. Brydson, C.J. \nKinane, J.F.K. Cooper, S. Langridge, others, Interfacial origin of the magnetisation \nsuppression of thin film yttrium iron garnet, Sci. Rep. 7 (2017) 1 –8. \nhttps://doi.org/10.1038/s41598 -017-10281 -6 18 \n [41] H. Bai, X.Z. Zhan, G. Li, J. Su, Z.Z. Zhu, Y. Zhang, T. Zhu, J.W. Cai, Characterization of \nYIG thin films and vacuum annealing effect by polarized neutron reflectometry and \nmagnetotransport measurements, Appl. Phys. Lett. 115 (2019) 182401. \nhttps://doi.org /10.1063/1.5124832 \n[42] Y. Sun, Y.Y. Song, H. Chang, M. Kabatek, M. Jantz, W. Schneider, M. Wu, H. Schultheiss, \nA. Hoffmann, Growth and ferromagnetic resonance properties of nanometer -thick yttrium \niron garnet films, Appl. Phys. Lett. 101 (2012). https://d oi.org/10.1063/1.4759039. \n[43] R. Kumar, B. Samantaray, Z. Hossain, Ferromagnetic resonance studies of strain tuned Bi: \nYIG films, J. Phys. Condens. Matter. 31 (2019) 435802. https://doi.org/10.1088/1361 -\n648X/ab2e93 \n[44] E. Jesenska, T. Yoshida, K. Shinoza ki, T. Ishibashi, L. Beran, M. Zahradnik, R. Antos, M. \nKučera, M. Veis, Optical and magneto -optical properties of Bi substituted yttrium iron \ngarnets prepared by metal organic decomposition, Opt. Mater. Express. 6 (2016) 1986 –\n1997. https://doi.org/10.1364/ OME.6.001986 \n[45] J. Yang, Y. Xu, F. Zhang, M. Guillot, The effects of the spin --orbit coupling strength of \nthe two configurations of rare -earth ions on the magneto -optical properties in garnets, J. \nPhys. Condens. Matter. 18 (2006) 9287. https://doi.org/10 .1088/0953 -8984/18/40/013 \n[46] M.A.W. Schoen, D. Thonig, M.L. Schneider, T.J. Silva, H.T. Nembach, O. Eriksson, O. \nKaris, J.M. Shaw, Ultra -low magnetic damping of a metallic ferromagnet, Nat. Phys. 12 \n(2016) 839 –842. https://doi.org/10.1038/nphys3770 \n[47] N. S. Sokolov, V. V. Fedorov, A. M. Korovin, S. M. Suturin, D. A. Baranov, S. V Gastev, \nB. B. Krichevtsov, K. Yu. Maksimova, A. I. Grunin, V. E. Bursian, L. V. Lutsev, and M. \nTabuchi , Thin yttrium iron garnet films g rown by pulsed laser deposition: Crystal structure, 19 \n static, and dynamic magnetic properties, J. Appl. Phys. 119 (2016) 23903. \nhttps://doi.org/10.1063/1.4939678. \n[48] P. Hansen, K. Witter, Growth -induced uniaxial anisotropy of bismuth -substituted iron -\ngarne t films, J. Appl. Phys. 58 (1985) 454 –459. https://doi.org/10.1063/1.335645 \n[49] M.J. Hurben, C.E. Patton, Theory of two magnon scattering microwave relaxation and \nferromagnetic resonance linewidth in magnetic thin films, J. Appl. Phys. 83 (1998) 4344 –\n4365 . https://doi.org/10.1063/1.367194 \n[50] S. Akansel, A. Kumar, N. Behera, S. Husain, R. Brucas, S. Chaudhary, and P. Svedlindh, \nThickness -dependent enhancement of damping in Co 2FeAl/β -Ta thin films , Physical \nReview B 97.13 (2018): 134421. https://doi.org/10 .1103/PhysRevB.97.134421 \n \n \n \n \n \n \n 20 \n List of f igure caption s \n \nFigure 1: (a)-(d) X -ray diffraction (XRD) patterns of 20 nm -150 nm Bi -substituted YIG films in \n(100) and (111) orientations. Insets in (a) -(d) depict the zoomed image of XRD patterns. Variation \nof lattice constant (e) and (f) lattice mismatch with thickness are shown . \n \nFigure 2: (a)-(h) A tomic force microscopy images of 20 nm -150 nm Bi -YIG film in (100) and \n(111) orientations are shown . \n \nFigure 3: (a)-(h) Static magnetization graph of 2 0 nm -150 nm Bi-substituted YIG (Bi-YIG) films \nin (100) and (111) orientations. ( i) Graph to determine the magnetic dead -layer thickness of Bi -\nYIG films on (100) and (111) -oriented GGG substrates is depicted (inset shows the variation of \nsaturation magnetiz ation value with the film thickness). \n \nFigure 4: (a)-(d) Ferromagnetic resonance ( FMR ) absorption spectra of 20 nm -150 nm Bi-\nsubstituted YIG films with (100) and (111) orientations. Inset in (a) shows the geometry of an \napplied field angle measured from the sample surface. (e) shows frequency -dependent FMR \nmagnetic field data fitted with Kittel Eq. 3 . (f) shows frequency -dependent FMR linewidth data \nfitted with Eq. 4 . \n \nFigure 5: Variation s of (a) extrinsic linewidth, (b) effective magnetization, (c) Gilbert damping, \nand (d) magnetic anisotropy with thickness for (100) and (111) oriented Bi-substituted YIG films \nare depicted . 21 \n Figure 6: (a) Angular variation of Ferromagnetic resonance (FMR) magnetic field for 20 nm -150 \nnm Bi -substituted YIG (Bi -YIG) film with (100) and (111) orientations is shown. (b) Angular \nvariation of FMR linewidth of 150 nm thick Bi -YIG film with (100) and (111) orientation is \nshown. Variations of FMR magnetic field as a function of azimuthal angle ( ) for (c) 50 nm, 100 \nnm and 150 nm Bi -YIG film with (100) orientation is depicted (d) obtained uniaxial anisotropy \nfield, cubic anisotropy field and saturation magnetization field for (100) orientation. (e) \ndependent FMR fi eld data for 50 nm and 100 nm Bi -YIG film with (111) orientation is depicted. \n \n \n 22 \n Figure 1 \n \n \n \n \n \n \n23 \n \nFigure 2 \n \n \n24 \n \nFigure 3 \n \n25 \n Figure 4 \n \n26 \n \nFigure 5 \n \n \n \n \n \n \n \n \n \n \n27 \n \nFigure 6 \n \n \n" }, { "title": "2306.04390v1.Gain_assisted_controllable_fast_light_generation_in_cavity_magnomechanics.pdf", "content": "arXiv:2306.04390v1 [physics.optics] 7 Jun 2023Gain assisted controllable fast light generation in cavity magnomechanics\nSanket Das,1Subhadeep Chakraborty,2and Tarak N. Dey1,∗\n1Department of Physics, Indian Institute of Technology Guwa hati, Guwahati-781039, Assam, India.\n2Centre for Quantum Engineering Research and Education,\nTCG Centres for Research and Education in Science and Techno logy, Sector V, Salt Lake, Kolkata 70091, India\n(Dated: June 8, 2023)\nWe study the controllable output field generation from a cavi ty magnomechanical resonator\nsystem that consists of two coupled microwave resonators. T he first cavity interacts with a\nferromagnetic yttrium iron garnet (YIG) sphere providing t he magnon-photon coupling. Under\npassive cavities configuration, the system displays high ab sorption, prohibiting output transmission\neven though the dispersive response is anamolous. We replac e the second passive cavity with an\nactive one to overcome high absorption, producing an effecti ve gain in the system. We show that\nthe deformation of the YIG sphere retains the anomalous disp ersion. Further, tuning the exchange\ninteraction strength between the two resonators leads to th e system’s effective gain and dispersive\nresponse. As a result, the advancement associated with the a mplification of the probe pulse can\nbe controlled in the close vicinity of the magnomechanical r esonance. Furthermore, we find the\nexistence of an upper bound for the intensity amplification a nd the advancement of the probe\npulse that comes from the stability condition. These finding s may find potential applications for\ncontrolling light propagation in cavity magnomechanics.\nI. INTRODUCTION\nCavity magnonics [1, 2], has become an actively pur-\nsued field of research due to its potential application in\nquantum information processing [3, 4]. The key con-\nstituent to such systems is a ferrimagnetic insulator with\nhigh spin density and low damping rate. It also supports\nquantized magnetization modes, namely, the magnons\n[5, 6]. With strongly coupled magnon-photon modes,\ncavity magnonics is an excellent platform for studying\nall the strong-coupling cavity QED effects [7]. Besides\noriginating from the shape deformation of the YIG, the\nmagnon can also couple to a vibrational or phonon mode\n[5]. This combined setup of magnon-photon-phonon\nmodes, namely the cavity magnomechanics, has already\ndemonstrated magnomechanically induced transparency\n[5], magnon-induced dynamical backaction [8], magnon-\nphoton-phonon entanglement [9, 10], squeezed state gen-\neration [11], magnomechanical storage and retreival of a\nquantum state [12].\nRecently, PT-symmetry drew extensive attention to\nelucidate the dynamics of a coupled system character-\nized by gain and loss [13, 14]. Here, Pstands for the\nparity operation, that results in an interchange between\nthe twoconstituentmodes ofthe system. Thetime rever-\nsal operator Ttakesito−i.PT-symmetry demands the\nHamiltonian is commutative with the joint PToperators\ni.e.,[H,PT] = 0. This system possesses a spectrum of\nentirely real and imaginary eigenvalues that retain dis-\ntinguishable characteristics [15]. The point separating\nthese two eigenvalues is the exceptional point (EP) [16]\nwhere the two eigenvalues coalesce, and the system de-\ngenerates. Anaturaltestbed for PT-symmetricHamilto-\n∗tarak.dey@iitg.ac.innian is optical as well as quantum optical systems [17–19]\nwhich alreadyled to the demonstrationof someofthe ex-\noticphenomena,likenonreciprocallightpropagation[20],\nunidirectional invisibility [21, 22], optical sensing and\nlight stopping [23]. Very recently, a tremendous effort\nhas been initiated to explore non-Hermitian physics in\nmagnon assisted hybrid quantum systems. The second-\norder exceptional point is detected in a two-mode cavity-\nmagnoic system, where the gain of the cavity mode is ac-\ncomplished by using the idea of coherent perfect absorp-\ntion [24]. The concept of Anti- PTsymmetry has been\nrealized experimentally [25], where the adiabatic elim-\nination of the cavity field produces dissipative coupling\nbetween two magnon modes. Beyond the unique spectral\nresponses, these non-Hermitian systems can manipulate\nthe output microwavefield transmission[26, 27]. Theun-\nderlying mechanism behind such an application is mag-\nnetically induced transparency[5, 28], where the strong\nmagnon-photoncouplingproducesanarrowspectral hole\ninside the probe absorption spectrum. Further studies\nin this direction establish the importance of the weak\nmagnon-phonon coupling to create double transmission\nwindows separated by an absorption peak. Moreover,\nmanipulating the absorption spectrum is also possible by\nvarying the amplitude and phase of the applied magnetic\nfield [29].\nIt is well established over the past decade that op-\ntomechanically induced transparency (OMIT) [30–32] is\nan essential tool for investigating slow light [33] and light\nstorage [34, 35] in cavity. In addition, incorporating PT-\nsymmetry in optomechanical systems, provides a better\ncontrollability of light transmission [36, 37] and produces\nsubluminal to superluminal light conversion. Nonethe-\nless, their proposals may find experimental challenges\nas the gain of the auxiliary cavity can lead the whole\nsystem to instability [38]. An eminent advantage of the2\nmagnomechanical system over the optomechanical sys-\ntem is that it offers strong hybridization between the\nmagnon-photon mode. The magnomechanical systems\noffer better tunability as an external magnetic field can\nvary the magnon frequency. Exploiting these advan-\ntages, aPT-symmetry-like magnomechanicalsystem can\nbe constructed by resonantly driving the YIG sphere to\nan active magnon mode [39]. The controllable sideband\ngeneration with tunable group delay can be feasible by\nchanging the power of the control field.\nThis paper investigates a controllable advancement\nand transmission of the microwave field from a coupled\ncavity magnomechanical system. Optical coupling be-\ntween a passive cavity resonator containing YIG sphere\nand a gain-assisted auxiliary cavity can form a coupled\ncavity resonator. An external drive has been used to\ndeform the YIG sphere’s shape, resulting in the magnon-\nphonon interaction in the passive cavity. We show how\nthe gain of the auxiliarycavityhelps to overcomeabsorp-\ntive behaviourin our hybrid system. As a result, the out-\nput microwave field amplifies at the resonance condition.\nMoreover, the weak magnon-phonon interaction exhibits\nanomalous dispersion accompanied by a gain spectrum,\ndemonstrating superluminal light. We also examine how\nthe slope of the dispersion curve can be controlled by\ntuning the photon hopping interaction strength between\nthe two cavities.\nThe paper is organized as follows. In Section II, a the-\norical model for the coumpound cavity magnomechanical\nsystem with PT-symmetric resonator is described. The\nHeisenserg equations of motion to govern the expecta-\ntion values of operators of every system are derived in\nthis Section. In Section IIIA, we analyse the stability\ncriteria of the model system and examine the effect of\nthe auxiliary cavity gain on the absorptive and disper-\nsive response of the system in Section IIIB. Section IIIC\ndiscusses the output probe field transmission. Further,\nthe group velocity of the optical probe pulse has been\nstudied analytically and verified numerically in Section\nIIID. Finally, we draw our conclusions in Section IV.\nII. THEORETICAL MODEL\nRecently, there has been a growing interest in real-\nizing a gain in different components of cavity magnon-\nics systems [24, 39]. In this work, we investigate the\neffect of medium gain on the probe response and its\ntransmission. The system under consideration is a hy-\nbrid cavity magnomechanical system that consists of two\ncoupled microwave cavity resonators. One of the res-\nonators is passive and contains a YIG sphere inside it.\nWe refer to this resonator as a cavity magnomechanical\n(CMM) resonator. Applying a uniform bias magnetic\nfield to the YIG sphere excites the magnon mode. The\nmagnon mode, in turn, couples with the cavity field by\nthe magnetic-dipole interaction. Nonetheless, the exter-\nnalbiasmagneticfieldresultsinshapedeformationofthea1a2J\nεc,ωl\nεp,ωp\nκ1 κ2B0\nFIG. 1. The schematic diagram of a hybrid cavity mag-\nnomechanical system. The system consists of two coupled\nmicrowave cavities. One of them is passive, and another\none is active. The passive cavity contains a ferromagnetic\nYIG sphere inside it. The applied bias magnetic field pro-\nduces the magnetostrictive interaction between magnon and\nphonon. The coupling rates between the magnon-photon and\nmagnon-phonon are gmaandgmb, respectively. Strong con-\ntrol field of frequency ωland a weak probe field of frequency\nωpare applied to the passive cavity.\nYIG sphere, leading to the magnon-phonon interaction.\nThe second resonator (degenerate with the first one) is\ncoupled to the first resonator via optical tunnelling at a\nrateJ. Two input fields drive the first resonator. The\namplitude of the control, εl, and probe fields, εp, are\ngiven byεi=/radicalbig\nPi/ℏωi,(i∈l,p) withPiandωibeing\nthe power and frequency of the respective input fields.\nThe Hamiltonian of the combined system can be written\nas\nH=ℏωca†\n1a1+ℏωca†\n2a2+ℏωmm†m+ℏωbb†b\n+ℏJ(a†\n1a2+a†\n2a1)+ℏgma(a†\n1m+a1m†)\n+ℏgmbm†m(b†+b)+iℏ/radicalbig\n2ηaκ1εl(a†\n1e−iωlt−a1eiωlt)\n+iℏ/radicalbig\n2ηaκ1εp(a†\n1e−iωpt−a1eiωpt), (1)\nwherethe firstfourtermsoftheHamiltoniandescribethe\nfree energy associated with each system’s constituents.\nThe constituents of our model are characterized by their\nrespective resonance frequencies: ωcfor the cavity mode,\nωmfor the magnon mode, ωbfor the phonon mode. The\nannihilationoperatorsforthecavity,magnonandphonon\nmodes are represented by ai, (i= 1,2),mandb, respec-\ntively. The fifth term signifies the photon exchange in-\nteraction between the two cavities with strength, J. The\nsixth term of the Hamiltonian corresponds to the inter-\naction between the magnon and photon modes, charac-\nterized by a coupling rate gma. The interaction between\nthe magnon and phonon modes is described by the sev-\nenth term of the Hamiltonian and the coupling rate be-\ntween magnon and phonon mode is gmb. Finally, the last\ntwo terms arise due to the interaction between the cav-\nity field and two input fields. The cavity, magnon and\nphonon decay rates are characterized by κ1,κmandκb,\nrespectively. The coupling between the CMM resonator\nand the output port is given by ηa=κc1/2κ1, where3\nκc1is the cavity external decay rate. In particular, we\nwill consider the CMM resonator to be working in the\ncritical-coupling regime where ηais 1/2. At this point,\nit is convenient to move to a frame rotating at ωl. Fol-\nlowing the transformation Hrot=RHR†+iℏ(∂R/∂t)R†\nwithR=eiωl(a†\n1a1+a†\n2a2+m†m)t, the Hamiltonian in Eq.\n(1) can be rewritten as\nHrot=/planckover2pi1∆a(a†\n1a1+a†\n2a2)+/planckover2pi1∆mm†m+/planckover2pi1ωbb†b\n+/planckover2pi1J(a†\n1a2+a†\n2a1)+/planckover2pi1gma(a†\n1m+a1m†)\n+ℏgmbm†m(b†+b)+i/planckover2pi1/radicalbig\n2ηaκ1εl(a†\n1−a1)\n+i/planckover2pi1/radicalbig\n2ηaκ1εp(a†\n1e−iδt−h.c), (2)\nwhere ∆ a=ωc−ωl(∆m=ωm−ωl) andδ=ωp−ωlare,\nrespectively, the cavity (magnon) and probe detuning.\nThe mean response of the system can be obtained by the\nHeisenberg-Langevinequationas /angbracketleft˙O/angbracketright=i//planckover2pi1/angbracketleft[Hrot,O]/angbracketright+\n/angbracketleftN/angbracketright. Further, we consider the quantum fluctuations ( N)\nas white noise. Then starting form Eq. 2, the equations\nof motion of the system can be expressed as\n/angbracketleft˙a1/angbracketright= (−i∆a−κ1)/angbracketlefta1/angbracketright−igma/angbracketleftm/angbracketright−iJ/angbracketlefta2/angbracketright\n+/radicalbig\n2ηaκ1εl+/radicalbig\n2ηaκ1εpe−iδt,\n/angbracketleft˙m/angbracketright= (−i∆m−κm)/angbracketleftm/angbracketright−igma/angbracketlefta1/angbracketright\n−igmb/angbracketleftm/angbracketright(/angbracketleftb†/angbracketright+/angbracketleftb/angbracketright),\n/angbracketleft˙b/angbracketright= (−iωb−κb)/angbracketleftb/angbracketright−igmb/angbracketleftm†/angbracketright/angbracketleftm/angbracketright,\n/angbracketleft˙a2/angbracketright= (−i∆a+κ2)/angbracketlefta2/angbracketright−iJ/angbracketlefta1/angbracketright, (3)\nwhereκ2andκbrespectively denote the gain of the sec-\nond resonator and phonon damping rates. We note that\nκ2>0 corresponds to a coupled passive-active CMM\nresonators system and κ2<0 describes a passive-passive\ncoupled CMM resonators system. Assuming the control\nfield amplitude εlto be larger than the probe field εp,\neach operator expectation values /angbracketleftO(t)/angbracketrightcan be decom-\nposedintoitssteady-statevalues Osandasmallfluctuat-\ning termδO(t). The steady-state values of each operator\nare\na1s=(−i∆a+κ2)(−igmams+√2ηaκ1εl)\n(i∆a+κ1)(−i∆a+κ2)−J2,(4a)\nms=−igmaa1s\ni∆′m+κm, (4b)\nbs=−igmb|ms|2\niωb+κb, (4c)\na2s=iJa1s\n(−i∆a+κ2). (4d)\nWhile the fluctuating parts of Eq. 3can be expressed as\nδ˙a1=−(i∆a+κ1)δa1−iJδa2−igmaδm\n+/radicalbig\n2ηaκ1εpe−iδt,\nδ˙m=−(i∆′\nm+κm)δm−igmaδa1−iGδb−iGδb†,\nδ˙b=−(iωb+κb)δb−iGδm†−iG∗δm,\nδ˙a2=−(i∆a−κ2)δa2−iJδa1, (5)where∆′\nm= ∆m+gmb(bs+b∗\ns)istheeffectivemagnonde-\ntuning and G=gmbmsis the enhanced magnon-phonon\ncoupling strength. For simplicity, we express these fluc-\ntuation equations as\nid\ndt|ψ/angbracketright=Heff|ψ/angbracketright+F, (6)\nwhere the fluctuation vector |ψ/angbracketright=\n(δa1,δa†\n1,δa2,δa†\n2,δb,δb†,δm,δm†)T, input field\nF= (√2ηaκ1εpe−iδt,√2ηaκ1εpeiδt,0,0,0,0,0,0)T.\nNext, we adopt the following ansatz to solve Eq. 5:\nδa1(t) =A1+e−iδt+A1−eiδt,\nδm(t) =M+e−iδt+M−eiδt\nδb(t) =B+e−iδt+B−eiδt,\nδa2(t) =A2+e−iδt+A2−eiδt. (7)\nHereAi+andAi−correspond to the ithcavity generated\nprobe field amplitude and the four-wavemixing field am-\nplitude, respectively. By considering h1=−i∆a+iδ−\nκ1, h2=−i∆a−iδ−κ1, h3=−i∆a+iδ+κ2, h4=\n−i∆a−iδ+κ2, h5=−iωb+iδ−κb, h6=−iωb−iδ−\nκb, h7=−i∆′\nm+iδ−κm, h8=−i∆′\nm−iδ−κm, we\nobtainA1+which corresponds to the output probe field\namplitude from the CMM resonator as\nA1+(δ) =C(δ)\nD(δ), (8)\nwhere\nC(δ) =−/radicalbig\n2ηaκaεph3(h5h7h∗\n6(J2h∗\n8+h∗\n4(g2\nma+h∗\n2h∗\n8))\n+|G|2(h5−h∗\n6)(J2(h7−h∗\n8)−h∗\n4(gma2+h∗\n2(h∗\n8−h∗\n7)))),\nD(δ) =h5h∗\n6(g2\nmah3+h7(h1h3+J2))\n(J2h∗\n8+h∗\n4(g2\nma+h∗\n2h∗\n8))+|G|2(h5−h∗\n6)\n(J2(g2\nmah3+(h1h3+J2)(h7−h∗\n8))−h∗\n4\n((h1h3+J2)(g2\nma−h∗\n2(h7−h∗\n8))−h∗\n2h3g2\nma)).(9)\nThe output field from the CMM resonator is obtained by\nthe cavity input-output relation\nεout=/radicalbig\n2ηaκ1/angbracketlefta1/angbracketright−εl−εpe−iδt.(10)\nBy substituting Eq. 7into Eq. 10, we obtain the normal-\nizedoutputprobefieldintensityfromtheCMMresonator\nas\nT=|tp|2=/vextendsingle/vextendsingle/vextendsingle/vextendsingle√2ηaκ1A1+\nεp−1/vextendsingle/vextendsingle/vextendsingle/vextendsingle2\n. (11)\nIn order to numerically simulate the transmitted output\nprobefield spectrum, weusethe followingexperimentally\nrealizable set of parameter values [5, 40]. The degenerate\nmicrowave cavities of frequency ωc/2π= 7.86 GHz. The\ndecay rate of the first cavity is κ1/π= 3.35 MHz. The\nspin density ρ= 4.22×1027m−3and the diameter of the4\nYIG sphere D= 25µm. It results in 3 ×1016number\nof spins (Nm) present in the YIG sphere. The phonon\nmode has frequency ωb/2π= 11.42 MHz with decay\nrateκb/π= 300 Hz, and the magnon-phonon coupling\nstrengthgmb/2πis 1 Hz. The Kittel mode frequency\nof the YIG sphere is ωm=γeB0,i, with gyromagnetic\nratio,γe/2π= 28 GHz/T and B0,iis the input bias\nmagnetic field amplitude. The magnon decay rate is\nκm= 3.52 MHz. Magnon-photon coupling strength\ngma=γeBvac√5Nm/2 can be controlled by changingthe\nvacuum magnetic field amplitude as Bvac=/radicalbig\n2π/planckover2pi1ωc/V.\nIII. RESULTS\nA. Stability Analysis\nInitially we consider the two coupled cavities which\nare operating under a balanced gain-loss condition. The\nHamiltonian describing such coupled resonator system\n(gma=gmb= 0) can be written as\nHcav=/planckover2pi1(∆a−iκ1)δa†\n1δa1+/planckover2pi1(∆a+iκ1)δa†\n2δa2\n+/planckover2pi1J(δa†\n1δa2+δa†\n2δa1). (12)\nThe eigenvalues of Hcavareλ±= ∆a±/radicalbig\nJ2−κ2\n1. Note\nthat the above Hamiltonian remains invariant under\nthe simultaneous parity P:a1↔a2and time-reversal\noperation T:i→ −ioperations, and, its eigenvalues\nare entirely real and complex for J > κ 1andJ < κ 2.\nThe pointJ=κ1, which marks this transition from PT\nsymmetric to the PTbreaking phase, is known as the\nexceptional point (EP). One must understand the com-\npetitive behaviour between the inter-cavityfield coupling\nand the loss/gain rates to get insight into this transition.\nForJ > κ 1the intracavity field amplitudes can be\ncoherently exchanged and thus give rise to a coherent\noscillation between the field amplitudes. However, for\nJ < κ 1the intracavity field can not be transferred to\nthe other one, resulting in a strong field localization or\nin other words exponential growth. A quick look at Eq.\n4(a) also suggests such gain-induced dynamic instability\nina1atJ=κ1for ∆a= 0. This situation becomes\nmore complicated in the presence of magnon-photon\ncoupling. Now, the combined system ( gma,gmb/negationslash= 0)\nceases to become PTsymmetric. However, the effect of\nan additional gain cavity ( κ2>0) can be understood\nby analyzing the stability diagram of the whole system.\nIn the following, we derive the stability condition by\ninvoking the Routh-Hurwitz criterion which requires\nall the eigenvalues of Heffhave negative real parts.\nThe magenta region of Fig. 2suggests that when gma\nis small the instability threshold remains close to the\nJ=κ1(the conventional EP for a binary PTsymmetric\nsystem). However, with increasing gmathe system\nreaches instability at a larger exchange interaction J.\nSuch a restriction over the choice of the photon exchange\nFIG. 2. The stable and unstable regions are determined as\na function of normalized evanescent coupling strength ( J/κ1)\nand the cavity-magnon coupling strength ( gma) when the loss\nof the CMM-resonator is perfectly balanced by the gain of\nthe auxiliary cavity ( κ1=κ2). We consider the control field\nintensity to be 10 mW. The other parameters are ωc= 2π×\n7.86 MHz, ωb= 2π×11.42 MHz, ∆ a= ∆′\nm=ωb= 2π×11.42\nMHz,κ1=κ2=π×3.35 MHz, κm=π×1.12 MHz, κb=\nπ×300 Hz, and gmb= 2πHz.\nrateparameter Jwill be followedthroughoutthis paper.\nB. Absorption and dispersion spectrum\nThemagnomechanicalsystemunder considerationcor-\nresponds to the level diagram of Fig. 3. Application of\na probe field excites the passive cavity mode and allows\nthe transition between |1/angbracketrightand|2/angbracketright. The exchange in-\nteraction,J, couples two degenerate excited states |2/angbracketright\nand|5/angbracketright. The presence of the strong control field dis-\ntributes the population between the two states |2/angbracketrightand\n|3/angbracketright. The magnon-phonon coupling, gmb, couples both\nthe metastable ground states |3/angbracketrightand|4/angbracketright. Here, we con-\nsider both the microwave cavities to be passive ( κ2<0)\nunder a weak magnon-photon coupling strength, gma. In\nthis situation, the magnon-photon hybridization is in-\nsignificant. The absorptive and dispersive response can\nbe quantified by the real and imaginary components of\n(tp+1) that will be presented as αandβ, respectively.\nIn Fig.4(a), we present the absorptive response of the\nsystem as a function of normalized probe detuning. The\nblack-solid curve depicts a broad absorption spectrum of\nthe probe field when the exchange interaction is much\nweaker than the cavity decay rate. One can explain it\nby considering the level diagram of Fig. 3, where the ini-\ntial population stays in the ground state |1/angbracketright. Applying a\nprobefieldtransfersthepopulationfromthegroundstate\nto the excited state, |2/angbracketright. In addition, the weak magnon-5\nεp,ωpεl,ωl\ngmb\n/C2\n|1/angbracketright|2/angbracketright\n|3/angbracketright\n|4/angbracketright|5/angbracketright\n|n1,n2,m,b/angbracketright|n1+1,n2,m,b/angbracketright|n1,n2+1,m,b/angbracketright\n|n1,n2,m+1,b/angbracketright\n|n1,n2,m,b+1/angbracketright\nFIG. 3. Level diagram of the model system. |ni/angbracketright,|m/angbracketrightand|b/angbracketright\nrepresents the photon number state of ithcavity, magnon\nmode and phonon mode, respectively. The application\nof strong control field to the CMM resonator couples\n|n1+ 1,n2,m,b/angbracketrightand|n1,n2,m+ 1,b/angbracketright, whereas, the pres-\nence of a weak probe field increases the photon number\nof CMM resonator by unity. gmbcouples |n1,n2,m+\n1,b/angbracketrightand|n1,n2,m,b+ 1/angbracketright. The hopping interaction be-\ntween the two cavities directly couples |n1+ 1,n2,m,b/angbracketright ↔\n|n1,n2+1,m,b/angbracketright.\nphoton coupling (with respect to κ1) restricts a signifi-\ncant transition from |2/angbracketrightto|3/angbracketright. As a result, it allows the\ntransfer of a fraction of the excited state’s population by\ninvoking the exchange interaction J. The increase in the\nexchange interaction strength causes a gradual decrease\nin|2/angbracketright’s population. It reduces the absorption coefficient\naround the resonance condition except for δ=ωb. This\nphenomenon is shown by the red-dashed and the blue\ndotted-dashed curve of Fig. 4(a). We observe a nar-\nrow absorption peak inside the broad absorption peak\nforJ= 0.4κ1. The sharp absorption peak, exactly at\nδ=ωb, occurs due to the magnomechanical resonance.\nFurtherincreasingtheexchangeinteractionvirtuallycuts\noff the population distribution from |2/angbracketrightto|3/angbracketright. As a\nresult, the effect of magnon-phonon resonance also de-\ncreases, and the absorption peak at δ=ωbeventually\ndiminishes. In Fig. 4(b), we present the dispersion spec-\ntrum as a function of normalized detuning δ/ωb. For\nthe time being, we neglect the effect of magnomechanical\ncouplingandobservetheoccurrenceofanomalousdisper-\nsion around δ=ωbforJ= 0.4κ1. Further, increasing\nthe exchange interaction strength more significant than\nthe cavity decay rate can alter the dispersive response\nfrom anomalous to normal, as shown by the red-dashed\nand blue-dot-dashed curves. In the inset of Fig. 4(b), we\nplot the slope of the temporal dispersion dβ/dδat the\nextreme vicinity of the magnon-phonon resonance con-\ndition. The positive values of the slope of the temporal\ndispersion signify anomalous dispersion due to the mag-\nnomechanical coupling. However, the steepness of the\ndispersion curve can be reduced by increasing the ex-\nchange interaction strength, as shown by the red-dashed\nand blue-dot-dashed curves. Note that this dispersion\ncurve is accompanied by absorption. Output transmis-\nsionoftheprobefieldisprohibitedinthepresenceofhuge0.5 0.75 1 1.25 1.5\nδ/ωb00.20.40.60.81αJ = 0.4 κ1\nJ = 1.1 κ1\nJ = 1.3 κ1(a)\n0.5 0.75 1 1.25 1.5\nδ/ωb-0.4-0.200.20.4βJ = 0.4 κ1\nJ = 1.1 κ1\nJ = 1.3 κ1\n0.999 1.0008δ/ωb\n0123\ndβ/dδ(b)\nx10-6\nFIG. 4. (a) Absorption and (b) dispersion spectrum of the\nmodel system. The slope of the dispersion curve is shown in\nthe inset. Here we consider both the microwave cavities are\npassive, with identical decay rates ( κ1=−κ2). The magnon-\nphoton couplong strength, gmais taken as 2 MHz. All the\nother parameters are mentioned earlier.\nabsorption. Therefore, reducing absorption or introduc-\ning the gain to the system is mandatory for observingthe\ngroup velocity phenomena.\nTo achieve reasonable transmission at the output, we\nreplace the auxiliary passive cavity with an active one\nwhere the second cavity’s gain ( κ2>0) completely bal-\nances the first cavity’s loss. In this scenario, the sta-\nbility criterion for the hybrid system allows us to con-\nsider the exchange interaction strength Jto be greater\nthan 1.053κ1forgma= 2 MHz. We present the ab-\nsorptive response of the model system in Fig. 5(a). The\nblack solid curve of Fig. 5(a) illustrates the occurrence\nof a double absorption peak spectrally separated by a\nbroad gain regime. The graphical nature is determined\nby the roots of D(δ), which are, in general, complex.\nThe real parts of the roots determine the spectral peak\nposition, and the imaginary parts correspond to their\nwidths. To illustrate this, we consider J= 1.30κ1with\nall other parameters remaining the same as earlier. The\nreal parts of the root of D(δ) present two distinct nor-\nmal mode positions at δ/ωbvalues 0.88 and 1.12. The\nother two normal modes are spectrally located at the\nsame position δ/ωb= 1. The interference between these6\n0.8 0.9 1 1.1 1.2\nδ/ωb-40-2002040α\nJ = 1.30 κ1\nJ = 1.10 κ1\nJ = 1.07 κ1(a)\n0.8 1 1.2\nδ/ωb-40-2002040Im (tp+1)\nJ = 1.30 κ1\nJ = 1.10 κ1\nJ = 1.07 κ10.96 11.04δ/ωm\n-25025Im (tp+1) (b)\nFIG. 5. (a) Absorption and (b) dispersion spectrum of the\nmodel system. The slope of the dispersion curve is shown\nin the inset. Here we consider the second cavity as a gain\ncavity, with κ2=κ1. All the other parameters are the same\nas before.\ntwo normal modes becomes significant while approach-\ning the stability bound as depicted by the red dashed\nand blue dot-dashed curve of Fig. 5(a). In turn, it re-\nduces the overall gain of the composite system. Further,\nwe investigate the effect of a gain-assisted auxiliary cav-\nity on the medium’s dispersive response in Fig. 5(b). For\nJ= 1.30κ1, the two absorption peaks produce two dis-\ntinct anomalous dispersion regions separated by a broad\nnormal dispersive window. Weakening the exchange in-\nteraction strength reveals prominent normal dispersion\naround the resonance condition except for δ=ωb, and\nthe window shrinks. In the inset of Fig. 5(b), we present\nthe slope of the dispersive response due to the magnome-\nchanical resonance. The black solid curve of Fig. 5(b)\nsuggests the occurrence of anomalous dispersion at the\nmagnon-phonon resonance condition. Moreover, one can\nincrease the steepness of the dispersion curve by simply\napproaching the instability threshold, as delineated by\nthe red-dashed and blue-dotted-dashed curve of the inset\nof Fig.5(b). In the consecutive section, we will discuss\nhow the change in the dispersion curve can produce con-\ntrollable group velocity of the light pulses through the\nmedium and investigate the role of the exchange interac-\ntion.C. Output probe transmission\nThe output probe intensity from the system depends\nonitsabsorptiveresponse. Equation 11dictatethetrans-\nmission of the probe field and is presented in Fig. 6. For\nFig.6(a), we consider both the microwave cavities as\npassive ones with identical decay rates, i.e.,κ1=−κ2.\nThe black solid curve shows a broad absorptive response\nforJ= 0.40κ1. Increasing the exchange interaction\nstrengthcausesgradualenhancementintheoutputprobe\ntransmission, as delineated by the red-dashed and blue\ndot-dashed curve of Fig. 6(a), and the absorption win-\ndow splits into two parts. A precise observation confirms\nthe presence of extremely weak transmission dip exactly\natδ=ωbfor all the three exchange interaction strengths\nunder consideration. In Fig. 6(b), we present the advan-\ntage of using a gain-assistedauxiliary cavity along with a\nCMM resonator to obtain a controllable amplification of\nthe output probe field. We begin our discussion consid-\nering the photon hopping interaction, J= 1.30κ1. The\nblack solid curve of Fig. 6(b) estimates the normalized\nprobe transmission of 6 .03. Here the normalization is\ndone with respect to the input probe field intensity. By\ndecreasingthe parameter J, weapproachthe unstablere-\n0.5 0.75 1 1.25 1.5\nδ/ωb00.20.40.60.81TJ = 0.4 κ1\nJ = 1.1 κ1\nJ = 1.3 κ1(a)\n0.96 0.98 1 1.02 1.04\nδ/ωb0200400600800 TJ = 1.30 κ 1\nJ = 1.10 κ1\nJ = 1.07 κ1(b)\nFIG. 6. Exchange interaction Jdependent normalized out-\nput probe transmission is plotted as a function of normalize d\ndetuning between the control and the probe field when (a)\nboth the cavities are passive ones, and (b) one is active and\nanother one is passive.7\ngion and observe the occurrence of a double transmission\npeak separated by a sharp and narrow transmission dip.\nThe amplitude of the double transmission peak demon-\nstratestheprobepulseamplificationbyafactorof830,as\npresented by the blue dotted-dashed curve. However, an\nexplicit observation suggests the output probe field am-\nplification by a factor of 67 at the resonance condition\nδ=ωb. The physics behind the probe field amplifica-\ntion can be well understood as: Introduction of gain to\nthe second cavity compensates a portion of losses in the\nfirst cavity through J. This leads to an enhanced field\namplitude in the first cavity. In the presence of mod-\nerate magnon-photon coupling it increases the effective\nmagnon-photon coupling strength. Hence, we observe a\nhigher transmission at the two sidebands but also find a\nlarge transmission dip at δ=ωb.\nD. Group delay\nControllable group delay has gained much attention\ndue to its potential application in quantum information\nprocessing and communication. The dispersive nature of\nthe medium is the key to controlling the group delay of\nthe light pulse under the assumption of low absorptionor\ngain. The pulse with finite width in the time domain is\nproduced by superposing severalindependent waveswith\ndifferent frequencies centered around a carrier frequency\n(ωs). The difference in time between free space propa-\ngation and a medium propagation for the same length\ncan create a group delay. The analytical expression for\nthe group delay can be constructed by considering the\nenvelope of the optical pulse as\nf(t0) =/integraldisplay∞\n−∞˜f(ω)e−iωt0dω,\nwhere˜f(ω) corresponds to the envelope function in the\nfrequency domain. Accordingly, the reflected output\nprobe pulse can be expressed as\nfR(t0) =/integraldisplay∞\n−∞tp(ω)˜f(ω)e−iωt0dω, (13)\n=e−iωst0/integraldisplay∞\n−∞tp(ωs+δ)˜f(ωs+δ)e−iδt0dδ,\n=tp(ωs)e−iωsτgf(t0−τg). (14)\nThis expression can be obtained by expanding tp(ωs+δ)\nin the vicinity of ωsby a Taylor series and keeping the\nterms upto first order in δ. An expression for time-delay\nis obtained as [31, 41]\nτg= Re/bracketleftBigg\n−i\ntp(ωs)/parenleftbiggdtp\ndω/parenrightbigg/vextendsingle/vextendsingle/vextendsingle/vextendsingle\nωs/bracketrightBigg\n, (15)\nwhich can be further simplified as\nτg=(α(ωs)−1)dβ\ndω/vextendsingle/vextendsingle/vextendsingle/vextendsingle\nωs−β(ωs)dα\ndω/vextendsingle/vextendsingle/vextendsingle/vextendsingle\nωs\n|tp(ωs)|2.(16)0.98 0.99 1 1.01 1.02\nδ/ωb-18-12-60612τg (µs)\nJ = 1.30 κ1\nJ = 1.10 κ1\nJ = 1.07 κ1\nFIG. 7. Time delay of the probe pulse for different evanescent\ncoupling strength Jhave been plotted against the normalized\nprobe detuning δ/ωb, while the control power is 10 mW. All\nother parameters are taken as the same as in Fig. 5.\nFrom Eq. 16, the slope of the medium’s absorption and\ndispersion curves determine the probe pulse propagation\ndelay or advancement. However, Fig. 5(b) suggests that\nthe value of βis negligibly small near the magnomechan-\nical resonance. Hence, the group delay depends on the\nfirst term of the numerator of Eq. 16. In Fig. 7, we ex-\namine the effect of photon-photon exchange interaction\non the probe pulse propagation delay when both cavities\noperate under balanced gain-loss condition. The system\nproduces anomalous dispersion accompanied by a gain\nresponse. The black solid curve of Fig. 7depicts the\nprobe pulse advancement of 2 .4µs for the photon hop-\nping interaction strength, J= 1.3κ1. Moreover, one can\nenhance the effective gain and the slope of the anomalous\ndispersion curve by approaching the instability thresh-\nold. That, in turn, brings out the super luminosity of the\noutput probe pulse, characterized by the advancement of\n17.9µs as shown by the blue dotted-dashed curve of Fig.\n7.\nTo verify the above results, we consider a Gaussian\nprobepulsewith afinite width aroundthe resonancecon-\ndition,i.e.,δ=ωb, and numerically integrate it by using\nEq.13. The shape of the input envelope is considered\nas,\n˜f(ω) =εp√\nπΓ2e−(ω−ωs)2\nΓ2, (17)\nwhere Γ is the spectral width of the optical pulse. We\nconsider Γ to be 7 .17 kHz, such that the Gaussian enve-\nlope is well-contained inside the gain-window around the\nresonance condition ( δ=ωb), as depicted in Fig. 5(a).\nThe dispersive, absorptive as well as gain response of\nthe system can be demonstrated by examining the effect\nof the probe transmission coefficient ( tp) on the shape\nof the input envelope. The gain of the auxiliary cavity\nmanipulates the probe transmission coefficient in such a\nway that it amplifies the intensity of the output probe\npulse. The black solid curve depicts the output probe\npulse amplification of 6 .2 for photon-hopping interaction\nstrength,J= 1.30κ1. A decrease in the Jvalue8\ngradually enhances the effective gain in the system. It\n-3 -1.5 0 1.5 3\nNormalized time Γt020406080|tp|2J = 1.30 κ1\nJ = 1.10 κ1\nJ = 1.07 κ1-0.2 -0.1 0 0.1 0.2Γt\n0.9960.99750.999\nNormalized intensity\nFIG. 8. The relative intensity of the output probe pulse is\nplotted against the normalized time (Γt) for different photo n-\nphoton exchange interaction strength when both cavities ar e\noperating under balanced gain-loss condition.\namplifies the output probe transmission as presented by\nthe red dashed and blue dotted-dashed curves of Fig.\n8. We observe that the output field amplification can\nreach to a factor of 65.3 while considering the exchange\ninteraction strength to be 1 .07κ1. Further decreasing\nthe exchange interaction will lead to dynamical insta-\nbility in our model system. Interestingly, the temporal\nwidth of the probe pulse is almost unaltered during\nthe propagation through the magnon-assisted double\ncavity system. This numerical result agrees with our\nanalytical results for the output probe transmission,\nas shown in Fig. 6(b). Moreover, the importance of\nthe photon-photon exchange interaction on the probe\npulse propagation advancement can be observed from\nthe inset of Fig. 8. The peak separation between the\ninput pulse ( t= 0) and the output pulse for J= 1.30κ1estimates the probe pulse advancement of 2 .34µs.\nThe red dashed, and blue dashed-dotted curve of the\ninset estimates the probe pulse advancement of 8 .75\nµsand 13.30µsforJ= 1.10κ1and 1.07κ1, respectively.\nIV. CONCLUSION\nIn conclusion, we have theoretically investigated the\ncontrollable output field transmission from a critically\ncoupled cavity magnomechanical system. We drive the\nfirst cavity with a YIG sphere inside it, establishing the\nmagnon-photon coupling. The photon exchange interac-\ntion connects the second microwave cavity with the first.\nAn external magnetic field induces the deformation ef-\nfect of the YIG sphere. In this study, the interaction\nbetween the magnon and photon modes lies under the\nweak coupling regime. The medium becomes highly ab-\nsorbent when both cavities are passive, and the output\nprobe transmission is prohibited. We introduce a gain\nto the auxiliary cavity to overcome this situation. It is\nnoteworthy that the instability threshold must be close\nto the conventional exceptional point for a binary PT-\nsymmetric system. At the magnomechanical resonance,\nthe auxiliary cavity produces an effective gain associ-\nated with anomalous dispersion. Further, decreasing the\nphoton exchange interaction strength causes gradual en-\nhancement of the effective gain and the steepness of the\ndispersion spectrum. As a result, we observe a control-\nlable superluminal microwave pulse propagation associ-\natedwithamplificationbyafactorof67. Bystudyingthe\npropagationdynamics ofa Gaussianprobepulse ofwidth\n7.17 kHz, we confirm that the numerical study is con-\nsistent with the analytical results. Our study may find\npotential applicationsin weaksignalsensingand commu-\nnication purposes in the newly emerging field of cavity\nmagnomechanics.\n[1]B.Z. Rameshti, S.V.Kusminskiy,J. A.Haigh, K.Usami,\nD. Lachance-Quirion, Y. Nakamura, C.-M. Hu, H. X.\nTang, G. E. Bauer, and Y. M. Blanter, Physics Reports\n979, 1 (2022).\n[2]F. Schlawin, D. M. Kennes, and M. A. Sentef, Applied\nPhysics Reviews 9, 011312 (2022).\n[3]D. Lachance-Quirion, Y. Tabuchi,\nA. Gloppe, K. Usami, and Y. Nakamura,\nApplied Physics Express 12, 070101 (2019) .\n[4]R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa,\nA. Noguchi, R. Yamazaki, K. Usami, and Y. Nakamura,\nPhys. Rev. B 93, 174427 (2016) .\n[5]X. Zhang, C.-L. Zou, L. Jiang, and H. X.\nTang, Science Advances 2, e1501286 (2016) ,\nhttps://www.science.org/doi/pdf/10.1126/sciadv.1501 286.\n[6]Y. Tabuchi, S. Ishino, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura,\nPhys. Rev. Lett. 113, 083603 (2014) .[7]X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang,\nPhys. Rev. Lett. 113, 156401 (2014) .\n[8]C. A. Potts, E. Varga, V. A. S. V. Bitten-\ncourt, S. V. Kusminskiy, and J. P. Davis,\nPhys. Rev. X 11, 031053 (2021) .\n[9]J. Li, S.-Y. Zhu, and G. S. Agarwal,\nPhys. Rev. Lett. 121, 203601 (2018) .\n[10]J. Li and S. Gr¨ oblacher,\nQuantum Science and Technology 6, 024005 (2021) .\n[11]J. Li, S.-Y. Zhu, and G. S. Agarwal,\nPhys. Rev. A 99, 021801(R) (2019) .\n[12]B. Sarma, T. Busch, and J. Twamley,\nNew Journal of Physics 23, 043041 (2021) .\n[13]C. M. Bender and S. Boettcher,\nPhys. Rev. Lett. 80, 5243 (1998) .\n[14]C. M. Bender, D. C. Brody, and H. F. Jones,\nPhys. Rev. Lett. 89, 270401 (2002) .9\n[15]R. El-Ganainy, K. G. Makris, M. Khajavikhan, Z. H.\nMusslimani, S. Rotter, and D. N. Christodoulides,\nNature Physics 14, 11 (2018) .\n[16]W.D.Heiss, Journal of Physics A: Mathematical and Theoretical 45, 444016 (2012) .\n[17]K.G. Makris, R. El-Ganainy, D. N. Christodoulides, and\nZ. H. Musslimani, Phys. Rev. Lett. 100, 103904 (2008) .\n[18]Z. H. Musslimani, K. G. Makris, R. El-\nGanainy, and D. N. Christodoulides,\nPhys. Rev. Lett. 100, 030402 (2008) .\n[19]A. Guo, G. J. Salamo, D. Duchesne, R. Morandotti,\nM. Volatier-Ravat, V.Aimez, G.A. Siviloglou, andD.N.\nChristodoulides, Phys. Rev. Lett. 103, 093902 (2009) .\n[20]B. Peng, S ¸. K. ¨Ozdemir, F. Lei, F. Monifi, M. Gianfreda,\nG. L. Long, S. Fan, F. Nori, C. M. Bender, and L. Yang,\nNature Physics 10, 394 (2014) .\n[21]S.Longhi, Journal of Physics A: Mathematical and Theoretical 44, 485302 (2011) .\n[22]L. Feng, Y.-L. Xu, W. S. Fegadolli, M.-H. Lu, J. E. B.\nOliveira, V. R. Almeida, Y.-F. Chen, and A. Scherer,\nNature Materials 12, 108 (2013) .\n[23]T. Goldzak, A. A. Mailybaev, and N. Moiseyev,\nPhys. Rev. Lett. 120, 013901 (2018) .\n[24]D. Zhang, X.-Q. Luo, Y.-P. Wang, T.-F. Li, and J. Q.\nYou,Nature Communications 8, 1368 (2017) .\n[25]J. Zhao, Y. Liu, L. Wu, C.-K. Duan, Y.-x. Liu, and\nJ. Du,Phys. Rev. Appl. 13, 014053 (2020) .\n[26]F. Wang and C. Gou, Opt. Lett. 48, 1164 (2023) .\n[27]C. Kong, H. Xiong, and Y. Wu,\nPhys. Rev. Appl. 12, 034001 (2019) .\n[28]K. Ullah, M. T. Naseem, and ¨O. E. M¨ ustecaplio˘ glu,\nPhys. Rev. A 102, 033721 (2020) .\n[29]X. Li, W.-X. Yang, T. Shui, L. Li, X. Wang, and\nZ. Wu, Journal of Applied Physics 128, 233101 (2020) ,\nhttps://doi.org/10.1063/5.0028395 .[30]G. S. Agarwal and S. Huang,\nPhys. Rev. A 81, 041803(R) (2010) .\n[31]A. H. Safavi-Naeini, T. P. M. Alegre, J. Chan, M. Eichen-\nfield, M. Winger, Q. Lin, J. T. Hill, D. E. Chang, and\nO. Painter, Nature472, 69 (2011) .\n[32]S. Weis, R. Rivi` ere, S. Del´ eglise, E. Gavartin,\nO. Arcizet, A. Schliesser, and T. J.\nKippenberg, Science330, 1520 (2010) ,\nhttps://www.science.org/doi/pdf/10.1126/science.119 5596.\n[33]L. V. Hau, S. E. Harris, Z. Dutton, and C. H. Behroozi,\nNature397, 594 (1999) .\n[34]V. Fiore, Y. Yang, M. C. Kuzyk, R. Barbour, L. Tian,\nand H. Wang, Phys. Rev. Lett. 107, 133601 (2011) .\n[35]V. Fiore, C. Dong, M. C. Kuzyk, and H. Wang,\nPhys. Rev. A 87, 023812 (2013) .\n[36]H. Jing, S ¸. K. ¨Ozdemir, Z. Geng, J. Zhang,\nX.-Y. L¨ u, B. Peng, L. Yang, and F. Nori,\nScientific Reports 5, 9663 (2015) .\n[37]W. Li, Y. Jiang, C. Li, and H. Song,\nScientific Reports 6, 31095 (2016) .\n[38]G. Vanderhaegen, C. Naveau, P. Szriftgiser,\nA. Kudlinski, M. Conforti, A. Mussot, M. Ono-\nrato, S. Trillo, A. Chabchoub, and N. Akhmediev,\nProceedings of the National Academy of Sciences 118, e2019348118 (2021) ,\nhttps://www.pnas.org/doi/pdf/10.1073/pnas.201934811 8.\n[39]S.-N. Huai, Y.-L. Liu, J. Zhang, L. Yang, and Y.-x. Liu,\nPhys. Rev. A 99, 043803 (2019) .\n[40]J. M. P. Nair, D. Mukhopadhyay, and G. S. Agarwal,\nPhys. Rev. B 105, 214418 (2022) .\n[41]S. Das and T. N. Dey,\nJ. Opt. Soc. Am. B 39, 859 (2022) ." }, { "title": "2012.00760v2.Design_of_an_optomagnonic_crystal__towards_optimal_magnon_photon_mode_matching_at_the_microscale.pdf", "content": "Design of an optomagnonic crystal: towards optimal magnon-photon mode matching\nat the microscale\nJasmin Graf,1,2Sanchar Sharma,1Hans Huebl,3,4,5and Silvia Viola Kusminskiy1,2\n1Max Planck Institute for the Science of Light, Staudtstraße 2, 91058 Erlangen, Germany\n2Department of Physics, University Erlangen-Nürnberg, Staudtstraße 7, 91058 Erlangen, Germany\n3Walther-Meißner-Institut, Bayerische Akademie der Wissenschaften,\nWalther-Meissner-Straße 8, 85748 Garching, Germany\n4Physik-Department, Technische Universität München,\nJames-Franck-Straße 1, 85748 Garching, Germany\n5Munich Center for Quantum Science and Technology (MCQST), Schellingstraße 4, 80799 München, Germany\nWe put forward the concept of an optomagnonic crystal: a periodically patterned structure at\nthe microscale based on a magnetic dielectric, which can co-localize magnon and photon modes.\nThe co-localization in small volumes can result in large values of the photon-magnon coupling at\nthe single quanta level, which opens perspectives for quantum information processing and quantum\nconversionschemeswiththesesystems. Westudytheoreticallyasimplegeometryconsistingofaone-\ndimensional array of holes with an abrupt defect, considering the ferrimagnet Yttrium Iron Garnet\n(YIG) as the basis material. We show that both magnon and photon modes can be localized at the\ndefect, and use symmetry arguments to select an optimal pair of modes in order to maximize the\ncoupling. We show that an optomagnonic coupling in the kHz range is achievable in this geometry,\nand discuss possible optimization routes in order to improve both coupling strengths and optical\nlosses.\nI. INTRODUCTION\nProgress in fundamental quantum physics has by now\nestablished a basis for developing new technologies in the\nfields of information processing, secure communication,\nand quantum enhanced sensing. In order to perform\nthese tasks, physical systems are needed which are ca-\npable of processing, storing, and communicating infor-\nmation in a quantum coherent manner and with a high\nfidelity. Similar to the classical realm, accomplishing this\ngoal requires different degrees of freedom and efficient\ncouplings between them, giving rise to hybridsystems.\nIn this context, systems at the mesoscopic scale (with di-\nmensions ranging from nanometers to microns) are spe-\ncially interesting since their collective degrees of freedom\ncan be tailored [1]. An important and successful exam-\nple of these mesoscopic hybrid systems are optomechan-\nical systems [2], where light couples to mechanical mo-\ntion. Seminal experiments in these systems have demon-\nstrated extra-sensitive optical detection of small forces\nand displacements [3–10], manipulation and detection of\nmechanical motion in the quantum regime with light [11–\n13], and the creation of nonclassical light and mechanical\nmotion states [11, 14, 15].\nIn the recent years, the family of hybrid quantum sys-\ntems has been extended by incorporating magnetic ma-\nterials, where the collective spin degree of freedom can be\nexploited. For example in spintronics [16], information is\ncarried by spins (as opposed to electrons) in order to re-\nmove Ohmic losses and to increase memory and process-\ning capabilities [17, 18]. An ultimate form of spintronics\nis the new field of quantum magnonics [19, 20], where\nsuperconducting quantum circuits couple, via microwave\nyxz(b)\n(c)(a)zxyd2rDefect areaaMagnetic modeOptical modeG\nYIG-SiN-heterostructure\nYIGSiNSiN\n|Hz|\n01|δmz|\nOptical modeMagnetic modeFigure 1. Investigated geometry: (a) Optomagnonic crystal\nwith an abrupt defect at its center for localizing an optical\nand a magnon mode at the same spot in the defect area.\n(b) Optomagnonic crystal from the side representing a het-\nerostructure. (c) Mode profiles of the localized optical and\nmagnon mode discussed in the main text.\nNote: all mode shape plots are normalized to their corre-\nsponding maximum value.\nfields in a cavity, coherently to magnetic collective ex-\ncitations (magnons) [21, 22]. Such systems are promis-\ning for generating and characterizing non-classical quan-\ntum magnon states [19, 23–25], quantum thermometry\nprotocols [26], and for developing microwave-to-optical\nquantum transducers for quantum information process-\ning [27, 28]. The coherent coupling of magnons to op-\ntical photons has also been demonstrated in recent ex-arXiv:2012.00760v2 [cond-mat.mes-hall] 25 Mar 20212\nperiments [29–33], in what have been denominated op-\ntomagnonic systems [28, 34–40].\nIn current experiments exploring optomagnonics, the\nferrimagnetic dielectric Yttrium Iron Garnet (YIG) is\nused as the magnetic element, since YIG presents low\nabsorption and a large Faraday constant in the infrared\n(\u000b= 0:069 cm\u00001and\u0012F= 240 deg=cm@1:2µm[29, 30,\n41–43]). The coupling between spins and optical pho-\ntons is an second order processes involving spin-orbit\ncoupling and it is generally small. This can be enhanced\nusing a well polished sphere that acts as an optical cav-\nity, trapping the photons by total internal reflection in\norder to effectively enhance the spin-photon coupling.\nThe coupling, however, still remains too small for ap-\nplications. This is due to the large size of the used\nYIG spheres (the coupling increases as the volume of the\ncavity decreases [34]), with radius of the order of hun-\ndreds of microns, and, concomitantly, the large differ-\nence between the optical and the magnetic mode volume\n(Vmag\u001dVopt), by which most of the magnetic mode vol-\nume does not participate in the coupling. This can be\npartially mitigated by making smaller cavities [44, 45],\nbut care has to be taken both to obtain a good mode\nmatching and to retain a good confinement of the optical\nmode in order to minimize radiation losses. Recent pro-\nposals have investigated one dimensional layered struc-\ntures to this end [37, 46].\nIn order to tackle these issues, we propose an opto-\nmagnonic array at the microscale, which acts simultane-\nously as a photonic crystal [47], determining the opti-\ncal properties of the structure, and as a magnonic crys-\ntal [48–50] with tailored magnetostatic modes. Our pro-\nposal is inspired in the success of this approach for op-\ntomechanical crystals, which can be designed such as to\nenhance the phonon-photon coupling by many orders of\nmagnitude [51–74]. In our case, we use similar concepts\nin order to design the coupling between photonic and\nmagnonic modes. Although similar conceptually, mag-\nnetic materials present new challenges for the design, due\nto the complexity of the magnon modes.\nPhotonic crystals are the basis for many novel appli-\ncations in quantum information, and are of high interest\ndue to their ability to guide [75–79] and confine [80–85]\nlight, allowing for example to enhance non-linear optical\ninteractions [86–89]. In turn, magnonic crystals can be\ndesigned to create reprogrammable magnetic band struc-\ntures [90], to act as band-pass or band-stop filters, or to\ncreate single-mode and bend waveguides [91–94]. Addi-\ntionally these crystals can be used for spin wave comput-\ning via logical gates [95–97]. An advantage of magnonic\ncrystalsistheirscalability, theirlowenergyconsumption,\nand possibly faster operation rates [49, 97, 98]. Together\nwiththestateoftheartinoptomagnonicsdetailedabove,\nthisprovidesagreatincentivetoexplorethepossibilityof\nanoptomagnonic crystal, combining both photonic and\nmagnetic degrees of freedom.Specifically, we consider an optomagnonic crystal con-\nsisting of a dielectric magnetic slab (YIG in our simula-\ntions) with a periodic array of holes along the slab and\nwith an abrupt defect in the middle. The repeated holes\nat each side of the defect act as a Bragg mirror for both\noptical and magnetic modes, localizing them in the re-\ngion of the defect (see Fig. 1(a)+(c)). We show that this\nstructure can co-localize photonic and magnonic modes,\nand explore how the symmetry of the modes can be used\nto optimize their coupling. We find that coupling rates\nin the range of kHz are achievable in these structures,\nand that optimization of the geometry can lead to higher\ncoupling values, indicating the promise of this approach.\nFurther optimization is nevertheless needed to improve\nthe decay rates, in particular the optical quality factor\nis low compared to the state of the art in non-magnetic\nstructures (where Silicon is used as the dielectric).\nThis manuscript is organized as follows. In Sec. II we\nderive the general expression for the coupling of magnons\nto optical photons and discuss the normalization of the\nmodes required to find the photon-magnon coupling at\nthe single quanta level, denominated optomagnonic cou-\npling. The remaining sections refer to the numerical\nmethod for evaluating this coupling. For our simula-\ntions we choose YIG as the magnetic material, in line\nwith the material of choice in experiments. In Sec. III\nwe discuss the properties of the proposed structure as a\nphotonic crystal. In Sec. IV, in turn, we investigate its\nproperties as a magnonic crystal. Sec. V combines the re-\nsults in order to numerically evaluate the optomagnonic\ncoupling for appropriately chosen confined modes. For\nconcreteness, we focus on the coupling between one sin-\ngle magnon mode and one single optical mode. Sec. VI is\ndevoted to a discussion on how the structure can be op-\ntimized and presents results for an optimized geometry.\nTheconclusionsandanoutlookarepresentedinSec.VII.\nThe supplementary material contains further details of\nthe analytic calculations and of the simulations.\nII. OPTOMAGNONIC COUPLING\nIn this section we derive the theoretical expression for\nthe coupling rate between magnons and photons. The\ninstantaneous electromagnetic energy is [99]\nEtot\nem=1\n2\u0002\ndr\u0002\nD(r;t)\u0001E(r;t) +B(r;t)\u0001H(r;t)\u0003\n(1)\nwithDthe displacement field, Ethe electric field, B\nthe magnetic induction, and Hthe magnetic field. In\ncomplex representation D= (D+D\u0003)=2andE=\n(E+E\u0003)=2, and similar for the magnetic induction and\nfield. The effect of the magnetization Mis to modify the\ndisplacement field as D(r;t) = \u0016\"[M(r;t)]\u0001E(r;t)where3\nthe components of the permittivity tensor \u0016\"are [100, 101]\n\"ij(M) =\"0 \n\"r\u000eij\u0000ifFX\nk\u000fijkMk+fCMiMj!\n;\n(2)\nwith\"0the vacuum permittivity, \"rthe relative per-\nmittivity,\u000fijkthe Levi-Cevita tensor, and ffF;fCgma-\nterial dependent magneto-optical constants. At opti-\ncal frequencies the second term in Eq. (1) can be ne-\nglected [101, 102], being smaller than the first by the\nfine structure constant squared, and the permeability\nof the material can be set to the vacuum permeability\n\u00160. The magneto-optical constants can be related to\nthe Faraday rotation \u0012Fand the Cotton-Mouton ellip-\nticity\u0012Cper unit length as \u0012F=!=(2cp\"r)fFMsand\n\u0012C=!=(2cp\"r)fCM2\ns, withcthe speed of light in vac-\nuum andMsthe saturation magnetization.\nWe are interested in how light couples to the fluctua-\ntionsofthemagnetizationaroundthestaticgroundstate.\nWe consider norm-preserving small fluctuations,\nM(r;t) =M0(r)s\n1\u0000\f\f\f\f\u000eM(r;t)\nMs\f\f\f\f2\n+\u000eM(r;t);(3)\nwhere the ground state satisfies M0\u0001M0=M2\nsand\nthe fluctuations are perpendicular to local equilibrium\nmagnetization \u000eM\u0001M0= 0. In complex notation\n\u000eM= [M+M\u0003]=2. The correction to the electromag-\nnetic energy stemming from the interaction between the\nlight field and the magnetization can be rewritten as\nEem=1\n8\u0002\ndr\u0002\nE(r;t)\u0001D\u0003(r;t)+E\u0003(r;t)\u0001D(r;t)\u0003\n;(4)\nignoring E(r;t)\u0001D(r;t)andE\u0003(r;t)\u0001D\u0003(r;t)in the\nrotating wave approximation. Inserting the relation be-\ntween the displacement and the electric field along with\nthe permittivity in Eq. (2) gives Eem=EF\nem+EC\nemwhere\nEF\nem=\"0fF\n8\u0002\ndr[i(E\u0003\u0002E)\u0001M+h.c.](5)\nis the Faraday contribution and\nEC\nem=\u000f0fC\n8\u0002\ndr[E\u0003\u0001(MM 0+M0M)\u0001E+h.c.]\n(6)\nthe Cotton-Mouton one. We have used the dyadic no-\ntation and neglected all terms that represent a constant\nenergy shift or that are higher order in \u000eM.\nQuantizing this expression leads to the optomagnonic\ncoupling Hamiltonian. By assuming that the magnetic\nmaterial acts as an optical cavity, the electric field of\nthe light can be quantized by using the annihilation (cre-\nation) operator ^a(y)\n\fof one photon\nE(r;t)!2iX\n\u000bE\u000b(r) ^a\u000b(t); (7)withE(\u0003)\n\u000bthe mode shape, and \u000bthe mode index.\nWe note that we identified E(r;t)with 2E+(r;t)from\nthe well known quantization expression of the electric\nfield [103]\nE(r;t) =E+(r;t) +E\u0000(r;t)\n=iX\n\u000bh\nE\u000b(r) ^a\u000b(t)\u0000E\u0003\n\u000b(r) ^ay\n\u000b(t)i\n:(8)\nIn order to find the coupling per photon, we normalize\nthe electromagnetic field amplitude to one photon over\nthe electromagnetic vacuum [104]\n~!\u000b\n2\"0=\u0002\ndr\"r(r)jE\u000b(r)j2: (9)\nThe spin waves can be quantized as\nM(r;t)!2MsX\n\r\u000em\r(r)^b\r(t);(10)\nwhere ^b(y)\n\rannihilates (creates) one magnon, \u000em(\u0003)\n\ris the\nmode shape, and \rthe mode index. We note that as in\nthe optical case we identified M(r;t)with 2M+(r;t)\nfrom the magnetic quantization expression\n\u000eM(r;t) =M+(r;t) +M\u0000(r;t)\n=MsX\n\rh\n\u000em\r(r)^b\r(t) +\u000em\u0003\n\r(r)^by\n\r(t)i\n:\n(11)\nIn order to normalize the amplitude of the magnetic fluc-\ntuations to one magnon, we use the following expression\nderived in the supplementary material (see appendix A)\ng\u0016B\nMs=\u0002\ndrim0\u0001\u0002\n\u000em\u0003\n\r\u0002\u000em\r\u0003\n(12)\nwithgthe g-factor, \u0016Bthe Bohr magneton, and m0=\nM0=Ms. This expression is valid for arbitrary magnetic\ntextures and it is consistent with the normalization de-\nrived previously for a uniform ground state [105].\nThe quantized optomagnonic energy, neglecting the\nconstant energy shifts, leads to the coupling Hamiltonian\n^Hom=~X\n\u000b\f\rh\nG\u000b\f\r^ay\n\u000b^a\f^b\r+h.c.i\n(13)\nwith the coupling constant G\u000b\f\r=GF\n\u000b\f\r+GC\n\u000b\f\r, where\nGF\n\u000b\f\r=\u0000i\"0\"r\n~\u0012F\u0015n\n\u0019\u0002\ndr\u0002\nE\u0003\n\u000b\u0002E\f\u0003\n\u0001\u000em\r;(14)\nGC\n\u000b\f\r=\"0\"r\n~\u0012C\u0015n\n\u0019\u0002\ndr[m0\u0001E\f] [E\u0003\n\u000b\u0001\u000em\r]\n+\"0\"r\n~\u0012C\u0015n\n\u0019\u0002\ndr[m0\u0001E\u0003\n\u000b] [E\f\u0001\u000em\r](15)4\nare, respectively, the Faraday and Cotton-Mouton com-\nponents of the optomagnonic coupling constant, being \u0015n\nthe light wavelength inside the material.\nThe coupling between optical photons and magnons,\nas can be seen from Eq. 13, involves a three-particle pro-\ncess in which a magnon is created or annihilated by a\ntwo-photon scattering process. This is an example of\nparametric coupling, andreflectsthefrequencymismatch\nbetween the excitations. The coupling can be enabled by\na triple-resonance, where the frequency of the magnon\nmatches the frequency difference between two photonic\nmodes [29–31, 35], or, in the case of scattering with a\nsingle photon mode, by an external driving laser at the\nright detuning [34]. If the laser is red (blue) detuned by\nthe magnon frequency, implying a lower (higher) driving\nfrequency than the photon resonance, it will annihilate\n(create) magnons. In the red detuned regime this can be\nused, for example, to actively cool the magnon mode to\nits ground state [2, 40, 106].\nIn this work we focus on the coupling between a given\nmagnon mode and a given optical mode hosted by the 1D\noptomagnonic crystal shown in Fig. 1(a)+(b). Hence we\nset\u000b=\fand drop the indices in the following. For con-\ncreteness, we focus on GFas the analysis for GCis anal-\nogous.GFis proportional to the overlap of the magnon’s\nspatial distribution with the electric component of the\noptical spin density defined as [107]\nSopt(r) =\"0\n2i!opt\u0002\nE\u0003\u0002E\u0003\n: (16)\nThe optical spin density is finite only for fields with cer-\ntain degree of circular polarization, and points perpen-\ndicular to the plane of polarization.\nIII. PHOTONIC CRYSTAL\nPhotonic crystals are engineered structures which, by\nproper shape design, can confine light to a specific re-\ngion. These are formed by low-loss media exhibiting a\nperiodic dielectric function \"(r), with a discrete transla-\ntional symmetry \"(r) =\"(r+R)for any R=nawith\nnan integer and athe lattice constant given by the im-\nposed periodicity.\nPhotonic band gaps arise at the edges of the Brillouin\nzone (BZ) k=\u0019=adue to the periodicity imposed by\nthe susceptibility of the crystal on the electric field, with\nwavelength \u0015= 2a(correspondingtotheedgeoftheBZ).\nFor example, in a 1D photonic crystal (see Fig. 2(a)) the\nsymmetry of the unit cell around its center implies that\nthe nodes of the standing light wave must be centered\neither at each low- \"layer or at each high- \"layer. The\nlatter necessarily has lower energy than the former, re-\nsulting in a band gap. The position of the photonic band\ngap is given by the mid-gap frequency at the BZ edge.\nIn the case of two materials with refractive indices n1\n(b)(a)1D photonic crystal1D photonic crystal with defectɛ2ɛ2ɛ2ɛ2ɛ1ɛ1ɛ1ɛ2ɛ2ɛ2ɛ2ɛ1ɛ1ɛ1localization area“mirror”“mirror”d1d2aFigure 2. General structure of a 1D photonic crystal and\nmode localization at a defect: (a) 1D photonic crystal con-\nsisting of periodic layers alternated by the lattice constant\nawith different dielectric constants \"1> \" 2and widths d1\nandd2. (b) A defect breaks the symmetry and can pull a\nband-edge mode into the photonic band gap. Since a mode in\nthe band gap cannot propagate into the structure, the light\nis Bragg-reflected and is thus localized (see e.g. [47]).\nandn2and thicknesses d1andd2=a\u0000d1, the normal\nincidence gap is maximized for n1d1=n2d2. In this case\nthe mid-gap frequency is given by [47]\n!mg=n1+n2\n4n1n2\u00012\u0019c\na(17)\nwithn1=p\"1,n2=p\"2. The corresponding vacuum\nwavelength \u0015mg= (2\u0019c)=!mgthereby satisfies the rela-\ntions\u0015mg=n1= 4d1and\u0015mg=n2= 4d2meaning that the\nindividual layers are a quarter-wavelength thick.\nAn input at frequencies within the photonic band gap\nis reflected entirely except for an exponentially decaying\ntail inside the crystal. Thus, two of such crystals can be\nused to create a Fabry-Perot like cavity. More concretely,\nas shown in Fig. 2(b), a defect in the form of a layer with\na different width breaking the symmetry of the crystal\nmay permit localized modes in the band gap by consec-\nutive reflection on both sides. Since the light is localized\nin a finite region, the modes are quantized into discrete\nfrequencies. We note that the degree of localization is\nthe largest for modes with frequencies near the center of\nthe gap [47].\nFor our purposes, we consider a geometry in which\nthe permittivity can take two distinct values, attained\nby holes carved into a dielectric slab (see Fig. 1(a)). The\ntypical material used for photonic crystals is silicon due\ntoitshighrefractiveindexatopticalfrequencies, \"r= 12.\nWe use instead YIG for our study, which is a dielectric\nmagnetic material transparent in the infrared range with\n\"r= 5[108]. The lower dielectric constant reduces the\nconfinement of the optical modes along the height of the\nslab, which is reflected in low optical quality factors as\ndiscussed below. This structure is a 1D photonic crystal,\nperiodic in one direction (chosen to be the ^x-direction),\nwith a band gap along this direction and which confines\nlight through index guiding [47] (a generalization of total\ninternal reflection) in the remaining directions. In order\nto localize an optical mode in this structure we create a\ndefect by increasing the spacing between the two middle\nholes, which pulls a mode into the band gap. We note\nthat due to the (discrete) periodicity, the crystal only5\npossesses an incomplete band gap and the localized mode\ncan scatter to air modes [47].\nWe search for a localized mode in the infrared fre-\nquency range where YIG is transparent and presents low\nabsorption [42, 109]). Thus, the geometrical parameters\nof the crystal need to be chosen in such a way that the\nband gap lies in the desired frequency range. We choose\na lattice constant of a= 450 nm which gives a mid-\ngap frequency of !mg= 2\u0019\u0002240 THz (corresponding\nto\u0015\u00191250 nm), using Eq. (17) with refractive indices of\nYIGn1=nYIG=p\n5and of airn2=nair= 1. Note that\nwe choose a lattice constant that allows us to work in the\ntransparency frequency range for the optics, and which\nat the same time is small enough in order to reduce the\ncomputational cost of the micromagnetic simulations of\nthe corresponding magnonic crystal in the next section.\nUsing the relation dairnair=dYIGnYIGfor a maximized\nnormal incidence gap we find the optimal radius of the\nair holes as\nrair=nYIG\nnYIG+ 1\u0001a\n2(18)\nwithdair= 2rair=a\u0000dYIG, from which we obtain\nrair= 155:25 nm. In order to find the mode with the\nleast losses, the defect width is optimized in order to lo-\ncalize the desired mode most effectively to the defect.\nWe find the optimal defect size, defined as the center-\nto-center distance between the two bounding holes (see\nFig. 1(a)), to be d= 731 nm , obtained by evaluating the\ntransmission spectra as a function of the defect size (we\nused the electromagnetic simulation tool MEEP to this\nend [110]). In order to get a good quality factor of the\nlocalized mode we need to insert as many air holes as\npossible. For creating a compromise between short com-\nputational evaluation time (especially important for the\nmagnetic simulations discussed later) and a good quality\nfactor we chose N= 12holes at each side of the defect.\nTherefore the investigated crystal is in total l= 11:75µm\nlong. The overall width of the wave guide is w= 600 nm\nand its height is h= 60 nm, again to keep the magnetic\nsimulations (which we detail in the next section) feasible.\nSuch a thin slab will not be good at confining the opti-\ncal modes along its height, since it is much smaller than\nthe light wavelength in the material. In order to increase\nthe optical quality factor without influencing the mag-\nnetics we sandwich the crystal with two Si 3N4layers (see\nFig. 1(b)) with a height of hSi3N4= 200 nm as proposed\nin [111]. Si 3N4has an index of refraction similar to YIG\n(nSi3N4=p\n4), so that the combined structure acts ap-\nproximately as a single cavity for the light and its height\nis roughly half a wavelength, enough to provide a rea-\nsonable confinement. The presented simulations include\nthese two extra layers.\nWe now turn to categorizing the photonic modes of\nthe crystal by using its three mirror symmetry planes\n(see Fig. 3(a)). This imposes several restrictions on the\n(b)(a)z=0TE-likeTM-like\nMirror symmetry (y=0)Mirror symmetry (x=0)Symmetries of the photonic crystalMirror symmetry (z=0)Symmetry of TE and TM modesyzEEFigure 3. Symmetries of the optical modes in a periodic\nwaveguide: (a) Symmetry planes of the investigated 1D pho-\ntonic crystal shown in Fig. 1(a). (b)Symmetry of a transverse\nelectric (TE)-like and a transverse magnetic (TM)-like opti-\ncal mode in a thin 3D structure. The red arrows indicate the\nelectric field vector Ewhich forz= 0(middle of the crystal\nalong the height) lie in plane for TE-like modes and point out\nof plane for TM-like modes. For z6= 0this is not fulfilled\nanymore (see e.g. [47]).\nmode shape and the mode polarization. We define the\nthree mirror symmetry operations\n^\u001bE\nzE(r) =0\n@Ex(x;y;\u0000z)\nEy(x;y;\u0000z)\n\u0000Ez(x;y;\u0000z)1\nA;\n^\u001bE\nyE(r) =0\n@Ex(x;\u0000y;z)\n\u0000Ey(x;\u0000y;z)\nEz(x;\u0000y;z)1\nA;\n^\u001bE\nxE(r) =0\n@\u0000Ex(\u0000x;y;z )\nEy(\u0000x;y;z )\nEz(\u0000x;y;z )1\nA:(19)\nIn the following we restrict the discussion to transverse\nelectric modes with an in-plane electric field, which are\nthe modes of interest for the magnetic configuration we\nconsider, as it will be clear from the next section. We\nnote that structures made of a high- \"material with air\nholesfavourabandgapfortransverseelectricmodes[47],\nwhich is advantageous for our purposes. Unlike in 2D,\nin three dimensions we cannot generally distinguish be-\ntween transverse electric (TE) and transverse magnetic\n(TM) modes. However, provided that the crystal has a\nmirrorsymmetryalongitsheight(under ^\u001bE\nz), andthatits\nthickness is smaller than the mode wavelength, the fields\nare mostly polarized in TE-like and TM-like modes [47]\n(see Fig. 3(b)). Since a TE-like mode has a non-zero elec-\ntric field in the plane of the crystal ( xy-plane), both Ex\nandEycannot be odd as a function of z(see Fig. 3(b)).\nFrom Eq. (19), this implies that the mode must be even\nunder ^\u001bE\nz. Similar symmetry considerations [47] show\nthat a TE-like mode must satisfy\n^\u001bE\nzE(r) =E(r);\n^\u001bE\nyE(r) =\u0000E(r);\n^\u001bE\nxE(r) =\u0000E(r):(20)6\nzxyzxy\n-11(b)(a)\n|Ey||Ex||Hz|Mode shape\n01Optical spin density ~ i(E* x E)z\nBand diagramSymmetriesRe[Ex]Re[Ey]Extended states\n0𝞹/akxfno defectDiscrete states1. band2. band\n~ 200 Hz~ 270 HzDefect state07 x 10-3kx [1/nm]\n-11\n-11\n01(c)\nRe[δmz]Im[δmz]Mode profileBand diagram|δmz|\nSymmetriesLocalized mode @ 13.12 GHz016f [GHz]FT[mz]normMode spectrumkx = 𝞹/aδmzkx [1/nm]\nf [GHz]016𝛅mzdefect07 x 10-3\n0𝞹/akxzxy\nFigure 4. Optical (a and b) and magnetic modes (c): (a) Band diagram (obtained with MEEP) for TE-like modes within the\nirreducible BZ with a state that was pulled into the gap from the upper band-edge state by the insertion of a defect (note that\nthe gap-state was not obtained by band diagram simulations). The bands in the green shaded area representing the light cone\nare leaky modes which couple with radiating states inside the light cone [112]. From the shape of the localized defect mode\n(obtained with Comsol) with a frequency of !opt= 2\u0019\u0002246 THz (middle layer in the xy-plane) we see that this mode is odd\nwith respect to x= 0andy= 0(and even with respect to z= 0). (b) Optical spin density (middle layer in the xy-plane)\nof the localized mode, fulfilling the same symmetries, see main text. (c) Band diagram of backward volume waves within the\nirreducible BZ showing magnetic modes with extended k-values but preferring wave vectors at the edge of the BZ. The highest\nexcited localized mode has a frequency of !mag= 2\u0019\u000213:12 GHzand is odd along the mirror symmetry planes for x= 0\nandy= 0(and additionally even with respect to the plane for z= 0). The dashed line in the middle inset shows the mode\nspectrum in case of no defect.\nNote: all mode shape plots are normalized to their corresponding maximum value.\nFor evaluating the optical modes we used the two finite\nelementtoolsMEEP[110]andComsolMultiphysics[113]\n(see appendix B). The simulated band structure for TE-\nlike modes in the considered photonic crystal shows a\nbroad band gap in the infra-red frequency range with\na nicely pulled defect band (see Fig. 4(a)) which is ex-\ntended in frequency space resulting from the confinement\nin real space. The defect mode in the gap at the edge of\nthe BZ has a frequency of !opt\u00192\u0019\u0002246 THz (obtained\nby Comsol, 205 THz/\u0015\u00191550 nm according to MEEP,\nnote that the difference is due to a reduction of the sim-\nulation geometry to 2D in order to save simulation time)\nwith a damping factor of \u0014opt\u00192\u0019\u00020:1 THzwhich gives\na linewidth (FWHM) of \ropt= 2\u0001\u0014opt\u00192\u0019\u00020:2 THz.\nUsing the values obtained by Comsol this gives an optical\nquality factor ofQ=!opt=(4\u0019\u0014opt) = 1250 , which is in\nthe expected range for this kind of geometry [114] (note\nthat MEEP gives a roughly three times larger value due\nto the 2D simulation which effectively resembles a sim-\nulated system of infinite height). The obtained quality\nfactor is however small compared to 1D crystals made of\nsilicon with a smooth defect, where quality factors in the\norder of 104\u0000106can be achieved [53, 55, 57].\nThe corresponding mode shape in real space is shown\nin Fig. 4(a). We see that the mode is nicely localized\nat the defect. Furthermore the Excomponent is even\n(odd) as a function of x(y), whereas the Eyis even as a\nfunction of both xandyfulfilling the symmetry require-\nments for a TE-like mode given in Eqs. (20). Due to thissymmetry, we can disregard the Ezcomponent here since\nEz\u00190. FortheFaradaycomponentoftheoptomagnonic\ncoupling, the relevant quantity is the electric component\nof the optical spin density, Sopt/E\u0003\u0002E[see Eq. (14)].\nSoptpoints mostly along z-direction, is odd as a function\nofxandy, and is even along z(see Fig. 4(b) and 6(b)).\nIV. MAGNONIC CRYSTAL\nAsphotoniccrystalscontroltheflowoflight, magnonic\ncrystals can be used to manipulate the spin wave dynam-\nics in magnetic materials. In general a magnonic crystal\nis made of a magnetic material with a periodic distribu-\ntion of material parameters. Examples include the mod-\nulation of the saturation magnetization or the magne-\ntocrystalline anisotropy, a periodic distribution of differ-\nent materials, or the modulation by external parameters,\nsuch as an applied magnetic field [48–50]. Historically,\nmagnonic crystals precede photonic crystals [115, 116].\nUnlike in photonic or phononic crystals, the band struc-\nture in magnonic crystals depends not only on the peri-\nodicity of the crystal but also on the spatial arrangement\nof the ground state magnetization, resulting in an ad-\nditional degree of freedom. Hence the band structure\ndepends on the applied external magnetic field, the rela-\ntive direction of the wave vector, the shape of the mag-\nnet, and the magnetocrystalline anisotropy of the mate-\nrial [48–50]. In this section we study the properties of the\ncrystalpresentedinSec.III(seeFig.3(a)), asamagnonic7\ncrystal.\nIn the following we consider magnetic excitations\nwhich are non homogeneous in space, and we focus only\non systems in the presence of an external magnetic field\nsaturating the magnetization in a chosen direction. In\nthis case spin waves can be divided into three classes: if\nall spins precess uniformly in phase, the mode is homo-\ngeneous and denominated the Kittel mode. If the dis-\npersion is dominated by dipolar interactions (which is\nusually the case for wavelengths above 100 nm) the exci-\ntationsarecalleddipolarspinwaves. Forwavelengthsbe-\nlow100 nmthe exchange interaction dominates instead,\ngiving rise to exchange spin waves. The frequencies of\nthe dipolar spin waves lie typically in the GHz-regime,\nwhereas the exchange spin waves have frequencies in the\nTHz-regime. Since the size of the structure considered\nin this work is in the micrometre range, we will focus on\ndipolarspinwaves. Forthiscase, themodescanbeclassi-\nfiedfurtherbytheirpropagationdirectionwithrespectto\nthe magnetization. For an in-plane magnetic field, modes\nwith a frequency higher than the frequency of the uni-\nform precession tend to localize at the surface and have a\nwave vector pointing perpendicular to the static magne-\ntization M0and thus the external field, k?M0kHext\n(seeFig.5(a)). ThesemodesarecalledsurfaceorDamon-\nEshbach modes [117, 118]. If the wave vector is parallel\nto the external field such that kkM0kHextholds, the\nwaves are called backward volume waves and their fre-\nquency is smaller than the frequency of the Kittel mode\n(see Fig. 5(a)). Finally, if the external field and the mag-\nnetization are normal to the crystal’s plane and the wave\nvector lies in plane k?M0kHextthese waves are called\nforward volume waves (see Fig. 5(a)) [50, 119]. In the fol-\nlowing we restrict the discussion to external fields which\nare applied in the plane of the crystal.\nSimilar to light modes in photonic crystals, magnon\nmodes can also be localized within a certain region in\nthe magnonic crystal. It is well known that the two di-\nmensional periodic modification of a continuous film, for\nexample by the insertion of holes (denominated antidot\narrays) can drastically change the behavior of the spin\nwaves [120, 121]. In this case the modes have either a\nlocalized or extended character. The localized mode is a\nconsequence of non-uniform demagnetization fields cre-\nated by the antidots. These fields change abruptly at the\nedges of the antidots and act as potential wells for the\nspin waves [50]. Thus, the above designed crystal, which\nlocalizes the optical mode by the insertion of a defect,\nis also a good candidate for acting as a magnonic crys-\ntal localizing magnetic modes via the holes. Although\nthe geometry of the crystal is optimized for the optics,\nit should be able to host and localize magnetic modes\ndue to its shape and material (YIG). Therefore we do\nnot change the crystal further and use this structure as\na proof of principle. This implies that we expect con-\nsiderable room of improvement with respect to the opto-\n(b)(a)BWVWHextkFWVWkHextHextkSWDipolar spin waves\n𝞹-rotation symmetry (around x-axis)Mirror symmetry (x=0)Symmetries of the magnonic crystalFigure 5. Dipolar spin wave types and symmetries in the\nmagnonic crystal: (a) Dipolar spin waves can be divided into\nthree types: backward volume waves (BWVW) with their\nwave vector parallel to the external field which both lie in\nthe plane of the structure ( kkm0kHext). Forward volume\nwaves (FWVW) with their wave vector in plane and perpen-\ndicular to the external field which lies normal to the struc-\nture’s plane ( k?m0kHext). Surface waves are also forward\nvolume waves but they have their wave vector in plane and\nperpendicular to the external field which also lies in plane of\nthe structure. ( k?m0kHext). (b) Symmetries of the inves-\ntigated 1D magnonic crystal shown in Fig. 1(a). Since the\nexternal magnetic field breaks two mirror symmetry planes\nonly the mirror symmetry plane normal to the saturation di-\nrection remains. Additionally a \u0019-rotation symmetry around\nthe saturation axis is present.\nmagnonic coupling rates obtained in this structure. YIG\nis a good choice for magnonics since it has the lowest\nspin wave damping when compared to other materials\ncommonly used [49]. It is however difficult to pattern at\nthe microscale, but recent advances in fabrication show\ngreat promise in this respect [122, 123].\nFor concreteness, in the following we proceed to design\nthe Faraday part of the optomagnonic coupling GF, see\nEq. (14). Since GFis proportional to the overlap inte-\ngral between the optical spin density and the magnon\nmode, we search for a magnon mode with the same\nsymmetries as the optical spin density, in order to get\nthe highest possible overlap. Like in the optical case,\nthe magnonic crystal has three mirror symmetry planes\n(z= 0; y= 0; x= 0). However, the external applied\nmagnetic field saturating the magnetization breaks two\nof these symmetries and thus only the mirror symmetry\nw.r.t. the plane perpendicular to the external field re-\nmains (see Fig. 5(b)). Note that the magnetization is a\npseudo vector and its components perpendicular to the\nmirror does not change. Thus, the mirror operation is\ninverted from Eq. (19),\n^\u001bM\nx\u000em(r) =0\n@\u000emx(\u0000x;y;z )\n\u0000\u000emy(\u0000x;y;z )\n\u0000\u000emz(\u0000x;y;z )1\nA=\u000em(r):(21)\nSince the optical spin density pointing along ^zis odd as\na function of x, we require \u000emzto be odd as well and\nconsequently \u000emto be even under ^\u001bM\nx. Additionally, a8\n\u0019-rotationaroundthe ^x-axissymmetryremainsunbroken\n^R\u0019\nx\u000em(r) =0\n@\u000emx(x;\u0000y;\u0000z)\n\u0000\u000emy(x;\u0000y;\u0000z)\n\u0000\u000emz(x;\u0000y;\u0000z)1\nA=\u000em(r):(22)\nInvoking again the symmetries of the optical spin den-\nsity (odd as a function of yand even with z) we consider\nmodes with even rotational symmetry. We note that due\nto the different symmetries respected by the photon and\nmagnon modes, we choose the symmetries of the modes\nin such a way that they preferably match in the xy-plane,\nwhich is the most relevant dimension for thin structures.\nIn this case, the symmetries of the optical and the mag-\nnetic mode along the height do not necessarily match.\nFor thin films however they do, see Fig. 6(b).\nSince spin waves are excited by an external magnetic\npulse which controls the direction of the wave vector k,\nthe pulse also breaks the mirror symmetries of the crys-\ntal. Therefore we focus on a setup which conserves the\nrelevant mirror symmetry, and only excite backward vol-\nume waves where the external saturation field and the\nwave vector of the mode are parallel and lie in the plane\nof the crystal. We note that this configuration is also\nthe most favourable one from an experimental point of\nview, and additionally the configuration most likely used\nin magnonic devices [119].\nWe evaluated the magnetization dynamics numerically\nbymeansofthefinitedifferencetoolMuMax3[124]which\nsolves for the Landau-Lifshitz-Gilbert equation of motion\nfor the local magnetization vector (see appendix C). In\norder to excite magnon modes with the desired symme-\ntry, we use a 2D antisymmetric sinc-pulse which should\nmoreover avoid spurious effects in the spectrum [125]\nHpulse =Hpulsesin2(!ct)\n!ctsin2(kcx)\nkcxsin2(kcy)\nkcyey;(23)\npointing along the ^y-direction in order to excite back-\nward volume waves [119]. The cut-off frequency was\nchosen to be !c= 2\u0019\u000216 GHzand the cut-off wave\nvector to be kc=\u0019=ain order to concentrate all the\nexcitation energy in the first BZ. Since this pulse is cen-\ntered in the middle of the crystal, we only excite modes\naround the crystal’s center. The external saturation field\nwas set to H ext= 400 mT (found by hysteresis) and the\npulse field to H pulse = 0:4 mT. We note that the pulse\nstrength should be a small perturbation of the saturation\nfield in order to minimize non linear effects. We used\nthe material parameters for YIG, Ms= 140 kA=m(sat-\nuration magnetization), Aex= 2 pJ=m(exchange con-\nstant),Kc1=\u0000610 J=m3(anisotropy constant) with the\nanisotropy axis along ^z[126]. In order to accelerate the\nsimulations, we used an increased Gilbert damping pa-\nrameter\r= 0:008(compare to \r\u001910\u00005\u000010\u00004for\nYIG) [127, 128].\nIn the following considerations we focus only on the\n\u000emzcomponent of the magnetization dynamics, since the\nyxzzxy\n-11\n01\n-11(b)(a)\nDifferent symmetries(E*xE)zMirror symmetryRe[δmz]\nRotational symmetrySpatial shape of the couplingRe[G]Im[G]GFigure 6. Spatial shape of the coupling and different sym-\nmetries of the optical and the magnetic mode: (a) Spatial\nshape of the coupling similar to the magnon mode shape. (b)\nDifferent symmetries along the crystal’s height of the opti-\ncal spin density and the magnon mode. Due to the external\nmagnetic field the mirror symmetry along the height is broken\nandonlya\u0019-rotationsymmetryremains, resultingindifferent\nmode shapes along the height of the crystal. For thin films\nthis difference is rather small.\nNote: all mode shape plots are normalized to their corre-\nsponding maximum value.\noptical spin density of a TE-like mode mostly points into\nthe^z-direction, rendering \u000emxand\u000emyirrelevant for GF\n(see Eq. (14)). We find that the optical defect also acts\nas confinement of the magnetic mode, resulting in the\ndefect like dispersion relation presented in Fig. 4(c). The\nobtained band structure shows modes around the edge\nof the BZ with extended wave vector character, imply-\ning that the modes are highly localized in space. The\nfrequency of the highest excited localized mode at the\nBZ edge is !mag= 2\u0019\u000213:12 GHzwith an estimated\nlinewidth (FWHM) of \u0000mag=\r!mag= 2\u0019\u0002131:2 kHz\nwhereweusedtherealGilbertdampingofYIG \r= 10\u00005.\nNote that the simulated linewidth shown in Fig. 4c is\nlarger due to the different choice of the Gilbert damping\nin order to speed up the simulation.\nAs we see from its mode shape, this mode is nicely\nlocalized at the holes attached to the defect and is odd\nwith respect to x= 0andy= 0, and hence has the same\nsymmetry as the optical spin density as we aimed for (see\nFig. 4c).\nV. OPTOMAGNONIC CRYSTAL\nAs shown above, the crystal in Fig. 1a can host both\noptical and magnetic modes and therefore can be consid-\nered anoptomagnonic crystal . In this section, we eval-\nuate the optomagnonic coupling GFgiven in Eq. (14)\n(GCis briefly discussed at the end of the section) for the\nmodes found in Secs. III and IV shown in Fig. 4.\nNumerically evaluating Eq. (14) gives a Faraday con-\ntribution to the optomagnonic coupling per magnon and\nper photon ofjGF\nnumj= 2\u0019\u00020:5 kHz(spatial shape of\nthe coupling see Fig. 6a). In order to gauge this value\nwe want to compare it to the analytical estimate derived\nin [39]. In the optimal case, the magnetic mode volume\nand the optical mode volume coincide, Vmag\u0019Vopt. In9\nthis case, we estimate the coupling as\njGF\noptimalj=\u0012F\u0015n\n2\u0019!optrg\u0016B\nMs1p\nVmag;(24)\nwhich evaluates to jGF\noptimalj= 2\u0019\u00020:6 MHzusing\nthe material parameters of YIG ( (\u0012F\u0015n)=(2\u0019) = 4\u0001\n10\u00005; Ms= 140 kA=m) and the optical frequency found\nin Sec. III, !opt= 2\u0019\u0002249 THz. The magnetic mode\nvolume is defined as the one where the magnon intensity\nis above a certain threshold, giving Vmag= 2:8\u000110\u00002µm3\n(see appendix D). The coupling is bounded by the\nmagnon mode volume, since in the investigated structure\nitissmallerthantheopticalmodevolume(seeFig.4). In\norder to take the mismatch in the mode volume into ac-\ncount, we introduce the following overlap measure which\nis also known as filling factor\nO=Voverlap\nVopt; (25)\nwhereVoverlaprepresents the volume where the magnon\nand photon modes overlap. The volumes are estimated\nsimilar to the case of magnons to be Voverlap = 9:7\u0001\n10\u00003µm3andVopt= 0:7µm3(see appendix D). Note\nthat for the optical volume it was taken into account\nthat the mode leaks out of YIG into the Si 3N4layer and\nair, which is not shown in Fig. 4 (a)+(b). Thus the over-\nlap measure evaluates to O= 0:01, shrinking the opti-\nmal coupling toO\u0001jGF\noptimalj\u00192\u0019\u00026 kHz. Hence, even\nthough the optomagnonic crystal localizes both modes in\nthe same region, the overlap measure is rather small due\nto the much larger optical mode volume (see Fig. 4 and\nFig 6(a)), which is detrimental for the coupling strength.\nFurthermore by looking at the fine structure of the op-\ntical spin density and the magnon mode we see that the\namplitude peaks of both do not coincide (see Fig. 7): the\nmagnonic peaks are localized nearer to the center than\nthe optical ones. This results in a smaller overlap volume\nwhich would be Vmagif the peaks of the modes would\nbe at the same position.\nSincethecouplingalsostronglydependsontherelative\ndirection between the vectors of the modes, we addition-\nally introduce a ‘directionality’ measure\nD=\u0001\ndr\u000em(r)\u0001[E\u0003(r)\u0002E(r)]\u0001\ndrj\u000em(r)jjE\u0003(r)\u0002E(r)j(26)\nevaluating toD= 51%using the numerical results pre-\nsented above. As we see, although the symmetries of\nthe optical spin density and the magnon mode match,\nthe vectors of the modes do not perfectly align in the\ndefect area (see Figs. 4). Taking also this sub-optimal\nalignment into account the coupling estimate reduces to\njGF\nexpectedj=O\u0001D\u0001jGF\noptimalj= 2\u0019\u00023 kHzwhichcoincides\nwell with the numerically obtained value. We conclude\nthat the coupling in the investigated structure is mostly\nFine structure\n|δm|[E*×E]0L01Normalized strengthLengthFigure 7. Fine structure of the optical spin density and the\nmagnon mode along the length of the crystal for a fixed height\nand width.\naffected by the large difference between the optical and\nthe magnetic mode volumes, shrinking the coupling value\nby two orders of magnitude. We remind the reader that\nthe obtained values are for a proof of principle struc-\nture which has been only partially optimized, since we\nstarted from a fixed photonic crystal structure. In the\nnext section we discuss a possible optimization from the\nmagnonics side.\nWe now proceed to briefly discuss the Cotton-Moutton\neffect for the results found in Secs. III and IV. For YIG,\nthe Cotton-Moutton coefficient (\u0012C\u0015n)=(2\u0019) =\u00002\u0002\n10\u00005[41] is of the same order of magnitude as the corre-\nsponding Faraday coefficient, determined by \u0012F. Since\nin the Voigt configuration both effects are of leading\norder in the magnetization fluctuations (see Eqs. (14)\nand (15)), it is important to take its contribution into\naccount. Moreover, since the coefficients GFandGCare\ncomplex, it is difficult to estimate a priori the total cou-\nplingjGF+GCj, duetotheunknownpossibleinterference\neffects. Numerically evaluating Eq. (15) gives an interac-\ntion value ofjGC\nnumj= 2\u0019\u00021:6 kHz. This large value can\nbe explained by the symmetry of the integrand which re-\nduces tomx\n0[ExE\u0003\ny\u000emy+E\u0003\nxEy\u000emy]due to the backward\nvolume wave setup and the TE-like character of the opti-\ncalmode. Thisintegrandisfullyevensince ExE\u0003\nyhasthe\nsame symmetry as \u000emy. The full optomagnonic coupling\njGnumj=\f\fGF\nnum+GC\nnum\f\f (27)\nis found to be Gnum= 2\u0019\u00021:3 kHz.\nCompared to the optomechanical coupling in simi-\nlar 1D crystals, where coupling values (per photon and\nphonon) up to 2\u0019\u0002950 kHzcan be obtained [53–58], the\noptomagnoniccouplingobtainedhereisstillrathersmall.\nHowever, this is large compared to other optomagnonic\nsystems. As we argued above, the coupling is limited10\nHeight dependence of the coupling|G|𝓓304050607080902.02.22.42.62.83.0\n49.049.550.050.551.051.552.0|Optomagnonic coupling|/2𝛑 [kHz]Overlap [%]\nHeight [nm]\nFigure 8. Height dependence of the Faraday component of\nthe optomagnonic coupling: The coupling shows ap\nVmag\ndependence since the optical mode volume in the YIG and\nthe Si 3N4slab is constant. The decrease with larger height\ncan be explained by the shrinking directionality measure (see\nEq. 26) between the optical and the magnetic mode.\nby the imperfect spatial matching of magnons and pho-\ntons with overlap O= 0:01while it is enhanced due to\nsmall volumes, Vmag\u00180:01µm3andVopt\u00181µm3. In\nthe standard setups involving spheres [29–31], typically\noptical volumes are very large \u0018105µm3with low op-\ntomagnonic overlap \u001810\u00003, resulting in low couplings\n\u00181 Hz. It was theoretically shown that >75%over-\nlap in such systems is achievable [129] but the couplings\nwould still be\u00182\u0019\u0002500 Hz. The miniaturization of an\noptical cavity to \u0018100µm3was demonstrated in [44],\nwhere the coupling is however still small, 2\u0019\u000250 Hz, in\nthis case due to the large magnon volume involved.\nAnimportantprerequisiteforapplicationsinthequan-\ntum regime such as magnon cooling, wavelength conver-\nsion, and coherent state transfer based on optomagnonics\nisahighcooperativity. Thecooperativityperphotonand\nmagnon is an important figure of merit which compares\nthe strength of the coupling to the lifetime of the coupled\nmodes, and is given by\nC0=4G2\nnum\n\ropt\u0000mag; (28)\nwhere\roptis the optical linewidth (FWHM), and \u0000mag\nis the magnonic linewidth (FWHM).\nTo evaluate the theoretical cooperativity of the struc-\nture proposed in this manuscript, we use \u0000mag=\r!mag\nwhere\r= 10\u00005is the Gilbert constant and !mag=\n2\u0019\u000213:12 GHz. The optical linewidth is found from sim-\nulations to be \ropt= 2\u0019\u00020:2 THz.\nUsing the corresponding parameters the cooperativity\nper photon and magnon of the optomagnonic crystal is\nCcrystal\n0\u00182:5\u000110\u000010. The single-particle cooperativity\ncan be enhanced by the photon number in the cavity,C=nphC0. Experimentally, there is a bound on the\nphoton density that can be supported by the cavity with-\nout undesired effects due to heating, and it is empirically\ngiven by 5\u0001104photons per \u0016m3[130]. In our structure,\nconsidering the effective mode volume Voptthis gives an\nenhanced cooperativity at maximum photon density of\nCcrystal\u00181\u000110\u00005, whichistwoordersofmagnitudelarger\nthan the current experimental state of the art [44, 130].\nSince our model does not account for fabrication im-\nperfections, this number is expected to be lower in a\nphysical implementation, indicating that optimization is\nneeded. Results for similar 1D optomechanical crys-\ntals indicate that optimization can lead to larger co-\noperativity values (at maximum photon density), e.g.\n\u001810[54]. The small cooperativity obtained in our struc-\nture is a combination of a reduced coupling due to mode-\nmismatch, plus the very modest quality factor of the op-\ntical mode in this simple geometry.\nFor boosting the coupling strength we investigate\nbriefly in the following the influence of the optomagnonic\ncrystal’sheightonthecoupling, asproposedinRef.[111].\nTherefore we increase the height of the YIG layer from\n30 nmto90 nmwithout changing the other parameters\nof the geometry (including the Si 3N4layer in the optical\nsimulations). As we see from the result (see Fig. 8) the\ncoupling exhibits ap\nVmagdependence. We find that the\noptical mode volume does not change substantially in the\nmodified geometry, and therefore the observed behavior\nis consistent with the expectedp\nVmag=Voptdependence\nfor a constant optical mode volume. The slight decrease\nforlargerheightscanbeexplainedbytheshrinkingofthe\ndirectionality measure D, stemming from the difference\nin symmetries obeyed by the magnetization (rotational)\nand the electric field (mirror).\nVI. OPTIMIZATION\nSo far, we optimized the crystal in order to minimize\noptical losses for the given geometry. In this section we\ninvestigate how to optimize the geometry for magnonics.\nThe optical optimization was achieved by fixing the hole\nradius and intra-hole distance, which are both along the\nzxyd2raOptimized crystal\nFigure 9. Optimization of the geometry: Through increasing\nthe parameters along the width of the crystal we create more\nspaceforthemodeswithouttouchingtheopticaloptimization\nof the original crystal (dashed line). We note that we also\nincreased the defect size, not shown here.11\nzxyzxy\n01\n-11\n-11\n(b)(a)|Ey||Ex||Hz|Mode shape\nOptical spin density ~ i(E* x E)zBand diagramSymmetriesRe[Ex]Re[Ey]Extended states\n0𝞹/akxfno defectDiscrete states1. band2. band\n~ 230 Hz~ 310 HzDefect state07 x 10-3kx [1/nm]\n-11\n01\n(c)\nRe[δmz]Im[δmz]Mode profileBand diagram|δmz|\nSymmetriesLocalized mode @ 13.17 GHz016f [GHz]FT[mz]normMode spectrumkx = 𝞹/aδmz\nkx [1/nm]f [GHz]016𝛅mzdefect07 x 10-3\n0𝞹/akxzxy\nFigure 10. Optical (a and b) and magnetic modes (c) of the optimized crystal: (a) Band diagram for TE-like modes within\nthe irreducible BZ with a defect mode in the photonic band gap which was pulled from the upper band-edge state into the gap\nby the insertion of a defect. From the mode shape of the localized mode with a frequency of !opt=2\u0019= 279 THz (middle layer\nin thexy-plane) we see that this mode is odd with respect to x= 0andy= 0(and even with respect to (z=0)). (b) Optical\nspin density of the localized mode (middle layer in the xy-plane) which is odd with respect to x= 0andy= 0(and even\nwith respect to z= 0). (c) Band diagram of backward volume waves within the irreducible BZ showing magnetic modes with\nextended k-values but preferring wave vectors at the edge of the BZ. The highest excited localized mode has a frequency of\n!mag= 2\u0019\u000213:17 GHzand is odd along the mirror symmetry planes for x= 0andy= 0(and additionally even with respect\nto the plane for z= 0). The dashed line in the middle inset shows the mode spectrum in case of no defect.\nNote: all mode shape plots are normalized to their corresponding maximum value.\nlength of the crystal. In the following we tune instead\nonly the parameters along the width of the crystal ( ^y-\ndirection), in order to perturb as little as possible the\noptical optimization. We found a promising structure by\nincreasing the width of the crystal and considering ellip-\ntical holes, see Fig. 9. From a set of trials, we found that\na width of w= 900 nm and a radius of the holes along\nthe width of rw= 380 nm give the highest coupling. An\nincreased defect size of d= 1201:5 nmis also beneficial\nfor decreasing the optical losses in this case, it nicely lo-\ncalizes the optical defect mode in the middle of the band\ngap and thus does not drastically change the localization\nbehavior of the photonic crystal.\nFor evaluating the photonic band structure and the\noptical modes we use the same procedure as described\nin Sec. III. We obtain a similar band structure for TE-\nlike modes and also a similar localized mode with a\nfrequency of !opt= 2\u0019\u0002279 THz (obtained by Com-\nsol,235 THz according to MEEP) and a damping of\n\u0014opt= 2\u0019\u00023 THzwhich gives an optical linewidth\n(FWHM) of \ropt= 2\u0019\u00026 THz(see Fig. 10(a)). Us-\ning the values obtained by Comsol this results in a re-\nduced optical quality factor of Q= 93(note that MEEP\ngives a twice as large value). This rather low optical\nquality factor is a trade off for the magnetic optimiza-\ntion achieved by elliptical holes. Moreover, the optical\nspin density compared to the original crystal is mostly\nlocalized within the defect which is advantageous for our\npurposes (see Fig. 10(b)). Similarly, for evaluating the\nmagnon modes we used the parameters and procedurespresented in Sec. IV. In the following we focus on the\nFaraday part of the optomagnonic coupling and there-\nfore consider only the \u000emzcomponent of the magnon\nmodeduetothestructureoftheopticalspindensity. The\nCotton-Mouton term is discussed briefly at the end of the\nsection. The simulated band diagram for backward vol-\nume waves again shows extended magnon modes but in\nthiscaseweobtainonebroadband, mostlikelystemming\nfrom a fusion of several bands due to the larger width of\nthe crystal (see Fig. 10(c)). The frequency of the highest\nexcited localized mode is !mag= 2\u0019\u000213:17 GHzwith an\nestimated linewidth of \r!mag= 2\u0019\u0002131:7 kHzwhere we\nused the Gilbert damping of YIG \r= 10\u00005. As in the\npreviouscase, thesimulatedlinewidthislargerduetothe\nlarger Gilbert damping used in the simulations. As we\nsee from its mode shape, this mode is nicely localized at\nthe holes attached to the defect and has approximately\nthe same shape and symmetry as the optical spin density\n(see Fig. 10(c)).\nUsing the results discussed above, the Faraday com-\nponent of the optomagnonic coupling of Eq. 14 for the\noptimized crystal evaluates to jGF\nnumj= 2\u0019\u00022:9 kHz.\nTherefore the optimized coupling is one order of mag-\nnitude larger than in the crystal discussed in Sec. V. As\nbefore we want to gauge this value by comparing it to the\nanalyticalestimategiveninEq.24. Theoptimalcoupling\nin the optimized crystal is jGF\noptimalj= 2\u0019\u00020:5 MHz.\nAgain the magnetic mode volume bounds the coupling\ndue to the smaller size of the magnetic mode compared\nto the optical mode which also extends to the Si 3N4lay-12\ners. This results in a overlap measure (see Eq. 25) of\nO= 0:04. Therefore the mode overlap is increased by\n25%compared to the un-optimized crystal. Evaluating\nthe directionality measure given in Eq. 26 gives D= 53%\nwhichisjustslightlylargerthanintheun-optimizedcase.\nTaking both measures into account the analytical cou-\npling estimate shrinks to jGF\nexpectedj=O\u0001D\u0001jGF\noptimalj\u0019\n2\u0019\u000210 kHzwhich lies slightly above the numerically ob-\ntained value. Although the fine structure peaks of the\noptical spin density and the magnon mode still do not\ncoincide (see Fig. 11), the coupling values are improved\nby “pulling\" the optical and magnetic modes completely\ninto the defect area by the insertion of elliptical holes,\ncreating an overlap area with high density of both modes.\nThe Cotton-Moutton effect in this structure evaluates\ntojGC\nnumj= 2\u0019\u00021 kHzand results in a total coupling of\njGnumj=jGF\nnum+GC\nnumj= 2\u0019\u00022 kHz. We can conclude\nthat in this case both effects interfere constructively for\nthe total coupling.\nThe cooperativity per photon and magnon in this\ncase isCop\n0\u00182\u000110\u000011, which can be enhanced to\nCop\u00180:5\u000110\u00006by the number of photons trapped in\nthe cavity. Thus the cooperativity at maximum photon\ndensity is slightly lower as in the crystal presented above,\na consequence of the reduced quality factor of the optical\nmode.\nVII. CONCLUSION\nWe proposed an optomagnonic crystal consisting of a\none-dimensional array with an abrupt defect. We showed\nthat this structure acts as a Bragg mirror both for pho-\nton and magnon modes, leading to co-localization of the\nmodes at the defect. By proper design and taking into\naccount the required symmetries of the modes in order to\noptimize the coupling, we showed that coupling values in\nthe kHz range are possible in these structures. This value\nis orders of magnitude larger than the experimental state\nof the art in the field, but still rather small compared to\nthe theoretically predicted optimal value for micron sized\nstructures, which is in the range of \u001810\u00001MHz[34].\nWe showed that the strength of the coupling in our\nproposed structure is still limited largely by the sub-\noptimal mode overlap, <5%. Further optimization in\ndesign is moreover needed in order to boost the coop-\nerativity value, which is limited mainly by the optical\nlosses. The simultaneous optimization is challenging,\ndue to the complexity of the demagnetization fields in\npatterned geometries. Whereas it is well known that a\ntapered defect (that is, a smooth defect) can highly in-\ncrease the optical quality factors, its effect on the mag-\nnetic modes is non-trivial and is disadvantageous for\nlocalizing the magnon modes of the kind used in this\nwork. Other magnon modes, however, could be explored\nin this case. More complex geometries, including one-\nFine structure\n|δm|[E*×E]\n0L01Normalized strengthLengthFigure 11. Fine structure of the optical spin density and the\nmagnon mode along the length of the optimized crystal for a\nfixed height and width.\ndimensional crystals combining tapering and an abrupt\ndefect, or two-dimensional crystals, are good candidates\nto be explored in order to improve quality factors and\ncoupling. The first results shown in this work point to\nthe promise of designing the collective excitations in op-\ntomagnonic systems via geometry, in order to boost the\ncoupling strength and minimize losses, paving the way\nfor applications in the quantum regime.\nVIII. ACKNOWLEDGEMENTS\nWe thank Clinton Potts and Tahereh Parvini for in-\nsightful discussions. J.G. acknowledges financial support\nfrom the International Max Planck Research School -\nPhysics of Light (IMPRS-PL). H.H. acknowledges fund-\ning from the Deutsche Forschungsgemeinschaft (DFG,\nGerman Research Foundation) under Germany’s Excel-\nlence Strategy EXC-2111-390814868. S.S. and S.V.K.\nacknowledge funding from the Max Planck Society\nthrough an Independent Max Planck Research Group.\nS.V.K also acknowledges funding from the Deutsche\nForschungsgemeinschaft (DFG, German Research Foun-\ndation) through Project-ID 429529648 – TRR 306\nQuCoLiMa (“Quantum Cooperativity of Light and Mat-\nter\").\nAPPENDIX A: NORMALIZATION OF MAGNON\nMODES\nIn this section, we discuss the normalization of\nmagnonsoverageneralmagnetizationtexture. Themag-\nnetization satisfies the Landau-Lifshitz (LL) equation\ndM\ndt=\u0000g\u0016B\u00160\n~M\u0002(Hex+He\u000b[M]);(29)13\nwhere Hexis an external field and He\u000bis a linear func-\ntional which can be interpreted as the effective field gen-\nerated by spin-spin interactions such as exchange, dipo-\nlar, etc. Let the static solution, i.e. putting dM=dt= 0,\nbeMsm0(r)with saturation magnetization Msand unit\nvector m0\u0001m0= 1. This magnetization generates an\neffective field of the form\nHe\u000b[Msm0(r)] =H0(r)m0(r)\u0000Hex(r);(30)\nwhere the function H0(r)depends on the nature of spin-\nspin interactions. The magnon modes \u000em\r(r)e\u0000i!\rtare\nfound by the linearized LL equation\ni!\r\u000em\r=g\u0016B\u00160\n~[m0\u0002\u000eh\r+H0\u000em\r\u0002m0];(31)\nwhere\u000eh\r=He\u000b[\u000em\r].\nThe LL equation can be derived from the Hamiltonian\n\u0016H=\u0000\u00160\u0002\ndV\u0014M\u0001He\u000b[M]\n2+Hex\u0001M\u0015\n:(32)\nUp to quadratic terms in \u000em, we expand the magnetiza-\ntion\nM\u0019Ms\u0012\n1\u0000\u000em\u0001\u000em\n2\u0013\nm0+Ms\u000em;(33)\nand the effective field\nHe\u000b[M]\u0019\u0012\n1\u0000\u000em\u0001\u000em\n2\u0013\n(H0m0\u0000Hex) +\u000eh;(34)\nwhere\n\u000eA=X\n\r\u0002\n\u000eA\r\f\r+\u000eA\u0003\n\r\f\u0003\n\r\u0003\n; (35)\nwithAbeing morhand\f\rbeing magnon amplitudes,\ni.e. classical counterpart of ^b\rdefined in Eq. (10) in the\nmain text. The above form ensures M\u0001M=M2\nsup to\nsecond order in \u000em. The Hamiltonian becomes (ignoring\na constant term)\n\u0016H=\u0000\u00160Ms\n2\u0002\ndV\u0002\n\u0000H0\u000em\u0001\u000em+\u000em\u0001\u000eh\n+\u000em\u0001Hex+m0\u0001\u000eh\u0003\n:(36)\nThe last two terms are linear in \u000em\rand thus should\nbe zero for \u000em\rto correspond to a magnon mode. We\ncan simplify the second term by finding the component\nof\u000eh\rperpendicular to m0using Eq. (31),\n\u000eh\r\u0000m0(m0\u0001\u000eh\r) =H0\u000em\r\u0000i~!\r\ng\u0016B\u00160m0\u0002\u000em\r:(37)\nUsingthisandignoringthelinearterms, theHamiltonian\nsimplifies to\n\u0016H=\u00160Ms\n2X\n\u0016\ri~!\u0016\ng\u0016B\u00160\u0002\ndVm0\u0001h\u0000\n\u000em\u0016\f\u0016\u0000\u000em\u0003\n\u0016\f\u0003\n\u0016\u0001\n\u0002\u0000\n\u000em\r\f\r+\u000em\u0003\n\r\f\u0003\n\r\u0001i\n:\n(38)As the eigenmodes should diagonalize the Hamiltonian\ntoP~!\rj\f\rj2, we should have\n\u0002\ndVm0\u0001(\u000em\r\u0002\u000em\u0016) = 0; (39)\nand\niMs\u0002\ndVm0\u0001\u0000\n\u000em\u0016\u0002\u000em\u0003\n\r\u0001\n=g\u0016B\u000e\u0016\r:(40)\nFor\u0016=\r, this gives the normalization for magnons. For\ncircularlypolarizedmagnonswith \u000em\u0016=\u000em(y+iz)=p\n2\nandm0=x, the normalization becomes\n\u0002\ndV\u000em2=g\u0016B\nMs: (41)\nAPPENDIX B: NUMERICAL SETTINGS -\nOPTICAL SIMULATIONS\nIn the following we shortly discuss how the optical\nband structure and the optical modes can be evaluated\nnumerically. In our work we used two different computa-\ntional methods: for calculations done in the time domain\nwe use the electromagnetic simulation tool MEEP [110],\nwhereas for calculations done in the frequency domain we\nuse the finite element solver Comsol [113]. We use two\nsimulation tools since with MEEP it is much easier to ob-\ntain the band structure of the crystal, and with Comsol\nthe exact mode shape.\n1. MEEP\nMEEP in general solves for Maxwell’s equations in\nthe time domain within some finite composite volume.\nTherefore it essentially performs a kind of numerical ex-\nperiment [110]. We use MEEP for simulating the band\nstructure of a YIG crystal without defect in order to find\nits band gap and its corresponding mid-gap frequency.\nFurthermore we use a transmission spectrum simulation\nto optimize the defect size in order to get the least lossy\nlocalized mode. For finding the exact frequency of the\nlocalized mode we also simulate its spatial shape in the\ntime domain. For simplicity we simulate the YIG crystal\nin a 2D model (see [47, 112]). Its material parameters\nare set by the relative permittivity of \"= 5. In order\nto account for the leakage of the electromagnetic field\nthe investigated crystal is surronded by a finite size air\nregion large enough so that the leaking electric field de-\ncays before it reaches the boundaries, in order to avoid\nspurious reflection effects. This is achieved by choosing\nthe distance between the surface of the crystal and the\nboundary of the air region as dair= 3\u0001\u00150where\u00150is the\nvacuum wavelength ( dair= 33:6µmin our case). Fur-\nthermore, we need boundary conditions along the out-\nside of the air region that are transparent to the leaking14\nfield such that the truncated air region represents a rea-\nsonable approximation of free space. Therefore we use\na perfectly matched layer at the boundaries of the air\nregion which absorbs all outgoing waves. The thickness\nof this layer should be at least a vacuum wave length\n[131]. The whole geometry is meshed by one single reso-\nlution parameter which discretizes the structure in time\nand space and gives the number of pixels per distance\nunit. For all band simulations we used a resolution of 40\npixels, whereas in case of the transmission spectrum we\nused a resolution of 20pixels and a resolution of 50pixels\nin case of the mode shapes [112].\nBand structure simulations\nFor obtaining the band structure we use a YIG crystal\nwithout defect ( d=a) and therefore we can simulate\nonly one single unit cell with a side length of acontain-\ning one air hole, and apply an infinite repetition of this\ncell at each side in ^x-direction. Since we expect the mid-\ngap frequency of the crystal with defect to be around\n240 THz, we excite the crystal with a gaussian pulse with\na center-frequency of 225 THz and a width of 450 THz to\ncover all modes around the band gap. We center the\npulse peak at an arbitrary postion (x= 0:00123;y= 0)\nin order to couple the pulse to an arbitrary mode. Since\nwe want to simulate only TE-like modes, in order to save\ncomputational time the pulse only has a Hzcomponent.\nFor decreasing the computation time even more, we ap-\nply an odd mirror symmetry plane for y= 0. The mirror\nsymmetry for x= 0is broken by a boundary condition\nfor0band width). Also in\nthis case we apply an odd mirror symmetry for y= 0for\ndecreasing the simulation time. We note that the mirror\nsymmetry for x= 0is broken by the source since it is\nlocated at the edge of the waveguide [112].\nMode shape simulations\nFor evaluating the mode frequency of the localized mode\nwithin the band gap we simulate the time evolution of\nthis single mode by exciting it by a gaussian pulse with a\ncenter frequency of 203 THz (frequency of the peak in thetransmission spectrum) and a width of 15 THz. Since in\nthis simulation no symmetry is broken we also apply an\nodd mirror symmetry for x= 0andy= 0for obtaining\nonly a TE-like mode [112].\n2. Comsol\nWe use Comsol to find the spatial mode shape. There-\nfore we use the “Electromagnetic waves, Frequency do-\nmain\" package of COMSOL’s “RF module\" which solves\nfor the Helmholtz equation of the form\nr\u00021\n\u0016r(r\u0002E)\u0000k2\n0\u0012\n\"r\u0000i\u001b\n!\"\u0013\nE= 0;(42)\nwherek0indicates the vacuum wave number, !the an-\ngular frequency, \u0016rthe relative permeability and \"0the\nvacuum permittivity. Contrary to the MEEP simula-\ntions above, we simulate the full geometry composite\nof a YIG layer sandwiched by two Si 3N4layers. The\nused material parameters thereby are \"YIG= 5,\"Si= 4,\n\u0016YIG=\u0016Si= 0, and\u001bYIG=\u001bSi= 0with\u0016the relative\npermeabilty and \u001bthe conductivity. Again we also need\nto simulate an truncated air region around the crystal\nwhich is able to absorb the outgoing radiation. The cor-\nresponding material parameters are \"air=\u0016air= 1and\n\u001bair= 0. Besides perfectly matched layers we also can\nuse second order scattering boundary conditions at the\nair surfaces given by the expression [131]\nn\u0001rEz+ik0Ez\u0000i\n2k0r2\ntEz= 0 (43)\nwithnthe normal vector to the considered plane. For\nlarge enough air regions both approaches are almost\nequivalent as long as the leaking field is propagating\nnormal to the air surfaces. In order to account for a\nlarge enough air region we choose the distance between\nthe surfaces of the crystal and the air boundaries as\n4:5µm. For reducing the simulation time we use the\nsymmetry requirements of a TE-like mode. Therefore,\nwe cut the geometry into an eighth of the whole struc-\nture and apply perfect electric conductor boundary con-\nditions ( n\u0002E= 0) at the cut surfaces along x= 0and\ny= 0and a perfect magnetic conductor boundary condi-\ntion (n\u0002H= 0) at the cut surface along z= 0. The full\nsolution is then obtained by using the symmetry require-\nments of a TE-like mode. The whole geometry is meshed\nby a physics-controlled tetrahedral mesh with a maxi-\nmum element size of \u00150=5\u00190:3µm[132]. We note that\nin case of a physics-controlled mesh Comsol automati-\ncally meshes the material areas of interest with a finer\nmesh and uses a coarser mesh e.g. for the air regions.15\nAPPENDIX C: NUMERICAL SETTINGS -\nMAGNETIC SIMULATIONS\nIn this section we briefly discuss how the magnetic\nband structure and magnetic mode shape is obtained nu-\nmerically. For evaluating the magnetization dynamics we\nuse the finite difference tool MuMax3 [124] which solves\nfor the Landau-Lifshitz-Gilbert equation of the form\n@m\n@t=g1\n1 +\u000b2[m\u0002Beff+\u000b(m\u0002(m\u0002Beff))](44)\nwithm=M=Msthe local reduced magnetization of one\nsimulation cell, gthe gyromagnetic ratio, \u000bthe damp-\ning parameter, and Beffan effective field which contri-\nbutions can be found in [124]. As material parameters\nwe used the parameters for YIG, Ms= 140 kA=m(sat-\nuration magnetization), Aex= 2 pJ=m(exchange con-\nstant),Kc1=\u0000610 J=m3(anisotropy constant) with the\nanisotropy axis along ^z. In order to accelerate the simu-\nlations, weusedanincreasedGilbertDampingparameter\n\u000b= 0:008(compare to \u000b\u001910\u00005for YIG) [126]. The\nused meshgrid had (1024;50;5)cells in the (^x;^y;^z)-\ndirection what guarantees to take the exchange interac-\ntion into account ( lex\u001913 nmfor YIG).\nIn general, in all our simulations the spin wave dy-\nnamics is excited via an external pulse field and the time\nevolution is recorded for all three magnetization com-\nponents. For post processing the output of the form\nmi(x;y;z )withi= (x;y;z )saved for all simulated time\nsteps separately we create for each magnetization com-\nponenti= (x;y;z )a 4D-array of the form mi(t;x;y;z ).\nBand structure simulations\nIn order to obtain the band structure along a spe-\ncific direction jwithj= (x;y;z )e.g. chosen to be\nthe^x-direction, we reduce the four dimensional array\nto a two dimensional array of the form mi(t;x) =Pny\nmPnz\nn\u000emi(t;x;ym;zn)and perform a 2D Fourier\ntransform on this array \u000emi(f;kx) =FT2D[mi(t;x)]re-\nsulting in the band diagram along the chosen direction.\nFor increasing the resolution in the band diagram we plot\nthe quantityp\nj\u000emi(t;x)j=max (j\u000emi(t;x)j).\nMode shape simulations\nIn order to obtain the mode shape we perform a space-\ndependent Fourier transform in time on each array entry\nseparately\u000emi(f;x;y;z ) =FT1D[mi(t;x;y;z )].\nAPPENDIX D: EVALUATION OF THE MODE\nVOLUMES\nFor evaluating the mode volume numerically we first,\ndue to numerical errors, need to identify all cells of the\nsimulated array (either containing \u000em(r)in case of the\nmagnetic mode volume or E(r)in case of the opticalmode volume) which contribute to the volume by a high\nenough mode density. This means we need to define a\nthreshold which determines if a cell should contribute to\nthe mode volume or not. We define this threshold by\nT=max(jxj)\u0000min(jxj)\n5; (45)\nwhere xis the content of the cell (either x=\u000emorx=\nE). For being able to count the cells which contribute\nto the volume we create an additional array matching\nthe simulated arrays in size. This array then contains\nones if the absolute value of the cell ( jxj) in the original\narray is larger than the threshold given in Eq. (45) and\nzeros ifjxjis smaller. The mode volume is then obtained\nby summing over this array (giving the number of cells\ncontributing to the volume) and by multiplying this such\ncalculated number by the volume of one cell sx\u0001sy\u0001sz.\nFor evaluating the overlap volume between the mag-\nnetic mode ( x=\u000em) and in this case the optical spin\ndensity ( E\u0003\u0002E) we create the same additional arrays as\nabove identifying the cells which contribute to their cor-\nresponding mode volume. For identifying the cells where\nthe magnon mode and the optical spin density overlap\nwe create a third array again matching the size of the\noriginal array. But this array now contains ones if the\ncorresponding cells of the “threshold arrays\" both in the\nmagnetic and optical case contain a one, otherwise we\nset the cell value to zero. The overlap volume is then\nobtained by summing over this third array (giving the\nnumber of cells contributing to the overlap) and by mul-\ntiplying this such calculated number by the volume of\none cellsx\u0001sy\u0001sz.\n[1] G. Kurizki, P. Bertet, Y. Kubo, K. Mølmer, D. Pet-\nrosyan, P. Rabl, and J. Schmiedmayer, Quantum tech-\nnologies with hybrid systems, Proceedings of the Na-\ntional Academy of Sciences 112, 3866 (2015).\n[2] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt,\nCavity optomechanics, Rev. Mod. Phys. 86, 1391\n(2014).\n[3] M. Metcalfe, Applications of cavity optomechanics, Ap-\nplied Physics Reviews 1, 031105 (2014).\n[4] Y.-H. Zhou, Q.-S. Tan, X.-M. Fang, J.-F. Huang, and\nJ.-Q. Liao, Spectrometric detection of weak forces in\ncavity optomechanics, Opt. Express 28, 28620 (2020).\n[5] P. Weber, J. Güttinger, A. Noury, J. Vergara-Cruz, and\nA.Bachtold,Forcesensitivityofmultilayergrapheneop-\ntomechanical devices, Nat. Commun. 7, 12496 (2016).\n[6] S. Schreppler, N. Spethmann, N. Brahms, T. Botter,\nM. Barrios, and D. M. Stamper-Kurn, Optically mea-\nsuring force near the standard quantum limit, Science\n344, 1486 (2014).\n[7] M. Toroš and T. S. Monteiro, Quantum sensing and\ncooling in three-dimensional levitated cavity optome-\nchanics, Phys. Rev. Research 2, 023228 (2020).\n[8] S. Ghosh, D. Carney, P. Shawhan, and J. M. Taylor,16\nBackaction-evading impulse measurement with mechan-\nical quantum sensors, Phys. Rev. A 102, 023525 (2020).\n[9] P. J. de Groot, A review of selected topics in interfero-\nmetricopticalmetrology,ReportsonProgressinPhysics\n82, 056101 (2019).\n[10] T. Liu, F. Pagliano, R. van Veldhoven, V. Pogoretskiy,\nY. Jiao, and A. Fiore, Integrated nano-optomechanical\ndisplacement sensor with ultrawide optical bandwidth,\nNat. Commun. 11, 2407 (2020).\n[11] V. Bergholm, W. Wieczorek, T. Schulte-Herbrüggen,\nand M. Keyl, Optimal control of hybrid optomechanical\nsystems for generating non-classical states of mechani-\ncal motion, Quantum Science and Technology 4, 034001\n(2019).\n[12] H. Xu, L. Jiang, A. A. Clerk, and J. G. E. Harris, Non-\nreciprocal control and cooling of phonon modes in an\noptomechanical system, Nature 568, 65 (2019).\n[13] G. A. Brawley, M. R. Vanner, P. E. Larsen, S. Schmid,\nA. Boisen, and W. P. Bowen, Nonlinear optomechanical\nmeasurement of mechanical motion, Nat. Commun. 7,\n10988 (2016).\n[14] B. Q. Baragiola and J. Twamley, Generating nonclas-\nsical states of motion using spontaneous emission, New\nJournal of Physics 20, 073029 (2018).\n[15] Y. Yan, J. pei Zhu, and G. xiang Li, Preparation of a\nnonlinear coherent state of the mechanical resonator in\nan optomechanical microcavity, Opt. Express 24, 13590\n(2016).\n[16] F. Pulizzi, Spintronics, Nature Matter 11, 367 (2012).\n[17] A. Hirohata, K. Yamada, Y. Nakatani, I.-L. Prejbeanu,\nB. Diény, P. Pirro, and B. Hillebrands, Review on spin-\ntronics: Principles and device applications, Journal of\nMagnetism and Magnetic Materials 509, 166711 (2020).\n[18] T. Wimmer, M. Althammer, L. Liensberger, N. Vliet-\nstra, S. Geprägs, M. Weiler, R. Gross, and H. Huebl,\nSpin transport in a magnetic insulator with zero effec-\ntive damping, Phys. Rev. Lett. 123, 257201 (2019).\n[19] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa,\nR. Yamazaki, K. Usami, and Y. Nakamura, Quantum\nmagnonics: The magnon meets the superconducting\nqubit, Comptes Rendus Physique 17, 729 (2016).\n[20] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke,\nM. Greifenstein, A. Marx, R. Gross, and S. T. B. Goen-\nnenwein, High cooperativity in coupled microwave res-\nonator ferrimagnetic insulator hybrids, Phys. Rev. Lett.\n111, 127003 (2013).\n[21] A. A. Clerk, K. W. Lehnert, P. Bertet, J. R. Petta,\nand Y. Nakamura, Hybrid quantum systems with cir-\ncuit quantum electrodynamics, Nature Physics 16, 257\n(2020).\n[22] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K.Usami,andY.Nakamura,Coherentcoupling\nbetweenaferromagneticmagnonandasuperconducting\nqubit, Science 349, 405 (2015).\n[23] D.Lachance-Quirion,Y.Tabuchi,S.Ishino,A.Noguchi,\nT. Ishikawa, R. Yamazaki, and Y. Nakamura, Resolving\nquantaofcollectivespinexcitationsinamillimeter-sized\nferromagnet, Science Advances 3, e1603150 (2017).\n[24] D.Lachance-Quirion, S.P.Wolski, Y.Tabuchi, S.Kono,\nK. Usami, and Y. Nakamura, Entanglement-based\nsingle-shot detection of a single magnon with a super-\nconducting qubit, Science 367, 425 (2020).\n[25] S. Sharma, V. A. S. V. Bittencourt, A. D. Karenowska,\nand S. V. Kusminskiy, Spin cat states in ferromagneticinsulators, Phys. Rev. B 103, L100403 (2021).\n[26] C. A. Potts, V. A. S. V. Bittencourt, S. V. Kusminskiy,\nand J. P. Davis, Magnon-phonon quantum correlation\nthermometry, Phys. Rev. Applied 13, 064001 (2020).\n[27] R. Hisatomi, A. Osada, Y. Tabuchi, T. Ishikawa,\nA. Noguchi, R. Yamazaki, K. Usami, and Y. Nakamura,\nBidirectional conversion between microwave and light\nvia ferromagnetic magnons, Phys. Rev. B 93, 174427\n(2016).\n[28] D.Lachance-Quirion, Y.Tabuchi, A.Gloppe, K.Usami,\nand Y. Nakamura, Hybrid quantum systems based on\nmagnonics, Applied Physics Express 12, 070101 (2019).\n[29] J. A. Haigh, A. Nunnenkamp, A. J. Ramsay, and A. J.\nFerguson, Triple-resonant brillouin light scattering in\nmagneto-optical cavities, Phys. Rev. Lett. 117, 133602\n(2016).\n[30] A. Osada, R. Hisatomi, A. Noguchi, Y. Tabuchi, R. Ya-\nmazaki, K. Usami, M. Sadgrove, R. Yalla, M. Nomura,\nand Y. Nakamura, Cavity optomagnonics with spin-\norbit coupled photons, Phys. Rev. Lett. 116, 223601\n(2016).\n[31] X. Zhang, N. Zhu, C.-L. Zou, and H. X. Tang, Op-\ntomagnonic whispering gallery microresonators, Phys.\nRev. Lett. 117, 123605 (2016).\n[32] A. Osada, A. Gloppe, R. Hisatomi, A. Noguchi, R. Ya-\nmazaki, M. Nomura, Y. Nakamura, and K. Usami, Bril-\nlouinlightscatteringbymagneticquasivorticesincavity\noptomagnonics, Phys. Rev. Lett. 120, 133602 (2018).\n[33] J. A. Haigh, N. J. Lambert, S. Sharma, Y. M. Blanter,\nG. E. W. Bauer, and A. J. Ramsay, Selection rules for\ncavity-enhanced brillouin light scattering from magne-\ntostatic modes, Phys. Rev. B 97, 214423 (2018).\n[34] S. Viola Kusminskiy, H. X. Tang, and F. Marquardt,\nCoupled spin-light dynamics in cavity optomagnonics,\nPhys. Rev. A 94, 033821 (2016).\n[35] T. Liu, X. Zhang, H. X. Tang, and M. E. Flatté,\nOptomagnonics in magnetic solids, Phys. Rev. B 94,\n060405(R) (2016).\n[36] S. Sharma, Y. M. Blanter, and G. E. W. Bauer, Light\nscattering by magnons in whispering gallery mode cav-\nities, Phys. Rev. B 96, 094412 (2017).\n[37] P. A. Pantazopoulos, N. Stefanou, E. Almpanis,\nand N. Papanikolaou, Photomagnonic nanocavities for\nstrong light–spin-wave interaction, Phys. Rev. B 96,\n104425 (2017).\n[38] A. Osada, A. Gloppe, Y. Nakamura, and K. Usami, Or-\nbital angular momentum conservation in brillouin light\nscattering within a ferromagnetic sphere, New Journal\nof Physics 20, 103018 (2018).\n[39] S. V. Kusminskiy, Cavity optomagnoics,\narXiv:1911.11104 (2019).\n[40] V. A. S. V. Bittencourt, V. Feulner, and S. V. Kusmin-\nskiy, Magnon heralding in cavity optomagnonics, Phys.\nRev. A 100, 013810 (2019).\n[41] D. D. Stancil and A. Prabhakar, Spin waves , 1st ed.\n(Springer, 2009).\n[42] A. Antonov, A. Agranovskaya, G. Petrova, and\nA. Titova, Optical properties of yttrium iron garnet,\nJournal of Applied Spectroscopy 11, 1225 (1969).\n[43] S. H. Wemple, S. L. Blank, J. A. Seman, and W. A.\nBiolsi, Optical properties of epitaxial iron garnet thin\nfilms, Phys. Rev. B 9, 2134 (1974).\n[44] J. Haigh, R. Chakalov, and A. Ramsay, Subpicoliter\nmagnetoptical cavities, Phys. Rev. Applied 14, 04400517\n(2020).\n[45] E. Almpanis, G. P. Zouros, P. A. Pantazopoulos, K. L.\nTsakmakidis, N. Papanikolaou, and N. Stefanou, Spher-\nical optomagnonic microresonators: Triple-resonant\nphoton transitions between zeeman-split mie modes,\nPhys. Rev. B 101, 054412 (2020).\n[46] P.-A.Pantazopoulos,N.Papanikolaou,andN.Stefanou,\nTailoring coupling between light and spin waves with\ndual photonic–magnonic resonant layered structures,\nJournal of Optics 21, 015603 (2018).\n[47] J. D. Joannopoulos, S. G. Johnson, J. N. Winn, and\nR. D. Meade, Photonic Crystals - Molding the Flow of\nLight,2nded.,Vol.8(PrincetonUniversityPress,2008).\n[48] S. O. Demokritov and A. N. Slavin, Magnonics -\nFrom Fundamentals to Applications , 1st ed., Vol. 125\n(Springer-Verlag Berlin Heidelberg, 2013).\n[49] M. Krawczyk and D. Grundler, Review and prospects\nof magnonic crystals and devices with reprogrammable\nband structure, Journal of Physics: Condensed Matter\n26, 123202 (2014).\n[50] B. Lenk, H. Ulrichs, F. Garbs, and M. Münzenberg, The\nbuilding blocks of magnonics, Physics Reports 507, 107\n(2011).\n[51] M.Eichenfield, J. Chan, R. M. Camacho, K. J. Vahala,\nand O. Painter, Optomechanical crystals, Nature 462,\n78 (2009).\n[52] M. Eichenfield, J. Chan, A. H. Safavi-Naeini, K. J. Va-\nhala, and O. Painter, Modeling dispersive coupling and\nlosses of localized optical and mechanical modes in op-\ntomechanical crystals, Opt. Express 17, 20078 (2009).\n[53] J. Chan, T. P. M. Alegre, A. H. Safavi-Naeini, J. T.\nHill, A. Krause, S. Gröblacher, M. Aspelmeyer, and\nO. Painter, Laser cooling of a nanomechanical oscillator\ninto itsquantum ground state, Nature 478, 89 (2011).\n[54] A. H. Safavi-Naeini, T. P. M. Alegre, J. Chan,\nM. Eichenfield, M. Winger, Q. Lin, J. T. Hill, D. E.\nChang, and O. Painter, Electromagnetically induced\ntransparency and slowlight with optomechanics, Nature\n472, 69 (2011).\n[55] J. Chan, A. H. Safavi-Naeini, J. T. Hill, S. Meenehan,\nand O. Painter, Optimized optomechanical crystal cav-\nity with acoustic radiation shield, Applied Physics Let-\nters101, 081115 (2012).\n[56] J. T. Hill, A. H. Safavi-Naeini, J. Chan, and O. Painter,\nCoherent optical wavelength conversion via cavity op-\ntomechanics, Nat. Commun. 3, 1196 (2012).\n[57] A. H. Safavi-Naeini, J. Chan, J. T. Hill, S. Gröblacher,\nH. Miao, Y. Chen, M. Aspelmeyer, and O. Painter,\nLaser noise in cavity-optomechanical cooling and ther-\nmometry, New Journal of Physics 15, 035007 (2013).\n[58] A. G. Krause, J. T. Hill, M. Ludwig, A. H. Safavi-\nNaeini, J. Chan, F. Marquardt, and O. Painter, Nonlin-\near radiation pressure dynamics in an optomechanical\ncrystal, Phys. Rev. Lett. 115, 233601 (2015).\n[59] M. J. Burek, J. D. Cohen, S. M. Meenehan, N. El-\nSawah, C. Chia, T. Ruelle, S. Meesala, J. Rochman,\nH. A. Atikian, M. Markham, D. J. Twitchen, M. D.\nLukin, O. Painter, and M. Lončar, Diamond optome-\nchanical crystals, Optica 3, 1404 (2016).\n[60] M. H. Matheny, Enhanced photon-phonon coupling via\ndimerization in one-dimensional optomechanical crys-\ntals, Applied Physics Letters 112, 253104 (2018).\n[61] A. H. Safavi-Naeini and O. Painter, Design of optome-\nchanical cavities and waveguides on a simultaneousbandgap phononic-photonic crystal slab, Opt. Express\n18, 14926 (2010).\n[62] A. H. Safavi-Naeini, T. P. M. Alegre, M. Winger,\nand O. Painter, Optomechanics in an ultrahigh-q two-\ndimensional photonic crystal cavity, Applied Physics\nLetters 97, 181106 (2010).\n[63] D. E. Chang, A. H. Safavi-Naeini, M. Hafezi, and\nO. Painter, Slowing and stopping light using an op-\ntomechanical crystal array, New Journal of Physics 13,\n023003 (2011).\n[64] T. P. M. Alegre, A. Safavi-Naeini, M. Winger,\nand O. Painter, Quasi-two-dimensional optomechanical\ncrystals with a complete phononic bandgap, Opt. Ex-\npress19, 5658 (2011).\n[65] A. H. Safavi-Naeini, J. T. Hill, S. Meenehan, J. Chan,\nS. Gröblacher, and O. Painter, Two-dimensional\nphononic-photonic band gap optomechanical crystal\ncavity, Phys. Rev. Lett. 112, 153603 (2014).\n[66] H. Ren, M. H. Matheny, G. S. MacCabe, J. Luo,\nH. Pfeifer, M. Mirhosseini, and O. Painter, Two-\ndimensional optomechanical crystal cavity with high\nquantum cooperativity, Nat. Commun. 11, 3373 (2020).\n[67] M. Eichenfield, R. Camacho, J. Chan, K. J. Vahala, and\nO. Painter, A picogram- and nanometre-scale photonic-\ncrystaloptomechanical cavity, Nature 459, 550 (2009).\n[68] J. Chan, M. Eichenfield, R. Camacho, and O. Painter,\nOptical and mechanical design of a “zipper” photonic\ncrystal optomechanical cavity, Opt. Express 17, 3802\n(2009).\n[69] A. H. Safavi-Naeini, S. Gröblacher, J. T. Hill, J. Chan,\nM. Aspelmeyer, and O. Painter, Squeezed light from\na silicon micromechanical resonator, Nature 500, 185\n(2013).\n[70] S. M. Meenehan, J. D. Cohen, S. Gröblacher, J. T. Hill,\nA. H. Safavi-Naeini, M. Aspelmeyer, and O. Painter,\nSilicon optomechanical crystal resonator at millikelvin\ntemperatures, Phys. Rev. A 90, 011803(R) (2014).\n[71] A. G. Krause, T. D. Blasius, and O. Painter, Optical\nread out and feedback cooling of a nanostring optome-\nchanical cavity, arXiv:1506.01249v1 (2015).\n[72] T. K. Paraïso, M. Kalaee, L. Zang, H. Pfeifer, F. Mar-\nquardt, and O. Painter, Position-squared coupling in a\ntunable photonic crystal optomechanical cavity, Phys.\nRev. X 5, 041024 (2015).\n[73] H. Pfeifer, T. Paraïso, L. Zang, and O. Painter, De-\nsign of tunable ghz-frequency optomechanical crystal\nresonators, Opt. Express 24, 11407 (2016).\n[74] G. S. MacCabe, H. Ren, J. Luo, J. D. Cohen, H. Zhou,\nA. Sipahigil, M. Mirhosseini, and O. Painter, Nano-\nacoustic resonator with ultralong phonon lifetime, Sci-\nence370, 840 (2020).\n[75] J. Joannopoulos, P. Villeneuve, and S. Fan, Photonic\ncrystals: putting a new twist on light, Nature 386, 143\n(1997).\n[76] S.-Y. Lin, E. Chow, V. Hietala, P. R. Villeneuve, and\nJ. D. Joannopoulos, Experimental demonstration of\nguiding and bending of electromagnetic waves in a pho-\ntonic crystal, Science 282, 274 (1998).\n[77] R. F. Cregan, B. J. Mangan, J. C. Knight, T. A. Birks,\nP. S. J. Russell, P. J. Roberts, and D. C. Allan, Single-\nmodephotonicbandgapguidanceoflightinair,Science\n285, 1537 (1999).\n[78] M. Lončar, D. Nedeljković, T. Doll, J. Vučković,\nA. Scherer, and T. P. Pearsall, Waveguiding in pla-18\nnar photonic crystals, Applied Physics Letters 77, 1937\n(2000).\n[79] J. C. Knight and P. S. J. Russell, New ways to guide\nlight, Science 296, 276 (2002).\n[80] J. Joannopoulos, P. R. Villeneuve, and S. Fan, Photonic\ncrystals, Solid State Communications 102, 165 (1997).\n[81] P. Villeneuve, S. Fan, and J. Joannopoulos, Three-\ndimensional photon confinement in photonic crystals of\nlow-dimensional periodicity, IEE Proceedings - Opto-\nelectronics 145, 384 (1998).\n[82] H. Benisty, C. Weisbuch, D. Labilloy, M. Rattier,\nC. J. M. Smith, T. F. Krauss, R. M. de la Rue,\nR. Houdre, U. Oesterle, C. Jouanin, and D. Cassagne,\nOptical and confinement properties of two-dimensional\nphotonic crystals, Journal of Lightwave Technology 17,\n2063 (1999).\n[83] A. Scherer, O. Painter, J. Vuckovic, M. Loncar, and\nT. Yoshie, Photonic crystals for confining, guiding, and\nemitting light, IEEE Transactions on Nanotechnology\n1, 4 (2002).\n[84] K. J. Vahala, Optical microcavities, Nature 424, 839\n(2003).\n[85] Y. Akahane, T. Asano, B.-S. Song, and S. Noda, Fine-\ntuned high-q photonic-crystal nanocavity, Opt. Express\n13, 1202 (2005).\n[86] V. Berger, Nonlinear photonic crystals, Phys. Rev. Lett.\n81, 4136 (1998).\n[87] M. Soljačić, S. G. Johnson, S. Fan, M. Ibanescu, E. Ip-\npen, and J. D. Joannopoulos, Photonic-crystal slow-\nlightenhancementofnonlinearphasesensitivity,J.Opt.\nSoc. Am. B 19, 2052 (2002).\n[88] J.-J. Li, Z.-Y. Li, and D.-Z. Zhang, Second harmonic\ngeneration in one-dimensional nonlinear photonic crys-\ntals solved by the transfer matrix method, Phys. Rev.\nE75, 056606 (2007).\n[89] N. Segal, S. Keren-Zur, N. Hendler, and T. Ellenbo-\ngen, Controlling light with metamaterial-based nonlin-\near photonic crystals, Nature Photonics 9, 180 (2015).\n[90] J.Topp, D.Heitmann, M.P.Kostylev,andD.Grundler,\nMaking a reconfigurable artificial crystal by ordering\nbistable magnetic nanowires, Phys. Rev. Lett. 104,\n207205 (2010).\n[91] S.-K. Kim, K.-S. Lee, and D.-S. Han, A gigahertz-range\nspin-wavefiltercomposedofwidth-modulatednanostrip\nmagnonic-crystal waveguides, Applied Physics Letters\n95, 082507 (2009).\n[92] H. Al-Wahsh, A. Akjouj, B. Djafari-Rouhani, and\nL. Dobrzynski, Magnonic circuits and crystals, Surface\nScience Reports 66, 29 (2011).\n[93] X. Xing, S. Li, X. Huang, and Z. Wang, Engineering\nspin-wave channels in submicrometer magnonic waveg-\nuides, AIP Advances 3, 032144 (2013).\n[94] J. W. Kłos, D. Kumar, M. Krawczyk, and A. Barman,\nMagnonic Band Engineering by Intrinsic and Extrin-\nsic Mirror Symmetry Breaking in Antidot Spin-Wave\nWaveguides, Sci. Rep. 3, 2444 (2013).\n[95] T.Schneider,A.Serga,B.Hillebrands,andM.Kostylev,\nSpin-wave ferromagnetic film combiner as a not logic\ngate, Journal of Nanoelectronics and Optoelectronics 3,\n69 (2008).\n[96] T. Schneider, A. A. Serga, B. Leven, B. Hillebrands,\nR. L. Stamps, and M. P. Kostylev, Realization of spin-\nwave logic gates, Applied Physics Letters 92, 022505\n(2008).[97] A.Khitun, M.Bao,andK.L.Wang,Magnoniclogiccir-\ncuits, Journal of Physics D: Applied Physics 43, 264005\n(2010).\n[98] A. Khitun, Multi-frequency magnonic logic circuits for\nparallel data processing, Journal of Applied Physics\n111, 054307 (2012).\n[99] J. D. Jackson, Classical electrodynamics , 3rd ed. (Wiley\nNew York, 1999).\n[100] W. Wettling, M. G. Cottam, and J. R. Sandercock,\nThe relation between one-magnon light scattering and\nthe complex magneto-optic effects in YIG, Journal of\nPhysics C: Solid State Physics 8, 211 (1975).\n[101] A. Borovik-Romanov and N. Kreines, Brillouin-\nmandelstam scattering from thermal and excited\nmagnons, Physics Reports 81, 351 (1982).\n[102] P. A. Fleury and R. Loudon, Scattering of light by\none- and two-magnon excitations, Phys. Rev. 166, 514\n(1968).\n[103] P. A. M. Dirac and N. H. D. Bohr, The quantum theory\nof the emission and absorption of radiation, Proceedings\nof the Royal Society of London. Series A, Containing\nPapers of a Mathematical and Physical Character 114,\n243 (1927).\n[104] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt,\nCavity Optomechanics (Springer-Verlag Berlin Heidel-\nberg, 2014).\n[105] D. Mills, Quantum theory of spin waves in finite sam-\nples, Journal of Magnetism and Magnetic Materials\n306, 16 (2006).\n[106] S. Sharma, Y. M. Blanter, and G. E. W. Bauer, Opti-\ncal cooling of magnons, Physical Review Letters 121,\n087205 (2018).\n[107] S. V. Kusminskiy, Quantum Magnetism, Spin Waves,\nand Optical Cavities (Springer, 2019).\n[108] D. L. Wood and J. P. Remeika, Effect of impurities on\nthe optical properties of yttrium iron garnet, Journal of\nApplied Physics 38, 1038 (1967).\n[109] G. B. Scott, D. E. Lacklison, and J. L. Page, Absorption\nspectra of y3fe5o12(yig) and y3ga5o12:fe3+, Phys. Rev.\nB10, 971 (1974).\n[110] A. F. Oskooi, D. Roundy, M. Ibanescu, P. Bermel,\nJ. Joannopoulos, and S. G. Johnson, Meep: A flexible\nfree-softwarepackageforelectromagneticsimulationsby\nthe fdtd method, Computer Physics Communications\n181, 687 (2010).\n[111] J. Graf, H. Pfeifer, F. Marquardt, and S. Viola Kus-\nminskiy, Cavity optomagnonics with magnetic textures:\nCoupling a magnetic vortex to light, Phys. Rev. B 98,\n241406(R) (2018).\n[112] /https://meep :readthedocs :io/en/latest/Python_\nTutorials/Resonant_Modes_and_Transmission_in_a_\nWaveguide_Cavity/ (accessed November 20, 2020).\n[113] /https://www :comsol:eu/(accessed November 20,\n2020).\n[114] T.-R. Lin, C.-H. Lin, and J.-C. Hsu, Strong Op-\ntomechanical Interaction in Hybrid Plasmonic-Photonic\nCrystal Nanocavities with Surface Acoustic Waves, Sci.\nRep.5, 13782 (2015).\n[115] E. Yablonovitch, Inhibited spontaneous emission in\nsolid-state physics and electronics, Phys. Rev. Lett. 58,\n2059 (1987).\n[116] S. John, Strong localization of photons in certain disor-\ndered dielectric superlattices, Phys. Rev. Lett. 58, 2486\n(1987).19\n[117] J. R. Eshbach and R. W. Damon, Surface magnetostatic\nmodes and surface spin waves, Phys. Rev. 118, 1208\n(1960).\n[118] A. A. Serga, A. V. Chumak, and B. Hillebrands, YIG\nmagnonics, Journal of Physics D: Applied Physics 43,\n264002 (2010).\n[119] G. Venkat, D. Kumar, M. Franchin, O. Dmytri-\niev, M. Mruczkiewicz, H. Fangohr, A. Barman,\nM. Krawczyk, and A. Prabhakar, Proposal for a stan-\ndard micromagnetic problem: Spin wave dispersion in a\nmagnonic waveguide, IEEE Transactions on Magnetics\n49, 524 (2013).\n[120] R. Huber and D. Grundler, Ferromagnetic nanodisks\nfor magnonic crystals and waveguides, Proc SPIE 8100,\n81000D (2011).\n[121] L. Bai, M. Kohda, and J. Nitta, Observation of spin\nwave modes depending on a tunable periodic magnetic\nfield, Applied Physics Letters 98, 172508 (2011).\n[122] P. Trempler, R. Dreyer, P. Geyer, C. Hauser,\nG. Woltersdorf, and G. Schmidt, Integration and char-\nacterization of micron-sized yig structures with very\nlow gilbert damping on arbitrary substrates, Applied\nPhysics Letters 117, 232401 (2020).\n[123] G. Schmidt, C. Hauser, P. Trempler, M. Paleschke, and\nE. T. Papaioannou, Ultra thin films of yttrium iron gar-\nnet with very low damping: A review, physica status\nsolidi (b) 257, 1900644 (2020).\n[124] A. Vansteenkiste and B. V. de Wiele, MuMax: A new\nhigh-performance micromagnetic simulation tool, Jour-\nnal of Magnetism and Magnetic Materials 323, 2585\n(2011).\n[125] D. Kumar, O. Dmytriiev, S. Ponraj, and A. Bar-man, Numerical calculation of spin wave dispersions in\nmagnetic nanostructures, J. Phys. D: Appl. Phys. 45,\n015001 (2011).\n[126] J. E. Losby, F. F. Sani, D. T. Grandmont, Z. Diao,\nM. Belov, J. A. J. Burgess, S. R. Compton, W. K.\nHiebert, D. Vick, K. Mohammad, E. Salimi, G. E.\nBridges, D. J. Thomson, and M. R. Freeman, Torque-\nmixing magnetic resonance spectroscopy, Science 350,\n798 (2015).\n[127] V. Cherepanov, I. Kolokolov, and V. L’vov, The saga\nof yig: Spectra, thermodynamics, interaction and relax-\nation of magnons in a complex magnet, Physics Reports\n229, 81 (1993).\n[128] S. Klingler, H. Maier-Flaig, C. Dubs, O. Surzhenko,\nR. Gross, H. Huebl, S. T. B. Goennenwein, and\nM. Weiler, Gilbert damping of magnetostatic modes in\na yttrium iron garnet sphere, Applied Physics Letters\n110, 092409 (2017).\n[129] S.Sharma, B.Z.Rameshti, Y.M.Blanter,andG.E.W.\nBauer, Optimal mode matching in cavity optomagnon-\nics, Phys. Rev. B 99, 214423 (2019).\n[130] N. Zhu, X. Zhang, X. Han, C.-L. Zou, C. Zhong, C.-H.\nWang, L. Jiang, and H. X. Tang, Waveguide cavity op-\ntomagnonics for microwave-to-optics conversion, Optica\n7, 1291 (2020).\n[131] /https://www :comsol:de/blogs/using-perfectly-\nmatched-layers-and-scattering-boundary-\nconditions-for-wave-electromagnetics-problems/\n(accessed November 20, 2020).\n[132] /https://www :comsol:de/blogs/automatic-meshing-\nfor-electromagnetic-simulations/ (accessed\nNovember 20, 2020)." }, { "title": "2012.00576v1.Magnon_hybridization_in_ferrimagnetic_heterostructures.pdf", "content": "Magnon hybridization in ferrimagnetic heterostructures\nSong Li,1, 2Ka Shen,2,\u0003and Ke Xia3\n1School of Science, Tianjin University, Tianjin 300072, China\n2The Center for Advanced Quantum Studies and Department of Physics,\nBeijing Normal University, Beijing 100875, China\n3Beijing Computational Science Research Center, Beijing 100193, China\n(Dated: December 2, 2020)\nWe study magnon hybridization in a ferrimagnetic heterostructure consisting of ultrathin gadolin-\nium iron garnet and yttrium iron garnet layers and show the localized and extended spatial pro\fles\nof the magnon modes with di\u000berent polarizations. These modes are expected to have distinct\nthermal excitation properties in the presence of a temperature gradient across the heterostructure.\nFrom a quantitative analysis of their consequences on longitudinal spin Seebeck e\u000bect, we predict\nan observable shift of the sign-changing temperature with respect to the one previously observed\nin gadolinium iron garnet. Moreover, the sign-changing point of spin Seebeck signal is found to be\ntunable by YIG thickness. Our results suggest the necessity of taking into account the temperature\ndi\u000berence between the magnon modes in ferrimagnetic heterostructures.\nI. INTRODUCTION\nMagnons [1, 2], collective excitations in magnetic or-\ndering systems, have been considered as potential in-\nformation carriers in low-power devices. They can be\nactivated by microwave [3, 4], laser [5{7] and thermal\n\ructuation [8{10] and interact with each other through,\ne.g., exchange coupling [11, 12] and magnetic dipolar in-\nteraction [11, 13, 14]. In the past decade, many inter-\nesting magnon-related phenomena, such as spin Seebeck\ne\u000bect (SSE) [8, 15], orbital Nernst e\u000bect of magnon [16]\nand corner states in ferromagnetic breathing Kagome lat-\ntice [17], have been reported. To understand the under-\nlying physics of these phenomena, we need to explore the\nproperties of magnons.\nWhile earlier studies mainly focused on the magnons in\na single magnetic layer, recent experiments revealed at-\ntractive properties in hybrid magnetic structure. For in-\nstance, unexpected enhancements were observed in spin\npumping (SP) [18] and SSE signals [19] when an ultra-\nthin antiferromagnetic NiO was inserted between yttrium\niron garnet (YIG) and Pt layers [20{23]. Such enhance-\nments were attributed to either the increased interfacial\nspin mixing conductance [20, 21] or the interference of\nevanescent waves [22], one type of hybrid spin waves in\nthe magnetic bilayer structure. Recent phase-resolved\nx-ray pump-probe measurements showed the evidence\nof magnon transmission via the evanescent waves [24].\nAnother important feature in hybrid magnetic structure\nis the anticrossing between di\u000berent ferromagnetic reso-\nnances observed in, e.g., YIG-Ni [25], YIG-Co [26] and\nYIG-CoFeB [27] bilayer structures, which reveals the for-\nmation of hybrid spin wave modes around the anticross-\ning. These mode hybridizations can a\u000bect the measur-\nable quantities in practical experiments. For example,\nthe suppression and enhancement of ferromagnetic reso-\n\u0003kashen@bnu.edu.cnnance linewidth were observed for the in-phase and out-\nof-phase coupled modes, respectively, in YIG-permalloy\n(YIG-Py) system [28]. A precise description of these ob-\nservations requires a detailed calculation of the hybrid\nmagnon modes which could play an essential role, espe-\ncially when part of the system is of only a few nanometers\nthick.\nYIG as one of the most important magnetic materi-\nals due to its low-damping coe\u000ecient is usually grown\non gadolinium gallium garnet (GGG) substrate [29]. Re-\ncently, Gomez-Perez et al. showed that near the interface\nbetween YIG and GGG, the Gd atoms from GGG can\ndi\u000buse into the YIG layer and substitute Y atoms in YIG,\nforming a natural YIG-GdIG magnetic bilayer [30], where\nthe thickness of the GdIG layer is around 3 nanometers.\nTheoretically, whereas the magnon spectra in both YIG\nand GdIG have been studied in literatures [31{35], the\nhybrid magnon spectrum in their hybrid system is still\nmissing. On the other hand, although GdIG shares the\nsame structure with YIG, its SSE [36] is found to be\nquite di\u000berent from that in YIG [9, 37]. An interesting\nquestion one may ask is: What are the consequences of\nhybrid magnon modes in YIG-GdIG bilayer in the spin\nSeebeck measurement. Therefore in this work, we calcu-\nlate the hybrid magnon spectrum in YIG-GdIG bilayer\nsystem and analyze its consequences in the longitudinal\nspin Seebeck e\u000bect (LSSE).\nII. HYBRID SPECTRUM AND MODE\nHYBRIDIZATIONS IN THE YIG-GDIG BILAYER\nSYSTEM\nA. Qualitative analysis\nWe consider the situation with the thickness of YIG in\nthe YIG-GdIG bilayer much larger than that of GdIG.\nAs experimentally demonstrated in Ref.[30], the net mag-\nnetic moments of the two parts in such structure align\nantiparallelly under a weak in-plane \feld. Therefore, asarXiv:2012.00576v1 [cond-mat.mes-hall] 1 Dec 20202\nFIG. 1. Schematic of the structure (a), the magnon spectrum\nand hybrid modes (b) in the YIG-GdIG hybrid system, where\nthe red and blue lines stand for the left-handed ( \u000b) and right-\nhanded (\f) modes, respectively.\nsketched in Fig.1(a), when a small magnetic \feld is ap-\nplied along\u0000^z, the magnetic moment of the YIG layer\ndominated by the d-Fe sublattice [31] points to \u0000^zand\nthat of the GdIG layer determined by c-Gd sublattice [31]\npoints to ^z. Notice also that both orientations of a-Fe and\nd-Fe sublattice in the GdIG layer are the same as those\nin the YIG layer.\nIn such a system, the YIG layer contains two types\nof modes, where the one with lower frequency (higher\nfrequency) is dominated by the precession of d-Fe (a-Fe)\nsublattice [32] and the GdIG layer contains three types of\nmagnons, dominated by the precessions of c-Gd sublat-\ntice, d-Fe sublattice and a-Fe sublattice, respectively [33].\nThe magnon dispersions in the two parts of this bilayer\nsystem are sketched in the upper panel of Fig.1(b), where\nthe two bands in the two layers are of opposite chiralities\nwith the gap in YIG larger than that in GdIG [31, 32, 35].Notice that the high energy mode dominated by the pre-\ncession of a-Fe sublattice in GdIG will not a\u000bect our main\nresults, we therefore discard it in the \fgure. Due to the\nantiferromagnetically aligned magnetic moments of Gd\natoms and d-Fe atoms (as seen in Fig.1(a)), the lower\nbranches in the YIG layer and the GdIG layer carry op-\nposite spin angular momentums. By taking into account\nthe hybridization between the two layers, one expects\nfour types of hybrid modes (as plotted in the lower panel\nof Fig.1(b)): left-handed ( \u000b1) modes propagating in the\nGdIG layer but evanescent in the YIG layer and right-\nhanded (\f1) modes propagating in the YIG layer but\nevanescent in the GdIG layer, right-handed ( \f2) and left-\nhanded (\u000b2) modes propagating in both layers.\nB. Heisenberg model\nTo calculate the concrete spectrum, we apply the\natomic spin exchange model to our bilayer structure\nH=\u0000NX\nn=1[naX\ni=1X\njrijj=raaJaa\nijSa(Rin)\u0001Sa(Rin+rij)\n+ndX\ni=1X\njrijj=rddJdd\nijSd(Rin)\u0001Sd(Rin+rij)\n+ncX\ni=1X\njrijj=rccJcc\nijSc(Rin)\u0001Sc(Rin+rij)\n+ 2naX\ni=1X\njrijj=radJad\nijSa(Rin)\u0001Sd(Rin+rij)\n+ 2naX\ni=1X\njrijj=racJac\nijSa(Rin)\u0001Sc(Rin+rij)\n+ 2ndX\ni=1X\njrijj=rdcJdc\nijSd(Rin)\u0001Sc(Rin+rij)]; (1)\nwherena,ncandndare the total numbers of local spins\nat a-Fe, c-Gd and d-Fe sites in one unit cell. rss0andJss0\nij\nare the nearest neighbor distance and the position depen-\ndent exchange coupling between magnetic atoms sand\ns0. From crystal structure of garnet, we extract the set\nof nearest neighbor distances as raa= (p\n3=4)a0,rdd=\n(p\n6=8)a0,rdc= (1=4)a0andrad=rac= (p\n5=8)a0, with\na0= 1:24 nm. We then derive the bosonic Bogoliubov-de3\nFIG. 2. (a) Spin wave dispersion in YIG(7.4nm)-GdIG(2.5nm) [001] bilayer system, where red and blue lines are speci\fed for\n\u000band\fmodes, respectively. Pink dots and green pentagrams are \fbranches in 7.4-nm-thick YIG and 2.5-nm-thick GdIG.\n(b) and (c) Transverse spin orientations of a-Fe atoms along the bilayer at k= 0:05\u0019=a0andk= 0:78\u0019=a0. Black dotted lines\nenclose the interfacial regions between YIG and GdIG. The capital letters, L and R, are the abbreviations for left-handed mode\nand right-handed mode, respectively.\nGennes (BdG) Hamiltonian as [32, 33]\nHk=naX\ni;j=1ay\ni(k)Aij(k)aj(k) +ncX\ni;j=1cy\ni(k)Cij(k)cj(k)\n+ndX\ni;j=1dy\ni(\u0000k)Dij(\u0000k)dj(\u0000k)\n+naX\ni=1ncX\nj=1h\nay\ni(k)Bac\nij(k)cj(k) +h:c:i\n+naX\ni=1ndX\nj=1h\nay\ni(k)Bad\nij(k)dy\nj(\u0000k) +h:c:i\n+ncX\ni=1ndX\nj=1h\ncy\ni(k)Bcd\nij(k)dy\nj(\u0000k) +h:c:i\n: (2)\nThe matrix elements Aij,Cij,DijandBss0\nijare given\nin Appendix A. Operators ai,diandciare de\fned\nby Holstein-Primako\u000b (H-P) transformation [1] of their\natomic spins as\nSz\na;i=Sa;i\u0000ay\niai;S+\na;i=q\n2Sa;i\u0000ay\niaiai;\nSz\nc;i=Sc;i\u0000cy\nici;S+\nc;i=q\n2Sc;i\u0000cy\nicici;\nSz\nd;i=\u0000Sd;i+dy\nidi;S\u0000\nd;i=diq\n2Sd;i\u0000dy\nidi:(3)\nBy diagonalizing the Hamiltonian through paraunitary\ntransformation [38, 39], we can obtain the magnon spec-\ntrum of this hybrid bilayer system. The resultant eigen-\nstates are linear combination of the local spin operators\nat a, c and d sites [32]\n\u000bi0\nk=pi0i\na;kai;k+pi0j\nc;kcj;k+pi0l\nd;\u0000kdy\nl;\u0000k;\n\fj0\nk=pj0i\na;\u0000kay\ni;\u0000k+pj0j\nc;\u0000kcy\nj;\u0000k+pj0l\nd;kdl;k; (4)\nwhere superscripts i0= 1;\u0001\u0001\u0001;na+ncandj0= 1;\u0001\u0001\u0001;nd\nare the indexes of modes \u000band\f.i= 1;\u0001\u0001\u0001;na,j=1;\u0001\u0001\u0001;ncandl= 1;\u0001\u0001\u0001;ndhere are the indexes of local\nspin operators at a, c and d sites. Einstein summation\nconvention is applied for i,j, andl.\nC. Numerical results\nIn this subsection, we present the hybrid magnon\nspectrum and wave functions in a YIG-GdIG [001] bi-\nlayer system with YIG and GdIG layers 6-unit-cell-\nthick (7.4 nm) and 2-unit-cell-thick (2.5 nm), respec-\ntively. We adopt Jaa\nij=\u00000:329meV,Jdd\nij=\u00001:161meV,\nJad\nij=\u00003:449meV and Sa;i=Sd;i= 2:5 for the YIG\nlayer [31] and Jaa\nij=\u00000:081meV,Jdd\nij=\u00000:137meV,\nJad\nij=\u00002:487meV,Jac\nij= 0:032meV,Jcd\nij=\u00000:157meV,\nSa;i= 2:1,Sd;i= 2:05 andSc;i= 3:5 for the GdIG and\ninterfacial regions [35]. Fig.2(a) shows the magnon spec-\ntra of the two separated layers and their hybrid bilayer\nsystem. For a 7.4-nm-thick YIG \flm, the lowest seven\nmagnon branches, corresponding to the right-handed fer-\nromagnetic resonance mode and its subbands with in-\ncreasing nodes are shown in pink dots and \u000bmodes are\nalso found in high-frequency range (not shown). For the\nmagnons in a 2.5-nm-thick GdIG layer, a \fmode (shown\nin green pentagrams) and many \u000bmodes lying within 0-\n0.5 THz are plotted. As we can see in this \fgure, the \f\nmode in the GdIG layer crosses with other three \fmodes\nin the YIG layer. As a result of interlayer coupling in the\nYIG-GdIG structure, gaps are opened at these crossing\npoints in the hybrid magnon spectrum (shown in the red\nand blue lines). The slight deviation between the bands\nof the bare YIG \flm and the hybrid system is due to the\nmissing atomic layer at the interface.\nTo give more details of the hybrid modes in this bi-\nlayer system, we plot the instantaneous orientations of\nmagnetic moments for a-Fe atoms in x-y plane along the\nwhole bilayer system. Fig.2(b) shows the low-frequency\nhybrid wave functions near the center of Brillouin zone\n(k= 0:05\u0019=a 0), including three types of hybrid modes,4\ni.e.,\u000b1modes for L1 and L2, \f1modes for R1, R2 (not\nshown), R3 and \f2modes for R4, R5, \u0001\u0001\u0001, R8. As the\nanticrossings in the hybrid spectrum reveal the forma-\ntion of new hybrid modes, we plot the wave functions at\nk= 0:78\u0019=a 0(marked by the dashed line in Fig.2(a)) in\nFig.2(c) and \fnd that R3 is transformed from \f1mode\nto\f2mode while the types of the other modes remain\nunchanged. Note that \u000b2-type magnons are also found at\nthese two wavevectors in the high-frequency regime (not\nshown here).\nIII. LSSE IN YIG-GDIG-NM TRILAYER\nSYSTEM\nFIG. 3. Relation between the local temperature of phonons\n(green curve) in YIG-GdIG-NM trilayer in LSSE con\fgura-\ntion and that of magnons for \u000b(red curve) and \f(blue curve)\nmodes in GdIG layer.\nWith the hybrid magnon spectra and wave functions,\none can analyze the consequences of hybrid modes in the\ntransport properties, e.g., LSSE, in which the nonequi-\nlibrium between the phonons and magnons near the mag-\nnetic insulator-normal metal (NM) interface is considered\nas the driving force [40{44]. During the measurement of\nLSSE, the magnons accumulated at the GdIG-NM in-\nterface are mainly \u000b1-type and\f2-type while the contri-\nbutions from \f1-type and\u000b2-type modes are far lesser\ndue to the blockage by GdIG and the high excitation fre-\nquency, respectively. Considering the extended and lo-\ncalized features of the \f2-type and\u000b1-type magnons, the\ntemperatures of the \u000band\fmagnons near the GdIG-NM\ninterface in the LSSE should be di\u000berent. Speci\fcally,\nthe di\u000berences between the temperature of \fmagnons,\nT\f\nm, and the temperature of phonons, TF\np, should be\nlarger than that between the temperature of \u000bmagnons,\nT\u000b\nm, and TF\np. Therefore, we introduce a two-temperature\nmodel to describe the LSSE in the YIG-GdIG-NM tri-\nlayer system as sketched in Fig.3, where an interfacial\ntemperature discontinuity between phonons in the GdIGlayer and the NM layer, equal to the temperature of elec-\ntrons, T e, is also introduced. Note that since the thick-\nness of each magnetic layer is smaller than the phonon\nmean free path, we here assume the local temperature\ninside the YIG and GdIG layers are both uniform and\nfocus on the temperature di\u000berence across each interface\ndue to Kapitza heat resistance.\nWithin the linear-response regime, the spin currents\ngenerated in YIG-GdIG-NM trilayer are proportional to\nthe temperature di\u000berences between magnons and elec-\ntrons [36, 41, 45], i.e.,\nIs=AT\u0001T\u000b\nme\u0000BT\u0001T\f\nme; (5)\nwhereATandBTare the spin Seebeck conductances\n(SSC) for\u000bmagnons and \fmagnons. The temperature\ndi\u000berences in Eq.(5) can be read from Fig.3\n\u0001T\u000b\nme= \u0001T\u000b\nmp+ \u0001TFN\npp;\n\u0001T\f\nme= \u0001T\f\nmp+ \u0001TFN\npp; (6)\nwhere \u0001T\u000b\nmp, \u0001T\f\nmpare the temperature di\u000berences be-\ntween\u000bmodes and local phonons, \fmodes and local\nphonons near the GdIG-NM interface. Based on Eq.(5),\nwe can compare the situations in the conventional GdIG-\nNM bilayer and YIG-GdIG-NM trilayer: In the GdIG-\nNM bilayer, where \u0001T\u000b\nmeand \u0001T\f\nmeare equal and \fxed\nby the boundary, changing SSC is the only approach to\ntune the spin Seebeck signals; In contrast, the hybrid\nmodes in the YIG-GdIG-NM trilayer system would cause\na di\u000berence between \u0001T\u000b\nmpand \u0001T\f\nmpand thus provide\nan additional possibility to manipulate LSSE.\nA. SSC in the LSSE\nTo calculate the SSC in Eq.(5), we use the s-d exchange\nmodel at the GdIG-NM interface [41]\nH0=l2\n0NX\nn=1X\ni;j2intJsSin\u0001\u001bj\u000e(rj\u0000Rin); (7)\nwhere\u001bjis the electron spin at position rj,Nis the total\nnumber of unit cells in the 2-dimensional plane, l2\n0is the\narea of cross section, int is the abbreviation of interface\nandJsis the coupling strength between magnetic atoms\nand s electrons. One has the second-quantized Hamilto-\nnian after performing H-P transformation [1] as\nH0=~JaX\nq;kh\n(X\ni2intai;kge;y\n#;qge\n\";q\u0000k+h:c:)\n+ (X\nj2int\u0011cj;kge;y\n#;qge\n\";q\u0000k+h:c:)\n+ (X\nl2intdy\nl;\u0000kge;y\n#;qge\n\";q\u0000k+h:c:)i\n; (8)\nwherege\n\"(#)is the annihilation operator of spin-up (down)\nelectrons and ~Js=1\n2Jsp2SsN. We also de\fne \u0011=5\n~Jc=~Ja. After substituting the inverse transformation of\nEq.(4),\nai;k=X\ni0Tii0\n\u000b;k\u000bi0\nk+X\nj0Tij0\n\f;k(\fj0\n\u0000k)y;\ncj;k=X\ni0Tji0\n\u000b;k\u000bi0\nk+X\nj0Tjj0\n\f;k(\fj0\n\u0000k)y;\ndl;k=X\ni0Tli0\n\u000b;k(\u000bi0\n\u0000k)y+X\nj0Tlj0\n\f;k\fj0\nk; (9)\ninto Hamiltonian (8), we obtain a perturbation\nHamiltonian[12]\nH0=~JaX\nq;kn\n(X\ni0Ti0\n\u000b;k\u000bi0\nkge;y\n#;qge\n\";q\u0000k+h:c:)\n+ [X\nj0Tj0\n\f;k(\fj0\n\u0000k)yge;y\n#;qge\n\";q\u0000k+h:c:]o\n: (10)\nThe coe\u000ecients are de\fned as\nTi0\n\u000b;k=X\ni2intTii0\n\u000b;k+X\nj2int\u0011Tji0\n\u000b;k+X\nl2int(Tli0\n\u000b;\u0000k)\u0003;\nTj0\n\f;k=X\ni2intTij0\n\f;k+X\nj2int\u0011Tjj0\n\f;k+X\nl2int(Tlj0\n\f;\u0000k)\u0003:(11)\nWe then follow the procedures presented in the Ap-\npendix B and obtain the expressions for SSCs in Eq.(5)\nAT=D~X\nkX\ni0(@TnjT=Teq)jTi0\n\u000b;kj2!k\ni0;\nBT=D~X\nkX\nj0(@TnjT=Teq)jTj0;y\n\f;kj2!\u0000k\nj0; (12)\nwhereD,nand T eqare dimensionless coe\u000ecient de\fned\nin Appendix B, magnon distribution function and equi-\nlibrium temperature, respectively. From Eq.(12), we \fnd\nthat the magnon occupation, the dispersion of hybrid\nmodes and the rescaled numbers of magnons accumu-\nlated at the magnetic insultor-NM interface (the square\nof the coe\u000ecients in Eq.(11)) together determine these\nSSCs.\nB. Numerical results in the YIG-GdIG-NM system\nIn Fig.4(a), we project jTi0\n\u000b;kj2andjTj0\n\f;kj2at GdIG sur-\nface to the hybrid spectrum in Fig.2(a) with the ratio\nbetween the interfacial couplings \u0011= 0:14 [36]. Since\nthe amplitudes of \u000b1-type,\u000b2-type and\f2-type modes\nat GdIG surface are sizable while those of \f1-type modes\nare rather small according to Fig.2(b) and (c), the projec-\ntions of\u000b1-type,\u000b2-type and\f2-type modes in Fig.4(a)\nare much more visable than those of \f1-type modes.\nFor similar reason, as shown in Fig.4(b), the projec-\ntions of\f1-type,\f2-type and\u000b2-type magnons are rel-\natively large at the YIG surface. Considering the neg-\nligible magnon occupation of \u000b2-type magnons at low\nFIG. 4. Magnon spectrum weighted by the projection of wave\nfunctions at (a) the GdIG end and (b) the YIG end in YIG\n(7.4 nm)-GdIG (2.5 nm) [001] system with \u0011= 0:14. (c)\nWeighted spectrum on the surface of 9.9-nm-thick YIG with\nthe outmost atomic layer removed. (d) The same as (a) with\n\u0011= 1.\ntemperature, the SSCs in the YIG-GdIG-NM trilayer are\nmainly determined by \u000b1-type and\f2-type modes while\nthose in the GdIG-YIG-NM system are determined by\n\f1-type and\f2-type modes. Notice that the uniform\nmode in Fig.4(b) does not contribute to the magnons\non the YIG end. This is because we use an antiferro-\nmagnetic terminal plane in our calculation. In realistic\nsituation, imperfect interface, di\u000berent crystal orienta-\ntions or the di\u000berent coupling strengths, ~Jaand ~Jd, will\nchange the contribution of uniform mode and cause the\nmeasurable spin pumping signals [18]. To check the e\u000bect\nof imperfect interface on the uniform mode, we inspect\na [001] orientated 8-unit-cell-thick (9.9 nm) YIG layer\nstructure and \fnd a ferromagnetic atomic plane under\nthe outmost antiferromagnetic plane. We thus remove\nthe topmost antiferromagnetic layer and see the acoustic\nmode has nonzero contribution as seen in Fig.4(c). As\n\u0011is a free but crucial parameter, we increase \u0011to 1 in\nFig.4(d) and \fnd the nearly dispersionless \u000b1-type modes\nare enhanced more greatly than the others. This is be-\ncause these low-frequency \u000b1-type modes are dominated\nby the precession of Gd sublattice.\nOne of the most intriguing phenomena of LSSE in\nGdIG is the two sign-changing points (SCP) found in\nGdIG-NM bilayer system [36], where the higher and lower\nones were attributed to the magnetic compensation and\nthe competition between modes of opposite chiralites at\nthe interface. As YIG-GdIG-NM trilayer owns the same\ninterface as GdIG-NM bilayer, the lower SCP is also ex-\npected in YIG-GdIG-NM trilayer.\nBy solving the equation\nIs= \u0001T\f\nme(\rAT\u0000BT) = 0; (13)\none can obtain the sign-changing temperature, which de-\npends on two elements, i.e., the parameter \r= (\u0001T\u000b\nmp+6\n\u0001TFN\npp)=(\u0001T\f\nmp+ \u0001TFN\npp) and the SSC. In general, both\n\rand SSC could be function of YIG thickness: \ris\napproximately 1 when YIG is very thin (just like the\ncase in the GdIG-NM bilayer) and converge to a certain\nvalue when YIG is thick enough; SSC relies on the in-\ncrease of YIG thickness due to the increase of subbands.\nTherefore, we study the relation between SCP and the\nYIG thickness with these two factors. From the discus-\nsion above, we see while the SSCs of hybrid structures\nwith di\u000berent YIG thicknesses can be calculated from\nthe properties of magnons, but the value of \rremains\nunclear. Here, we use a hypothetic function to describe \r\nvarying with the YIG thickness. Considering the smaller\nmagnitude of \u0001T\u000b\nmpcompared to \u0001T\f\nmpaccording to the\ndiscussion at the beginning of this section, we assume\nFIG. 5. (a) SCPs and \r(inset) as function of YIG thickness\nin LSSE in YIG-GdIG-NM structure with di\u000berent values of\n\u0012c. (b) The relation between the ratio of the two SSCs and\nambient temperature for tYIG= 0, 12.4 and 22.3 nm.\n\u0001T\u000b\nmp= 0: (14)\nOn the other hand, \u0001T\f\nmpapproximately equals to \u0001T\u000b\nmp\nif the YIG layer is very thin and signi\fcantly deviatesfrom \u0001T\u000b\nmpwhen YIG becomes thick. We therefore use\nan asymptotic expression\n\u0001T\f\nmp=\u0012c\u0001TFN\nppftanh[(tYIG\u0000dh)=\u0015T] + 1g;(15)\nwhere 2\u0012c\u0001TFN\nppand\u0015Tare the converged value and char-\nacteristic length of \u0001T\f\nmp.dhis the thickness where\n\u0001T\f\nmpreaches a half of converged value. When dhand\n\u0015Tare set to be 10 and 2.5 unit cells respectively, the\nfunction in Eq.(15) at tYIG= 0 nm and 22 nm gives\n\u0001T\f\nmp= 0 and 2\u0012c\u0001TFN\npp, respectively. Following this\nestimation, \rcan be expressed as\n\r=1\n\u0012cftanh[(tYIG\u0000dh)=\u0015T] + 1g+ 1: (16)\nFor the value of \u0012c, we refer to the case in the YIG due\nto the lack of parameters in the GdIG. Ref.[44] showed\nthat the ratio between the magnon-phonon temperature\ndi\u000berence and \u0001TFN\nppis approximately 1 or 0.3 when the\nheat transfer between magnons in YIG and electrons in\nPt is taken into account or not. We thus estimate \u0012c\n= 0.2, 0.4, 0.8. Fig.5(a) shows the SCPs as function of\nYIG thickness, where we \fnd SCPs shift to lower tem-\nperature with the increase of YIG thickness by tens of\nKelvins. To explain this feature, we refer to Eq.(13),\nwhich shows that at SCP, the ratio between the SSCs of\n\fand\u000bmodes equals to \r. The computational results\nfor these ratios as function of temperature with di\u000berent\nYIG thicknesses are shown in Fig.5(b), revealing their\nnegligible dependency on YIG thickness. Therefore, such\nlarge variation of SCPs are mainly caused by the change\nof\r. Note that the SCP for a given YIG thickness and\n\u0012cis read from Fig.5(b) by setting the ratio as the cor-\nresponding value of \rin the inset of Fig.5(a). According\nto a research in the heterostructure consisting of a ten-\nnm-thick garnet \flm and a normal metal layer[46], the\ninterface might introduce an additional anisotropy due\nto the lattice mismatch and Rashba e\u000bect. We estimate\nsuch an anisotropic \feld could cause a correction to the\nfrequency by only a few GHz, which is too small to a\u000bect\nour main results, dominated by the thermal magnons in\nTHz range.\nIV. CONCLUSION AND DISCUSSION\nIn summary, we study the properties of hybrid magnon\nmodes in YIG-GdIG hybrid bilayer structure, which is\nnaturally formed when YIG is grown on the substrate\nGGG. We \fnd that the localized and extended features\nof di\u000berent hybrid modes result in the distinct accumu-\nlations of magnons with opposite polarizations at sur-\nfaces. As magnons transfer spin angular momentum to\nelectrons in an adjacent normal metal on GdIG side by\nmagnon-electron scattering and thus cause nonzero spin\ncurrent in the normal metal, we calculate this spin cur-\nrent in the longitudinal spin Seebeck con\fguration and7\nrecover a sign change in spin Seebeck signal, previously\ndiscovered in GdIG. More interestingly, we \fnd the sign-\nchanging temperature can vary by tens of Kelvins with\nthe increase of YIG thickness.\nV. ACKNOWLEDGMENTS\nThis work was supported by the National Natural Sci-\nence Foundation of China (Grant No.11974047) and Fun-\ndamental Research Funds for the Central Universities\n(Grant No. 2018EYT02). K. X. thanks the National Nat-\nural Science Foundation of China (Grants No. 61774017,\nNo. 11734004) and NSAF (Grant No. U1930402).\nAppendix A: Matrix elements in the bosonic BdG\nHamiltonian\nThe matrix elements in Eq.(2) are de\fned as\nAij(k) = (2X\njrimj=raaJaa\nimSa;m\u00002X\njrimj=radJad\nimSd;m\n+ 2X\njrimj=racJac\nimSc;m)\u000eij\n\u00002X\njrijj=raaJaa\nijp\nSa;iSa;jeik\u0001rij;\nCij(k) = (2X\njrimj=rccJcc\nimSc;m\u0000X\njrimj=rcdJcd\nimSd;m\n+ 2X\njrimj=racJac\nimSa;m)\u000eij\n\u00002X\njrijj=rccJcc\nijp\nSc;iSc;jeik\u0001rij;\nDij(k) = (2X\njrimj=rddJdd\nimSd;m\u00002X\njrimj=radJad\nimSa;m\n\u00002X\njrimj=rcdJcd\nimSc;m)\u000eij\n\u00002X\njrijj=rddJdd\nijp\nSd;iSd;jeik\u0001rij;\nBss0\nij(k) =\u00002X\njrijj=rss0Jss0\nijp\nSs;iSs0;jeik\u0001rij: (A1)\nAppendix B: Derivation of SSCs, ATandBT\nIn this appendix, we derive the spin currents generated\nin the LSSE from the interfacial exchange Hamiltonian\nin Eq.(10). Assuming that the momentum conservation\nmight be broken by roughness at the interface, we replace\nq\u0000kby an independent vector q0in Eq.(10). Then we\napply Fermi-Golden rule to calculate transition rates [41,47]\n\u0000\"#=2\u0019\n~~J2\naX\nq;k;q0[X\ni0nk\ni0\u001cq0q\n\"#jTi0\n\u000b;kj2\u000e(Eq\n#\u0000Eq0\n\"\u0000~!k\ni0)\n+X\nj0(n-k\nj0+ 1)\u001cq0q\n\"#jTj0\n\f;kj2\u000e(Eq\n#\u0000Eq0\n\"+~!\u0000k\nj0)];(B1)\n\u0000#\"=2\u0019\n~~J2\naX\nq;k;q0[X\nj0n-k\nj0\u001cqq0\n#\"jTj0;y\n\f;kj2\u000e(Eq0\n\"\u0000Eq\n#\u0000~!\u0000k\nj0)\n+X\ni0(nk\ni0+ 1)\u001cqq0\n#\"jTi0;y\n\u000b;kj2\u000e(Eq0\n\"\u0000Eq\n#+~!k\ni0)]; (B2)\nwhere\u001cq0q\n\"#=fq0\n\"(1\u0000fq\n#) and\u001cqq0\n#\"=fq\n#(1\u0000fq0\n\").n\u0000k\nj0\n(nk\ni0) is magnon distribution function for wave vector \u0000k\n(k) and branch index j0(i0).fq\n\"(fq0\n#) is the distribution\nfunction of spin-up (spin-down) electrons of wave vector\nq(q0). Spin current is de\fned as the di\u000berence of these\ntwo processes\nIs=~(\u0000\"#\u0000\u0000#\"): (B3)\nThen we have the expression of spin current\nIs= 2\u0019~J2\naX\nk;q;q0hX\ni0nk\ni0jTi0\n\u000b;kj2\u000e(Eq\n#\u0000Eq0\n\"\u0000~!k\ni0)\u0001f\n+X\nj0n\u0000k\nj0jTj0\n\f;kj2\u000e(Eq0\n\"\u0000Eq\n#\u0000~!\u0000k\nj0)\u0001fi\n\u0000X(Te);(B4)\nwhere \u0001f=fq0\n\"\u0000fq\n#andX(Te) is the back\row from\nNM to magnetic insulator.\nAs the energy shifts of electrons are small compared to\nfermi energy, one has fq0\n\"\u0000fq\n#\u0019@EfjE=Eq(Eq0\n\"\u0000Eq\n#).\nAt low temperature, f(E)\u0019\u0002(Ef\u0000E), where \u0002( E)\nis the Heaviside step function. Therefore @EfjE=Eq=\n\u0000\u000e(Eq\u0000Ef). When the transverse area is large enough so\nas to make wave vector quasi-continuous, the summation\nsymbols of q;q0in Eq.(B4) can be transformed to integral\nasP\nq(q0)A(Eq(q0)) =R\n\u001a(E)A(E)dE, where\u001a(E) is the\ndensity of states at energy E. The expression for spin\ncurrent is therefore simpli\fed into\nIs= 2\u0019~\u001a(Ef)~J2\naX\nk[X\ni0!k\ni0nk\ni0jTi0\n\u000b;kj2\u001a(Ef\u0000~!k\ni0)\n\u0000X\nj0!\u0000k\nj0n\u0000k\nj0jTj0;y\n\f;kj2\u001a(Ef+~!\u0000k\nj0)]\u0000X(Te):(B5)\nThen the zero-order expression for spin current is\nIs\u0019D~X\nk[X\ni0!k\ni0nk\ni0jTi0\n\u000b;kj2\u0000X\nj0!\u0000k\nj0n\u0000k\nj0jTj0;y\n\f;kj2]\n\u0000X(Te); (B6)\nwhereD= 2\u0019\u001a2(Ef)~J2\na. When the system is in thermal\nequilibrium, no spin current is injected, which leads to\nX(Teq) =D~X\nk[X\ni0!k\ni0nk\ni0(Teq)jTi0\n\u000b;kj2\n\u0000X\nj0!\u0000k\nj0n\u0000k\nj0(Teq)jTj0;y\n\f;kj2]; (B7)8\nwhere T eqis the thermal equilibrium temperature (which\nshould also be the ambient temperature). In near equi-\nlibrium, the temperature of electrons, T e, approximately\nequals to T eq. In this condition, we can substituteEq.(B7) into Eq.(B6) and obtain the expressions for SSCs\nAT=D~X\nkX\ni0(@TnjT=Teq)jTi0\n\u000b;kj2!k\ni0;\nBT=D~X\nkX\nj0(@TnjT=Teq)jTj0;y\n\f;kj2!\u0000k\nj0: (B8)\n[1] T. Holstein and H. Primako\u000b, Phys. Rev. 58, 1098\n(1940).\n[2] F. Bloch, Zeitschrift f ur Physik 61, 206 (1930).\n[3] H. Wang, J. Chen, T. Liu, J. Zhang, K. Baumgaertl,\nC. Guo, Y. Li, C. Liu, P. Che, S. Tu, S. Liu, P. Gao,\nX. Han, D. Yu, M. Wu, D. Grundler, and H. Yu, Phys.\nRev. Lett. 124, 027203 (2020).\n[4] C. Liu, J. Chen, T. Liu, F. Heimbach, H. Yu, Y. Xiao,\nJ. Hu, M. Liu, H. Chang, T. Stueckler, et al. , Nat. Com-\nmun. 9, 1 (2018).\n[5] A. Kirilyuk, A. V. Kimel, and T. Rasing, Rev. Mod.\nPhys. 82, 2731 (2010).\n[6] T. Satoh, Y. Terui, R. Moriya, B. A. Ivanov, K. Ando,\nE. Saitoh, T. Shimura, and K. Kuroda, Nat. Photon. 6,\n662 (2012).\n[7] K. Shen and G. E. W. Bauer, Phys. Rev. Lett. 115,\n197201 (2015).\n[8] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature (London)\n455, 778 (2008).\n[9] K. Uchida, J. Xiao, H. Adachi, J.-i. Ohe, S. Takahashi,\nJ. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai,\net al. , Nat. Mater. 9, 894 (2010).\n[10] K. Uchida, H. Adachi, T. Ota, H. Nakayama,\nS. Maekawa, and E. Saitoh, Appl. Phys. Lett. 97, 172505\n(2010).\n[11] A. I. Akhiezer, S. Peletminskii, and V. G. Baryakhtar,\nSpin waves (North-Holland, 1968).\n[12] K. Shen, Phys. Rev. B 100, 094423 (2019).\n[13] A. A. Serga, A. V. Chumak, and B. Hillebrands, J. Phys.\nD: Appl. Phys. 43, 264002 (2010).\n[14] K. Shen, Phys. Rev. Lett. 124, 077201 (2020).\n[15] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi,\nJ. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai,\nG. E. W. Bauer, S. Maekawa, and E. Saitoh, Nat. Mater.\n9, 894 (2010).\n[16] L. chuan Zhang, F. R. Lux, J.-P. Hanke, P. M. Buhl,\nS. Grytsiuk, S. Bl ugel, and Y. Mokrousov, \\Orbital\nnernst e\u000bect of magnons,\" (2019), arXiv:1910.03317\n[cond-mat.mes-hall].\n[17] A. Sil and A. K. Ghosh, J. Phys.: Condensed Matter 32,\n205601 (2020).\n[18] H. Wang, C. Du, P. C. Hammel, and F. Yang, Phys.\nRev. Lett. 113, 097202 (2014).\n[19] W. Lin, K. Chen, S. Zhang, and C. L. Chien, Phys. Rev.\nLett. 116, 186601 (2016).\n[20] K. Chen, W. Lin, C. L. Chien, and S. Zhang, Phys. Rev.\nB94, 054413 (2016).\n[21] S. M. Rezende, R. L. Rodr\u0013 \u0010guez-Su\u0013 arez, and A. Azevedo,\nPhys. Rev. B 93, 054412 (2016).[22] R. Khymyn, I. Lisenkov, V. S. Tiberkevich, A. N. Slavin,\nand B. A. Ivanov, Phys. Rev. B 93, 224421 (2016).\n[23] G. Tatara and C. O. Pauyac, Phys. Rev. B 99, 180405(R)\n(2019).\n[24] M. Dabrowski, T. Nakano, D. M. Burn, A. Frisk, D. G.\nNewman, C. Klewe, Q. Li, M. Yang, P. Shafer, E. Aren-\nholz, T. Hesjedal, G. van der Laan, Z. Q. Qiu, and R. J.\nHicken, Phys. Rev. Lett. 124, 217201 (2020).\n[25] J. Chen, C. Liu, T. Liu, Y. Xiao, K. Xia, G. E. W. Bauer,\nM. Wu, and H. Yu, Phys. Rev. Lett. 120, 217202 (2018).\n[26] S. Klingler, V. Amin, S. Gepr ags, K. Ganzhorn,\nH. Maier-Flaig, M. Althammer, H. Huebl, R. Gross,\nR. D. McMichael, M. D. Stiles, S. T. B. Goennenwein,\nand M. Weiler, Phys. Rev. Lett. 120, 127201 (2018).\n[27] H. Qin, S. J. H am al ainen, and S. Van Dijken, Sci. Rep.\n8, 5755 (2018).\n[28] Y. Li, W. Cao, V. P. Amin, Z. Zhang, J. Gibbons, J. Skle-\nnar, J. Pearson, P. M. Haney, M. D. Stiles, W. E. Bailey,\nV. Novosad, A. Ho\u000bmann, and W. Zhang, Phys. Rev.\nLett. 124, 117202 (2020).\n[29] C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky,\nU. Br uckner, and J. Dellith, J. Phys. D: Appl. Phys.\n50, 204005 (2017).\n[30] J. M. Gomez-Perez, S. V\u0013 elez, L. McKenzie-Sell,\nM. Amado, J. Herrero-Mart\u0013 \u0010n, J. L\u0013 opez-L\u0013 opez,\nS. Blanco-Canosa, L. E. Hueso, A. Chuvilin, J. W. A.\nRobinson, and F. Casanova, Phys. Rev. Applied 10,\n044046 (2018).\n[31] A. B. Harris, Phys. Rev. 132, 2398 (1963).\n[32] K. Shen, New J. Phys. 20, 043025 (2018).\n[33] K. Shen, Phys. Rev. B 99, 024417 (2019).\n[34] L.-S. Xie, G.-X. Jin, L. He, G. E. W. Bauer, J. Barker,\nand K. Xia, Phys. Rev. B 95, 014423 (2017).\n[35] L.-W. Wang, L.-S. Xie, P.-X. Xu, and K. Xia, Phys. Rev.\nB101, 165137 (2020).\n[36] S. Gepr ags, A. Kehlberger, F. Della Coletta, Z. Qiu, E.-\nJ. Guo, T. Schulz, C. Mix, S. Meyer, A. Kamra, M. Al-\nthammer, H. Huebl, G. Jakob, Y. Ohnuma, H. Adachi,\nJ. Barker, S. Maekawa, G. E. W. Bauer, E. Saitoh,\nR. Gross, S. T. B. Goennenwein, and M. Kl aui, Nat.\nCommun. 7, 10452 (2016).\n[37] S. M. Rezende, R. L. Rodr\u0013 \u0010guez-Su\u0013 arez, R. O. Cunha,\nA. R. Rodrigues, F. L. A. Machado, G. A. Fon-\nseca Guerra, J. C. Lopez Ortiz, and A. Azevedo, Phys.\nRev. B 89, 014416 (2014).\n[38] J. Colpa, Physica A 93, 327 (1978).\n[39] B. Flebus, K. Shen, T. Kikkawa, K.-i. Uchida, Z. Qiu,\nE. Saitoh, R. A. Duine, and G. E. W. Bauer, Phys. Rev.\nB95, 144420 (2017).\n[40] K. S. Olsson, K. An, and X. Li, J. Phys. D: Appl. Phys.\n51, 133001 (2018).9\n[41] Y. H. Shen, X. S. Wang, and X. R. Wang, Phys. Rev. B\n94, 014403 (2016).\n[42] J. Xiao, G. E. W. Bauer, K.-c. Uchida, E. Saitoh, and\nS. Maekawa, Phys. Rev. B 81, 214418 (2010).\n[43] M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. D.\nKarenowska, G. A. Melkov, and B. Hillebrands, Phys.\nRev. Lett. 111, 107204 (2013).[44] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein, Phys. Rev.\nB88, 094410 (2013).\n[45] Y. Ohnuma, H. Adachi, E. Saitoh, and S. Maekawa,\nPhys. Rev. B 87, 014423 (2013).\n[46] A. J. Lee, A. S. Ahmed, B. A. McCullian, S. Guo, M. Zhu,\nS. Yu, P. M. Woodward, J. Hwang, P. C. Hammel, and\nF. Yang, Phys. Rev. Lett. 124, 257202 (2020).\n[47] A. Miller and E. Abrahams, Phys. Rev. 120, 745 (1960)." }, { "title": "1606.02968v2.Competing_effects_at_Pt_YIG_interfaces__spin_Hall_magnetoresistance__magnon_excitations_and_magnetic_frustration.pdf", "content": " 1 Competing effects at Pt/YIG interfaces: spin Hall \nmagnetoresistance, magnon excitations and magnetic frustration \n \nSaül Vélez1,*, Amilcar Bedoya -Pinto1,‡, Wenjing Yan1, \nLuis E. Hueso1,2, and Fèlix Casanova1,2,† \n \n1CIC nanoGUNE, 20018 Donostia -San Sebastian, Basque Country, Spain \n2IKERBASQUE, Basque Foundation for Science, 48011 Bilbao, Basque Country, Spain \n \n‡ Present address: Max Planck Institute of Microstructure Physics, D -06120 Halle, Germany \n* s.velez@nanogune.eu \n† f.casanova@nanogune.eu \n \nWe study the spin Hall magnetoresistance (SMR) and the magnon spin transport \n(MST) in Pt/ Y3Fe5O12(YIG) -based devices with intentionally modified interfaces. \nOur measurements show that the surface treatme nt of the YIG film results in a \nslight enhancement of the spin -mixing conductance and an extraordinary increase \nin the efficiency of the spin -to-magnon excitations at room temperature . The \nsurface of the YIG film develop s a surface magnetic frustration at low \ntemperatures, causing a sign chang e of the SMR and a dramatic suppression of the \nMST. Our results evidence that SMR and MST could be used to explore magnetic \nproperties of surfaces, including those with complex magnetic textures , and stress \nthe critical importance of the non -magnetic/ferro magnetic interface properties in \nthe performance of the resulting spintronic devices. \n \nI. Introduction \n \nInsulating spintronics [1] has emerged as a promising, nove l technological platform \nbased o n the integration of ferroma gnetic insulators (FMIs) in devices as a media to \ngenerate, process and transport spin information over long distances [1–30]. The \nadvantage of using FMIs against metall ic ones is that the flow of c harge currents is \navoided, thus preventing ohmic losses or the emergence of undesired spurious effects. \nSome phenomena explored in insulating spintronics include the spin pumping [2–5], the \nspin Hall magnetoresistance (SMR) [5–15], the spin Seebeck effect [5,16 –18], the spin \nPeltier effect [19], the magnetic gating of pure spin currents [20,21] or the magnon spin \ntransport (MST) [2,22 –30]. \n \nThe fundamental building block structure employed to explore these phenomena is \nformed by a FMI layer –typically Y 3Fe5O12 (YIG) due to its small damping, soft \nferrimagnetism and negligible magnetic anisotropy – and a non -magnetic (NM) metal \nwith strong spin -orbit coupling (SOC) such as Pt or Ta placed next to it, which is \nessentially used to either generate or detect spin currents via the spin Hall effect \n(SHE) or its inverse [ 31–35]. Since these spintronic phenomena are based on the \ntransfer of spin currents a cross the NM/FMI interface, it plays a key role in the \nproperties and the performance of the resulting devices. \n \nIt is well established that the most relevant parameter that determines the spin -current \ntransport across the interface is the spin -mixing conductance 𝐺↑↓=(𝐺𝑟+\n𝑖𝐺𝑖) [5,36,37] . However, it is still under debate whether other interface effects could 2 also be relevant in these hybrid systems. Some examples are the magnetic proximity \neffect (MPE) [38–43], the Rashba -Edelstein effect [44–47], the anomalous Nerns t \neffect [38,48,49] or the spin -dependent interfacial scattering [50]. Therefore, \nunderstanding the role of the NM/FMI interface and the impact of its proper ties on the \nresulting spintronic phenomena is of outmost importance. \n \nIn this work, we show that different spin -dependent phenomena in Pt/YIG -based \ndevices (SMR and MST) are dramatically altered when the YIG surface is treated with a \nsoft Ar+-ion milling. At room temperature, while the SMR effect in the treated samples \nis slightly larger than in the non -treated ones , the MST signal is fourfold increased. This \nextraordinary increase in the MST amplitude indicates that the spin-to-magnon \nconversion in Pt /YIG interfaces is strongly dependent on the magnetic details of the \natomic layer of the YIG beyond the change in 𝐺↑↓. In addition, at low temperature, we \nobserve a sign change of the SMR and a strong suppression of the MST signal in the \ntreated samples, indicating the emergence of a surface magnetic frus tration of the \ntreated YIG at low temperature. Our experimental res ults point out SMR and MST to be \npowerful tools to explore magnetic properties of surfaces and show that care should be \ntaken when treating the surface of YIG, especially when used for studying spin -\ndependent phenomena originati ng at interfaces. \n \nII. Exper imental details \n \nTwo different types of device structures were studied. In the first design, Pt/YIG \nsamples were prepared by patterning a Pt Hall bar (width W=100 m, length L=800 m \nand thickness dN=7 nm ) on top of a 3.5 -m-thick YIG film [51] via e-beam lithography, \nsputtering deposition of Pt and lift -off, as fabricated in Ref. 52. In some samples, the \nYIG top surface was treated with a gentle Ar+-ion milling [53] prior the Pt deposition \n(Pt/YIG+ samples). In the second design, non-local NL -Pt/YIG and NL-Pt/YIG+ lateral \nnano structures were prepared on top of a 2.2 -m-thick YIG film [51] by patterning two \nlong Pt strip lines ( W=300 nm, L1=15.0 m, L2=12.0 m and dN=5 nm) separated by a \ngap of ~500 nm –similar to the device structure used in Refs. 25 and 29–, following the \nsame fabrication procedure used for the Hall bar . For each device structure , the Pt for \nboth treated and non -treated samples was deposited in the same run . Here, for the sake \nof clarity, we present data taken for one sample of each type (Pt/YIG, Pt/YIG+, NL-\nPt/YIG and NL-Pt/YIG+), although more samples were fabricated and measured, all \nshowing reproducible results . \n \nMagnetotransport measurements wer e performed using a Keithley 6221 sourcemeter \nand a Keithley 2182 A nanovoltmeter operating in the dc -reversal method [54–56]. \nThese measureme nts were performed at different temperatures between 10 and 300 K in \na liquid -He cryostat that allows applying magnetic fields H of up to 9 T and to rotate the \nsample by 360º degrees . No difference in the magnetic properties between YIG and \nYIG+ substrates were observed via VSM magnetometry measurements (not shown). \n \nIII. Results and Discussion \n \nIIIa. Spin Hall magnetoresistance \n \nFirst, we explore the angular -dependent magnetoresistance (ADMR) in Pt/YIG and \nPt/YIG+ at room temperature. Figures 1( a)-1(c) show the longitudinal ( RL) ADMR 3 curves obtained for both samples in the three relevant H-rotation planes. The transverse \n(RT) ADMR curves taken in the plane are plotted in Fig. 1(d). The measurement \nconfiguration, the definition of the axes, and the rotation angles ( ) are defined in \nthe sketches next to each panel. Note that for the magnetic fields applied, the \nmagnetization of the YIG film is saturated [see Ref. 52 for the characterization of the \nYIG films]. The angular dependences are the same in both milled and non -milled \nsamples and show the expected behaviour for the SMR effect, in agreement with \nmeasurements reported earlier in Pt/YIG bilayers [5–7,11,52] . \n \n \nFIG. 1 (color online). (a) -(c) Longitudinal ADMR measurements performed in Pt/YIG (dashed \nlines) and Pt/YIG+ (solid lines) samples at 300 K in the three relevant H-rotation planes \n(). (d) Transverse ADMR measurements taken in the same samples and temperature in the \n plane. Sketches on the right side indicate the definition of the angles, the axes, and the \nmeasurement configuration. The applied magnetic field is denoted in each panel . RL0 and RT0 are \nthe subtracted base resistances. \n \nThe SMR arises from the interaction of the spin currents generated in the NM layer due \nto the SHE with the magnetic moments of the FMI. According to the SMR \ntheory [8,52] , the longitudinal and transverse resistivities of the Pt layer are given by \n \n𝜌𝐿=𝜌0+∆𝜌0+∆𝜌1 (1−𝑚𝑦2), \n 𝜌𝑇=∆𝜌1𝑚𝑥𝑚𝑦+∆𝜌2𝑚𝑧, (1) \n \nwhere 𝐦(𝑚x,𝑚y,𝑚z)=𝐌/𝑀s are the normalized projections of the magnetization of \nthe YIG film to the three main axes, 𝑀s is the saturated magnetization of the YIG and \n𝜌0 is the Drude resistivity. ∆𝜌0 accounts for a number of corrections due to the \nSHE [52,57,58] , ∆𝜌1 is the main SMR term, and ∆𝜌2 accounts for an anomalous Hall -\nlike contribution. Considering that these magnetoresistance (MR) corrections are very \nsmall, we identify the base resistivity of our longitudinal ADMR measurements as \n𝜌𝐿0(𝑚𝑦=1)=𝜌0+∆𝜌0≃𝜌0. Since H is rotated in the plane of the film in our \ntransverse measurements, the ∆𝜌2 contribution does not appear. Note that , in ADMR \nmeasurements, the amplitude of 𝜌𝐿(𝛽), 𝜌𝐿(𝛼) and 𝜌𝑇(𝛼) are equal and given by ∆𝜌1. \nTherefore, these measurements are equivalent when only the SMR contributes to the \nMR. The SMR term is quantified by \n 4 \n Δ𝜌1\n𝜌0=𝜃𝑆𝐻2 λ\n𝑑𝑁Re 2λ𝐺↑↓𝜌0tanh2(𝑑𝑁 2λ⁄ )\n1+2λ𝐺↑↓𝜌0coth (𝑑𝑁 λ⁄) , (2) \n \nwhere isthe spin diffusion length and SH the spin Hall angle of the Pt layer. \n \nAccording to Eq s. (1) and (2), the difference in the SMR amplitude observed between \nthe two samples (see Fig. 1) can be interpreted as an enhanced 𝐺↑↓ at the Pt/YIG+ \ninterface –with respect to Pt/YIG – due to the Ar+-ion milling process . Note that the spin \ntransport properties for both Pt layers are expected to be the same because the measured \nresistivity is the same [59–61]. As the spin relaxation is governed by the Elliott -Yafet \nmechanism in Pt [59–61], we can calculate its spin diffusion length using the relation \n×10-15Ωm2)/ρ [61]. Following Ref. 61, the spin Hall angle in the moderately \ndirty regime can be calculated using the intrinsic spin Hall conductivity 𝜎𝑆𝐻𝑖𝑛𝑡 (𝜃𝑆𝐻=\n𝜎𝑆𝐻𝑖𝑛𝑡𝜌), which for Pt is 1600 Ω-1cm-1 [61,62] . In our films, L0 ~ 63 cm at 300 K, \nwhich thus corresponds to ~1.0 nm and SH~0.097. Using these andSH value s, dN=7 \nnm, Δ𝜌𝐿/𝜌0~5.310-5 and ~7.0610-5 (for Pt/YIG and Pt/YIG+, respectively, at 300 K) , \nand that Gi<HS) rotates in a \nparticular H-rotation plane, the magnetic frustration forces the surface magnetization to \npoint to a perpendicular direction. Due to the degeneracy in the orientation where the \nsurface magnetization could point to, the angular dependences of the ADMR signals are \npreserved . As for the magnetic -field-dependent MR curves, when H2T at low temperatures. However, the large Hanle 7 magnetoresistance (HMR) effect [52] present in our samples (the measured HMR \namplitude at 300 K and 9 T is L/0~16·10-5) dominates the MR at large fields , \npreventing us from quantifying the canting exerted to the frustrated magnetic moments \nvia MR measurements. \n \nAn alternative interpretation of the temperature dependence of the SMR, motivated by \nthe results obtained exploring a Pt/NiO/YIG system [77], is that the magnetic moments \nof the treated YIG+ surface are perpendicularly coupled to the magnetization of the YIG \nfilm at any temperature. In this situation, the frustrated magnetization of the surface \ndominates the SMR at low temperature, which is negative. When increasing the \ntemperature, the frustrated surface becomes more transparent to the spin currents due to \nthe thermal fluctuations and the YIG magnetization progressively dominates the SMR, \nwhich becomes positive. In other words, the spin current generate d by the Pt reaches the \nbulk YIG and the usual SMR in Pt/YIG is detected. This competition would lead to a \ndecrease in the SMR amplitude below ~140 K , a comp ensation at an intermediate \ntemperature (i.e., zero SMR amplitude, which oc curs around 45 K in our system), and a \nnegative amplitude at low temperature s, when the frustrated Pt/YIG+ interface \ndominates. \n \nOur model allows us to qualitatively show that the emergence of a surface magnetic \nfrustration can be well identified via SMR measurements. Note that magnetic frustration \nat the first atomic layer of a film cannot be detected by means of standard surface \ntechn iques such as ma gneto -optical Kerr effect , magnetic force microscopy, or X -ray \nmagnetic circular dichroism because of the relatively long penetration depth. Other \nsurface sensitive techniques such as spin -polarized scanning tunneling microscopy or \nscanning electron microscopy with polarization analysis cannot be used in magnetic \ninsulators either. Only complex, depth sensitive techniques such as polarized neutron \nreflectometry might resolve the surface magnetization independently of bulk. In other \nwords, t he magnetic properties of the very first layer of a n insulating film will generally \nremain hidden by the large magnetic response of its bulk. Remarkabl y, unlike other \ntechniques, the SMR can be applied to FMI films, is sensitive to only the first atomic \nlayer [36], and its response is associated to the relative direction of the magnetic \nmoments of the FM with respect to the spins of the NM layer (whether they are parallel \nor perpendicular), but not to their orientation (up or down). This highlights the potential \nof the SMR to explore complex surface magnetic properties [78]. \n \nIIIb. Magnon spin transport \n \nWe now move to study the magnon spin transport in the non-local NL-Pt/YIG and NL-\nPt/YIG+ samples. Figure 3(a) shows an optical image of one of the devices fabricated. \nIn these samples, the current is injected in the central wire and both the local resistance \n(RL=VL/I) and the non -local resistance ( RNL=VNL/I) are measured as schematically \ndrawn in Fig. 3(a). Note that RNL is measured using the dc -reversal method [54–56], \nwhich is equivalent to the first harmonic signal in ac lock -in type measurements [79]. \n 8 \nFIG. 3 (color online). (a) Optical image of the NL -Pt/YIG sample. Grey wires are the Pt stripes \nand the yellow areas correspond to additional Au pads. The black background is the surface of \nthe YIG film. Both the local and non -local measurement configurations are schematically \nshown. (b) and (c) are the local ( RL) and non -local ( RNL) ADMR signals, respectively, measured \nin the NL -Pt/YIG sample at 150 K and for H=1 T rotating in the plane. Note that, along this \nrotation angle, M changes its relative orientation with s (being parallel for =90º and 270º and \nperpendicular for =0º and 180º). In (b), the bias current was 100 A. In (c), non -local ADMR \nmeasurements performed at I=100 (black line) and 300 (red line) are shown. The arrows in \n(b) and (c) indicate the sign convention used for the amplitude of the local ( RL) and non -local \n(RNL) resistance plotted in Figs. 4(a) and 4(b), respectively. \n \nFigure s 3(b) and 3(c) show an example of the local and non -local ADMR \nmeasurements, respectively, performed in our samples. The data correspond to the NL-\nPt/YIG sample measured at 150 K with H=1 T rotating in the plane [see Fig. 1(b) for \nthe definition]. Simila r ADMR curves were obtained in the NL-Pt/YIG+ sample. The \nlocal resistance RL [Fig. 3(b)] shows the expected cos2() dependence for the SMR \neffect . Taking into account that in these samples 𝜌𝐿0(300 K)~54 𝜇Ωcm –which \naccording to Ref. 61 corresponds to ~1.2 nm and SH~0.083 for the Pt film –, that the \nmeasured SMR amplitudes at the same temperature are Δ𝜌𝐿/𝜌0~6.210-5 and ~7.610-5 \n(for the NL -Pt/YIG and NL-Pt/YIG+ samples , respectively) , dN=5nm, and that \nGi< single crystal YIG that was purchased \ncommercially from Princeton Scientific . Standard lithography techniques we re used to pattern the \nPt into a 50×50 𝜇𝜇m detection pad surrounded by electrically isolated 5× 5 𝜇𝜇m injector pads with 3 4 \n 𝜇𝜇m between them . The electro -thermal device consists of 5 nm of Pt that was sputter deposited \nonto a 500 𝜇𝜇m <100> single crystal YIG from the same wafer . Each electro -thermal device was \nfabricated via high-resolution e-beam lithography using a negative resist and Ar -ion milling to \npattern one Pt injector and two Pt detectors (width W = 2.5 µm and length L = 500 𝜇𝜇 m). Injector -\ndetector distances range from 12 to 100 𝜇𝜇 m. \n \nFIG 1. Optical images of the devices used in the opto- thermal and electro -thermal measurements. \n(a) In the opto- thermal measurement, a laser is used to thermally excite magnons in YIG beneath \na Pt injector. The magnons diffuse laterally and are con verted into a measureable voltage in the Pt \ndetector. (b) A typical hysteresis loop showing the measured voltage as a function of magnetic \nfield. 𝑉𝑉𝑁𝑁𝑁𝑁,𝑂𝑂 is defined as the magnitude of the hysteresis loop. (c) In the electro -thermal \nmeasurement, current flowing through the injector causes resistive heating, resulting in the \nexcitation of magnons into YIG. The non- equilibrium magnons produced diffuse to the re gion \nbeneath a non- local Pt detector, where can be detected due to the inverse spin Hall voltage induced. \n(d) The measured voltage depends sinusoidally on the angle α of the applied in- plane magnetic \nfield. The maximum detected voltage is defined as 𝑉𝑉 𝑁𝑁𝑁𝑁,𝐸𝐸. 𝑑𝑑 represents the distance the magnons \nhave diffused from the injection to the detection site. \nIn the opto- thermal experiment a diffraction -limited 980-nm-wavelength laser is used to \nthermally excite magnons beneath a Pt injector whose center is located at a distance d from the \nclosest edge of the Pt detector . The experiments were carried out in a Montana Instruments C2 5 \n cryostat at temperatures between 4 and 300 K. The laser is modulated at 10 Hz and a lock- in \namplifier referenced to the laser choppin g frequency is used to measure t he inverse spin Hall effect \nvoltage , defined as 𝑉𝑉𝐼𝐼𝐼𝐼𝐼𝐼𝐸𝐸 ,𝑂𝑂, across the detector . An in -plane magnetic field is applied along the x \naxis and is swept from - 200 mT to 200 mT while 𝑉𝑉𝐼𝐼𝐼𝐼𝐼𝐼𝐸𝐸 ,𝑂𝑂 is continuously recorded. A representative \nhysteresis loop taken at 89.5 K and for d = 21 𝜇𝜇m is shown in Fig. 1(b). The detector signal \nproportional to nonlocal magnon spin diffusion, defined as 𝑉𝑉𝑁𝑁𝑁𝑁,𝑂𝑂, is obtained by taking half the \ndifference between saturated 𝑉𝑉𝐼𝐼𝐼𝐼𝐼𝐼𝐸𝐸 ,𝑂𝑂 values at positive and negative fields, i.e. the height of the \nhysteresis loop. For the electro -thermal experiment, m agnetotransport measurements were carried \nout using a Keithley 6221 sourcemeter and a 2182A nanovoltmeter operating in delta mode . In \ncontrast to the standard current -reversal method, where one obtains information about the \nelectrically excited magnons in devices of this kind [10] , here a dc- pulsed method is used where \nthe app lied current is continuously switched on and off at a frequency of 20 Hz. This measurement \nprovides equivalent information as the second harmonic in ac lock- in type measurements [11] , i.e., \nit provides information about the thermally excited magnons. A current of I = 300 µA was applied \nto the injector. The experiments were carried out in a liquid- He cryostat at temperatures between \n2.5 and 10 K. A magnetic field of H = 1 T was applied in the plane of the sample and rotated \n(defined by the angle 𝛼𝛼 ) while the resulting voltage VISHE,E was measured in one of the detectors . \nFig. 1 (d) shows a representative measurement . The signal obtained is proportional to sin 𝛼𝛼 , which \nis indicative of the diffusive magnon spin current [12] . The magnitude of the signal is defined as \n𝑉𝑉𝑁𝑁𝑁𝑁,𝐸𝐸 [see Fig. 1 (b)]. \nThe magnon spin current decays exponentially with d [13] . Therefore, the VNL measured \nin our devices is given by 6 \n 𝑉𝑉𝑁𝑁𝑁𝑁= 𝐴𝐴𝑜𝑜𝑒𝑒−𝜆𝜆𝑆𝑆∗\n𝑑𝑑, (1) \nwhere A0 is a pre- factor that is independent of d and 𝜆𝜆𝐼𝐼∗, is the effective magnon spin diffusion \nlength . The experimental data obtained for both the opto- thermal and the electro -thermal magnon \nspin excitation are shown in Fig. 2 and analyzed using Eq. (1). At high temperatures, the data fits \nvery well to a single exponential as expected. \nSurprisingly , at low temperatures, the fit \nanalysis reveals that there must actually be two different decay lengths. For inst ance, for the \nopto- thermal case, it is observed that the quality \nof the fit rapidly decreases below a correlation \ncoefficient of r\n2=0.985 when the distances \nconsidered range from the smallest measured \n(5.5 𝜇𝜇m) to greater than 37.5 𝜇𝜇m. This indicates \nthat the application of the spin decay model is \nonly appropriate up to 37.5 𝜇𝜇 m. If distances \ngreater than 37.5 𝜇𝜇 m are considered and the \ndata is fit to Eq. (1) , a lower r2 factor is \nobtained, indicating a low quality fit . This \nobservation inspires us to separate the 𝑉𝑉𝑁𝑁𝑁𝑁,𝑂𝑂 \ndata into two distinct regions defined as the 𝜆𝜆1 \nand 𝜆𝜆2 region s [see Fig. 2( a)]. Equation (1) is fit to each individual region. Th e effective magnon \nspin diffusion length 𝜆𝜆𝐼𝐼∗ is extracted for each region separately and plotted in Fig. 3 . The same \nFIG 2. (a) 𝑉𝑉𝑁𝑁𝑁𝑁,𝑂𝑂 as a function of 𝑑𝑑 with the \nmeasurement shown at different temperatures. The \nmeasurement results are divided into two regions \ndefined as 𝜆𝜆1 and 𝜆𝜆2. Dotted lines represent single \nexponential fits of the data to Eq. (1) in each region. \nThe decay in 𝜆𝜆1 is shorter, while it appears to be \nmuch longer in 𝜆𝜆2. (b) 𝑉𝑉𝑁𝑁𝑁𝑁,𝐸𝐸 as a function of 𝑑𝑑 with \nthe measurement shown at multiple temperatures. \nDividing the data also into the 𝜆𝜆1 and 𝜆𝜆2 regions \nconfirms the existence of the two different \ncharacteristic decay lengths. Dashed li nes are fits to \nEq. (1) in each region. \n 7 \n analysis was performed for the electro -\nthermal measurements and the existence of \ntwo different decay lengths was confirmed \n(See Fig . 2(b)). \n Fig. 3 shows the extracted values of \nthe magnon spin diffusion lengths in each of \nthe two regions as a function of temperature \nfor both the opto- thermal and electro -thermal \nmeasurements. At low temperature, both \nmeasurements indicate an effective spin \ndiffusion length of about 10 𝜇𝜇m in the 𝜆𝜆 1 \nregion, which is in excellent agreement with p reviously reported values and temperature \ndependence of the magnon spin diffusion length [7] . Note that in the earlier opto -thermal study [9] \nthe data indicated only a single exponential decay, which was interpreted as the spin diffusion \nlength. In the opto- thermal measurements reported here, the improved signal to noise ratio of the \nexperiment reveals the double exponential character of the spin decay profile. The current data can still be fitted to a single exponential decay at 23 K of 47 µm, consistent with the earlier report, \nhowever the improved data set in the current study demonstrates that a double exponential decay fit is far better quality. \nA larger 𝜆𝜆\n𝐼𝐼∗ in the 𝜆𝜆 2 region is observed in both the opto- thermal and electro -thermal \nmeasurements . At temperatures above 10 K in the electro -thermal measurement, the non- local \nsignal magnitude strongly decreased and could not be measured at enough values of d in order to \nmake a meaningful exponential fit to extract 𝜆𝜆𝐼𝐼∗ in the 𝜆𝜆 2 region . The effective magnon spin \nFIG 3. The extracted decay parameters 𝜆𝜆𝐼𝐼∗ from the \n𝜆𝜆1 and 𝜆𝜆2 regions as a function of temperature and \nfor both experiments. 𝜆𝜆𝐼𝐼∗ values reported in Ref. 7 \nare included for comparison. Inset: zoomed view of \nlow temperature data. 8 \n diffusion length in the 𝜆𝜆2 region is approximately one order of magnitude larger than in the 𝜆𝜆 1 \nregion at low temperatures and decreases monotonically with increasing temperature. The \nmaximum value of 83.03 𝜇𝜇 m occurs at 9.72 K and the minimu m value of 14.05 𝜇𝜇 m at 247.5 K. A \nzoom of the data at low T is shown in the inset to Fig. 3. In the electro -thermal measurements, the \nmaximum value of 𝜆𝜆2 is not at the lowest temperature, but at ~10 K in agreement with the \noptothermal measurements . This is consistent with the origin of 𝜆𝜆2 as from intrinsic SSE associated \nwith the temperature profile in YIG since as T approaches 0 K, thermal conductivity becomes \nnegligible . \n To justify the existence of the long range spin current persisting well beyond the intrinsic \nmagnon spin diffusion length, t he measurements are compared to a simulation of the diffusive \ntransport of thermally generated magnons , which is obtained using three dimensional (3D) finite \nelement modeling (FEM). The simulation is solved using COMSOL Multiphysics and is based on \nthe spin and heat transport formalism that is developed in [14,15] . \nIn the simulation , the length scale of the inelastic phonon and magnon scattering is assumed \nto be small, implying that the phonon temperature , 𝑇𝑇𝑝𝑝, is equal to the magnon temperature 𝑇𝑇𝑚𝑚 over \nthe length s of interest . In addition, the simulation neglects the spin Peltier effect . Thus, the spin \nand heat transport equations are only partially coupled. \nThe simplified spin transport equation that is used to model the magnon spin current within \nYIG is \n 𝜎𝜎∇2𝜇𝜇+ 𝜍𝜍∇2𝑇𝑇=𝑔𝑔𝜇𝜇 (2) \nand t he Pt/ YIG interfacial boundary condition states \n \n 𝑗𝑗𝑚𝑚,𝑧𝑧=𝜎𝜎∇𝜇𝜇𝑧𝑧+𝜍𝜍∇𝑇𝑇𝑧𝑧= 𝐺𝐺𝐼𝐼𝜇𝜇 (3) 9 \n where 𝑗𝑗𝑚𝑚,𝑧𝑧 is the simulated spin current perpendicular to the Pt/YIG interface, 𝜎𝜎 is the spin \nconductivity in the YIG , 𝜇𝜇 is the magnon chemical potential, 𝜍𝜍 is the intrinsic spin Seebeck \ncoefficient, 𝑔𝑔 describes the magnon relaxation, 𝑇𝑇=𝑇𝑇𝑝𝑝~𝑇𝑇𝑚𝑚 is the temperature in YIG , 𝐺𝐺𝐼𝐼 is the \ninterfacial magnon spin conductance, and ∇𝜇𝜇𝑧𝑧 and ∇𝑇𝑇𝑧𝑧 represent the gradient of the magnon \nchemical potential and temperature along the direction perpendicular to the Pt/YIG interface , \nrespectively. \nWe first solve for the temperature profile in a simulated Pt/YIG system using the \nparameters listed in Table I. The geometry of the model is the same as the experimental geometry \nof the opto- thermal measurement including the Pt absorbers . As previously stated, d is defined as \nthe distance from the edge of the Pt detector to the center of the (simulated) laser heat source at \nthe center of the absorber . \nTable I – Parameters used in the 3D FEM modeling. 𝜎𝜎 and 𝐺𝐺𝐼𝐼 are calculated based on data reported \nin [15] . 𝜅𝜅𝑌𝑌𝐼𝐼𝑌𝑌 is taken from [19] and 𝜅𝜅𝑃𝑃𝑃𝑃 is from [20] . \n𝑇𝑇(K) 𝜎𝜎(JmV⁄ ) 𝐺𝐺𝐼𝐼(Sm2⁄ ) 𝜅𝜅𝑌𝑌𝐼𝐼𝑌𝑌 (WmK)⁄ 𝜅𝜅𝑃𝑃𝑃𝑃 (WmK)⁄ \n10 3.10×10−8 5.84×1010 60.00 1214.98 \n70 8.32×10−8 1.08×1012 37.59 91.82 \n175 1.32×10−7 4.27×1012 11.41 75.56 \n300 1.73×10−7 9.60×1012 6.92 73.01 \n \nThe decay profile for the interfacial spin current 𝑗𝑗𝑚𝑚,𝑧𝑧 is obtained by using the calculated \ntemperature profile as an input in Eq. (3) . We report the total interfacial spin current that reaches \nthe detector 𝑗𝑗𝑚𝑚,𝑧𝑧 by evaluating the surface integral ∬𝑗𝑗𝑚𝑚,𝑧𝑧(𝑥𝑥,𝑦𝑦)𝑑𝑑𝐴𝐴 beneath the detector. The decay \nprofile is calculated as a function of simulated laser position , at multiple different temperatures, 10 \n ranging from 5 – 300 K. The values of the physical parameters used in the model are recorded in \nTable I. \nFrom Eq. (3) one can see that 𝒋𝒋𝑚𝑚,𝑧𝑧 can be broken up into two components 𝑗𝑗𝑚𝑚,𝑧𝑧 ∇𝜇𝜇, which is a \ncomponent that is proportional to the interfacial gradient of the magnon chemical potential , and \n𝑗𝑗𝑚𝑚,𝑧𝑧 ∇𝑇𝑇, which is a component that is proportional to the interfacial gradient of the magnon \ntemperature. The decomposition of the simulated spin current at the detector is shown in Fig. 4(a) , \nwhich depicts a representative plot of the total 𝒋𝒋𝑚𝑚,𝑧𝑧 as a funct ion of 𝑑𝑑 at 70 K , as well as the \ncomponents 𝑗𝑗𝑚𝑚,𝑧𝑧 ∇𝜇𝜇 and 𝑗𝑗𝑚𝑚,𝑧𝑧 ∇𝑇𝑇 . By analyzing the decay lengths of these individual components of \n𝒋𝒋𝑚𝑚,𝑧𝑧 separately , it is possible to qualitatively understand the existence of the experimentally \nobserved short and long range decay lengths . \nAs shown in Fig. 4(a), the component of 𝒋𝒋𝑚𝑚,𝑧𝑧 that is proportional to ∇ 𝜇𝜇 decays much \nmore rapidly than the component of 𝒋𝒋𝑚𝑚,𝑧𝑧 that is proportional to ∇ 𝑇𝑇. This indicates that the total \nspin current that reaches the Pt det ector should consist of a short er decay component and a long er \ndecay component. We hypothesize that the driving force of the short er range component is the \ngradient of the magnon chemical potential, ∇𝜇𝜇 and that the driving force of the long er range \ncomponent is the gradient of the magnon temperature ∇𝑇𝑇. To verify this conjecture, the plot of \nthe simulated 𝒋𝒋𝑚𝑚,𝑧𝑧 vs. 𝑑𝑑 is divided into the same 𝜆𝜆 1 and 𝜆𝜆2 regions as in the opto- thermal \nexperimental measurement (where the 𝜆𝜆2 region is defined as 𝑑𝑑 > 37.5 𝜇𝜇m). Equation ( 1) is fit \nindependently to the simulated 𝑗𝑗𝑚𝑚,𝑧𝑧 ∇𝜇𝜇 within the 𝜆𝜆 1 region , where the short er range driving force is \nexpected to dominate , and to the simulated 𝑗𝑗𝑚𝑚,𝑧𝑧 ∇𝑇𝑇 with in the 𝜆𝜆 2 region where the long er range \ndriving force will be most prevalent , as shown in the representative 70 K plot in Fig. 4(a). The \ndecay parameters of these fits, 𝜆𝜆∇𝜇𝜇∗ and 𝜆𝜆∇𝑇𝑇∗, are extracted and plotted as a function of temperature 11 \n in Fig. 4(b). The intrinsic spin diffusion \nlength, 𝜆𝜆∇𝜇𝜇∗, is relatively constant as a \nfunction of temperature, implying that ∇𝜇𝜇 \nis responsible for the short er range spin \ncurrent observed in the 𝜆𝜆1 region (Fig. 3) . On \nthe other hand, the bulk generated magnon \ncurrent, characterized by 𝜆𝜆∇𝑇𝑇∗, decays \nmonotonically with temperature, in \nagreement with the observed long er decay in \nthe 𝜆𝜆2 region (Fig. 3) , thus implying that \n∇𝑇𝑇 is the driving force for the long range \nspin current . Since it is the temperature \nprofile within YIG that determines 𝜆𝜆∇𝑇𝑇∗, it will vary \nwith the thermal boundary conditions. This explains \nwhy the long range spin current manifests in bulk YIG at low temperatu re [9], but not in YIG/GGG \nthin films [7]. \nIt should be noted that while the monotonic \ndecay with temperature of the simulated 𝜆𝜆\n∇𝑇𝑇∗ \nagrees with the measured opto- thermal and electro -thermal long range decay in the λ2 region , the \nsimulated magnitude of 𝜆𝜆∇𝑇𝑇∗ is smaller than the one obtained experimentally . This is attributed to \nuncertainties in the temperature dependence of the inputs to the FEM modeling, particularly of the \nmagnon scattering time 𝜏𝜏 , which is used to calcul ate 𝜎𝜎𝑚𝑚. At low temperatures magnon relaxation \nFIG 4. 3D FEM modeling simulation of the \nopto-thermal measurement. (a) Dashed lines \nrepresent the total spin current (black), the \ncomponent of spin current proportional to \n∇𝜇𝜇 (green) and the component of spin \ncurrent proportional to ∇𝑇𝑇 (pink). Solid \nlines represent individual exponential fits to \nthe corresponding component of the spin \ncurrent in each of the distinct 𝜆𝜆1 and 𝜆𝜆2 \nregions (blue and red respectively). (b) The \nmagnon spin diffusion lengths 𝜆𝜆∇𝜇𝜇∗ and 𝜆𝜆∇T∗ \nextracted for each region are plotted as a \nfunction of temperature. 12 \n is primarily governed by magnon- phonon interactions that create or annihilate spin waves by \nmagnetic disorder and 𝜏𝜏 ~ ℏ𝛼𝛼𝑌𝑌𝑘𝑘𝐵𝐵𝑇𝑇⁄ where 𝛼𝛼𝑌𝑌= 10−4 [16] . This leads to calculated values of \n𝜎𝜎𝑚𝑚 that vary with experimental measurements by orders of magnitude [15] . Such discrepancies \nmay be explained by recent works that attribute the primary contributors to the SSE as low -energy \nsubthermal magnons [5,17] , however an analysis of the complete temperature dependence of \neffective magnon scattering time based on the spectral dependence of the dominant magnons \ninvolved in SSE is outside the scope of this work. Another source of uncertainty in the simulations \nis the role of spin sinking into the Pt absorbers (present in the opto- thermal measurements) on the \nspin current decay profile . To test this, identical simulations, as described above, are carried out \nbut with the Pt absorber pads removed. The absorbers cause a decrease in 𝜆𝜆∇𝜇𝜇∗ of 1-2 µm , while \nthe 𝜆𝜆∇𝑇𝑇∗ shows no significant change within the uncertainty. During the review of this paper, we \nbecame aware of a related paper discussing the role of intrinsic spin Seebeck in the nonlocal spin \ncurrents decay profile [18] . \nIn conclusion, opto- thermal and electro -thermal measurements independently demonstrate \nthe existence of a longer range magnon spin current at low temperatures persisting well beyond \nthe intrinsic spin diffusion length. By representing the total magnon spin current by its individual \ncomponents , one of which is proportional to the gradient in magnon chemical potential and the \nother of which is proportional to the gradient in magnon temperature, the driving force of the longer range magnon spin diffusion can be attributed to the gradient in magnon temperature , i.e. \nthe intrinsic spin Seebeck effect . \n \nThe authors thank B art van Wees, Ludo Cornel issen, Yaroslav Tserkovnyak and Benedetta Flebus \nfor valuable discussions. This work was primarily supported by the Army Research Office MURI 13 \n W911NF -14-1-0016. J.J. acknowledges the Center for Emergent Materials at The Ohio State \nUniversity, an NSF MRSEC (Award Number DMR -1420451), for providing partial funding for \nthis research. The work at CIC nanoGUNE was supported by the Spanish MINECO (Project No. \nMAT2015- 65159- R) and by the Regional Council of Gipuzkoa (Project No. 100/16). J.M.G.- P. \nthanks the Spanish MINECO for a Ph.D. fellowship (Grant No. BES -2016- 077301). \n \n[1] K. Uchida, M. Ishida, T. Kikkawa, A. Kirihara, T. Murakami, and E. Saitoh, J. Phys. \nCondens. Matter 26, 343202 (2014). \n[2] A. Prakash, J. Brangham, F. Yang, and J. P. Heremans, Phys. Rev. B 94, 014427 (2016). \n[3] A. Kehlberger, U. Ritzmann, D. Hinzke, E.- J. Guo, J. Cramer, G. Jakob, M. C. Onbasli, D. \nH. Kim, C. A. Ross, M. B. Jungfleisch, B. Hillebrands, U. Nowak, and M. Kläui, Phys. Rev. \nLett. 115, 096602 ( 2015). \n[4] E.-J. Guo, J. Cramer, A. Kehlberger, C. A. Ferguson, D. A. MacLaren, G. Jakob, and M. \nKläui, Phys. Rev. X 6, 031012 (2016). \n[5] T. Kikkawa, K. Uchida, S. Daimon, Z. Qiu, Y. Shiomi, and E. Saitoh, Phys. Rev. B 92, \n064413 (2015). \n[6] L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and B. J. van Wees, Nat Phys 11, 1022 \n(2015). \n[7] L. J. Cornelissen, J. Shan, and B. J. van Wees, Phys. Rev. B 94, 180402 (2016). \n[8] J. Shan, L. J. Cornelissen, N . Vlietstra, J. Ben Youssef, T. Kuschel, R. A. Duine, and B. J. \nvan Wees, Phys. Rev. B 94, 174437 (2016). \n[9] B. L. Giles, Z. Yang, J. S. Jamison, and R. C. Myers, Phys. Rev. B 92, 224415 (2015). \n[10] S. Vélez, A. Bedoya -Pinto, W. Yan, L. E. Hueso, and F. Casanova, Phys. Rev. B 94, 174405 \n(2016). \n[11] F. L. Bakker, A. Slachter, J.- P. Adam, and B. J. van Wees, Phys. Rev. Lett. 105, 136601 \n(2010). \n[12] S. R. Boona, R. C. Myers, and J. P. Heremans, Energy Environ. Sci. 7, 885 (2014). \n[13] T. Valet and A. Fert, Phys. Rev. B 48, 7099 (1993). \n[14] B. Flebus, S. A. Bender, Y. Tserkovnyak, and R. A. Duine, Phys. Rev. Lett. 116, 117201 \n(2016). \n[15] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A. Duine, and B. J. van Wees, Phys. Rev. B 94, 014412 (2016). \n[16] S. Hoffman, K. Sato, and Y. Tserkovnyak, Phys. Rev. B 88, 064408 (2013). \n[17] I. Diniz and A. T. Costa, New J. Phys. 18, 052002 (2016). \n[18] J. Shan, L. J. Cornelissen, J. Liu, J. B. Youssef, L. Lian g, and B. J. van Wees, \nArXiv170906321 Cond- Mat (2017). \n[19] S. R. Boona and J. P. Heremans, Phys. Rev. B 90, 064421 (2014). \n[20] J. E. Jensen, W. A. Tuttle, H. Brechnam, and A. G. Prodell, Brookhaven National Laboratory Selected Cryogenic Data Notebook (Brookhaven National Laboratory, New York, 1980). \n " }, { "title": "1603.03578v2.Investigation_of_anomalous_Hall_and_spin_Hall_effects_of_antiferromagnetic_IrMn_sandwiched_by_Pt_and_YIG_layers.pdf", "content": "arXiv:1603.03578v2 [cond-mat.str-el] 11 Apr 2016Investigation of anomalous-Hall and spin-Hall effects of an tiferromagnetic\nIrMn sandwiched by Pt and YIG layers\nT. Shang,1,a)H. L. Yang,1Q. F. Zhan,1,b)Z. H. Zuo,1Y. L. Xie,1L. P. Liu,1S. L. Zhang,1Y. Zhang,1H. H.\nLi,1B. M. Wang,1Y. H. Wu,2S. Zhang,3,c)and Run-Wei Li1,d)\n1)Key Laboratory of Magnetic Materials and Devices &Zhejiang Province Key Laboratory of Magnetic Materials and\nApplication Technology, Ningbo Institute of Material Tech nology and Engineering, Chinese Academy of Sciences,\nNingbo, Zhejiang 315201, China\n2)Department of Electrical and Computer Engineering, Nation al University of Singapore,\n4 Engineering Drive 3 117583, Singapore\n3)Department of Physics, University of Arizona, Tucson, Ariz ona 85721, USA\n(Dated: 14 June 2021)\nWe report an investigation of temperature and IrMn layered thickn ess dependence of anomalous-Hall\nresistance (AHR), anisotropic magnetoresistance (AMR), and ma gnetization on Pt/Ir 20Mn80/Y3Fe5O12\n(Pt/IrMn/YIG) heterostructures. The magnitude of AHR is dram atically enhanced compared with Pt/YIG\nbilayers. The enhancement is much more profound at higher temper atures and peaks at the IrMn thickness\nof 3 nm. The observed spin-Hall magnetoresistance (SMR) in the te mperature range of 10-300 K indicates\nthat the spin current generated in the Pt layer can penetrate the entire thickness of the IrMn layer to interact\nwith the YIG layer. The lack of conventional anisotropic magnetore sistance (CAMR) implies that the inser-\ntion of the IrMn layer between Pt and YIG efficiently suppresses the magnetic proximity effect (MPE) on\ninduced Pt moments by YIG. Our results suggest that the dual role s of the IrMn insertion in Pt/IrMn/YIG\nheterostructures are to block the MPE and to transport the spin current between Pt and YIG layers. We\ndiscuss possible mechanisms for the enhanced AHR.\nI. INTRODUCTION\nAntiferromagnts (AFMs) are promising candidates\nfor spintronic applications.1Compared to ferromagnetic\n(FM) materials, the AFMs exhibit unique advantages,\ne.g., zero net magnetization, insensitivity to the exter-\nnal magnetic perturbation, lack of stray field, and ac-\ncess to extremely high frequency. Recently, the gener-\nation and transmission of spin current in AFMs have\nattracted great attention. The spin pumping studies\non (Pt, Ta)/(NiO, CoO)/Y 3Fe5O12(YIG) heterostruc-\nturesdemonstratethatthespincurrentgeneratedinYIG\nlayer can pass through the antiferromagnetic (AFM) in-\nsulator NiO or CoO layer and can be detected in Pt\nor Ta layer by inverse spin-Hall effect (ISHE).2–5Sim-\nilar results were also revealed in (Pt, Ta)/IrMn/CoFeB\nor Pt/NiO/FeNi heterostructures by spin-torque ferro-\nmagnetic resonance(ST-FMR) technique, where the spin\ncurrent generated by spin-Hall effect (SHE) in Pt or\nTa layer can propagate through IrMn or NiO layer and\nchange the FMR linewidth.6–8The spin current gen-\nerated by spin pumping or spin Seebeck was also ob-\nserved in IrMn/YIG, Cr/YIG, and XMn/Py ( X= Fe,\nPd, Ir, and Pt) bilayers through ISHE.9–13Moreover,\nthe IrMn/YIG, Pt/Cr 2O3, and Pt/MnF 2exhibit spin-\na)Present address: Swiss light source & Laboratory for Scient ific\nDevelopments and Novel Materials, Paul Scherrer Institut, CH-\n5232 Villigen PSI, Switzerland\nb)Electronic mail: zhanqf@nimte.ac.cn\nc)Electronic mail: zhangshu@email.arizona.edu\nd)Electronic mail: runweili@nimte.ac.cnHall magnetoresistance (SMR) and large ISHE voltage,\nrespectively, implying that the AFMs can be both spin-\ncurrent detector and generator.14–16These investigations\nopen up new opportunities in developing the AFMs-\nbased spin-current devices.\nThe IrMn alloy, which have been widely used to pin\nan adjacent FM layer in spin valve devices via exchange\nbias,17demonstrates large ISHE voltage when in con-\ntacts with YIG.9Recently, a large SHE and anomalous-\nHall effect (AHE) have been theoretically proposed in\nCr, FeMn, and IrMn AFMs owing to their large spin-\norbit coupling (SOC) or Berry phase of the non-collinear\nspintextures.18–20Thesetheoreticalpredictionswerealso\nfound to be valid for other cubic non-collinear AFMs,\ne.g., SnMn 3and GeMn 3, where the calculations have\nbeenrepeatedwithcomparableresults.21Theexperimen-\ntal investigation of AHE and SHE on the AFMs could be\nhelpful from both fundamental and practical viewpoints\nfor AFMs spintronics. As previously revealed in Cr/YIG\nbilayers, the large anomalous-Hall resistance (AHR) in\nthin unprotected Cr film is likely caused by the surface\nFM Cr oxides.11Similar situation is expected in unpro-\ntected IrMn/YIG bilayers. Since the Pt/YIG bilayer is\nwell studied,22in this study, we choose the Pt as cap\nlayer to protect the IrMn from oxidation to investigate\nthe AHE and SHE of IrMn by measuring the spin trans-\nport properties in Pt/IrMn/YIG heterostructures.\nII. EXPERIMENTAL DETAILS\nThe Pt/IrMn/YIG heterostructures were prepared in\na combined ultra-high vacuum (10−9Torr) pulsed laser/s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48 /s56/s48/s40/s98/s41/s40/s52/s52/s52/s41\n/s32/s32/s73/s110/s116/s101/s110/s115/s105/s116/s121/s32/s40/s97/s46/s117/s46/s41\n/s40/s100/s101/s103/s114/s101/s101 /s41/s40/s50/s50/s50/s41\n/s50/s48/s54/s48 /s50/s48/s56/s48 /s50/s49/s48/s48 /s50/s49/s50/s48 /s50/s49/s52/s48 /s50/s49/s54/s48\n/s32/s32/s100/s73\n/s70/s77/s82/s47/s100/s72/s32/s40/s97/s46/s117/s46/s41\n/s70/s105/s101/s108/s100/s32/s40/s79/s101/s41/s72/s126/s56/s79/s101/s40/s100/s41/s52/s57/s46/s53 /s53/s48/s46/s48 /s53/s48/s46/s53 /s53/s49/s46/s48 /s53/s49/s46/s53 /s53/s50/s46/s48 /s53/s50/s46/s53/s40/s97/s41\n/s71/s71/s71\n/s32/s32/s73/s110/s116/s101/s110/s115/s105/s116/s121/s32/s40/s97/s46/s117/s46/s41\n/s50 /s32 /s40/s100/s101/s103/s114/s101/s101 /s41/s89/s73/s71\n/s45/s48/s46/s54/s110/s109/s80/s116/s47/s73/s114/s77 /s110/s47/s89/s73/s71 /s40/s99/s41/s48/s46/s54/s110/s109\n/s53/s46/s48 /s109/s48/s46/s48\nFIG. 1. (Color online) (a) A representative 2 θ-ωXRD pat-\nterns for YIG/GGG film near the (444) peaks of GGG sub-\nstrate and YIG film. (b) The full range of XRD patterns from\n20 to 80 degree. (c) Atomic force microscope surface topogra -\nphy of Pt/IrMn(3)/YIG heterostructure over an area of 5 µm\n×5µm. (d) A FMR derivative absorption spectrum of a 60\nnm YIG film with an in-plane magnetic field; the line-width\nis estimated to be 8 Oe.\ndeposition (PLD) and magnetron sputter system. The\nhigh quality epitaxial YIG films were deposited on (111)-\norientated single crystalline Gd 3Ga5O12(GGG) sub-\nstrate via PLD technique as described elsewhere.23The\nIr20Mn80(IrMn) and Pt films were sputtered at room\ntemperature in argon atmosphere in an in situprocess.\nThe thickness and crystal structure of films were char-\nacterized by Bruker D8 Discover high-resolution x-ray\ndiffractometer (HRXRD). The thickness was estimated\nby using the software package LEPTOS (Bruker AXS).\nThe surface topography of the films was measured in a\nBruker Icon atomic force microscope. The ferromagnetic\nresonance (FMR) was measured by Bruker electron spin\nresonance spectrometers. The measurements of trans-\nverse Hall resistance, longitudinal resistance, and mag-\nnetization were carried out in a Quantum Design physi-\ncal properties measurement system (PPMS) with a rota-\ntionoptionandmagneticpropertiesmeasurementsystem\n(MPMS), respectively.\nIII. RESULTS AND DISCUSSION\nFigure 1(a) plots a representative room-temperature\n2θ-ωXRD pattern of epitaxial YIG/GGG film near the\n(444)reflections. ClearLaueoscillationsindicatetheflat-\nness and uniformity of the epitaxial YIG film. As shown\nin the Fig. 1(b), only the (222) and (444) reflections can\nFIG. 2. (Color online) (a)-(c) Schematic plot of longitudin al\nresistance and transverse Hall resistance measurements. T he\nmagnetic fields are applied in the xy,xz, andyzplanes with\nanglesθxy,θxz, andθyzrelative to the y-,z-, andz-axes.\nThe electric current is applied along the x-axis. Anomalous-\nHall resistance R AHRfor Pt/YIG (d) and Pt/IrMn(1)/YIG\n(e) as a function of magnetic field at different temperatures.\n(f) Temperature dependence of the ρAHRfor Pt/IrMn/YIG\nwith various IrMn thicknesses. The ρAHRare replotted as a\nfunction of IrMn thickness at various temperatures in (g). A ll\nρAHRare averaged by[ ρAHR(70 kOe)- ρAHR(-70 kOe)]/2. The\nerror bars are the results of subtracting OHR in different fiel d\nranges\nbe observed, and no indication of impurities or misorien-\ntation was detected in the full range of 2 θ-ωscan. In this\nstudy, the thicknesses of YIG and Pt films, determined\nby simulation of the x-rayreflectivity (XRR) spectra, are\napproximately 60 nm and 3 nm, respectively, while the\nIrMn thickness ranges from 0 nm to 8 nm. The atomic\nforce microscope surface topography of Pt/IrMn(3)/YIG\nheterostructure over an area of 5 µm×5µm in Fig.\n1(c) reveals a root-mean-squaresurface roughness of 0.18\nnm, indicating atomical flat of prepared films. The other\nfilms show similar surface roughness. The number in the\nbrackets represents the thickness of IrMn layer in nm\nunit. A representative FMR derivative absorption spec-\ntrum of YIG film (60 nm) shown in Fig. 1(d) exhibits\na line width ∆H = 8 Oe, which was measured at radio\nfrequency 9.39 GHz and power 0.1 mW with an in-plane\nmagnetic field at room temperature. The above proper-\nties indicate excellent quality of our prepared films.\n2/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s48/s50/s52/s54/s56\n/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s48/s51/s48/s54/s48/s57/s48\n/s40/s103/s41/s32/s80/s116/s47/s73/s114/s77/s110/s47/s89/s73/s71/s48/s32/s40/s49/s48/s45/s53\n/s41\n/s84/s32/s40/s75/s41\n/s84/s32/s40/s75/s41\n/s47\n/s48/s40/s49/s48/s45/s53\n/s41\n/s120 /s121 /s120 /s122 /s121/s122 /s40/s104/s41/s32/s80/s116/s47/s89/s73/s71/s52/s50/s48/s45/s50/s45/s52/s48/s51/s54/s57\n/s48 /s57/s48 /s49/s56/s48 /s50/s55/s48 /s51/s54/s48/s45/s57/s45/s54/s45/s51/s48/s48/s50/s48/s52/s48/s54/s48\n/s45/s50/s48/s45/s49/s48/s48\n/s48 /s57/s48 /s49/s56/s48 /s50/s55/s48 /s51/s54/s48/s45/s54/s48/s45/s51/s48/s48/s40/s98/s41/s48/s32/s40/s49/s48/s45/s53\n/s41/s80/s116/s47/s73/s114/s77/s110/s47/s89/s73/s71\n/s32/s49/s48/s75\n/s32/s53/s48\n/s32/s49/s48/s48\n/s32/s49/s53/s48\n/s32/s50/s48/s48\n/s32/s50/s53/s48\n/s32/s51/s48/s48/s40/s97/s41\n/s40/s99/s41\n/s40/s100/s101/s103/s114/s101/s101/s41/s80/s116/s47/s89/s73/s71/s40/s100/s41\n/s40/s101/s41\n/s47\n/s48/s40/s49/s48/s45/s53\n/s41\n/s40/s102/s41\n/s32/s40/s100/s101/s103/s114/s101/s101/s41\nFIG. 3. (Color online) Anisotropic magnetoresistance for\nPt/IrMn(1)/YIG at various temperatures down to 10 K with\nthe magnetic field varied within xy(a),xz(b), and yz(c)\nplanes. The results of Pt/YIG are shown in (d)-(f). Temper-\nature dependence of AMR amplitudes for Pt/IrMn(1)/YIG\n(g) and Pt/YIG (h) heterostructures. The cubic, circle and\ntriangle symbols standfor the θxy,θxz,θyzscans, respectively.\nA. Anomalous-Hall resistance\nAs shown in the top panel of Fig. 2, in order to\nmeasure the transverse Hall resistance and longitudinal\nresistance, all the Pt/IrMn/YIG heterostructures were\npatterned into Hall-bar configuration (central area: 0.3\nmm×10 mm; electrode 0.3 mm ×1 mm). The trans-\nverse Hall resistance R xyof Pt/IrMn/YIG was measured\nin the temperature range of 10 K to 300 K with per-\npendicular magnetic field ranging from -70 to 70 kOe.\nIn metal thin film, the ordinary-Hall resistance (OHR)\nROHRis subtracted from the measured R xy, i.e., R AHR\n= Rxy- ROHR×µ0H, where R AHRis AHR. As shown\nin Figs. 2(d)-(e), the resulting R AHRas a function of\nmagnetic field for Pt/YIG and Pt/IrMn(1)/YIG are pre-\nsented. It is noted that the Pt becomesmagnetic when in\ncontacts with the YIG due to its proximity to the stoner\nferromagnetic instability, i.e., magnetic proximity effect\n(MPE), as previously shown experimentally by x-ray\nmagnetic circular dichroism (XMCD) and theoretically\nby first-principles calculation.24,25The magnetized Pt\nshares some common features as magnetic YIG film, i.e.,\nstrong anisotropy.23Thus, when the magnetic field ap-\nproaches zero, the magnetized Pt moments are randomlydistributed, the R AHRexhibits irregular M-shaped be-\nhaviorclosetozerofield. However,forPt/IrMn/YIG,the\nRAHRcontinuously decreases as approaching zero field,\nimplying that the Pt/IrMn and IrMn/YIG interfaces are\nfreeofMPE,beingconsistentwith theabsenceofconven-\ntional anisotropic magnetoresistance (CAMR) (see be-\nlow). We summarize the derived anomalous-Hall resis-\ntivityρAHRofPt/IrMn/YIGheterostruturesasfunctions\nof temperature ( T) and IrMn thickness ( tIrMn) in Figs.\n2(f)-(g). The ρAHR(T) for all the Pt/IrMn/YIG exhibits\nrich characteristics whose magnitude and sign are highly\nnon-trivial, which were also found in Pt/LaCoO 3bilay-\ners.26. As shown in Fig. 2(h), the magnitude of ρAHR\ndecrease with temperature and then it increases again\nbelow 100 K. Simultaneously, the ρAHRchange its sign\nat the temperature which is independent of IrMn thick-\nness. We also replotted all the ρAHRas a function of\nIrMn thickness in Fig. 2(g). In the studied temperature\nrange, as increasing the tIrMn, theρAHRalso increases\nand reaches a maximum around tIrMn= 3 nm, which\nexcludes the interfacial origin of the observed AHR.\nB. Spin-Hall magnetoresistance\nThe anisotropic magnetoresistance (AMR) for\nPt/IrMn/YIG was also measured down to low temper-\natures. As an example, the AMR of Pt/IrMn(1)/YIG\nand Pt/YIG for three different field scans are presented\nin top panel of Fig. 3. When the magnetic field scans\nwithin the xyplane [Fig. 3(a)(d)], both the CAMR and\nSMR contribute to the total AMR; for the xzplane [Fig.\n3(b)(e)], the resistance changes are attributed to the\nMPE-induced CAMR; for the yzplane [Fig. 3(c)(f)],\nthe CAMR is zero, and only the SMR are expected.29,30\nAs shown in Fig. 3(b), the θxzscan shows negligible\nAMR and the resistance is almost independent of θxz,\nindicating the extremely weak MPE at the interface\neven down to low temperatures. However, the MPE is\nsignificant at Pt/YIG interface [see Fig. 3(e)]: the max-\nimum amplitude of CAMR is around 2.2 ×10−4, which\nis comparable to the SMR. Thus, the IrMn can be used\nas clean spin current detector and generator, similar to\nthe normal Rh or AFM Cr metals.11,23Since the CAMR\nis negligible in Pt/IrMn(1)/YIG, the SMR dominates\nthe AMR when the magnetic field is varied within the\nxyplane, the amplitudes of θxyscan are almost identical\ntoθyzscan. While for Pt/YIG, due to the MPE-induced\nCAMR, none of the amplitudes is identical to each other.\nThe temperature dependence of the AMR amplitudes\nfor allθxy,θxz, andθyzscans are summarized in Fig.\n3(g) and Fig. 3(h) for Pt/IrMn(1)/YIG and Pt/YIG,\nrespectively. Upon decreasing the temperature, the\nSMR persists down to 10 K, with the amplitudes\nmonotonically decreasing from 7.5 ×10−5(300 K) to 3.0\n×10−5(10 K) in Pt/IrMn(1)/YIG. For Pt/IrMn/YIG,\nthe amplitudes of SMR are almost an order smaller than\nthat of the Pt/YIG due to the smaller spin-Hall angle,\n3/s45/s49/s50/s48 /s45/s54/s48 /s48 /s54/s48 /s49/s50/s48/s45/s49/s46/s48/s45/s48/s46/s53/s48/s46/s48/s48/s46/s53/s49/s46/s48\n/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s48/s45/s50/s48/s45/s52/s48/s45/s54/s48\n/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s48/s49/s48/s50/s48/s51/s48/s52/s48/s32/s50/s75\n/s32/s49/s48\n/s32/s50/s48\n/s32/s51/s48\n/s32/s52/s48\n/s32/s53/s48\n/s32/s54/s48\n/s32/s55/s48\n/s32/s56/s48\n/s32/s57/s48\n/s32/s49/s48/s48\n/s32/s49/s50/s48\n/s32/s49/s53/s48\n/s32/s49/s56/s48\n/s32/s51/s48/s48/s77/s47/s77\n/s115\n/s70/s105/s101/s108/s100/s32/s40/s79/s101/s41/s40/s97/s41 /s32/s80/s116/s47/s73/s114/s77/s110/s40/s49/s32/s110/s109/s41/s47/s89/s73/s71\n/s72\n/s69/s32/s40/s79/s101/s41/s32/s49/s110/s109\n/s32/s51\n/s32/s53/s40/s98/s41 /s32\n/s84/s32/s40/s75/s41/s72\n/s67/s32/s40/s79/s101/s41\n/s84/s32/s40/s75/s41\nFIG. 4. (Color online) (a) Field dependence of normalized\nmagnetization M/Msfor Pt/IrMn(1)/YIG at various temper-\natures down to 2 K. The magnetic field is applied parallel to\nthe film surface. The paramagnetic background of the GGG\nsubstrate has been subtracted. (b) The in-plane exchange\nbias field HEversus temperature. The arrows indicate the\nAFM block temperatures Tb. The inset plots the coercivity\nfieldHCas a function of temperature for Pt/IrMn(1)/YIG.\nshorter spin diffusion length, and larger electrical re-\nsistivity of IrMn.9,12,29The temperature characteristics\nof SMR amplitudes in Pt/IrMn/YIG are significantly\ndifferent from the Pt/YIG or Pd/YIG bilayers, where\nthe SMR amplitudes exhibit nonmonotonic tempera-\nture dependence and acquire a maximum around 100\nK.31,32For Pt/YIG, the temperature dependence of\nSMR amplitude can be described by a single spin-\nrelaxation mechanism.31The spin diffusion length is\ndefined as λ=/radicalbigDτsf, whereDandτsfare diffusion\nconstant and spin-flip relaxation time, respectively.\nWithin the Elliot-Yafet spin-orbit scattering model,\nbothDandτsfare proportional to the reciprocal of\ntemperature dependence of the resistivity 1/ ρ(T).33,34\nIn Pt metal, the electrical resistivity mainly comes\nfrom phonon-electron scattering at high temperature,\nthenλ∝1/T. However, the extra magnetic electron\nscattering need to be considered in Pt/IrMn/YIG\nheterostructures, the assumption of λ∝1/Tis invalid.\nIt is noted that the heterostructures with different\nIrMn thicknesses exhibit similar temperature dependent\ncharacteristics with different numerical values compared\nto the Pt/IrMn(1)/YIG heterostructure shown here.\nFor example, the Pt/IrMn(3)/YIG exhibits the SMR\namplitude of 6.8 ×10−5at room temperature. The\nsizable SMR observed in Pt/IrMn/YIG heterostructures\nindicates that the spin current can transport through\nIrMn layer.C. Magnetization\nSince the magnetic transitions of very thin AFMs\nare expected to be well below the ordering temper-\nature of bulk forms, we measured the field depen-\ndence of magnetization down to low temperatures, from\nwhich we can track the AFM blocking temperature\nTbfor Pt/IrMn/YIG heterostructures. As an exam-\nple, the normalized magnetic hysteresis loops M/Msfor\nPt/IrMn(1)/YIGatvarioustemperaturesafterfieldcool-\ning from 300 K are presented in Fig. 4(a). The derived\nexchange bias field HEversus temperature are summa-\nrized in Fig. 4(b), from which the Tbare approximately\nestimated to be 150 K, 180 K, and 220 K for 1 nm, 3\nnm and 5 nm IrMn, respectively, as the arrow indicated.\nSimilar blocking temperatures were previously reported\nin IrMn/MgO/Ta tunnel junctions and IrMn/NiFe bi-\nlayer.35,36Moreover, the coercivity HCalso exhibits a\nstep-like increase near the blocking temperature, as the\narrow shown in the inset of Fig. 4(b), indicating the\nstrongly enhanced exchange coupling between IrMn and\nYIG layer below Tb.\nD. Discussion\nBased on the above experimental results, we discuss\nthe origins of the significant AHR in Pt/IrMn/YIG het-\nerostructures and the effect of AFM order on spin trans-\nport properties. There are at least four contributions\nto the observed AHR in Pt/IrMn/YIG: MPE, spin-Hall\nbased SMR, spin-dependent interface scattering, and in-\ntrinsic properties of IrMn metal. In contrast to the\nPt/YIG, the negligible CAMR in Pt/IrMn/YIG indi-\ncates the extremely weak MPE at Pt/IrMn or IrMn/YIG\ninterfaces, which is different from the previous studied\nof IrMn/YIG bilayer.14The SMR model based on SHE\nalso predicts an anomalous-Hall-like resistance,29whose\nmagnitude and sign are determined by the spin diffu-\nsion length and spin-Hall angle of the metal and the\nimaginary part of the spin mixing conductance, respec-\ntively. Though the thickness dependence of the AHR\nin Pt/IrMn/YIG can be described by the SMR model,\nit fails to explain the AHR by the following reasons:\n(i) An arbitrary temperature dependence of the imag-\ninary part of the spin mixing conductance parameter\nis required to qualitatively describe the temperature-\ndependent AHR data, i.e., signreversal; (ii) Accordingto\nthe spin pumping studies, both the spin-Hall angle and\nthe spin diffusion length of IrMn are smaller than Pt,\nwhich cannot explain the enhancement of AHR by in-\ncreasing the IrMn thickness.9,12,13Spin-dependent scat-\ntering at the interface, combined with the conventional\nskew-scatteringand side-jump mechanisms, can also give\nrise to AHR.37Again, the enhancement of AHR by in-\ncreasing the IrMn thickness excludes the interfacial ori-\ngin. Finally, the theoretical calculations predict a large\nAHE and SHE in IrMn metal not only attributed to the\n4large SOC of heavy Ir atoms which is transferred to the\nmagnetic Mn atoms by hybridization effect but also the\nBerry phase of the non-collinear spin structures.18–20We\nconclude that the large AHR observed in Pt/IrMn/YIG\nis likely associated with SOC and non-collinear magnetic\nstructure of IrMn. However, the non-trivial temperature\ndependence of AHR demands further theoretical and ex-\nperimental investigations.\nNow we discuss the possible interplay between AFM\norder and spin transport properties. As shown in Fig.\n2 and Fig. 3, there is no clear anomalous in AHR or\nSMR near the blocking temperatures of IrMn, imply-\ning weak correlations between the AHE or SHE and the\nAFM order in IrMn. Similar results were also observed\nin Cr/YIG bilayers, where the ISHE voltage and AHR\nis also independent of AFM ordering temperature.11Ac-\ncording to our magnetization results (Fig. 4), the AFM\nordering temperatures of our IrMn films are well below\nroom temperature. However, the enhancement of AHR\nin Pt/IrMn/YIG happens in the whole studied tempera-\nture range [see Fig. 2(g)]. There are two possible reasons\nfor this phenomenon, one is that the AHE and SHE at-\ntributed to non-collinear magnetism is generated on a\nlength scale of nanometer and is a local property not\nrelying on long range magnetic order, i.e., regardless of\nhow IrMn grains are orientated, as reported previously\nin Mn 5Si3film.38The second one is that the strength of\nSOC is independent of AFM order in IrMn metal, which\nis mainly determined by the Ir atoms.\nIV. CONCLUSIONS\nIn summary, we report an investigation of AHE and\nSHE by measuring the AHR and SMR in Pt/IrMn/YIG\nheterostrucutres. The significant AHR in Pt/IrMn/YIG\nislikelyassociatedwiththestrongSOCandnon-collinear\nmagnetic structure of IrMn, and the sizable SMR in-\ndicates that the spin current can transport through\nIrMn. The observed non-trivial temperature dependence\nof AHR cannot be consistently explained by the existing\ntheories, further investigations are needed to clarify this\nissue. Moreover, both the AHR and SMR are uncoupled\nto the AFM order of IrMn metal. The negligible MPE\nat Pt/IrMn or IrMn/YIG interface and large ISHE indi-\ncate that IrMn can be another model system to explore\nphysics and devices associated with antiferromagnetism\nand pure spin current.\nACKNOWLEDGMENTS\nWe thank the high magnetic field laboratory of Chi-\nnese Academy of Sciences for the FMR measurements.\nThis work is financially supported by the National Nat-\nural Science foundation of China (Grants No. 11274321,\nNo. 11404349, No. 51502314, No. 51522105) and the\nKey Research Program of the Chinese Academy of Sci-ences (Grant No. KJZD-EW-M05). S. Zhang was par-\ntiallysupportedbytheU.S.NationalScienceFoundation\n(Grant No. ECCS-1404542).\n1A. H. MacDonald and M. Tsoi, Phil. Trans. R. Soc. A 369, 3098\n(2011).\n2C. Hahn, G. de. Loubens, O. Klein, M. Viret, V. V. Naletov, and\nJ. B. Youssef, Europhys. Lett. 108, 57005 (2014).\n3H. L. Wang, C. H. Du, P. C. Hammel, and F. Y. Yang, Phys.\nRev. Lett. 113, 097202 (2014).\n4Z. Qiu, J. Li, D. Hou, E. Arenholz, A. T. NDiaye, A. Tan, K.\nUchida, K. Sato, Y. Tserkovnyak, Z. Q. Qiu, and E. Saitoh,\narXiv: 1505.03926.\n5W. Lin, K. Chen, S. Zhang, and C. L. Chien, arXiv: 1603.00931.\n6T. Moriyama, M. Nagata, K. Tanaka, K-J. Kim, H. Almasi, W.\nWang, T. Ono, arXiv: 1411. 4100.\n7H. Reichlov´ a, D. Kriegner, V. Hol´ y, K. Olejn´ ık, V. Nov´ ak , M.\nYamada, K. Miura, S. Ogawa, H. Takahashi, T. Jungwirth, and\nJ. Wunderlich, Phys. Rev B 92, 165424 (2015).\n8T. Moriyama, S. Takei, M. Nagata, Y. Yoshimura, N. Matsuzaki ,\nT. Terashima3, Y. Tserkovnyak, and T. Ono, Appl. Phys. Lett.\n106, 162406 (2015).\n9J. B. S. Mendes, R. O. Cunha, O. Alves Santos, P. R. T. Ribeiro,\nF. L. A. Machado, R. L. Rodr´ ıguez-Su´ arez, A. Azevedo, and S .\nM. Rezende, Phys. Rev B 89, 140406(R) (2014).\n10L. Frangou, S. Oyarz´ un, S. Auffret, L. Vila, S. Gambarelli, a nd\nV. Baltz, Phys. Rev. Lett. 116, 077203 (2016).\n11D. Qu, S. Y. Huang, and C.L. Chien, Phys. Rev B 92, 020418(R)\n(2015).\n12W. Zhang, M. B. Jungfleisch, W. Jiang, J. E. Pearson, A. Hoff-\nmann, F. Freimuth, and Y. Mokrousov, Phys. Rev. Lett. 113,\n196602 (2014).\n13J. Sinova, S. O. Valenzuela, J. Wunderlich, C. H. Back, and\nT. Jungwirth, Rev. Mod. Phys. 87, 1213 (2015) and reference\ntherein.\n14X. Zhou, L. Ma, Z. Shi, W. J. Fan, J. G. Zheng, R. F. L. Evans,\nand S. M. Zhou, Phys. Rev. B 92, 060402(R) (2015).\n15S. Seki, T. Ideue, M. Kubota, Y. Kozuka, R. Takagi, M. Naka-\nmura, Y. Kaneko, M. Kawasaki, and Y. Tokura, Phys. Rev. Lett.\n115, 266601 (2015).\n16S. M. Wu, W. Zhang, A. KC, P. Borisov, J. E. Pearson, J. S.\nJiang, D. Lederman, A. Hoffmann, and A. Bhattacharya, Phys.\nRev. Lett. 116, 097204 (2016).\n17K. Hoshino, R. Nakatani, H. Hoshiya, Y. Sugita, and S.\nTsunashima, Jpn. J. Appl. Phys. 35, 607 (1996).\n18H. Chen, Q. Niu, and A. H. MacDonald, Phys. Rev. Lett. 112,\n017205 (2014).\n19R. Shindou and N. Nagaosa, Phys. Rev. Lett. 87, 116801 (2001).\n20F. Freimuth, S. Bl¨ ugel, and Y. Mokrousov, Phys. Rev. Lett. 105,\n246602 (2010).\n21J. K¨ ubler and C. Felser, Europhys. Lett. 108, 67001 (2014).\n22M. Z. Wu and A. Hoffmann, Recent Advances in Magnetic\nInsulators-From Spintronics to Microwave Applications (Aca-\ndemic Press, San Diego, Vol 64, 2013).\n23T. Shang, Q. F. Zhan, H. L. Yang, Z. H. Zuo, Y. L. Xie, H. H.\nLi, L. P. Liu, B. M. Wang, Y. H. Wu, S. Zhang, and Run-Wei\nLi, Sci. Rep. 5, 17734 (2015).\n24Y. M. Lu, Y. Choi, C. M. Ortega, X. M. Cheng, J. W. Cai, S. Y.\nHuang, L. Sun, and C. L. Chien, Phys. Rev. Lett. 110, 147207\n(2013).\n25D. Qu, S. Y. Huang, J. Hu, R. Q. Wu, and C. L. Chien, Phys.\nRev. Lett. 110, 067206 (2013).\n26T.Shang, Q.F.Zhan, H.L.Yang, Z.H.Zuo, Y.L.Xie, Y.Zhang,\nL. P. Liu, B. M. Wang, Y. H. Wu, S. Zhang, and Run-Wei Li,\nPhys. Rev. B 92, 165114 (2015).\n27B. F. Miao, S. Y. Huang, D. Qu, and C. L. Chien, Phys. Rev.\nLett.112, 236601 (2014).\n28M. Althammer, S. Meyer, H. Nakayama, M. Schreier, S. Alt-\nmannshofer, M. Weiler, H. Huebl, S. Gepr¨ ags, M. Opel, R. Gro ss,\nD. Meier, C. Klewe, T. Kuschel, J. M. Schmalhorst, G. Reiss, L .\n5M. Shen, A. Gupta, Y. T. Chen, G. E. W. Bauer, E. Saitoh, and\nS. T. B. Goennenwein, Phys. Rev. B 87, 224401 (2013).\n29Y. T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T.\nB. Goennenwein, E. Saitoh, and G. E. W. Bauer, Phys. Rev. B\n87, 144411 (2013).\n30M. Isasa, A. B. Pinto, S. V´ elez, F. Golmar, F. S´ anchez, L. E.\nHueso, J. Fontcuberta, and F. Casanova, Appl. Phys. Lett. 105,\n142402 (2014).\n31S. R. Marmion, M. Ali, M. McLaren, D. A. Williams, and B. J.\nHickey, Phys. Rev. B 89, 220404(R) (2014).\n32T. Lin, C. Tang, H. M. Alyahayaei, and J. Shi, Phys. Rev. Lett.\n113, 037203 (2014).33J. Fabian and S. Das Sarma, Phys. Rev. Lett. 81, 5624 (1998).\n34L. Q. Liu, R. A. Buhrman, and D. C. Ralph, arXiv:1111.3702.\n35D. Petti, E. Albisetti, H. Reichlov´ a, J. Gazquez, M. Varela , M.\nMolina-Ruiz, A. F. Lopeand´ ıa, K. Olejn´ ık, V. Nov´ ak, I. Fi na, B.\nDkhil, J. Hayakawa, X. Marti, J. Wunderlich, T. Jungwirth, a nd\nR. Bertacco, Appl. Phys. Lett. 102, 192404 (2013).\n36V. Tshitoyan, C. Ciccarelli, A. P. Mihai, M. Ali, A. C. Irvine , T.\nA. Moore, T. Jungwirth, and A. J. Ferguson, Phys. Rev. B 92,\n214406 (2015).\n37N. Nagaosa, J. Sinova, S. Onoda, A. H. MacDonald, and N. P.\nOng, Rev. Mod. Phys. 82, 1539 (2010) and reference therein.\n38C. S¨ urgers, W. Kittler, T. Wolf, and H. v. Loehneysen, arXiv :\n1601.01840.\n6" }, { "title": "1704.08896v3.Thermal_Control_of_the_Magnon_Photon_Coupling_in_a_Notch_Filter_coupled_to_a_Yttrium_Iron_Garnet_Platinum_System.pdf", "content": "Thermal Control of the Magnon-Photon Coupling in a Notch Filter coupled to a\nYttrium-Iron-Garnet/Platinum System\nVincent Castel\u0003\nLab-STICC (UMR 6285), CNRS, IMT Atlantique, Technopole Brest Iroise, 29200 Brest, France\nRodolphe Jeunehomme\nIMT Atlantique, Technopole Brest Iroise, 29200 Brest, France\nJamal Ben Youssef\nLab-STICC (UMR 6285), CNRS, Universit\u0013 e de Bretagne Occidentale,\n6 Avenue Victor le Gorgeu, 29200 Brest, France\nNicolas Vukadinovic\nDassault Aviation, 78 quai Marcel Dassault, 92552 St-Cloud, France\nAlexandre Manchec\nElliptika (GTID), 29200 Brest, France\nFasil Kidane Dejene\nMax Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle, Germany\nGerrit E. W. Bauer\nInstitute for Materials Research, WPI-AIMR, and Center for Spintronics\nResearch Network, Tohoku University, Sendai 980-8577, Japan and\nZernike Institute for Advanced Materials, University of Groningen,\nNijenborgh 4, 9747 AG Groningen, The Netherlands\n(Dated: June 25, 2021)\nWe report thermal control of mode hybridization between the ferromagnetic resonance (FMR)\nand a planar resonator (notch \flter) working at 4.74 GHz. The chosen magnetic material is a\nferrimagnetic insulator (Yttrium Iron Garnet: YIG) covered by 6 nm of platinum (Pt). A current-\ninduced heating method has been used in order to enhance the temperature of the YIG/Pt system.\nThe device permits us to control the transmission spectra and the magnon-photon coupling strength\nat room temperature. These experimental \fndings reveal potentially applicable tunable microwave\n\fltering function.\nI. INTRODUCTION\nMagnon-photon coupling has been investigated in mi-\ncrowave resonators (three-dimensional cavity1{10and\nplanar con\fguration11{13) loaded with a ferrimagnetic\ninsulator such as Yttrium Iron Garnet (YIG, thin \flm\nand bulk). More recently, research groups6{8have de-\nveloped an electrical method to detect magnons coupled\nwith photons. This method has been established by plac-\ning a hybrid YIG/platinum (Pt) system into a microwave\ncavity, showing distinct features not seen in any previous\nspin pumping experiment but already predicted by Cao\net al.14. These later studies have been realized in a 3D\ncavity (with high Qfactor), but insertion of an hybrid\nstack including a highly electrical conductor such as plat-\ninum has been reported to induce a drastic enhancement\nof the intrinsic loss rate.\nHere, we demonstrate thermal control of the magnon-\nphoton coupling at room temperature in a compact, de-\nsign based on a notch \flter resonating at 4.74 GHz and a\nhybrid YIG/Pt system. The thermal control of frequen-\ncies hybridization at the resonant condition is realizedby current-induced Joule heating in the Pt \flm, which\ncauses an out-of-plane temperature gradient. The planar\ncon\fguration of our rf device has a limited Qfactor but\navoids the negative impact of the YIG/Pt stack.\nII. COMPACT DESIGN DESCRIPTION\nThe used insulating material consists of a single-crystal\n(111) Y 3Fe5O12(YIG) \flm grown on a (111) Gd 3Ga5O12\n(GGG) substrate by liquid phase epitaxy (LPE). A Pt\nlayer of 6-nm grown by dc sputtering has been used as a\nspin (charge) current detector (actuator)15. The hybrid\nYIG/Pt system has been cut into a rectangular shape (1\nmm\u00027 mm) by using a Nd-YAG laser working at 8 W\nand placed on the stub line as shown in Fig. 1 (a) with\nthe crystallographic axis [1,1, \u00162] parallel to the planar mi-\ncrowave \feld generated by the stub line. The distance\nbetween electrodes (+ and -) is \fxed at 4 mm. Figure\n1 (a) illustrates the rf design of the present study which\nis based on a notch \flter (supplementary information in\nRef.16) coupled to a hybrid YIG/Pt system placed atarXiv:1704.08896v3 [cond-mat.mtrl-sci] 17 Jul 20172\nFIG. 1. (a) Experimental setup: A vector network analyzer\n(VNA) is connected to a 50 \n microstrip line which is capac-\nitively coupled to the resonator. The hybrid YIG/Pt system\nis placed at 0.25 \u0015. (+) and (-) are the electrical contacts\nwhich permit to detect (inject) a dc charge current from (at)\nthe edges of the Pt layer. (b) Frequency dependence of Spa-\nrameters with and without the YIG/Pt system. (c) Magnetic\n\feld dependence of the frequency: Observation of the strong\ncoupling regime via the anti-crossing \fngerprint. The color\nmap illustrates the magnitude of S21 from 0 to -12 dB. (d)\nFrequency dependence of S21 at for di\u000berent applied magnetic\n\felds using the analytic solution from Ref.9.\n\u0015=4. The in-plane static magnetic \feld ( H) is applied\nperpendicularly to the YIG/Pt bar with H?hrf, where\nhrfcorresponds to the generated microwave magnetic\n\feld. This latter con\fguration permits to maximize (i)\nthe precession of the magnetization at the resonant con-\ndition and (ii) the dc voltage detection at the edges of\nthe Pt layer. P1 and P2 illustrate the 2 ports of the\nvector network analyzer (VNA) that were calibrated, in-\ncluding both cables. The frequency range is \fxed from\n4 to 5 GHz at a microwave power of P= 0 dBm. In\nthe notch \flter con\fguration, the maximum of magnetic\n\feld is located at \u0015=4 (corresponding to a short circuit,\nSC) and a maximum of electric \feld at \u0015=2 (open circuit,\nOC). Insertion of the YIG/Pt device at the SC does not\nimpact the resonator features which is well illustrated by\nthe frequency response of Sparameters (with and with-\nout the device) as shown in Fig. 1 (b). Even if the hybrid\nstack includes a highly electrical conductor, no shielding\ne\u000bect has been observed. The S21 resonance peak of the\nempty resonator (loaded) has a half width at half max-\nimum (HWHM) \u0001 FHWHM of 32.75 MHz (34.45) indi-\ncating that the damping of the resonator (working at thefrequencyF0) is\f= \u0001FHWHM=F0= 1=2Q=6.92\u000210\u00003\n(7.27\u000210\u00003). This leads to a quality factor Qof 72.3\n(68.7). It should be noted that the latter de\fnition of Q\ndoes not re\rect the electrical performance of the notch \fl-\nter which is de\fned by Q0=F0=\u0002\n\u0001FS21\n\u00003dB(1\u0000S11F0)\u0003\n,\nwhere \u0001FS21\n\u00003dBandS11F0correspond respectively to the\nbandwidth of S21 at -3 dB and the magnitude (linear)\nofS11 atF0.Q0is reduced from 148 to 140.5 for the\nempty and loaded con\fguration, respectively. The pla-\nnar rf design used here o\u000bers many opportunities for inte-\ngrated spin-based microwave applications and is not sig-\nni\fcantly a\u000bected by the hybrid YIG/Pt device. In con-\ntrast, insertion of such device (including electrical con-\nnections for the detection or actuation of the magnetiza-\ntion) enhanced \fby a factor of 56to 127.\nIII. RESULTS AND DISCUSSION\nA. Strong coupling regime\nWe \frst studied the frequency dependence of Sparam-\neters atP= 0 dBm with respect to the applied magnetic\n\feld,H. Figure 1 (c) demonstrates the strong coupling\nregime via the anti-crossing \fngerprint. The FMR and\nthe notch \flter interact by mutual microwave \felds, gen-\nerated by the oscillating currents in the stub and the\nFMR magnetization precession which led to the follow-\ning features: (i) hybridization of resonances, (ii) anni-\nhilation of the resonance at F0, and (iii) generation of\ntwo resonances at F1andF2. At the resonant condition\nH=HRES, the frequency gap, Fgap, betweenF1and\nF2is directly linked to the coupling strength of the sys-\ntem (Fgap=2 =geff=2\u0019). Here, the analysis is based on\nthe harmonic coupling model9according to which we can\nde\fne the upper ( F1) and lower ( F2) branches by:\nF1;2=1\n2\u0014\n(F0+Fr)\u0006q\n(F0\u0000Fr)2+k4F2\n0\u0015\n(1)\nThe FMR frequency, Fr, is modelled by the Kittel equa-\ntion,Fr= (\r=2\u0019)p\nH(H+ 4\u0019Ms), which is the pre-\ncession frequency of the uniform mode in an in-plane\nmagnetized ferromagnetic \flm. The parameter kcor-\nresponds to the coupling strength which is linked to the\nexperimental data geff=2\u0019by the following equation9:\nFgap=F2\u0000F1=k2F0. A good agreement of F1;2(solid\nred and blue lines) with experimental data is obtained\nwithk=0.142, the saturation magnetization 4 \u0019Ms=1775\nG, and the gyromagnetic ratio \r=1.8 107rad Oe\u00001s\u00001.\nThe color plot is associated with the S21 parameter for\nwhich the dark area corresponds to a magnitude of -12\ndB. The same feature has been observed for negative\nmagnetic \feld (not shown). Figure 1 (d) represents the\nfrequency dependence of the transmission spectra for the\nnotch/YIG/Pt system for di\u000berent magnetic \feld, lower\nand higher than HRES. We \fnd good agreement be-\ntween experimental data (solid lines) and the calculated3\nresponse (dash dot lines) based on the analytic solution\nproposed in Ref.9, leading to an e\u000bective damping param-\neter of\u000beff=1.75 10\u00003for the YIG/Pt system. It should\nbe noted that \u000beffdoes not re\rect the intrinsic magnetic\nloss but includes the inhomogeneous broadening due to\nthe excitation of several modes. We studied the YIG/Pt\nsample by standard FMR using a highly sensitive wide-\nband resonance spectrometer within a range of 4 to 20\nGHz (at room temperature with a static magnetic \feld\napplied perpendicular to the sample). These characteri-\nzations lead to the intrinsic damping parameter \u000b\u001910\u00004.\nB. Thermal control\nSending an electric current through the structure re-\nduces or enhances the magnetic losses, i.e. the Gilbert\ndamping parameter \u000b, of the YIG \flm (depending on the\nmagnetic/current setting). Furthermore, the current in-\nduced heating gives rise to a temperature di\u000berence, \u0001 T,\nover the YIG/Pt system. As discussed below, the strong\ndependence of the YIG/Pt device to \u0001 Tovershadows\nthe anticipated modulation of \u000bby the STT (without\n\u0001Tcontribution). The absence of strong coupling in the\nISHE signal (supplementary information in Appendix A)\nis another possible reason for the failure to control \u000bof\nthe bulk magnetization.\nFigure 2 illustrates the charge current dependence of\nthe frequency response at the particular magnetic \feld,\nH=HRES at which the S21 splitting is maximized.\nRelatively small dc currents in the range of -40 to 40 mA\n(corresponding to a current density between -6.7 to 6.7\n109Am\u00002) were sent through the Pt contact shown in\nthe inset from Fig. 2 (c), which are one order of mag-\nnitude smaller than Ref.17. The Joule heat produces a\ntemperature gradient. Figure 2 (a) represents the fre-\nquency dependence of the transmission spectra ( S21 in\ndB) at the resonant condition ( H=HRES) for di\u000berent\napplied charge currents. Labels [1] to [7] correspond to\nthe magnitude of the applied charge current which is in-\ndicated in Fig. 2 (b). The reference measurement was\ncarried out for zero current density ([4]). By sending a\ncurrent density of 3.3 ([5]), 5.0 ([6]), and 6.7 109Am\u00002\n([7]) into the Pt strip, the resonant frequency F2de-\n\fned by Eq.(1) can be signi\fcantly tuned and drastically\nchange the transmission spectra. By reversing the sign\nof the charge current, the response of [1]&[7] (as well as\n[2]&[6] and [3]&[5]) present the same behaviour. Figure 2\n(b) gives an overview of the latter dependence where the\ncolor map is associated to the magnitude of S21 from 0\nto -12 dB. In this \fgure, we can clearly observe the rela-\ntively small changes of F1which approaches F0(resonant\nfrequency of the notch \flter) for larger current densities.\nThis behaviour cannot be attribute to current-induced\nmagnetic \feld because the response does not depend on\nthe sign of j(and the sign of H, as shown in Fig. 1\n(c)). Indeed, magnitude of the in-plane Oersted \feld can\nbeen estimated18to not exceed\u00060.3 Oe (extracted in\nFIG. 2. Injection: (a) Frequency dependence of the Spa-\nrameters at the resonant condition ( H=HRES) for di\u000berent\napplied charge current. Labels [1] to [7] correspond to the\nmagnitude of the applied charge current as indicated in (b).\n(b) Charge current dependence of the frequency measured at\nthe resonant condition. The color map is associated to the\nmagnitude of S21 from 0 to -12 dB. Solid cyan curves corre-\nspond to the current dependence of the hybridized frequencies\nbased on Eq.(1), including the temperature dependence of Fr.\nRed and blue triangles indicate the position of F1andF2. (c)\nMagnetic \feld dependence of the frequency: the correlation\nbetween magnetic \feld and charge current dependence of F1\nandF2. Inset shows a schematic of the measurement setup.\nDependence of Pt strip resistance (d), the temperature in-\ncrease of the Pt strip (e), and \u0001 Fr(f) with respect to the\ninjected current ( I2).\nthe middle of the YIG section) when I=\u000650 mA is sent\nthrough the Pt section of 6.10\u000012m2. Figure 2 (c) illus-\ntrates the correlation between the e\u000bects of magnetic \feld\nand charge current on the frequency. Open blue and red\ntriangles correspond to the experimental values of F1and\nF2atH=HRES extracted from the measurement pre-4\nsented in Fig. 2 (b). A perfect equivalence of the e\u000bects\nof magnetic \feld and charge current on the resonance fre-\nquencies is evident. For example, the response of S21 at\nH=\u0006890 Oe (with j= 0 Am\u00002) is equivalent to S21\nj=\u00066:7109Am\u00002(withH=HRES) which corresponds\nto a shift of\u0006100 Oe. As shown in Fig. 2 (d), the mea-\nsured resistance of the Pt layer increases quadratically\nwith the applied current de\fned by R(I)=R0+R2I2,\nwhereR2=21.019 k\nA\u00002and the total resistance of the\nPt at room temperature is R0=280.35 \n corresponding\nto a Pt conductivity of 2.38 106\n\u00001m\u00001in agreement\nwith previous work15.\nIn order to obtain quantitative information we carry\nout three-dimensional \fnite element modeling19of our\ndevice which takes into account material and tempera-\nture dependent transport coe\u000ecients for the Pt layer20.\nThe temperature dependence of the electrical conductiv-\nity can be \ftted by \u001b(T) =\u001b=(1+a(T\u0000T0)), with\u001bequal\nto the resistance at vanishing currents, a= 4\u000210\u00003K\u00001\nis the temperature coe\u000ecient of resistance (TCR) of Pt\nwhich is well tabulated in the literature, and T\u0000T0is\nthe overall temperature increase (\u0001 T). The thermal con-\nductivity of the YIG substrate is kept at 5 W/m/K21\nwhereas that of Pt is calculated using the Wiedemann-\nFranz relation \u0014=\u001bL0T0whereL0=2.44 10\u00008V2=K2is\nthe Lorenz number and T0is the reference temperature.\nFigure 2 (e) presents the dependence \u0001 Tas a function of\nI2extracted from our model (green triangles). It should\nbe noted that the latter dependence agreements with the\nanalytic solution17: \u0001T=\u0014Pt(R(I)\u0000R0)=R0, where\n\u0014Pt=254 K. We see that the temperature increase of the\nPt layer is estimated at 47 \u00060.6 K for a current density\nof 8.33 109Am\u00002.\nNext, we measured the magnetic \feld dependence of\nthe frequency response as shown in Fig. 1 (c) as func-\ntion of charge current as illustrated in Fig. 3 (d) to (f).\nFigure 2 (f) represents the dependence of \u0001 Fas func-\ntion ofI2de\fned asFr(T) =FT0=RT\nr\u0000\u0001F(T). The\nobserved reduction is caused by the temperature depen-\ndence of the magnetization22,23. Substituting this equa-\ntion into Eq.(1) reproduces the current dependence of\nthe hybridized frequencies, F1andF2in Fig. 2 (c) (solid\ncyan curves). It should be noted that a good agreement\n(onF1andF2) has been found as well by using the same\nprocedure for an applied magnetic \feld larger than HRES\n(supplementary information in Appendix B).\nA map of the coupling regime3,9can achieved through\nthe representation of the dependence of K=\u000b as function\nofK=\f whereK=k2p\nF0=2Fmcorresponds to the e\u000bec-\ntive coupling strength with Fm= (\r\n2\u0019)4\u0019Ms. Together,\nthese latter parameters determine the coupling features\nof the system that can be con\fgured from weak ( K=\u000b< 1\nandK=\f < 1) to strong coupling regime ( K=\u000b > 1 and\nk=\f > 1).Kcan be tuned with (i) the volume of YIG14,16,\n(ii) the volume of the cavity24, and (iii) the magnitude of\nthe microwave magnetic \feld3,16. Control of the coupling\nregime has been already demonstrated7,25by tuning the\nFIG. 3. (a)-(c) Calculated magnetic \feld dependence of\nthe microwave transmission spectrum based on Ref.9for dif-\nferent values of the damping parameter \u000b. (d)-(f) Measured\nmagnetic \feld dependence of the frequency as function of the\ninjected current magnitude. The color map of (a)-(f) is as-\nsociated to the magnitude of the transmission parameter S21\nfrom 0 to -3 dB. (g) Calculated dependence of S21 (color\nmap from 0 to -12 dB) as function of the frequency and the\ndamping parameter. Representation of F1,F2, andF0. (h)\nThermal annihilation of the coupling strength measured (blue\nstars) and extracted from (g) (dash dot red line).\ncavity losses \f. However, the charge current dependence\nof the transmission spectra of our system suggests a con-\ntrol of the magnetic losses ( \u000beffin our case). It should\nbe noted that our notch \flter features remain unchanged\nas function of the current density sent into the Pt strip.\nThe e\u000bect of the Pt heating is not only a shift of the\nresonance (tuning) but also an increased broadening (de-\ncoupling) caused by inhomogeneous heating (top part of\nthe YIG/Pt device is hotter than the bottom part, while\nthe microwaves see the whole sample). On the other\nhand, the temperature dependence of the damping pa-\nrameter cannot be attributed to the Spin Seebeck E\u000bect\n(SSE) because of the thickness of our sample (6 \u0016m).\nFigures 3 (a) to (c) represent the calculated magnetic\n\feld dependence of the frequency based on Ref.9for dif-\nferent values of \u000beff(de\fned above). Here we show by\nmodel calculations how to control the coupling strength\nby increasing the magnetic losses26,27. Enhancement of\n\u000befffrom 1.75 10\u00003to 1 10\u00001suppresses the frequency\ngap between F1andF2and thus the coupling strength.\nThe same behaviour has been observed experimentally by\nchanging the current density from 0 to 8.3 109Am\u00002as\nshown Fig. 3 (d) to (f). By following the same procedure,\nthe experimental determination of the coupling strength,\ngeff=2\u0019=1\n2(F2\u0000F1), at the resonant condition (by ad-\njustingHin order to keep Fr=F0) has been done for\nseveral current density. The experimental (blue star) and\ncalculated (red dash-dot line) dependences of geff=2\u0019are5\nrepresented in Fig. 3 (h) as function of the charge current\ndensity. Between 0 and 6 109Am\u00002,geff=2\u0019is closed to\n40 MHz and abruptly reduced to zero beyond this value.\nThe calculated dependence, which permits to well repro-\nduced the experimental behaviour, is based on the color\nplot from Fig. 3 (g). It represents the dependence of\nthe transmission spectra S21 (color map form 0 to -12\ndB) as function of \u000beffand the frequency. It should be\nnoted that this \fgure represents the decoupling of the\nsystem, whereas Fig. 2 (c) illustrates the detuning of\nthe transmission spectra at a \fxed value of the magnetic\n\feld.\nIV. CONCLUSION\nWe reported thermal control of mode hybridization\nbetween the ferromagnetic resonance and a planar res-\nonator by using a current-induced heating method. Our\nset-up allows simultaneous detection of the ferromagnetic\nresponse and the dc voltage generation in the Pt layer\nof the system, which reveals an absence of the strong\ncoupling signature in the ISHE signal. We demonstrate\nthe potential for tunable \flter application by electrically\ncontrol the transmission spectra and the magnon-photon\ncoupling strength at room temperature by sending a\ncharge current through the Pt layer.\nACKNOWLEDGMENTS\nThis work is part of the research program supported\nby the European Union through the European Regional\nDevelopment Fund (ERDF), by Ministry of Higher Edu-\ncation and Research, Brittany and Rennes M\u0013 etropole,\nthrough the CPER Project SOPHIE/STIC & Ondes,\nand by Grant-in-Aid for Scienti\fc Research of the JSPS\n(Grant Nos. 25247056, 25220910, and 26103006).\nAPPENDIX A: SPIN CURRENT DETECTION\nOur set-up allows us to simultaneously detect the dc\nvoltage generated in the Pt layer ( VISHE ) and the ferro-\nmagnetic response (microwave absorption) of the system\nas shown in Fig. 4. Keeping the previous calibration of\nthe VNA, we carried out a step-by-step measurement (by\nshrinking the trigger mode) in order to improve the qual-\nity of the measured dc voltage by the nanovoltmeter. Fig-\nures 4 (a) and (b) correspond respectively to the response\nofS21 and the measured voltage, VISHE , as function of\nthe frequency for speci\fc values of the applied magnetic\n\feld. A nonzero VISHE comes from the fact that at (and\nclose to)Fra spin current ( js) is pumped into the Pt\nlayer, which results in a dc voltage by the inverse spin\nHall e\u000bect (ISHE). The sign of the electric voltage signalis changed by reversing the magnetic \feld and no sizable\nvoltage is measured when His equal to zero, as expected.\nThe sign reversal of Vshows that the measured signal is\nnot produced by a possible thermoelectric e\u000bect, induced\nby the microwave absorption. The strong coupling in the\nspin pumping signal (predicted by Cao et al.14) has been\nexperimentally observed in a YIG(2.6-2.8 \u0016m)/Pt system\nfor which the spin sink layer presents a thickness of 57\nand 10 nm6,8. Even though the S21 parameter illustrates\nin Fig. 1 (b) is strongly coupled, no such a signature has\nbeen observed in the dc voltage generation. We therefore\nconclude that in contrast to the bulk magnetization the\ninterface sensed by the Pt layer is not strongly coupled\nto microwaves. However, from the comparison between\nFig. 4 (a) and (b), we are able to identify a correlation in\nthe peak positions (vertical dashed lines) of VISHE and\nfeatures in S21.\n/s52/s46/s52 /s52/s46/s53 /s52/s46/s54 /s52/s46/s55 /s52/s46/s56 /s52/s46/s57 /s53/s46/s48/s45/s49/s48/s45/s56/s45/s54/s45/s52/s45/s50/s48/s50/s52/s54/s56/s49/s48\n/s45/s49/s50/s45/s49/s48/s45/s56/s45/s54/s45/s52/s45/s50/s48\n/s52/s46/s52 /s52/s46/s53 /s52/s46/s54 /s52/s46/s55 /s52/s46/s56 /s52/s46/s57 /s53/s46/s48/s45/s56/s45/s52/s48/s52/s56\n/s86\n/s73/s83/s72/s69/s32/s91 /s86/s93\n/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93/s72/s32/s105/s110/s99/s114/s101/s97/s115/s105/s110/s103\n/s32/s72/s61/s48/s32/s79/s101\n/s32/s72/s61/s43/s57/s57/s48/s32/s79/s101\n/s32/s72/s61/s45/s57/s57/s48/s32/s79/s101/s83/s50/s49/s32/s91/s100/s66/s93/s40/s99/s41\n/s40/s98/s41/s40/s97/s41/s86\n/s73/s83/s72/s69/s32/s91 /s86/s93\n/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93\nFIG. 4. Detection: Simultaneous detection of (a) S21 and\n(b) the dc voltage generated by the conversion of a spin cur-\nrent into a charge current via Inverse Spin Hall E\u000bect (ISHE)\nat resonant condition for the positive and negative con\fgura-\ntions of the magnetic \feld. (c) Measured voltage, VISHE , as\nfunction of the frequency for di\u000berent applied magnetic \feld.\nAPPENDIX B: HYBRIDIZED FREQUENCIES\nFigure 5 illustrates the charge current dependence of\nthe frequency response at an applied magnetic \feld where\nno mode hybridization is observed (H=1128 Oe). The\nsolid black lines correspond to the calculated dependence\nofF1andF2based on Eq.(1) in which the tempera-\nture dependence of Fris included. It should be noted\nthat the temperature enhancement reduces the coupling\nstrength of our system from k=0.142 (geff=2\u0019\u001945 MHz\natj= 0 Am\u00002) tok=0.110 (geff=2\u0019\u001928.5 MHz at\nj= 7:1109Am\u00002), in agreement with current density de-\npendence of geff=2\u0019represented in Fig. 3 (g).6\n-8.3-7.5-6.7-5.8-5.05.05.86.77.58.34.44.54.64.74.84.95.0S\n21 [dB]geff/2π=28.45 MHzk\n=0.110H\n=1128 OeFrequency [GHz]j\n [109Am-2]\n 0-\n2-\n4-\n6-\n8-\n10-\n12\nFIG. 5. Charge current dependence of the frequency mea-\nsured at H=1128 Oe (o\u000b resonance). The color map is asso-\nciated to the magnitude of S21 from 0 to -12 dB. Solid black\ncurves correspond to the current dependence of the hybridized\nfrequencies based on Eq.(1), including the temperature depen-\ndence ofFrwithk=0.110.\n\u0003vincent.castel@imt-atlantique.fr\n1L. Kang, Q. Zhao, H. Zhao, and J. Zhou, Opt. Express\n16, 8825 (2008).\n2J. N. Gollub, J. Y. Chin, T. J. Cui, and D. R. Smith, Opt.\nExpress 17, 2122 (2009).\n3X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Phys.\nRev. Lett. 113, 156401 (2014).\n4N. J. Lambert, J. A. Haigh, and A. J. Ferguson, Journal\nof Applied Physics 117, 053910 (2015).\n5J. A. Haigh, N. J. Lambert, A. C. Doherty, and A. J.\nFerguson, Phys. Rev. B 91, 104410 (2015).\n6L. Bai, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao, and\nC.-M. Hu, Phys. Rev. Lett. 114, 227201 (2015).\n7H. Maier-Flaig, M. Harder, R. Gross, H. Huebl, and\nS. T. B. Goennenwein, Phys. Rev. B 94, 054433 (2016).\n8L. Bai, K. Blanchette, M. Harder, Y. P. Chen, X. Fan, J. Q.\nXiao, and C. M. Hu, IEEE Transactions on Magnetics 52,\n1 (2016).\n9M. Harder, L. Bai, C. Match, J. Sirker, and C. Hu, SCI-\nENCE CHINA Physics, Mechanics and Astronomy 59,\n117511 (2016).\n10M. Harder, L. Bai, P. Hyde, and C.-M. Hu,\narXiv:1702.0479v1 (2017).\n11G. B. G. Stenning, G. J. Bowden, L. C. Maple, S. A. Gre-\ngory, A. Sposito, R. W. Eason, N. I. Zheludev, and P. A. J.\nde Groot, Opt. Express 21, 1456 (2013).\n12B. Bhoi, T. Cli\u000b, I. S. Maksymov, M. Kostylev, R. Aiyar,\nN. Venkataramani, S. Prasad, and R. L. Stamps, Journal\nof Applied Physics 116, 243906 (2014).\n13S. Klingler, H. Maier-Flaig, R. Gross, C.-M. Hu, H. Huebl,\nS. T. B. Goennenwein, and M. Weiler, Applied Physics\nLetters 109, 072402 (2016).14Y. Cao, P. Yan, H. Huebl, S. T. B. Goennenwein, and\nG. E. W. Bauer, Phys. Rev. B 91, 094423 (2015).\n15V. Castel, N. Vlietstra, J. ben Youssef, and B. J. V. Wees,\nApplied Physics Letters 101, 132414 (2012).\n16V. Castel, A. Manchec, and J. ben Youssef, IEEE Mag-\nnetics Letters 8, 1 (2017).\n17N. Thiery, A. Draveny, V. V. Naletov, L. Vila, J. P. Attan\u0013 e,\nC. Beign\u0013 e, G. De Loubens, M. Viret, N. Beaulieu, J. ben\nYoussef, V. E. Demidov, S. O. Demokritov, A. Anane,\nP. Bortolotti, V. Cros, and O. Klein, arXiv:1702.05226\n(2017).\n18D. Chumakov, J. McCord, R. Sch afer, L. Schultz,\nH. Vinzelberg, R. Kaltofen, and I. M onch, Physical Re-\nview B 71, 014410 (2005).\n19(COMSOL Multiphysics 4.2a. Software for modeling and\nsimulating physics-based systems).\n20F. K. Dejene, J. Flipse, and B. J. van Wees, Phys. Rev.\nB90, 180402 (2014).\n21J. Flipse, F. K. Dejene, D. Wagenaar, G. E. W. Bauer,\nJ. ben Youssef, and B. J. van Wees, Phys. Rev. Lett. 113,\n027601 (2014).\n22I. H. Solt, Journal of Applied Physics 33, 1189 (1962).\n23K.-i. Uchida, T. Kikkawa, A. Miura, J. Shiomi, and\nE. Saitoh, Phys. Rev. X 4, 041023 (2014).\n24R. J. Schoelkopf and S. M. Girvin, Nature 451, 664 (2008).\n25S. Kaur, B. M. Yao, J. W. Rao, Y. S. Gui, and C.-M. Hu,\nApplied Physics Letters 109, 032404 (2016).\n26H. Maier-Flaig, S. Klingler, C. Dubs, O. Surzhenko,\nR. Gross, M. Weiler, H. Huebl, and S. T. Goennenwein,\narXiv:1703.09444 (2017).\n27M. Haidar, M. Ranjbar, M. Balinsky, R. K. Dumas,\nS. Khartsev, and J. kerman, Journal of Applied Physics\n117, 17D119 (2015)." }, { "title": "2201.04081v1.Building_instructions_for_a_ferromagnetic_axion_haloscope.pdf", "content": "EPJ manuscript No.\n(will be inserted by the editor)\nBuilding instructions for a ferromagnetic axion haloscope\nNicol\u0012 o Crescini1\nUniv. Grenoble Alpes, CNRS, Grenoble INP, Institut Nel, 38000 Grenoble, France\nReceived: date / Revised version: date\nAbstract. A ferromagnetic haloscope is a rf spin-magnetometer used for searching Dark Matter in the form\nof axions. A magnetic material is monitored searching for anomalous magnetization oscillations which can\nbe induced by dark matter axions. To properly devise such instrument one \frst needs to understand the\nfeatures of the searched-for signal, namely the e\u000bective rf \feld of dark matter axions Baacting on electronic\nspins. Once the properties of Baare de\fned, the design and test of the apparatus may start. The optimal\nsample is a narrow linewidth and high spin-density material such as Yttrium Iron Garnet (YIG), coupled\nto a microwave cavity with almost matched linewidth to collect the signal. The power in the resonator is\ncollected with an antenna and ampli\fed with a Josephson Parametric ampli\fer, a quantum-limited device\nwhich, however, adds most of the setup noise. The signal is further ampli\fed with low noise HEMT and\ndown-converted for storage with an heterodyne receiver. This work describes how to build such apparatus,\nwith all the experimental details, the main issues one might face, and some solutions.\n1 Introduction\nThe axion is an hypothetical beyond the Standard Model particle, \frst introduced in the seventies as a consequence\nof the strong CP problem of QCD. Axions can be the main constituents of the galactic Dark Matter halos. Their\nexperimental search can be carried out with Earth-based instruments immersed in the Milky Way's halo, which\nare therefore called \\haloscopes\". Nowadays haloscopes rely on the inverse Primako\u000b e\u000bect to detect axion-induced\nexcesses of photons in a microwave cavity under a static magnetic \feld. This work describes the process leading to the\nsuccessful operation of a ferromagnetic axion haloscope, which does not exploit the axion-to-photon conversion but its\ninteraction with the electron spin. The study of the axion-spin interaction and of the Dark Matter halo properties yields\nthe features of the axionic signal, and is fundamental to devise a proper detector. A scheme of a realistic ferromagnetic\nhaloscope is drawn to realize the challenges of its development. It emerges that there are a number of requirements\nfor a this setup to get to the sensitivity needed for a QCD-axion search. These are kept in mind when designing\nthe prototypes, to overcome the problems without compromising other requirements. A state-of-the-art sensitivity to\nrf signals allows for the detection of extremely weak signals as the axionic one. The number of monitored spins is\nnecessarily large to increase the exposure of the setup, thus its scalability is a key part of the design process.\nA ferromagnetic haloscope consists in a transducer of the axionic signal, which is then measured by a suitable\ndetector. The transducer is a hybrid system formed by a magnetic material coupled to a microwave cavity through a\nstatic magnetic \feld. Its two parts are separately studied to \fnd the materials which match the detection conditions\nimposed by the axion-signal. The detector is an ampli\fer, an HEMT or a JPA, reading out the power from the hybrid\nsystem collected by an antenna coupled to the cavity. A particular attention is given to the measurement of the noise\ntemperature of the ampli\fer. As it measures variation in the magnetization of the sample, the ferromagnetic haloscope\nis con\fgured as a spin-magnetometer.\nThe present haloscope prototype [1] works at 90 mK and reaches the sensitivity limit imposed by quantum mechan-\nics, the Standard Quantum Limit, and can be improved only by quantum technologies like single photon counters.\nThe haloscope embodies a large quantity of magnetic material, i. e. ten 2 mm YIG spheres, and is designed to be\nfurther up-scaled. This experimental apparatus meets the expected performances, and, to present knowledge, is the\nmost sensitive rf spin-magnetometer existing. The minimum detectable \feld at 10.3 GHz results in 5 :5\u000210\u000019T for\n8 h integration, and corresponds to a limit on the axion-electron coupling constant gaee\u00141:7\u000210\u000011. This result is\nthe best limit on the DM-axions coupling to electron spins in a frequency span of about 150 MHz, corresponding to\nan axion mass range from 42 :4\u0016eV to 43:1\u0016eV.\nThe e\u000borts to enhance the haloscope sensitivity include improvements in both the hybrid system and the detector.\nThe deposited axion power can be increased by means of a larger material volume, possibly with a narrower linewidth.arXiv:2201.04081v1 [hep-ex] 11 Jan 2022#### Page 2 of 18 Eur. Phys. J. Plus #####################\nTo overcome the standard quantum limit of linear ampli\fers one must rely on quantum counters. Novel studies on\nmicrowave photon counters, together with some preliminary results, are reported. Other possible usages of the spin-\nmagnetometer are eventually discussed.\n2 Overview on axions\nA long-standing puzzle of beyond the Standard Model physics consists in the dark matter (DM) problem. In 1933\nFritz Zwicky used two di\u000berent techniques to estimate the mass of the Coma and Virgo clusters, one was based on\nthe luminosity of the galaxies in the clusters, while the other used the velocity dispersion of individual galaxies. These\ntwo independent estimations did not agree by orders of magnitude [2]. It is only in the seventies that this discrepancy\nstarted to be studied systematically. In particular, Vera Rubin studied the rotation curves of spiral galaxies and\nobserved a violation of the second Kepler's law which can be explained assuming that the mass pro\fle does not vanish\nbeyond the stars [3,4]. This was an early indication that spiral galaxies could be surrounded by an halo of DM. Despite\nthese evidences, the nature of DM is still unknown. Its possible composition could be baryonic or non-baryonic. The\nformer considers matter similar to the one already known, while the latter comprehends hypothetical particles of\nbeyond the Standard Model (BSM) physics.\nThe case of a non-baryonic DM is where cosmology meets particle physics. Approaching this problem, physicists\nglimpse the possibility of merging di\u000berent questions which are apparently uncorrelated. New theories, remarkably su-\npersymmetric DM [5], triggered experimental searches in di\u000berent forms and with various techniques. Low-background\nlaboratory experiments aim at a direct detection [6], accelerators could produce such particles and observe their miss-\ning energy and momentum [7], while indirect evidences are based on their decay or annihilation [8]. The detection of\nBSM particles would shed light on fundamental question like DM or the uni\fcation of all forces. Up to now the results\nof the LHC and experiments therein showed no evidence of new physics up to the 10 TeV scale. On the other hand,\nthere are signi\fcant hints for physics at the sub-eV scale, like neutrino oscillation or the vacuum energy density of the\nUniverse [9]. The physics case of weakly interacting sub-eV particles (WISPs) is motivated by the fact that any theory\nintroducing a high-energy global symmetry breaking implies a light particle by the Nambu-Goldstone theorem [10].\nAmong other WISPs, the axion appears as a well-motivated BSM particle. Originally introduced to account for a\n\fne-tuning issue in the SM known as the \\strong CP problem\" of quantum chromodynamics (QCD), it quickly became\na prominent DM candidate. The existence of axions is a very attractive perspective, since its addition to the Standard\nModel would solve two major problems of modern physics in a single shot [11]. QCD is a non-Abelian SU(3) cgauge\ntheory which describes the strong interactions. Its Lagrangian LQCD contains a CP-violating term which is compatible\nwith all symmetries of the SM gauge group. However, since there is no experimental sign of CP violation in strong\ninteractions, one needs to unnaturally suppress of this term. The \frst SU(3) ctheory was proposed as CP-conserving\nto agree with experimental observations, but had an issue at low energy known as Weinberg's U(1) Amissing meson\nproblem. The strong CP problem arises following the solution of the missing meson problem proposed by t'Hooft [12,\n13]. This solution brings on CP violation in QCD, parametrized by \u0016\u0012=\u0012QCD+2\u0012Y, two angles relative to QCD which,\naccording to the theory, are independent. However, to conserve CP either \u0016\u0012should be zero or one of the quarks should\nbe massless.\nAmong the measurable observables containing \u0016\u0012and thus CP violation, the neutron electric dipole moment results\n[14,15,16]. Recent results by the nEDM collaboration constrain the parameter even more \u0016\u0012\u001410\u000010[17,18,19]. Since\nit is unlikely that nature chose very small \u0012Yand\u0012QCD or a \fne tuning among them, a naturalness problem arises. It\nis normally denoted as the strong CP problem, which is an important hint of BSM physics. A solution to this problem\nis a scenario where \u0016\u0012is promoted from a parameter to an actual particle. This was realized, albeit in a di\u000berent\nway, by Peccei and Quinn [20], who introduced a new U(1) PQsymmetry to the SM to dynamically interpret \u0016\u0012. The\nidea was further developed by, among others, Weinberg and Wilczek [21,22,23,24,25], who realized that it implies\nthe existence of a new light pseudo-Nambu Goldstone boson which was called axion. The minimization of the meson\npotential adjusts the axion vacuum expectation value to cancel any e\u000bect of CP violation, addressing the strong CP\nproblem.\nThe axion mass eigenstate can then be computed from the masses of the pion m\u0019, of the up and down quarks, mu\nandmd, and from the decay constants of the pion and of the axion itself, f\u0019andfa, resulting in the axion mass\nm2\na'=mumd\n(mu+md)2m2\n\u0019f2\n\u0019\nf2a: (1)\nThe energy scale fais the PQ-symmetry breaking scale, and as the axion is the pseudo-Goldstone boson arising\nfrom this process, its mass and couplings are proportional to f\u00001\namaking it very light and weakly-interacting. The\nso-called \\invisible-axion models\" consider fa'1012GeV, and evade current experimental limits [26]. There are two\nmain classes of invisible axions whose archetype are the Kim-Shifman-Vainshtein-Zakharov (KSVZ) and Dine-Fischler-\nSrednicki-Zhitnitsky (DFSZ) models [27,28,25,23,29], where the main di\u000berence is the coupling to SM particles.Eur. Phys. J. Plus ##################### #### Page 3 of 18\nIt is now possible to analyze the axion as a constituent of DM. Cold DM particles must be present in the Universe\nin a su\u000ecient quantity to account for the observed DM abundance and they have to be e\u000bectively collisionless, i. e.\nto have only signi\fcant long-range gravitational interactions. The axion satis\fes both these criteria. Even if it is very\nlight, the axion population is non-relativistic since it is produced out of equilibrium by vacuum realignment, string\ndecay or domain wall decay [30,31,29,32,33,34,35,36]. Being the main cold axions production mechanism, vacuum\nrealignment is basically explained hereafter. In a time when the Universe cools down to a temperature lower than the\naxion mass, the axion \feld is sitting in a random point of its potential, and not necessarily at its minimum. As a\nconsequence the \feld starts to oscillate and, since the axion has extremely weak couplings, it has no way to dissipate its\nenergy. This relic energy density is a form of cold Dark Matter [37,38]. Lattice QCD calculation can be used together\nwith the present Dark Matter density to give an estimation of the QCD axion mass [39,40,41,42,43,44]\nThe axion has to be framed in the context of present physical theories, since one can wonder if the presence of\nlight scalars may in\ruence the behavior of already studied physical systems. Several constraints come from \ftting\nthe axion theory into astrophysical and cosmological observations. As other weakly interacting low-mass particles,\nthey can contribute to the cooling of stars and be produced in astrophysical plasmas and in the Sun, contribute to\nstellar evolution and even a\u000bect supernovae [45,46,47,48,49,50,51,52,53,54,55,56,57]. To sum up, these observations\nsuggest that ma\u001410 meV. Cosmology provides both upped and lower limits for the axion mass, but being the upper\nones weaker than the ones already described, the focus will be on lower bounds. These limits on the axion mass come\nfrom the production of DM-axions in the early Universe [30,58,59,60,61,62,63,64,65,66]. In particular the axion mass\nmust be higher than 6 \u0016eV to avoid the overclosure problem, i. e. an axion density exceeding the observed DM density.\nLighter masses are still possible within the so-called \\anthropic axion window\".\nA general case of BSM particles are the so-called \\axion-like particles\" (ALPs). The interest in ALPs relies on the\nfact that its mass and coupling constants can be unrelated (other than for axions), thus they do not necessarily solve\nthe strong-CP problem but still can account for the whole DM density of the Universe [67]. Any experimental search\nnot reaching the axion sensitivity is still a probe of ALPs.\n2.1 Experimental searches\nIn the last decades several experimental techniques have been proposed to detect axions and ALPs [9,68]. Most\nexperiments do not reach the axion-required sensitivity, but the physics result of these measurements is to limit the\nALPs parameter space. The most tested e\u000bects of axions are related to their coupling to photons, being this one the\nstrongest and thus most accessible parameter. These limits mostly rely on the inverse Primako\u000b e\u000bect: in a strong\nstatic magnetic \feld it is possible to convert an itinerant axion into a photon that can be detected. Amongst all the\nexperiment proposed or realised to detect axions, only haloscopes are treated in some details.\nAs already discussed axions may constitute DM, and if existing at least a fraction of DM have to be composed\nof axions. DM is an interesting source of axions and triggered multiple experimental searches. Instruments searching\nfor DM-axions composing the Milky Way's halo are called haloscopes. Haloscopes are particularly interesting in the\nscope of this work, which is devoted to the study of a ferromagnetic one. In 1983, Sikivie proposed new ways to detect\nthe axion by resonantly converting them into microwave photons inside a high quality factor ( Q) cavity under a static\nmagnetic \feld [69]. The resonance condition implies that the apparatus is sensitive to axions in a very narrow frequency\nrange. The frequency of the axion signal is related to its mass and its width depends on the virial DM velocities in\nthe galaxy. These kinds of experiments need to change resonant frequency to scan for di\u000berent masses. The Axion\nDark Matter eXperiment (ADMX) reached the sensitivity of KSVZ axions in the range 1 :9\u0016eV\u00003:3\u0016eV [70,71]\nassuming virialized axions composing the whole DM density %DM= 0:45 GeV=cm3. The setup was improved by using\nSQUID ampli\fers [72], and then reached the line of the DFSZ model [73,74]. The HAYSTAC experiment searched for\nheavier axions by operating a setup similar to the ADMX one but using a Josephson Parametric Ampli\fer (JPA), and\nachieving quantum limited sensitivity [75] and beyond [76]. The collaborations UF and RBF also reached remarkable\nlimits, and the ORGAN experiment operated a path\fnding haloscope at 110 \u0016eV [77,78]. Several new concepts have\nbeen proposed to search for DM axions with next-generation haloscopes based not only on the Primako\u000b e\u000bect but\nalso on axion-induced electric dipole moments or on the axion-spin interaction [79,80,81,82,83,84,85,86].\n3 The e\u000bective magnetic \feld of DM axions\nThe coupling between axions and electron spins can be used for axion detection as an alternative to the coupling to\nphotons [87,88,89,90]. Being it weaker than the axion-photon coupling it was not immediately exploited, but recently\nnew experimental schemes were presented. Besides the axion discovery, the axion-electron coupling is interesting for\ndistinguishing between di\u000berent axion models. The possibility of detecting galactic axions by means of converting them\ninto collective excitations of the magnetization (magnons) was considered by Barbieri et al. in [87], laying the founda-\ntions to the Barbieri Cerdonio Fiorentini Vitale (BCFV) scheme and to the following experimental proposal [84]. The#### Page 4 of 18 Eur. Phys. J. Plus #####################\noriginal idea is to use the large de Broglie wavelength of the galactic axions to detect the coherent interaction between\nthe axion DM cloud and the homogeneous magnetization of a macroscopic sample. To couple a single magnetization\nmode to the axion \feld, the sample is inserted in a static magnetic \feld. The interaction yields a conversion rate of\naxions to magnons which can be measured by monitoring the power spectrum of the magnetization. The form of the\ninteraction is calculated hereafter in terms of an e\u000bective magnetic \feld. Such a \feld is the searched-for signal. Its\nfeatures are derived and characterized as follows.\nThe axion derivative interaction with fermions is invariant under a shift of the axion \feld a!a+a0and reads\nL =C \n2fa\u0016 \r\u0016\r5 @\u0016a (2)\nwhere is the spinor \feld of a fermion of mass m , andC is a model-dependent coe\u000ecient. The dimensionless\ncouplings can be de\fned as\nga =C m =fa (3)\nand play the role of Yukawa couplings, while the \fne structure constant of the interaction is \u000ba =g2\na =4\u0019. The\ntree-level coupling coe\u000ecient to the electrons of the DFSZ model is [27,28] Ce= cos2\f0=3, where tan \f0=vd=vu, the\nratio of the vacuum expectation values of the Higgs \feld. The axion-electron derivative part of the interaction can be\nexpressed as\nLe=gaee\n2me@\u0016a(x)\u0000\n\u0016e(x)\r\u0016\r5e(x)\u0001\n'\u0000igaeea(x)\u0016e(x)\r5e(x); (4)\nwhere the last term is an equivalent Lagrangian obtained by using Dirac equation and neglecting quadridivergences.\nThe Feynman diagram of this interaction is reported in Fig. 1 and suggests how the process happens: an axion is\nabsorbed and causes the fermion to \rip its spin, and the macroscopic e\u000bect is a change in the magnetization of the\nsample containing the spin.\naigaeeγ5e−\ne−\nFig. 1. Feynman diagrams of the axion-fermion interaction, showing how the e\u000bect of the axion is to be absorbed and cause\nthe spin \rip of the fermion. The corresponding interaction Lagrangian is reported in Eq. (4).\nBy taking the non-relativistic limit of the Euler{Lagrange equation, the time evolution of a spin 1/2 particle can\nbe described by the usual Schroedinger equation\ni~@'\n@t=\u0010\n\u0000~2\n2mer2\u0000gaee~\n2me\u001be\u0001ra\u0011\n'; (5)\nwhere\u001beis the Pauli matrices spin vector. The \frst term on the right side of Eq. (5) is the usual kinetic energy of the\nparticle, while the second one is analogous to the interaction between a spin and a magnetic \feld. One can notice that\n\u0000gaee~\n2me\u001be\u0001ra=\u00002e~\n2me\u001be\u0001\u0010gaee\n2e\u0011\nra=\u00002\u0016e\u001be\u0001\u0010gaee\n2e\u0011\nra; (6)\nsince\u0016eis the magnetic moment of the particle, it can be both Bohr magneton or a nuclear magneton, depending on\nthe considered fermion. From Eq. (6) it is clear that the e\u000bect of the axion is the one of a magnetic \feld, but since it\ndoes not respect Maxwell's equations, calling it an e\u000bective magnetic \feld is more appropriate\nBa\u0011\u0010gaee\n2e\u0011\nra: (7)\nThis de\fnition is useful to quantify the performances of a ferromagnetic haloscope in terms of usual magnetometers\nsensitivity. In the BCFV case, the signal is given by the electrons' magnetization. An intuitive connection between theEur. Phys. J. Plus ##################### #### Page 5 of 18\nmacroscopic magnetization and the spin is given by M/\u0016BNSwhere\u0016Bis Bohr magneton and NSis the number of\nspins which take part to the magnetic mode [91]. In such a way, the spin-\rip can be classically considered a variation\nof the magnetization at a frequency given by the axion \feld.\nIt is now necessary to understand which are the features of Bato design a proper detector. The isothermal model\nof the Milky Way's DM halo predict a local density of %DM'0:45 GeV=cm3[92]. An Earth-based laboratory is thus\nsubjected to an axion-wind with a speed va'300 km/s, that is the relative speed of Earth through the Milky Way.\nUsing the vector notation, the value of vi\nacan be calculated from the speed of the galactic rest frame. The speed on\nEarthvi\nEis given by the sum of vi\nS,vi\nOandvi\nR, which are respectively the Sun velocity in the galactic rest frame\n(magnitude 230 km/s), the Earth's orbital velocity around the Sun (magnitude 29.8 km/s), and the Earth's rotational\nvelocity (magnitude 0.46 km/s). The observed axion velocity is then vi\na=\u0000vi\nE, which follow a Maxwell-Boltzmann\ndistribution. As will be shown hereafter, the e\u000bect of this motion is a non-zero value of the axion gradient, and a\nmodulation of the signal with a periodicity of one sidereal day and one sidereal year [47,93,94].\nThe numeric axion density in the DM halo depends on the axion mass and results na'3\u00021012(10\u00004eV=ma) cm\u00003.\nThe coherence length of the axion \feld is related to the de Broglie wavelength of the particles, which is given by\n\u0015a=h\nmava'14\u001010\u00004eV\nma\u0011\nm: (8)\nSuch wavelength allows for the use of macroscopic samples to detect the variation of the magnetization. The large\noccupation number na, coherence length \u0015a, and\fa=va=c'10\u00003permit to treat Baas a classical \feld1. The\ncoherent interaction of a(x) with fermions has a mean value\na(x) =a0eip\u0016\nax\u0016=a0ei(p0\nat\u0000pi\naxi); (9)\nwherepi\na=mavi\nEandp0\na=p\nm2a+jpiaj2'ma+jpi\naj2=(2ma). The production of DM axions is discussed in Section\n2, where it is shown that they are indeed cold DM since their momentum is orders of magnitude smaller than the\nmass. The axion kinetic energy is expected to be distributed according to a Maxwell-Boltzmann distribution, with a\nmean relative to the rest mass of 7 \u000210\u00007and a dispersion about the mean of \u001bMB'5\u000210\u00007[47,93,95]. The e\u000bect\nof the mean is a negligible shift of the resonance frequency with respect to the axion mass. The consequence of the\ndispersion on the e\u000bective magnetic \feld is a natural \fgure of merit\nQa=1\n\u001bMB'\u0010ma\nhpiai\u00112\n=1\n\f2a'2\u0002106: (10)\nTo calculate the \feld amplitude a0, the momentum density of the axion \feld is equated to the mean DM momentum\ndensity yielding\na2\n0p0\n1pi\na=nahpi\nai=namava)a0=p\nna=ma: (11)\nFor calculation purposes natural units are dropped and the Planck constant ~and speed of light care restored. The\ne\u000bective magnetic \feld associated to the mean axion \feld reads\nBi\na=gaee\n2e\u0010na~\nmac\u00111=2\npi\nasin\u0010p0\nact+pi\naxi\n~\u0011\n: (12)\nFrom Eq. (12), the frequency and amplitude of the axionic \feld interacting with electrons result\nBa=gaee\n2e\u0010na~\nmac\u00111=2\nmava'5\u000210\u000023\u0010ma\n50\u0016eV\u0011\nT;\n!a\n2\u0019'cp0\na\n~=mac2\n~'12\u0010ma\n50\u0016eV\u0011\nGHz:(13)\nAs the equivalent magnetic \feld is not directly associated to the axion \feld but to its gradient, the corresponding\ncorrelation length and coherence time must be corrected to [84]\n\u0015ra'0:74\u0015a= 0:74~\nmava'20\u001050\u0016eV\nma\u0011\nm;\n\u001cra'0:68\u001ca= 0:682\u0019~\nmav2a'46\u001050\u0016eV\nma\u0011\u0010Qa\n1:9\u0002106\u0011\n\u0016s:(14)\nThe nature of the DM axion signal is now well-de\fned: an e\u000bective magnetic \feld of amplitude Ba, frequency fa=\n!a=2\u0019, and quality factor Qawith values de\fned by Eq.s (13) and (14).\n1The average speed va\u001ccalso justi\fes the approximation of Eq. (5), i. e. the use of the non-relativistic limit of Euler-\nLagrange equations.#### Page 6 of 18 Eur. Phys. J. Plus #####################\n4 The axion-to-electromagnetic \feld transducer\nA viable experimental scheme must be designed to detect the \feld Bi\na, whose features are de\fned by Eq.s (13) and\n(14). A magnetic sample with a high spin density nSand a narrow linewidth \rm= 2\u0019=T 2(i. e. long spin-spin relaxation\ntimeT2) can be used as a detector. The magnetic \feld Badrives a coherent oscillation of the magnetization over a\nmaximum volume of scale \u0015ra. The sensitivity increases with the sample volume Vsup to (\u0015ra)3.\nFor electrons \re'(2\u0019)28 GHz/T, so the corresponding magnetic \feld B0is of order 1 T and experimentally\nreadily obtainable. The electrons' spins of a magnetic sample under a uniform and constant magnetic \feld result in a\nmagnetization M(x;t) that can be divided in magnetostatic modes. The space-independent mode of uniform precession\nis called Kittel mode. The axionic \feld couples to the components of Mtransverse to the external \feld, depositing\npower in the material. More power is deposited if the axion \feld is coherent with the Kittel mode for a longer time.\nThe best-case scenario is a material with a quality factor Qm=\reB0=\rmwhich matches Qa, so that the coherent\ninteraction between spins and DM-axions lasts for \u001cra. For this reason the magnetic \feld uniformity over the sample\nmust be\u00141=Qmto avoid inhomogeneous broadening of the ESR.\nAccording to these considerations, it is possible to detect an axion-induced oscillation of the magnetisation by\nmonitoring a large sample with an precise magnetometer. However, the limit of this scheme lies in the short coherence\ntime of the magnetic sample. In fact at high frequency, i. e. above 1 GHz, the rate of dipole emission becomes higher\nthan the intrinsic material dissipation, this e\u000bect is know as radiation damping [96]. Since radiation damping is related\nto the sample dipole emission, a possible way to reduce its contribution is to limit the phase-space of the radiated light\nby working in a controlled environment like a resonant cavity [96,97,98,99]. By housing the sample in a mw cavity\nand tuning the static magnetic \feld such that !m=\reB0'!c, where!cis the resonance frequency of a cavity mode\nwith linewidth \rc, one obtains a photon-magnon hybrid system (PMHS). An exact description of the system is given\nby the Tavis-Cummings model [99]. It discusses the interaction of NStwo-level systems with a single mw mode, and\npredicts a scaling of the cavity-material coupling strength gcm/pNS. The single-spin coupling is\ngs=\re\n2\u0019s\n\u00160~!m\n\u0018Vc; (15)\nwhereVcis the cavity volume, \u00160is the vacuum magnetic permeability and \u0018a mode-dependent form factor [100], with\nthis relation gcm=gspNS. Such scaling has been veri\fed experimentally down to mK temperatures for an increasing\nnumber of spins NS[100,101,102]. For a quantity of material such that gcm\u001d\rc, the single cavity mode splits into\ntwo hybrid modes with frequencies !+,!\u0000and 2gcm=!+\u0000!\u0000. For!m=!cthe linewidths of the hybrid modes are\nthe average of the cavity mode linewidth \rcand of the material one \rm, namely\rh= (\rc+\rm)=2. The coupling gcmis\nin fact a conversion rate of the material magnetization quanta (magnons) to cavity photons and viceversa. If gcm>\rh\nthe system is in the strong-coupling regime, meaning that for a magnon (photon) it is more likely to be converted\nthan to be dissipated. In this way, magnetisation \ructuations, which might be induced by axions, are continuously\nconverted to electromagnetic radiation that be collected with an antenna coupled to the cavity mode, as schematically\nshown in Fig. 2.\na\nωam\nωmc\nωcgam≪1 gcm∼1\nFig. 2. The coupled harmonic oscillators are reported in orange, green and blue for cavity c, materialmand axionarespectively.\nThe uncoupled normal-modes frequencies of the HOs are !c,!mand!aand the couplings are gamandgcm, represented by\nsprings.\nThe aim of a PMHS devised for an axion haloscope is to maximise the axionic signal, and it e\u000bectively works as\nan axion-to-photon transducer. A rendering of the resulting device is reported in Fig. 3.\nAn important result for designing the transducer is that multiple spheres can be coherently coupled to a single\ncavity mode [103,102]. The measurements demonstrate that all the spins participate in the interaction, thus the\nsamples act as a single oscillator. This is guaranteed by the fact that the static \feld is uniform over the spheres\nand that the rf \feld is degenerate over the axis of the cavity where they are placed. Several tests were performed to\nunderstand di\u000berent features of the system in the light of the two properties mentioned before. To understand the\nresults of the di\u000berent measurements one can use a simple oscillators model as is done in [102]. The PMHS can beEur. Phys. J. Plus ##################### #### Page 7 of 18\ndescribed by introducing two magnon modes and two cavity modes, hereafter the photon modes are labeled as cand\ndwhile the magnon modes are mandn. In the matrix form, the system can be modeled by the hamiltonian\nHcdmn =0\nB@!c\u0000i\rc=2gcdgcm gcn\ngcd!d\u0000i\rd=2gdm gdn\ngcmgdm!m\u0000i\rm=2gmn\ngcngdngmn!n\u0000i\rn=21\nCA; (16)\nwhere!,\randgare the frequencies, linewidth and coupling of the di\u000berent modes. The autofunction of the system\ncan be calculated as the determinant of !I4\u0000H cdmn thus the function used to show the anticrossing curve reads\nfcdmn(!) = det\u0000\n!I4\u0000H cdmn\u0001\n: (17)\nTo ideally have a coherent coupling, one needs to let the spins of di\u000berent spheres cooperate and make them\nindistinguishable, so they need to be uncoupled and their resonant frequencies must be the same. These conditions\ntranslate to gmn= 0 and!m=!n, which clearly can be extended to an arbitrary number of oscillators (in this case,\nthe ten spheres). The interaction between two spheres yields non-zero value of gmn, and its e\u000bect is to introduce other\nresonances besides the two main ones of the PMHS. This e\u000bect needs to be avoided to have control over the system\nand couple all the spins of the samples to the cavity mode, avoiding magnons bouncing between di\u000berent magnetic\nmodes and eventually being dissipated before their photon conversion.\nFig. 3. Rendering of the whole system, constituted by the cavity and the\npipe with ten YIG spheres, ready to be tested at milli-Kelvin tempera-\ntures. The external part shows the superconducting magnet (in brown)\nwhich surrounds the cavity and the spheres to provide a magnetic \feld\nwith uniformity better than 7 ppm. The magnet is immersed in the liquid\nhelium bath outside the vacuum chamber of the dilution unit. The cavity\nis at the centre of the magnet, is anchored to the mixing chamber of the\ndilution refrigerator with two copper bars and is equipped with two an-\ntennas, one is \fxed and weakly coupled, while the second one is movable\nand is used to extract the signal. The YIG spheres are inside the cavity,\nheld by a fused silica pipe \flled with helium and separated by thin PTFE\nspacers. The cap used to seal the pipe is made of copper and is anchored\nto the cavity body to ensure the thermalisation of the exchange helium\nand therefore of the YIG spheres.\nYIG sphere were produced on site with a technique described in [102]. This open the possibility of studying\nspheres of di\u000berent diameters coupled to the same mode. One of the \fndings is that, trying to couple spheres with\ndi\u000berent diameter to the same mode, the volume of the sample is linearly related to the o\u000bset \feld [102]. The axion-\nto-electromagnetic \feld transducer of a ferromagnetic haloscope. The constraints to remember for its design are in the\nfollowing, and were tested with a room temperature setup consisting in a 10.7 GHz cavity with conical endcaps and a\nfused silica pipe holding the YIG spheres. The magnetic \feld is given by a SC magnet which, to perform quick tests,\nit is equipped with a room temperature bore allowing the magnet to be in a liquid helium bath during operation.\nFirst the minimum separation between two spheres is tested by gradually increasing the distance between them and\nverifying that a usual anticrossing curve is reproduced. The minimum distance between 2 mm spheres results in 3 mm.\nA YIG sample then occupies 5 mm of space, and since the cylindrical part of the cavity is 6 cm it can house a maximum\nof twelve samples. Ten spheres are inserted in the pipe for them not to be too close to the conical part of the cavity.\nMultiple spheres of di\u000berent diameters were fabricated and re\fned to verify that they hybridize with the cavity for\nthe same value of the magnetic \feld.#### Page 8 of 18 Eur. Phys. J. Plus #####################\nThe setup must ensure a proper thermalization of the cavity and of the YIG spheres, the preparation of the fused\nsilica pipe is as follows. A vacuum system is designed in such a way to empty the pipe from air which is then immersed\nin a 1 bar helium controlled atmosphere. This way the pipe is \flled with helium, and can be sealed by using a copper\nplug and Stycast. First the sealing is tested without the samples by measuring the shift of the TM110 mode of the\ncavity-pipe system with and without helium. The frequency is measured with the helium-\flled pipe, which is then\nimmersed in liquid nitrogen and again placed in the cavity. Re-measuring the same frequency excludes the presence\nof leaks.\nThe used cavity is made of oxygen-free high-conductivity copper, and features a cylindrical body with two conical\nendcaps, as shown in Fig. 3. The central body is not a perfect cylinder but it has two \rat surfaces used to remove\nthe angular degeneration of the mode. This creates two modes rotated of \u0019=2 with di\u000berent frequencies, which is the\nsecond cavity mode in Eq. (16). The function fcdmn(!) is \ftted to the measured PMHS dispersion relation to extract\nthe parameter of our setup, and in particular the hybridization results 638 MHz which is compatible with the single\n1 mm sphere since 638 MHz =p8\u000210 = 71 MHz. This value of the single sphere coupling is compatible with what\npreviously obtained in simpler PMHS, indicating that the measured spin density of YIG is consistent both with the\nprevious results and with the values reported in the literature. Remarkably, the lower frequency resonance is almost\nuna\u000bected by the behaviour of the rest of the PMHS, in the sense that its frequency does not di\u000ber from the one of a\nusual anticrossing curve, thus it can possibly be safely used for a measurement [104].\nSince haloscopes need to scan multiple frequencies to search for axions, the resonant frequency of the PMHS mode\nused for the measurement need to be changed. The tuning is made extremely easy by the fact that it is controlled\nonly by means of the external magnetic \feld. A high stability of B0is necessary to perform long measurements over\na single frequency band. This is set by the linewidth of the hybrid mode, which in this case is 2 MHz, and is tuned\nto cover a range close to 100 MHz [1,104]. Thanks to the anticrossing curve it is easy to identify the frequency of the\ncorrect mode to study. The hybrid mode is not a\u000bected by disturbances caused by other modes in a range that largely\nexceeds ten times its linewidth. These clean frequencies are selected for the measurements whenever it is possible to\nmatch them with the working frequencies of the ampli\fer described in the next Section.\n5 Quantum-limited ampli\fcation chain\nThe PMHS described previously in this Chapter acts as a transducer of the axionic signal. The power coming from\nthe PMHS must be measured and acquired with a suitable detection chain, and, as it is extremely weak, needs to be\nampli\fed. The intrinsic noise of an haloscope is essentially related to the temperature of the setup, and since axionic\nand Johnson power have the same origin it is the ultimate limit of the SNR. The ampli\fcation process inevitably\nintroduces a technical noise which, for these setups, is useful to quantify in terms of noise temperature Tnto compare\nto the Johnson noise. This stage of the measurement is setting the overall sensitivity of the apparatus since, as shown\nhereafter, for very low working temperatures the noise temperature is higher than the thermodynamic one. Minimizing\nTnis a key part of the development of an haloscope, and is complementary to the maximization of the axion deposited\npower. The mw ampli\fers used for precision measurement are mostly high electron mobility transistors (HEMT), since\nthey have high gain and low noise, of the order of 4 K. The most sensitive ampli\fer available is the Josephson parametric\nampli\fer (JPA), which reaches the quantum noise limit [105,106,107,108,109,110,111]. This type of ampli\fer is used\nin the present haloscope, and its performances can be overcome only by using a photon counter.\nHEMT are \feld e\u000bect transistors based on an heterojunction, i. e. a PN junction of two materials with di\u000berent\nband gaps [112]. The proper doping pro\fle and band alignment gives rise to extremely high electron mobilities, and\nthus to ampli\fers which can have high gain, very low noise temperature, and working frequency in the microwave\ndomain. Even if their noise temperature is low, HEMTs are not the most sensitive ampli\fers available.\nAt a frequency 10 GHz the SQL of linear ampli\fers is close to 0.5 K, which is about one order of magnitude lower\nthan theTnof HEMTs. Such remarkably low Tnis achieved by JPAs, resonant ampli\fers with a narrow bandwidth\nbut with quantum-limited noise. This feature makes them the ideal tool to measure faint rf signals, and thus to be\nimplemented in ferromagnetic or Primako\u000b haloscopes. The non-linear mixing is given by a Josephson-RLC circuit\nwith a quadratic time-dependent Hamiltonian, which can be degenerate or non-degenerate depending on whether the\nsignal and idler waves are at the same frequency or not [113]. A non-degenerate device consists in a three-modes,\nthree-input circuit made of four Josephson junctions forming a Josephson ring modulator. It e\u000bectively is a three-wave\npurely dispersive mixer which can be used for parametric ampli\fcation [114]. It can be computed that the non-linear\nmixing process appears as a linear scattering, con\fguring the JPA is a linear ampli\fer. As such, it is quantum limited\nand its noise temperature depends on the working frequency. Being based on resonant phenomena, the JPA has a\nnarrow working band of tens of MHz. To use the ampli\fer in a wide frequency range, a bias \feld is applied to the ring\nand the resonance frequencies of the signal, idler and pump mode are tuned. This is achieved with a small SC coil\nplaced below the ring, biased with a current Ib. The implementation of a JPA in a ferromagnetic haloscope is shown\nand described in Fig. 4.Eur. Phys. J. Plus ##################### #### Page 9 of 18\nFig. 4. Rendering of the implementation of this JPA in a ferromagnetic\nhaloscope. The golden pipe is connected to the mixing chamber of the\ndilution refrigerator used to cool down the setup, the circulators are only\nin thermal contact with this last stage, as is the shielding cage of the JPA\n(also drawn in gold). The blue component is a switch, present in one of\nthe possible con\fgurations of a ferromagnetic haloscope; attenuators are\ndrawn in blue as well. The JPA is inside two concentric cans, the external\none is made of Amuneal and the external is of aluminum. The \frst is\nuseful to reduce the Earth magnetic \feld in which the superconducting\nparts (shields and junctions) undergo the transition, while the second\nscreens from external disturbances. Everything is attached to the mixing\nchamber plate of a dilution refrigerator with a base temperature of about\n90 mK. This image corresponds to the con\fguration reported in Fig. 5b.\nThe characterisation the rf chain used for the measurements is described hereafter. It will focus on the setup\ndescribed by Fig. 5a, as is the one used in [1] as it was found to be more reproducible and in general more reliable than\n5b. The con\fguration of the electronics allows the testing of both the JPA and the PMHS. Transmission measurements\nof the PMHS can be performed by turning o\u000b the JPA (i.e. no bias \feld and no pump) to re\rect the signal on it,\nthe input is the SO line and the output is the readout line. The JPA can be tested with the help of the Aux line,\nby uncoupling the antenna from the cavity and re\recting the incoming signal. Some rf is still absorbed at the cavity\nmodes frequency but this does not compromise the measurement. The external static \feld of the PMHS does not\na\u000bect the resonances of the Josephson ring modulator as no di\u000berence has been detected between the measurements\nwith and without \feld. Runs are performed with bias currents Ib'170\u0016A and 460\u0016A at frequencies ranging from\n10.26 GHz to 10.42 GHz.\nUsing the SO line and critically coupling the antenna to the hybrid mode, a signal is injected in the system and read\nwith the whole ampli\fcation chain. The \frst test is to verify the linearity of the JPA (and of the whole chain) using\nsignals of growing intensity until the system saturates. These measurements show the linear and saturate behavior of\nthe ampli\fer. It is possible to calibrate the gain of the JPA by using a signal large enough to be measured with the\nJPA o\u000b but also not to saturate it once it is turned on. This is useful to know the gain of the ampli\fer at the di\u000berent\nworking points to have a preliminary calibration of the system and to understand whether an output noise with higher\namplitude is due to the JPA or to something else. Since the electronics above the 4 K line was already characterized\nfor the previous prototype, the baseline noise with JPA o\u000b is roughly the ampli\fer noise temperature T(hemt)\nn'10 K.\nThe measured noise spectra with the JPA turned o\u000b is white in a bandwidth of several hundreds of MHz, when the\nparametric ampli\fer is turned on its resonance exceeds this noise of roughly 10 dB. Since it is possible to calibrate the\ngain of the JPA GJPA'20 dB, the ampli\fed noise level can be extracted as T(JPA)\nn =T(hemt)\nn=10(GJPA\u000010 dB)=10'1 K,\nwhich is the noise temperature ampli\fed by the JPA. The value of 1 K is reasonable, since a single quantum at this\nfrequency is 0.5 K such noise corresponds to two quanta. Even if this procedure is somewhat correct, it is not a proper\ncalibration of the setup and something better is explained hereafter.\nSince some problems were encountered in the noise calibration with hot load (see Fig. 5b), the rf setup of Fig. 5a is\ndesigned to calibrate all the di\u000berent lines with the help of the variable antenna coupling. By moving the antenna one\ncan arbitrarily choose the coupling to a mode, if it is weakly coupled a test signal from the Aux port gets re\rected\nand goes to the JPA, while if the antenna is critically coupled to the mode, a signal from SO is transmitted through\nthe cavity and than to the JPA. Almost the same result can be obtained by slightly changing the frequency of the test\nsignal to be within the JPA band but out of the cavity resonance. The critical coupling can be reached by doubling\nthe linewidth of the mode or equivalently by minimizing the re\rected signal from the Aux line to the Readout line.\nThe procedure to calibrate all the lines is:\n1. with the weakly coupled antenna or by detuning the mode the losses of the Aux-Readout line LARare measured;#### Page 10 of 18 Eur. Phys. J. Plus #####################\n(a)\n(b)\nFig. 5. Two possible electronics layout for a ferromagnetic haloscope. The blue lines show the temperature ranges, the crossed\nrectangles are the magnet, and the orange rectangle is the cavity with black YIG circles inside. The boxed numbers are\nattenuators and the red circled Ts are the thermometers. At the top of the cavity are located the weakly coupled antenna\n(empty dot) and the variably-coupled antenna (full dot). The weakly coupled antenna is connected to an attenuator and then to\nthe source oscillator SO. In con\fguration (a) the the variable antenna is connected to the JPA through a circulator, whose other\ninput is used for auxiliary measurements. The output of the JPA is further ampli\fed by two HEMTs A1 and A2. Con\fguration\n(b) is basically the same as (a), where the input can be switched from the cavity antenna to a matched load with variable\ntemperature regulated by a current Ih, and used for calibration. In both (a) and (b) the A2 output is down-converted and\nacquired.\n2. the antenna is critically coupled to the mode and a signal is sent through the Aux-SO line to get LAS;\n3. with the same critical coupling the transmission of the SO-Readot line LSRis acquired.\nAt this point a signal of power Ainis injected in the SO line, the fraction of this power getting into the cavity through\nthe weakly coupled antenna is Acal=AinLSO. The attenuation of the line can be calculated as LSO'p\nLSRLAS=LAR,\nwhich gives the power collected by the critically coupled antenna. Since Acalis e\u000bectively a calibrated signal, it can\nbe used to measure gain and noise temperature of the Readout line. Di\u000berent Ainare used to get increasingly large\nsignals to be detected by the JPA-based chain. This calibration has some minor biases, the \frst is given by the cable\nfrom the cavity to the \frst circulator which is accounted for two times in the Aux-Readout line. This contribution\ncan be safely neglected as the cable is superconducting, making its losses negligible. Another bias is related to the\nantenna coupling, which is not perfect. With a proper antenna coupling the re\rected signal is reduced of \u001810 dB, so\nthere is a bias of a factor 10% intrinsic to the measurement which will be accounted for when calculating the error.\nAs the calibration procedure is long it is not repeated for every run, however no important di\u000berences are expected\nwhen changing the JPA frequency. As an example a run at 10.409 GHz is considered. The gain of the JPA at this\nfrequency results GJPA'18 dB, and its bandwidth is 8 MHz, the hybrid mode is tuned the its central frequency\nand the calibration procedure is carried out,resulting in a noise temperature of Tn= 1:0 K and the total gain of the\nwhole ampli\fcation chain is Gtot'120:4 dB. The value of Tnis compatible with the one estimated previously, and\ncorresponds to two quanta.\nThe coupling of the antenna with the hybrid mode is checked for every run. It is controlled by moving the dipole\nantenna in and out the cavity volume, the critical coupling is reached when the uncoupled linewidth of the mode\nis doubled. To verify the proper antenna positioning one may rely on the fact that depending on the temperature\ndi\u000berence between the cavity and a 50 \n, some power may be absorbed or added to the load thermal noise. The load\nunder consideration is the hottest between the \frst JPC isolator and the 20 dB attenuator of the Aux line. The hybrid\nresonance has a critical linewidth of about 2 MHz, so the depth will not be as narrow as the one of the cavity. In that\ncase the temperature di\u000berence is about 10%, which is about 10 mK, and if the temperature of the load and cavity are\nprecisely measured the spectra can be used to get a two-points calibration. The selected calibration procedure was notEur. Phys. J. Plus ##################### #### Page 11 of 18\nthis one because the temperatures of loads and HS are not easily accessible. With two dedicated thermometers the\ntemperatures of the loads could be measured, but it is not trivial to measure the temperature of the cavity and of the\nspheres with the needed precision. Since a small temperature di\u000berence is expected, the measurement with the antenna\ncoupled to the hybrid mode should be di\u000berent from the uncoupled one. As reported in [1], there is a di\u000berence between\nthe two measurements and it is compatible with the thermal noise of the hybrid mode at a temperature slightly higher\nthen the loads one.\n6 Data acquisition and analysis\nA ferromagnetic haloscope's scienti\fc run consists in several measurements in the common bands between the frequen-\ncies of the lower hybrid mode una\u000bected by disturbances, and the JPA working range. The low temperature electronics\nis described in the previous section, and is completed by its following part hereafter.\nThe room temperature electronics consists of a HEMT ampli\fer (A2) followed by an IQ mixer used to down-convert\nthe signal with a local oscillator (LO). In principle, it is possible to acquire the signal coming from both hybrid modes\nusing two mixers working at f+andf\u0000. In this case it is chosen to work only with f+, thus setting the LO frequency\ntofLO=f+\u00000:5 MHz. The ampli\fed antenna output at the hybrid mode frequency is down-converted in the 0 -\n1 MHz band, allowing to e\u000eciently digitize the signal. The phase and quadrature outputs are fed to two low frequency\nampli\fers (A3 I;Q), with a gain of G3'50 dB each, and are acquired by a 16 bit ADC sampling at 2 MS/s (see [103]).\nA dedicated DAQ software is used to control the oscillators and the ADC, and veri\fes the correct positioning of the\nLO with an automated measurement of the hybrid mode transmission spectrum. Some other online checks include a\nthreshold monitor of the average amplitude, as well as of the peak amplitude, which \rags the \fle if some unexpected\nlarge signal is present. The ADC digitizes the time-amplitude down-converted signal coming from A3 Iand A3Qand\nthe DAQ software stores collected data binary \fles of 5 s each. The software also provides a simple online diagnostic,\nextracting 1 ms of data every 5 s, and showing its 512 bin FFT together with the moving average of all FFTs. The\nsignal is down-converted in its in-phase and quadrature components f\u001engandfqng, with respect to the local oscillator,\nthat are sampled separately.\nFig. 6. Second ampli\fcation stage of the setup, and \frst room temperature ampli\fer. The image shows the top part of\nthe vacuum vessel containing the dilution fridge stages, the cavity and the electronics. Just outside it, the \frst ampli\fer is A1\n(reported in yellow), while the second one is already at room temperature. The blue box corresponds to the variable temperature\nload of con\fguration (b).\nThe stability of the measurement is tested by injecting a signal in the SO line slightly o\u000b resonance with the\nPMHS peak, and with an amplitude guaranteeing a large SNR. Monitoring its amplitude is a way to continuously\ncheck the peak position. In this setup the stability results well below the percent level, which is more than enough for\nthe purpose of the experiment, thanks to the lower and more stable working temperature and to an extremely stable\ncurrent generator produced in the Padua University electronic workshop.\nThe signal is analysed using a complex FFT on the combination of phase and quadrature fsng=f\u001eng+ifqngto get\nits power spectrum s2\n!with positive frequencies for f >f LOand negative frequencies for f e\n\u0019mavas\n2tac\u0002\u001b(3)\nP\n2\u0016B\rnaNS\u001c\u0000; (20)\nwheretacis a frequency dependent coe\u000ecient that takes into account that the axion-deposited power is not uniform in\nthe haloscope operation range, as is discussed in [115]. All the experimental parameters used to extract the limits are\nmeasured within every run, making the measurement highly self-consistent. The limit on the ALP-electron coupling\ndescribed by Eq. 20 is calculated for every run using the corresponding measured parameters. This result is compared\nwith other techniques used for testing the axion-electron couling constant\nFig. 7. Overview of axion searches based on their coupling with electrons [116]. The result obtained with the ferromagnetic\nhaloscpe described in this work is labelled as \\QUAX\".\nThe analysis is repeated by shifting the bins of half the RBW to exclude the possibility of a signal divided into two\nbins. The best limit obtained, and corresponding to \u001bP, isgaee<1:7\u000210\u000011. The improvement of a longer integration\ntime is not much, since the limit on the coupling scales as the fourth root of time, and to improve the current best\nlimit of a factor 2 the needed integration time is six days.\n7 Conclusions\nLow-energy measurements, precisely testing known physical laws, are a powerful probe of BSM physics, mainly com-\nplementary to accelerator physics. As shown in Fig. 8, thanks to Nambu-Goldstone theorem, extremely high energy\nscales can be explored by measuring faint e\u000bects at the limit of present technology. Eventually, new instruments and\ndevices can be built to push the current technological limits to new levels and hopefully help not only fundamental\nphysics but many other \felds.\nAmong these, haloscopes play a pivotal role while searching for Dark Matter axions. The scope of this work is to\nillustrate the construction and outline the operation of the \frst ferromagnetic axion haloscopes. Such instruments can\nbe used to measure the DM-axion wind which blows on Earth, as this last one is moving through the halo of the Milky\nWay. The axions interact with the spin of electrons causing spin \rips that are, macroscopically, oscillations of a sample\nmagnetization. The model of the isothermal galactic halo and of the axion yield the features of the searched for signal,\nnamely its linewidth, frequency and amplitude. A frequency of 10 GHz, a linewidth of 5 kHz and an amplitude of about\n10\u000023T are expected for axion masses of order 40 \u0016eV. A proper haloscope has a transducer that converts the axion\n\rux into rf power, followed by a sensitive detector to measure it. For a ferromagnetic haloscope, the transducer consists\nof a magnetic material containing the electron spins with which the axions interact. In order to maximize the axion-\ndeposited power, the sample should have a large spin density and a narrow linewidth. The detector is a rf ampli\fcation\nchain based on a JPA. The described instrument features a power sensitivity limited by quantum \ructuations, in this\nsense no linear ampli\fer is or will be able to improve the haloscope. In future setups only bolometers or quantum#### Page 14 of 18 Eur. Phys. J. Plus #####################\n10−6eV 104GeVLHC\n1012GeVNambu-Goldstonetheorem\nPrecision tests Symmetry breaking scale\nFig. 8. The usage of Nambu-Goldstone theorem to infer on physics at energy scales inaccessible to accelerators.\ncounters can yield better results. For example, recent developments on quantum technologies [117,118] demonstrated\nthe detection of \ruorescence photons emitted by an electron spin ensemble, and could be adopted for axion searches.\nThermodynamic \ructuations are already negligible due to the extremely low working temperature, so it is not\nnecessary to decrease them by orders of magnitude. As the rate of thermal photons of a cavity mode is exponentially\ndecreasing with temperature, the present dilution refrigeration technology is enough to reach the axion-required noise\nlevel. As discussed in Section 1, to get a rate of axion-induced photons which can be measured in a reasonable amount\nof time, a much increased quantity of material and a narrower linewidth are required. This setup features 0.05 cc of\nYIG, such volume must be increased by three orders of magnitude to get the required rate. This large quantity can\nbe achieved by increasing the quantity of material in a single cavity and the number of cavities.\nIn conclusion, the successful operation of an ultra cryogenic quantum-limited prototype demonstrates the possibility\nof scaling up the setup of orders of magnitude without compromising its sensitivity. To further increase the axionic\nsignal there are two parameters to work on: the hybrid mode linewidth and the sample spin-density and volume. To\n\fnally achieve the sensitivity required by a QCD axion search, it is necessary to use a photon counter. The upgrades\nplanned until now are implemented and result e\u000bective, as the apparatus behaves as expected. No showstoppers were\nidenti\fed so far.\n8 Acknowledgment\nN.C. is thankful INFN and the Laboratori Nazionali di Legnaro for hosting and encouraging the experiment. The help\nand support of Giovanni Carugno and Giuseppe Ruoso is deeply acknowledged.\nReferences\n1. N. Crescini, D. Alesini, C. Braggio, et al. Axion search with a quantum-limited ferromagnetic haloscope. Phys. Rev. Lett. ,\n124:171801, May 2020.\n2. F. Zwicky. Die Rotverschiebung von extragalaktischen Nebeln. Helvetica Physica Acta , 6:110{127, 1933.\n3. V. C. Rubin, N. Thonnard, and W. K. Ford, Jr. Extended rotation curves of high-luminosity spiral galaxies. IV - Systematic\ndynamical properties, SA through SC. Astrophysical Journal , 225:L107{L111, November 1978.\n4. V. C. Rubin, W. K. Ford, Jr., and N. Thonnard. Rotational properties of 21 SC galaxies with a large range of luminosities\nand radii, from NGC 4605 /R = 4kpc/ to UGC 2885 /R = 122 kpc/. Astrophysical Journal , 238:471{487, June 1980.\n5. Gerard Jungman, Marc Kamionkowski, and Kim Griest. Supersymmetric dark matter. Physics Reports , 267(5):195 { 373,\n1996.\n6. Giorgio Arcadi, Ma\u0013 \u0010ra Dutra, Pradipta Ghosh, et al. The waning of the wimp? a review of models, searches, and constraints.\nThe European Physical Journal C , 78(3):203, Mar 2018.\n7. Vasiliki A Mitsou. Overview of searches for dark matter at the LHC. Journal of Physics: Conference Series , 651:012023,\nnov 2015.\n8. Nicolao Fornengo. Status and perspectives of indirect and direct dark matter searches. Advances in Space Research ,\n41(12):2010 { 2018, 2008.\n9. Joerg Jaeckel and Andreas Ringwald. The low-energy frontier of particle physics. Annual Review of Nuclear and Particle\nScience , 60(1):405{437, 2010.\n10. Steven Weinberg. Approximate symmetries and pseudo-goldstone bosons. Phys. Rev. Lett. , 29:1698{1701, Dec 1972.\n11. Roberto D. Peccei. The Strong CP Problem and Axions , pages 3{17. Springer Berlin Heidelberg, Berlin, Heidelberg, 2008.\n12. G. 't Hooft. Symmetry breaking through bell-jackiw anomalies. Phys. Rev. Lett. , 37:8{11, Jul 1976.Eur. Phys. J. Plus ##################### #### Page 15 of 18\n13. G. 't Hooft. Computation of the quantum e\u000bects due to a four-dimensional pseudoparticle. Phys. Rev. D , 14:3432{3450,\nDec 1976.\n14. P. Di Vecchia. G. Veneziano R.J. Crewther and E. Witten. Chiral estimate of the electric dipole moment of the neutron\nin quantum chromodynamics. Physics Letters B , 91(3{4):487, 1980.\n15. Maxim Pospelov and Adam Ritz. Electric dipole moments as probes of new physics. Annals of Physics , 318(1):119 { 169,\n2005. Special Issue.\n16. Norman F. Ramsey. Electric-dipole moments of particles. Annual Review of Nuclear and Particle Science , 32(1):211{233,\n1982.\n17. J. H. Smith, E. M. Purcell, and N. F. Ramsey. Experimental limit to the electric dipole moment of the neutron. Phys.\nRev., 108:120{122, Oct 1957.\n18. C. A. Baker et al. An Improved experimental limit on the electric dipole moment of the neutron. Phys. Rev. Lett. ,\n97:131801, 2006.\n19. J. M. Pendlebury, S. Afach, N. J. Ayres, et al. Revised experimental upper limit on the electric dipole moment of the\nneutron. Phys. Rev. D , 92:092003, Nov 2015.\n20. R. D. Peccei and Helen R. Quinn. CP conservation in the presence of pseudoparticles. Phys. Rev. Lett. , 38:1440{1443,\nJun 1977.\n21. Frank Wilczek. Problem of strong p and t invariance in the presence of instantons. Phys. Rev. Lett. , 40(5):279, 1978.\n22. Steven Weinberg. A new light boson? Phys. Rev. Lett. , 40(4):223, 1978.\n23. Jihn E. Kim. Weak-interaction singlet and strong CP invariance. Phys. Rev. Lett. , 43:103{107, Jul 1979.\n24. Jihn E. Kim. A review on axions and the strong cp problem. AIP Conference Proceedings , 1200(1):83{92, 2010.\n25. M.A. Shifman, A.I. Vainshtein, and V.I. Zakharov. Can con\fnement ensure natural cp invariance of strong interactions?\nNuclear Physics B , 166(3):493 { 506, 1980.\n26. M. Tanabashi et al. Review of Particle Physics. Phys. Rev. , D98(3):030001, 2018.\n27. A. R. Zhitnitsky. The weinberg model of the cp violation and t odd correlations in weak decays. Sov. J. Nucl. Phys. ,\n31:529{534, 1980. [Yad. Fiz.31,1024(1980)].\n28. Michael Dine, Willy Fischler, and Mark Srednicki. A simple solution to the strong cp problem with a harmless axion.\nPhysics Letters B , 104(3):199 { 202, 1981.\n29. Michael Dine and Willy Fischler. The not-so-harmless axion. Physics Letters B , 120(1):137 { 141, 1983.\n30. Laurence F Abbott and P Sikivie. A cosmological bound on the invisible axion. Physics Letters B , 120(1-3):133{136, 1983.\n31. John Preskill, Mark B. Wise, and Frank Wilczek. Cosmology of the invisible axion. Physics Letters B , 120(1):127 { 132,\n1983.\n32. Richard Lynn Davis. Goldstone bosons in string models of galaxy formation. Phys. Rev. D , 32:3172{3177, Dec 1985.\n33. R.L. Davis. Cosmic axions from cosmic strings. Physics Letters B , 180(3):225 { 230, 1986.\n34. S. Chang, C. Hagmann, and P. Sikivie. Studies of the motion and decay of axion walls bounded by strings. Phys. Rev. D ,\n59:023505, Dec 1998.\n35. David H. Lyth. Estimates of the cosmological axion density. Physics Letters B , 275(3):279 { 283, 1992.\n36. Michiyasu Nagasawa and Masahiro Kawasaki. Collapse of axionic domain wall and axion emission. Phys. Rev. D , 50:4821{\n4826, Oct 1994.\n37. David J.E. Marsh. Axion cosmology. Physics Reports , 643:1 { 79, 2016.\n38. Pierre Sikivie. Invisible axion search methods. Rev. Mod. Phys. , 93:015004, Feb 2021.\n39. Bonati, Claudio, D'Elia, Massimo, Mariti, Marco, et al. Recent progress on qcd inputs for axion phenomenology. EPJ\nWeb Conf. , 137:08004, 2017.\n40. Florian Burger, Ernst-Michael Ilgenfritz, Maria Paola Lombardo, Michael M uller-Preussker, and Anton Trunin. Topology\n(and axion's properties) from lattice qcd with a dynamical charm. Nuclear Physics A , 967:880 { 883, 2017. The 26th\nInternational Conference on Ultra-relativistic Nucleus-Nucleus Collisions: Quark Matter 2017.\n41. Evan Berkowitz, Michael I Bucho\u000b, and Enrico Rinaldi. Lattice qcd input for axion cosmology. Physical Review D ,\n92(3):034507, 2015.\n42. Szabolcs Bors\u0013 anyi, Z Fodor, J Guenther, et al. Calculation of the axion mass based on high-temperature lattice quantum\nchromodynamics. Nature , 539(7627):69, 2016.\n43. Peter Petreczky, Hans-Peter Schadler, and Sayantan Sharma. The topological susceptibility in \fnite temperature qcd and\naxion cosmology. Physics Letters B , 762:498{505, 2016.\n44. Giovanni Grilli di Cortona, Edward Hardy, Javier Pardo Vega, and Giovanni Villadoro. The qcd axion, precisely. Journal\nof High Energy Physics , 2016(1):34, Jan 2016.\n45. Georg G Ra\u000belt. Stars as laboratories for fundamental physics: The astrophysics of neutrinos, axions, and other weakly\ninteracting particles . University of Chicago press, 1996.\n46. Georg G. Ra\u000belt. Astrophysical methods to constrain axions and other novel particle phenomena. Physics Reports , 198(1):1\n{ 113, 1990.\n47. Michael S Turner. Windows on the axion. Physics Reports , 197(2):67{97, 1990.\n48. Paolo Gondolo and Georg G. Ra\u000belt. Solar neutrino limit on axions and kev-mass bosons. Phys. Rev. D , 79:107301, May\n2009.\n49. H. Schlattl, A. Weiss, and G. Ra\u000belt. Helioseismological constraint on solar axion emission. Astroparticle Physics , 10(4):353\n{ 359, 1999.#### Page 16 of 18 Eur. Phys. J. Plus #####################\n50. Javier Redondo. Solar axion \rux from the axion-electron coupling. Journal of Cosmology and Astroparticle Physics ,\n2013(12):008{008, dec 2013.\n51. N. Viaux, M. Catelan, P. B. Stetson, et al. Neutrino and axion bounds from the globular cluster m5 (ngc 5904). Phys.\nRev. Lett. , 111:231301, Dec 2013.\n52. Georg G. Ra\u000belt. Axion constraints from white dwarf cooling times. Physics Letters B , 166(4):402 { 406, 1986.\n53. Alejandro H. C\u0013 orsico, Alejandra D. Romero, Leandro G. Althaus, et al. An asteroseismic constraint on the mass of the\naxion from the period drift of the pulsating DA white dwarf star l19-2. Journal of Cosmology and Astroparticle Physics ,\n2016(07):036{036, jul 2016.\n54. J. Engel, D. Seckel, and A. C. Hayes. Emission and detectability of hadronic axions from sn 1987a. Phys. Rev. Lett. ,\n65:960{963, Aug 1990.\n55. Lev B. Leinson. Axion mass limit from observations of the neutron star in cassiopeia a. Journal of Cosmology and\nAstroparticle Physics , 2014(08):031{031, aug 2014.\n56. Jochen Keller and Armen Sedrakian. Axions from cooling compact stars: Pair-breaking processes. Nuclear Physics A ,\n897:62 { 69, 2013.\n57. Armen Sedrakian. Axion cooling of neutron stars. Phys. Rev. D , 93:065044, Mar 2016.\n58. Patrick Fox, Aaron Pierce, and Scott Thomas. Probing a qcd string axion with precision cosmological measurements.\narXiv preprint hep-th/0409059 , 2004.\n59. Kyu Jung Bae, Ji-Haeng Huh, and Jihn E Kim. Updating the axion cold dark matter energy density. Journal of Cosmology\nand Astroparticle Physics , 2008(09):005, sep 2008.\n60. Olivier Wantz and E. P. S. Shellard. Axion cosmology revisited. Phys. Rev. D , 82:123508, Dec 2010.\n61. Max Tegmark, Daniel J. Eisenstein, Michael A. Strauss, et al. Cosmological constraints from the sdss luminous red galaxies.\nPhys. Rev. D , 74:123507, Dec 2006.\n62. Mar\u0013 \u0010a Beltr\u0013 an, Juan Garc\u0013 \u0010a-Bellido, and Julien Lesgourgues. Isocurvature bounds on axions revisited. Phys. Rev. D ,\n75:103507, May 2007.\n63. Mark P. Hertzberg, Max Tegmark, and Frank Wilczek. Axion cosmology and the energy scale of in\ration. Phys. Rev. D ,\n78:083507, Oct 2008.\n64. J Hamann, S Hannestad, G.G Ra\u000belt, and Y.Y.Y Wong. Isocurvature forecast in the anthropic axion window. Journal of\nCosmology and Astroparticle Physics , 2009(06):022{022, jun 2009.\n65. Planck Collaboration, Ade, P. A. R., Aghanim, N., et al. Planck 2013 results. xvi. cosmological parameters. A&A , 571:A16,\n2014.\n66. Planck Collaboration, Ade, P. A. R., Aghanim, N., et al. Planck 2015 results - xiii. cosmological parameters. A&A ,\n594:A13, 2016.\n67. Paola Arias, Davide Cadamuro, Mark Goodsell, et al. WISPy cold dark matter. Journal of Cosmology and Astroparticle\nPhysics , 2012(06):013{013, jun 2012.\n68. Igor G. Irastorza and Javier Redondo. New experimental approaches in the search for axion-like particles. Progress in\nParticle and Nuclear Physics , 102:89 { 159, 2018.\n69. P. Sikivie. Experimental tests of the \"invisible\" axion. Phys. Rev. Lett. , 51:1415{1417, Oct 1983.\n70. S. J. Asztalos, R. F. Bradley, L. Du\u000by, et al. Improved rf cavity search for halo axions. Phys. Rev. D , 69:011101, Jan 2004.\n71. L. D. Du\u000by, P. Sikivie, D. B. Tanner, et al. High resolution search for dark-matter axions. Phys. Rev. D , 74:012006, Jul\n2006.\n72. S. J. Asztalos, G. Carosi, C. Hagmann, et al. Squid-based microwave cavity search for dark-matter axions. Phys. Rev.\nLett., 104:041301, Jan 2010.\n73. N. Du, N. Force, R. Khatiwada, et al. Search for invisible axion dark matter with the axion dark matter experiment. Phys.\nRev. Lett. , 120:151301, Apr 2018.\n74. C. Bartram, T. Braine, R. Cervantes, et al. Axion dark matter experiment: Run 1b analysis details. Phys. Rev. D ,\n103:032002, Feb 2021.\n75. B. M. Brubaker, L. Zhong, Y. V. Gurevich, et al. First results from a microwave cavity axion search at 24 \u0016eV. Phys.\nRev. Lett. , 118:061302, Feb 2017.\n76. K. M. Backes, D. A. Palken, S. Al Kenany, et al. A quantum enhanced search for dark matter axions. Nature , 590(7845):238{\n242, February 2021.\n77. S. DePan\flis, A. C. Melissinos, B. E. Moskowitz, et al. Limits on the abundance and coupling of cosmic axions at\n4:5\u0014ma\u00145:0\u0016ev.Phys. Rev. Lett. , 59:839{842, Aug 1987.\n78. Ben T. McAllister, Graeme Flower, Eugene N. Ivanov, et al. The organ experiment: An axion haloscope above 15 ghz.\nPhysics of the Dark Universe , 18:67 { 72, 2017.\n79. Petrakou, Eleni and for CAPP/IBS. Haloscope searches for dark matter axions at the center for axion and precision\nphysics research. EPJ Web Conf. , 164:01012, 2017.\n80. Allen Caldwell, Gia Dvali, B\u0013 ela Majorovits, et al. Dielectric haloscopes: a new way to detect axion dark matter. Phys.\nRev. Lett. , 118(9):091801, 2017.\n81. Dmitry Budker, Peter W. Graham, Micah Ledbetter, Surjeet Rajendran, and Alexander O. Sushkov. Proposal for a cosmic\naxion spin precession experiment (casper). Phys. Rev. X , 4:021030, May 2014.\n82. Antoine Garcon, Deniz Aybas, John W Blanchard, et al. The cosmic axion spin precession experiment (CASPEr): a\ndark-matter search with nuclear magnetic resonance. Quantum Science and Technology , 3(1):014008, dec 2017.Eur. Phys. J. Plus ##################### #### Page 17 of 18\n83. G Ruoso, A Lombardi, A Ortolan, et al. The quax proposal: a search of galactic axion with magnetic materials. Journal\nof Physics: Conference Series , 718(4):042051, 2016.\n84. R. Barbieri, C. Braggio, G. Carugno, et al. Searching for galactic axions through magnetized media: The quax proposal.\nPhysics of the Dark Universe , 15:135 { 141, 2017.\n85. Jonathan L. Ouellet, Chiara P. Salemi, Joshua W. Foster, et al. First results from abracadabra-10 cm: A search for sub- \u0016eV\naxion dark matter. Phys. Rev. Lett. , 122:121802, Mar 2019.\n86. F. Caspers and Y. Semertzidis. Ferrimagnetic resonance, magnetostatic waves and open resonators for axion detection. In\nCosmic axions. Proceedings, Workshop, Upton, USA, April 13-14, 1989 , pages 0173{183, 1989.\n87. R. Barbieri, M. Cerdonio, G. Fiorentini, and S. Vitale. Axion to magnon conversion. a scheme for the detection of galactic\naxions. Physics Letters B , 226(3):357 { 360, 1989.\n88. AI Kakhidze and IV Kolokolov. Antiferromagnetic axion detector. Zh. Eksp. Teor. Fiz , 99:1077{1081, 1991.\n89. PV Vorobyov, AN Kirpotin, ME Rovkin, and AP Boldyrev. Ferromagnetic detectors of axions in rf (s-x) band. arXiv\npreprint hep-ph/9506371 , 1995.\n90. Lawrence Krauss, John Moody, Frank Wilczek, and Donald E. Morris. Calculations for cosmic axion detection. Phys. Rev.\nLett., 55:1797{1800, Oct 1985.\n91. L.D. Landau, E.M. Lif\u0014 sic, E.M. Lifshitz, et al. Statistical Physics: Theory of the Condensed State . Course of theoretical\nphysics. Elsevier Science, 1980.\n92. Planck Collaboration, Ade, P. A. R., Aghanim, N., et al. Planck 2015 results - xiii. cosmological parameters. A&A ,\n594:A13, 2016.\n93. Michael S. Turner. Periodic signatures for the detection of cosmic axions. Phys. Rev. D , 42:3572{3575, Nov 1990.\n94. Michael S. Turner and Frank Wilczek. Positron line radiation as a signature of particle dark matter in the halo. Phys.\nRev. D , 42:1001{1007, Aug 1990.\n95. Erik W. Lentz, Thomas R. Quinn, Leslie J. Rosenberg, and Michael J. Tremmel. A new signal model for axion cavity\nsearches from n -body simulations. The Astrophysical Journal , 845(2):121, 2017.\n96. N. Bloembergen and R. V. Pound. Radiation damping in magnetic resonance experiments. Phys. Rev. , 95:8{12, Jul 1954.\n97. Charles Kittel. Interpretation of anomalous larmor frequencies in ferromagnetic resonance experiment. Phys. Rev. , 71:270{\n271, Feb 1947.\n98. M. Sparks and C. Kittel. Ferromagnetic relaxation mechanism for mzin yttrium iron garnet. Phys. Rev. Lett. , 4:232{234,\nMar 1960.\n99. Michael Tavis and Frederick W. Cummings. Exact solution for an n-molecule|radiation-\feld hamiltonian. Phys. Rev. ,\n170:379{384, Jun 1968.\n100. Xufeng Zhang, Chang-Ling Zou, Liang Jiang, and Hong X. Tang. Strongly coupled magnons and cavity microwave photons.\nPhys. Rev. Lett. , 113:156401, Oct 2014.\n101. Yutaka Tabuchi, Seiichiro Ishino, Toyofumi Ishikawa, et al. Hybridizing ferromagnetic magnons and microwave photons\nin the quantum limit. Phys. Rev. Lett. , 113:083603, Aug 2014.\n102. N. Crescini, C. Braggio, G. Carugno, A. Ortolan, and G. Ruoso. Coherent coupling between multiple ferrimagnetic spheres\nand a microwave cavity at millikelvin temperatures. Phys. Rev. B , 104:064426, Aug 2021.\n103. Crescini, N., Alesini, D., Braggio, C., et al. Operation of a ferromagnetic axion haloscope at ma= 58\u0016eV.Eur. Phys. J.\nC, 78(9):703, 2018.\n104. N. Crescini. Towards the development of the ferromagnetic axion haloscope, PH. D. thesis , padova university, 2019.\n105. B. Yurke, L. R. Corruccini, P. G. Kaminsky, et al. Observation of parametric ampli\fcation and deampli\fcation in a\njosephson parametric ampli\fer. Phys. Rev. A , 39:2519{2533, Mar 1989.\n106. Manuel Castellanos Beltran and K W. Lehnert. Widely tunable parametric ampli\fer based on a superconducting quantum\ninterference device array resonator. Applied Physics Letters , 91:083509{083509, 08 2007.\n107. M. Sandberg, C. M. Wilson, F. Persson, et al. Tuning the \feld in a microwave resonator faster than the photon lifetime.\nApplied Physics Letters , 92(20):203501, 2008.\n108. Erik A. Thol\u0013 en, Adem Erg ul, Evelyn M. Doherty, et al. Nonlinearities and parametric ampli\fcation in superconducting\ncoplanar waveguide resonators. Applied Physics Letters , 90(25):253509, 2007.\n109. T. Yamamoto, K. Inomata, M. Watanabe, et al. Flux-driven josephson parametric ampli\fer. Applied Physics Letters ,\n93(4):042510, 2008.\n110. B. Abdo, O. Suchoi, E. Segev, et al. Intermodulation and parametric ampli\fcation in a superconducting stripline resonator\nintegrated with a dc-SQUID. EPL (Europhysics Letters) , 85(6):68001, mar 2009.\n111. J. Y. Mutus, T. C. White, R. Barends, et al. Strong environmental coupling in a josephson parametric ampli\fer. Applied\nPhysics Letters , 104(26):263513, 2014.\n112. T. Mimura. The early history of the high electron mobility transistor (hemt). IEEE Transactions on Microwave Theory\nand Techniques , 50(3):780{782, March 2002.\n113. Ananda Roy and Michel Devoret. Introduction to parametric ampli\fcation of quantum signals with josephson circuits.\nComptes Rendus Physique , 17(7):740 { 755, 2016. Quantum microwaves / Micro-ondes quantiques.\n114. N. Bergeal, R. Vijay, V. E. Manucharyan, et al. Analog information processing at the quantum limit with a josephson\nring modulator. Nature Physics , 6:296 EP {, Feb 2010. Article.\n115. N. Crescini, C. Braggio, G. Carugno, et al. Magnon-driven dynamics of a hybrid system excited with ultrafast optical\npulses. Communications Physics , 3(1):164, Sep 2020.\n116. Ciaran O'HARE. cajohare/axionlimits: Axionlimits, July 2020.#### Page 18 of 18 Eur. Phys. J. Plus #####################\n117. Rapha el Lescanne, Samuel Del\u0013 eglise, Emanuele Albertinale, et al. Irreversible qubit-photon coupling for the detection of\nitinerant microwave photons. Phys. Rev. X , 10:021038, May 2020.\n118. Emanuele Albertinale, L\u0013 eo Balembois, Eric Billaud, et al. Detecting spins by their \ruorescence with a microwave photon\ncounter. Nature , 600(7889):434{438, Dec 2021." }, { "title": "1607.07274v1.Damping_of_parametrically_excited_magnons_in_the_presence_of_the_longitudinal_spin_Seebeck_effect.pdf", "content": "arXiv:1607.07274v1 [cond-mat.mes-hall] 25 Jul 2016Damping of parametrically excited magnons in the presence o f the longitudinal spin\nSeebeck effect\nThomas Langner,1,∗Akihiro Kirihara,2Alexander A. Serga,1Burkard Hillebrands,1and Vitaliy I. Vasyuchka1\n1Fachbereich Physik and Landesforschungszentrum OPTIMAS,\nTechnische Universit¨ at Kaiserslautern, 67663 Kaisersla utern, Germany\n2IoT Devices Research Laboratories, NEC Corporation, Tsuku ba 305-8501, Japan\n(Dated: September 26, 2018)\nThe impact of the longitudinal spin Seebeck effect (LSSE) on t he magnon damping in magnetic-\ninsulator/nonmagnetic-metal bilayers was recently discu ssed in several reports. However, results\nof those experiments can be blurred by multimode excitation within the measured linewidth. In\norder to avoid possible intermodal interference, we invest igated the damping of a single magnon\ngroup in a platinum covered Yttrium Iron Garnet (YIG) film by m easurement of the threshold of\nits parametric excitation. Both dipolar and exchange spin- wave branches were probed. It turned\nout that the LSSE-related modification of spin-wave damping in a micrometer-thick YIG film is too\nweak to be observed in the entire range of experimentally acc essible wavevectors. At the same time,\nthe change in the mean temperature of the YIG layer, which can appear by applying a temperature\ngradient, strongly modifies the damping value.\nSpin caloritronics, the research field focused on\nthe interaction between magnetic and thermal effects,\nhas attracted a lot of interest in recent investigation\nactivities1–3. The possibility to control and manipulate\nmagnetic processes by thermal means offers a high po-\ntential for application. Furthermore the finding of ways\nto reinvest waste heat is one of the major challenges to-\nwards green energy techniques4,5. The spin Seebeck ef-\nfect is one of the most fascinating phenomena in this\nresearch area. Here a spin current is generated by a tem-\nperature gradient across the interface between a mag-\nnetic material and a nonmagnetic metallic layer6. Usu-\nally the inverse spin Hall effect is used to detect this\nspin current7. This means that it is converted into a\ncharge current due to spin orbit interaction inside the\nnonmagnetic metal and, thus, an electric voltage can be\ndetected. Since its observation the spin Seebeck effect\nhas become the major tool in spincaloritronic research.\nAlthough there has been much effort to reveal the na-\nture of the spin Seebeck effect8,9and to develop possible\napplication schemes, there are still open questions. For\nexample, the influence of the spin Seebeck effect on the\nmagnetization dynamics is still under discussion.\nRecentresearchactivitieswerefocusedtofind evidence\nthat the spin Seebeck effect can partially compensate\nmagnetic damping10,11and can even enhance the magne-\ntization precession12in case of dipolar spin waves. It has\nbeen reported that a generated spin current establishes\nan additional spin torque to the ferromagnet13. If the\ntemperature of the non-magnetic metal layer is higher\nthan the temperature of the magnetic material a spin\nangular momentum is transferred into the ferromagnet\nand reduces the effective damping. In the opposite case\nthis angular momentum is absorbed by the nonmagnetic\nmetal layer and thus the effective magnetic damping is\nenhanced. In order to gain more insight into this pos-\nsible influence on the damping of excited magnons we\ninvestigate the threshold power of the parametric gener-\nation of magnons. Parametric pumping is a well estab-lished,powerfultooltoexciteandamplifymagnonsinthe\ndipolar and in the dipolar-exchange areas of a spin-wave\nspectrum14–16. Hereby an alternating magnetic field os-\ncillating with twice the magnon frequency is applied par-\nallel to the magnetization ofa ferromagnet. A microwave\nfrequency photon converts into two magnons with half\nthe frequency and opposite wavevectors. If the energy\ntransferred to the spin system overcomes the spin-wave\nlosses,parametricinstabilityoccursandthemagnonden-\nsity increases exponentially with time. Thus the para-\nmetric magnon generation is a threshold process. An\ninfluence of the spin Seebeck effect on the damping will\nmodify this pumping threshold. Therefore we investigate\nthe impact of a temperature gradient on a magnonic sys-\ntem in a bilayer of a ferrimagnetic film and an attached\nnonmagnetic metallic film with high spin orbit coupling.\nWe show that this influence of a temperature gradient\nis weak and cannot be detected within the experimen-\ntal errors. We compare these results with homogeneous\nvariation of the temperature. In that case the influence\non the damping is pronounced.\nIn order to perform the mesaurements, the experi-\nmental setup shown in Fig. 1 is used. The investigated\nsample is a multilayer of a 5nm thick Platinum (Pt)\nfilm, a 6.7 µm thick Yttrium Iron Garnet (YIG) film\nand a 500 µm thick Gallium Gadolinium Garnet (GGG)\nsubstrate. The YIG layer is grown on the GGG sub-\nstrate in (111)-orientation by liquid phase epitaxy. The\nplatinum film was sputtered on the YIG surface. With\nthe platinum layer at the bottom, the sample is placed\non top of a 50 µm wide copper microstrip. A thin coating\nlayer of Polymethyl Methacrylate (PMMA) electrically\nisolates the Pt layer from this microstrip. The microstrip\nis structured on a metallized aluminum nitride (AlN)\nsubstrate that is used due to its high thermal conduc-\ntivity at room temperature17of 285Wm−1K−1. Two\nseparately controlled Peltier elements, one below the\nAlN substrate and one directly on top of the sample, are\nused to create a temperature gradient perpendicular to2\nFIG. 1. Scheme of the experimental setup. The\nPt/YIG/GGG sample and the AlN substrate with microstrip\nline are clamped between two separately controlled Peltier\nelements that create a thermal gradient ∇Tacross the sam-\nple. The Pt layer is electrically isolated from the copper mi -\ncrostrip by a thin PMMA coating. The microstrip is part of\na microwave resonator. A microwave current applied to the\nresonator creates a dynamic Oersted field hdynwith a compo-\nnent parallel to the static magnetization of the sample. The\nwhole setup is mounted in a heat sink. On the right side the\nmeasurement scheme is shown. The reflected signal delivers\ninformation about the creation of magnons.\nthe sample plane, see Fig. 1. Using Peltier elements the\ntemperature configurations can be precisely controlled\nfor uniform temperatures and also for a temperature\ngradient in both directions. Nevertheless the maximal\npossible temperature difference in both directions is\nlimited to about 20◦C in the experimental setup at\naround room temperature due to heat dissipation. The\nback sides of the Peltier elements are connected to heat\nsinks that are clamped between the water-cooled poles\nof an electromagnet ensuring effective heat transfer in\nthe system. The externally applied magnetic field His\noriented in plane of the sample and perpendicular to the\nlong axis of the copper stripline.\nA stub tuner connected to the microstrip line creates\na tunable microwave resonator. 10 µs long microwave\npulses with a carrier frequency of 14GHz and a repeti-\ntion time of 10ms applied to this resonator create an al-\nternating Oersted field hdynaround the microstrip. The\nsmall width of the microstrip leads to a high microwave\ncurrent density and, thus, a strongly localized high mag-\nnetic field density. The reflected microwave signal from\nthis resonator is rectified by a semiconductor diode and\nthe envelope is shown on an oscilloscope. The microwave\npower applied to the resonator is controlled to exactly\nthat level where the reflected pulse starts showing a kink\nat the end of the pulse profile. This kink appears as\na consequence of a change in the quality factor of the\ntuned resonator due to the excitation of magnons, and\nthus gives evidence for the appearance of the parametric\ninstability18,19. In this case the applied microwavepower\nlevel is considered as the threshold power. Since the\namount ofgeneratedmagnonsis still low at the threshold\npower level and the pumping pulse is switched off closeto this point, we can neglect the additional heating of the\nsample by magnon-phonon transfer.\nIn our experiments the threshold power is measured in\na wide range of bias magnetic field values and thus is de-\ntermined for a wide range of magnon wavenumbers (see\nFig. 2a). Firstly the temperature of the YIG/Pt bilayer\nwas changed homogeneously in 20◦C steps from -5◦C to\n75◦C. The results are shown in Fig. 2b. In each case\nthere is the typical dependence of the threshold power\non the magnetic field19. Close to the ferromagnetic res-\nonance (FMR) with wavenumber k→0, at the critical\nfieldHcrit, the parametric excitation is most efficient due\nto the highest ellipticity in the precession. This ellip-\nticity is caused by the dynamic stray field. Thus, the\nthreshold pumping field strength is minimal. With de-\ncreasing external magnetic field in the range below the\ncritical field ( H < H crit), the threshold power increases\nslowly due to an increase in wavenumbers and a related\ndecrease in the ellipticity of the excited spin waves20.\nFor magnetic fields little below Hcrita sharp peak in the\nthreshold power can be found. It appears because of\nan increase of the threshold power due to interactions\nof the magnons with a transversal phonon mode. With\nincreasing external magnetic field above the critical field\nthe threshold power increases sharply19. The coupling of\nmicrowave photons to the corresponding magnons is re-\nduced since the angle of the amplified spin waves to the\nparallel pump field is smaller than 90◦. Moreover, since\nthese spin waves possess a nonzero group velocity com-\nponent parallel to the external field, they flow out of the\nstrongly localized amplification area of 50 µm width on\nthe pumping microstrip and therefore increase the effec-\ntive damping. It is important to notice that there is only\none distinct group of magnons parametrically excited for\neach magnetic field value. The spectral density of para-\nmetrically excited spin waves is typically of the order of\nseveralkilohertz21, what is much smallerthan the typical\nFMR linewidth of a YIG film.\nComparing the curves for different temperatures, we\nobserve a change in the magnetic field position of the\nminimum. This occurs due to a change in the satura-\ntion magnetization by changing the temperature. The\nsaturation magnetization is recalculated using Kittel’s\nformula22with the values of the critical fields. The re-\nsults are shown in Fig. 3. The linear fit has a slope of\n∆(4πMS)/∆T=-3.2G·K−1, what is in good agreement\nto previous results23–25. Beside the shift of the critical\nfield values in the threshold curve towards higher mag-\nnetic fields, a monotonous increase in the full range of\nthe magnetic field in the threshold power is obvious. For\neach 20◦C temperature step we observe a difference of\napproximately 1dB in applied microwave power to reach\nthethresholdvalue. AccordingtoCherepanov et al.26the\nintrinsic damping in YIG strongly depends on the abso-\nlute temperature due to Kasuya-LeCraw processes27,28.\nAhigher dampingleads to a higherthreshold powersince\nit has to be overcome by a higher pumping field.\nFrom the values of Fig. 3 we can estimate the change3\nFIG. 2. (a) Excited wavenumbers for different temperatures\nwith respect to the externally applied magnetic field. The\nspectrum was determined using the theory from Gurevich et\nal.15. (b) Measured dependencies of the threshold power on\nthe externally applied magnetic field for constant tempera-\ntures of the sample. Below the temperature dependent criti-\ncal fields Hcritthe generated magnon wavevector is oriented\nperpendicular to the applied magnetic field.\nin the spin-wave relaxation parameter Γ for the applied\nconstanttemperaturescomparedtothereferencevalueat\nTref=35◦C for the wavenumber k→0. We can deter-\nmine the relative change in the relaxation parameters15\nusing\n∆Γ =Γ(T)−Γ(Tref)\nΓ(Tref)·100%. (1)\nTaking into account the connection between the relax-\nation parameter, the magnetization dependent coupling\ncoefficient and the threshold field we can rewrite:\n∆Γ = (MS(T)\nMS(Tref)·/radicalBigg\nPthr(T)\nPthr(Tref)−1)·100% (2)\nwith the corresponding threshold microwave power Pthr.\nTheresultingrelativechangesareshownin Fig.3asopen\ncircles. In the case of a temperature of 75◦C the relax-\nationfrequencyis13.0%higher, for-5◦Cit is9.4%lower\nthan the relaxation frequency at the reference tempera-\nture of 35◦C. This means that the change in damping byFIG.3. Fullsquares: Calculated dependenceofthesaturati on\nmagnetization on the temperature of the YIG layer. The\nline is the result of a linear fit. Open circles: Temperature\ndependent change in relaxation parameter ∆Γ for the critica l\nfield relative to the corresponding relaxation parameter fo r\nthe reference temperature of Tref=35◦C.\nchanging the absolute temperature is very pronounced.\nAt the same time we can neglect the influence of the\nGGG substrate on the threshold power around tempera-\ntures of around 300K29. Therefore, all investigations on\nthe pure influence of a temperature gradient must neces-\nsarily avoid any changes in the mean temperature of the\nsystem.\nIn the next step, temperature gradients were created\nacross the sample thickness. In order to investigate the\npure influence of a temperature gradient on the paramet-\nric pumping process it is important to keep the average\ntemperature of the YIG layer, where magnons are ex-\ncited, constant. The bottom Peltier element has been\nadapted so that we obtain the same mean temperature\nof the YIG film of 35◦C in every case. In first approach\nthis mean temperature has been tuned by the electri-\ncal resistance of the Pt layer used as a thermometer and\nby an additional precise alignment of the FMR thresh-\nold minimum to the same magnetic field value in every\ncase. The temperature on the top of the sample is either\n17◦C higher or 15◦C lower than this base temperature,\ndepending on the direction of the gradient. The depen-\ndence of the threshold power on the magnetic field for a\nhomogeneous temperature of 35◦C is also used here for\ncomparison.\nA temperature gradient across the YIG/Pt bilayer inter-\nface leads to the longitudinal spin Seebeck effect (LSSE).\nIn our system its existence has been proven by direct\nmeasurements of the LSSE voltage between the lateral\nedges of the Pt layer, see the inset in Fig 4. For opposite\ndirectionsofthetemperaturegradientthe measuredvolt-\nageshaveoppositesignswithasignchangeatzerofield30.\nFor a homogeneous temperature of the sample of 35◦C\nno voltage is detected. At the same time the measure-\nment of the threshold powers in the parametric pumping\nprocessrevealthatthereisnomeasurableinfluenceofthe4\nFIG. 4. Measured dependencies of the threshold power on\nthe externally applied magnetic field for different tempera-\nture gradients perpendicular to the sample plane. The mean\ntemperature ofT=35◦Cofthesample is thesame for all three\ncurves. The inset shows the spin Seebeck voltage depending\non the external magnetic field for the same temperature gra-\ndients.\nlongitudinal spin Seebeck effect on the spin-wave damp-\ning parameter (see Fig. 4). All three curves shown are\non the same level within an experimental uncertainty.\nIn contrast to previous reports, where a broader range\nof magnons are excited, the parametric pumping process\ngenerates magnons of only one distinct magnon group\nwithin a very narrow bandwidth. Measurements of the\nferromagnetic resonance in thick macroscopic YIG sam-\nples might show a temperature dependent heterogeneous\nbroadening of the linewidth due to a relative shift of the\nmanymodeexcitationinthisprocess. Aninfluenceofthe\nspin Seebeck effect on the well-defined mode excited by\nparametric pumping in our experiments was not found.\nRecent theoretical investigations by Bender et al.31show\nthat the temperature difference at the interface between\nthe electrons in the platinum layer and the magnons in\nthe YIG layer needed to compensate the magnetic damp-\ning is at least in the order of the magnon energy ¯ hΩ.Hereby Ω is the magnon frequency, that is strictly lim-\nited to 2π×7GHz in our experiment. Therefore a visible\nchange in the damping in the order of 5% can be reached\nby a temperature difference ∆ Tmpof 16.8mK between\nthe magnon temperature in YIG and the electron tem-\nperature in Pt. Our calculations using the theory of Xiao\net al.32,33applied for a mean temperature of 35◦C and\nthe parameters of our experiment reveal a value of ∆ Tmp\n= 1.9mK. Thus, the influence of the spin Seebeck ef-\nfect on the damping of parametric spin waves is even in\ntheory too weak to be determined. Lauer et al.34have\nfound a spin-transfer torque by a spin polarized current\ncreated by the spin Hall effect that affects the parametric\npumpingthresholdinthinYIG/Ptbilayers. Nevertheless\nan influence of the spin Seebeck effect could also not be\nobserved in that report, what supports our findings.\nIn summary, the threshold power levels for the paral-\nlel parametric pumping process in YIG/Pt bilayers in a\nwide wavevector range have been investigated for differ-\nent thermal configurations. It has been shown, that the\nthreshold power strongly depends on the mean temper-\nature of the YIG layer, whereas a temperature gradient\ndoes not change the threshold power as long as there\nis no change in the mean temperature of the YIG film\nwith thickness in the order of micrometers. These ten-\ndencies are visible throughout the entire measured range\nof wavevectors. It has been confirmed that the longitudi-\nnal spin Seebeck effect is present, but finally its possible\ninfluence on the spin-wave damping is too weak to be\ndetermined in our experiments.\nACKNOWLEDGMENTS\nThe authors thank Tobias Fischer as well as Bert\nL¨ agel from the Nanostructuring Center (NSC) of TU\nKaiserslauternforthe preparationofthe samples. Finan-\ncial support by Deutsche Forschungsgemeinschaft(DFG)\nwithin priority program SPP1538 ”Spin Caloric Trans-\nport” (projects VA 735/1-2 and SE 1771/4-2) is grate-\nfully acknowledged.\n∗tlangner@rhrk.uni-kl.de\n1G. E. W. Bauer, E. Saitoh, and B. J. van Wees, Spin\ncaloritronics , Nature Mater. 11, 391 (2012).\n2S. R. Boona, R. C. Myers, and J. P. Heremans, Spin\ncaloritronics , Energy Environ. Sci. 7, 885 (2014).\n3Y. Tserkovnyak, S. A. Bender, R. A. Duine, and B. Fle-\nbus,Bose-Einstein condensation of magnons pumped by\nthe bulk spin Seebeck effect , Phys. Rev. B 93, 100402(R)\n(2016).\n4A. Kirihara, K.-I. Uchida, Y. Kajiwara, M. Ishida,\nY. Nakamura, T. Manako, E. Saitoh, and S. Yorozu, Spin-\ncurrent-driven thermoelectric coating , Nature Mater. 11,\n686 (2012).5A. Kirihara, K. Kondo, M. Ishida, K. Ihara, Y. Iwasaki,\nH. Someya, A. Matsuba, K.-I. Uchida, E. Saitoh, N. Ya-\nmamoto, S.Kohmoto, and T. Murakami, Flexible heat-flow\nsensing sheets based on the longitudinal spin Seebeck effect\nusing one-dimensional spin-current conducting films , Sci.\nRep.6, 23114 (2016).\n6K.-I. Uchida, H. Adachi, T. Ota, H. Nakayama,\nS. Maekawa, and E. Saitoh, Observation of longitudinal\nspin-Seebeck effect in magnetic insulators , Appl. Phys.\nLett.97, 172505 (2010).\n7E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Conver-\nsion of spin current into charge current at room tempera-\nture: Inverse spin-Hall effect , Appl. Phys. Lett. 88, 1825095\n(2006).\n8M. Schreier, F. Kramer, H. Huebl, S. Gepr¨ ags, R. Gross,\nS. T. B. Goennenwein, T. Noack, T. Langner, A. A. Serga,\nB. Hillebrands, and V. I. Vasyuchka, Spin Seebeck effect at\nmicrowave frequencies , Phys. Rev. B 93, 224430 (2016).\n9A. Kehlberger, U. Ritzmann, D. Hinzke, E.-J. Guo,\nJ. Cramer, G. Jakob, M. C. Onbasli, D. H. Kim,\nC. A. Ross, M. B. Jungfleisch, B. Hillebrands, U. Nowak,\nand M. Kl¨ aui, Length scale of the spin Seebeck effect , Phys.\nRev. Lett. 115, 096602 (2015).\n10M. B. Jungfleisch, T. An, K. Ando, K. Uchida,\nV. I. Vasyuchka, A. V. Chumak, A. A. Serga, E. Saitoh,\nand B. Hillebrands, Heat-induced damping modification\nin yttrium iron garnet/ platinum hetero-structures , Appl.\nPhys. Lett. 102, 062417 (2013).\n11L. Lu, Y. Sun, M. Jantz, and M. Wu, Control of ferro-\nmagnetic relaxation in magnetic thin films through ther-\nmally induced interfacial spin transfer , Phys. Rev. Lett.\n108, 257202 (2012).\n12E. Padr´ on-Hern´ andez, A. Azevedo, and S. M. Rezende,\nAmplification of spin waves by thermal spin-transfer\ntorque, Phys. Rev. Lett. 107, 197203 (2011).\n13S. Kasai, K. Kondou, H. Sukegawa, S. Mitani, K. Tsuk-\nagoshi, and Y. Otani, Modulation of effective damping con-\nstant using spin Hall effect , Appl. Phys. Lett. 104, 092408\n(2014).\n14E. Schl¨ omann, J. J. Green, and U. Milano, Recent devel-\nopments in ferromagnetic resonance at high power levels ,\nJ. Appl. Phys. 31, 386S (1960).\n15A. G. Gurevich, and G. A. Melkov, Magnetization oscilla-\ntions and waves , (CRC, New York, 1996).\n16V. E. Zakharov, V. S. L’vov, and S. S. Starobinets, Sta-\ntionary nonlinear theory of parametric excitation of waves ,\nSov. Phys. JETP 32, 656 (1971).\n17G. A. Slack, R. A. Tanzilli, R. O. Pohl, The intrinsic\nthermal-conductivity of AlN , J. Phys. Chem. Solids 48, 7\n(1987).\n18C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A. Serga,\nV. I. Vasyuchka, M. B. Jungfleisch, E. Saitoh, and B. Hille-\nbrands, Spin pumping by parametrically excited exchange\nmagnons , Phys. Rev. Lett. 106, 216601 (2011).\n19T. Neumann, A. A. Serga, V. I. Vasyuchka, and B. Hille-\nbrands,Field-induced transition from parallel to perpendic-\nular parametric pumping for a microstrip transducer , Appl.\nPhys. Lett. 94, 192502 (2009).\n20A. A. Serga, C. W. Sandweg, V. I. Vasyuchka,\nM. B. Jungfleisch, B. Hillebrands, A. Kreisel, P. Kopietz,\nand M. P. Kostylev, Brillouin light scattering spectroscopy\nof parametrically excited dipole-exchange magnons , Phys.\nRev. B86, 134403 (2012).\n21I. V. Krutsenko, V. S. L’vov, and G. A. Melkov, Spectral\ndensity of parametrically excited waves , Sov. Phys. JETP48, 561 (1978).\n22C. Kittel, On the theory of ferromagnetic resonance ab-\nsorption, Phys. Rev. 73, 155 (1948).\n23B. Obry, V. I. Vasyuchka, A. V. Chumak, A. A. Serga, and\nB. Hillebrands, Spin-wave propagation and transforma-\ntion in a thermal gradient , Appl. Phys. Lett. 101, 192406\n(2012).\n24M. Vogel, A. V. Chumak, E. H. Waller, T. Langner,\nV. I. Vasyuchka, B. Hillebrands, and G. von Freymann,\nOptically reconfigurable magnetic materials , Nature Phys.\n11, 487 (2015).\n25H. A. Algra and P. Hansen, Temperature dependence of\nthe saturation magnetization of ion-implanted YIG films ,\nAppl. Phys. A 29, 83 (1982).\n26V. Cherepanov, I. Kolokolov, and V. L’vov, The saga of\nYIG: spectra, thermodynamics, interaction and relaxation\nof magnons in a complex magnet , Phys.Rep. 229, 3(1993).\n27T. Kasuya and R. C. LeCraw, Relaxation mechanisms in\nferromagnetic resonance , Phys. Rev. Lett. 6, 5 (1961).\n28A. G. Gurevich and A. N. Asimov, Intrinsic spin wave\nrelaxation processes in yttrium iron garnets , Sov. Phys.\nJETP41, 2 (1975).\n29V. V. Danilov, A. Y. Nechiporuk, and L. V. Chevnyuk,\nTemperature dependences of the spin waves parametric ex-\ncitation threshold and relaxation parameter in garnet stru c-\ntures, Fizika Nizkikh Temperatur 22, 9 (1996).\n30M.Schreier, G.E.W.Bauer, V.I.Vasyuchka,J.Flipse, K.-\nI. Uchida, J. Lotze, V. Lauer, A. V. Chumak, A. A. Serga,\nS. Daimon, T. Kikkawa, E. Saitoh, B. J. van Wees,\nB. Hillebrands, R. Gross, and S. T. B. Goennenwein,\nSign of inverse spin Hall voltages generated by ferromag-\nnetic resonance and temperature gradients in yttrium iron\ngarnet|platinum bilayers , J. Phys. D: Appl. Phys. 48,\n025001 (2015).\n31S. A. Bender and Y. Tserkovnyak, Thermally driven spin\ntorques in layered magnetic insulators , Phys. Rev. B 93,\n064418 (2016).\n32J. Xiao, G. E. W. Bauer, K. C. Uchida, E. Saitoh, and\nS. Maekawa, Theory of magnon-driven spin Seebeck effect ,\nPhys. Rev. B 81, 214418 (2010).\n33M. Schreier, A. Kamra, M. Weiler, J. Xiao,\nG. E. W. Bauer, R. Gross, and S. T. B. Goennen-\nwein,Magnon, phonon, and electron temperature profiles\nand the spin Seebeck effect in magnetic insulator/normal\nmetal hybrid structures , Phys. Rev. B 88, 094410 (2013).\n34V. Lauer, D. A. Bozhko, T. Br¨ acher , P. Pirro,\nV. I. Vasyuchka, A. A. Serga, M. B. Jungfleisch,\nM. Agrawal, Yu. V. Kobljanskyj, G. A. Melkov, C. Dubs,\nB. Hillebrands, and A. V. Chumak, Spin-transfer torque\nbased damping control of parametrically excited spin waves\nin a magnetic insulator , Appl. Phys. Lett. 108, 012402\n(2016)." }, { "title": "1811.00689v1.Evidence_for_exchange_Dirac_gap_in_magneto_transport_of_topological_insulator_magnetic_insulator_heterostructures.pdf", "content": "Evidence for exchange Dirac gap in magneto-transport of topological \ninsulator-magnetic insulator heterostructures \nS. R. Yang1#, Y . T. Fanchiang2#, C. C. Chen1, C. C. Tseng1, Y . C. Liu1, M. X. Guo1, M. \nHong2, S. F. Lee3*, and J. Kwo1* \n1Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan \n2Department of Physics, National Taiwan University, Taipei 10617, Taiwan \n3Institute of Physics, Academia Sinica, Taipei 11529, Taiwan \n# Authors who have equal contributions to this work \n* Corresponding authors \nAbstract: \nTransport signatures of exchange gap opening because of magnetic proximity \neffect (MPE) are reported fo r bilayer structures of Bi 2Se3 thin films on yttrium iron \ngarnet (YIG) and thulium iron garnet (TmI G) of perpendicular magnetic anisotropy \n(PMA). Pronounced negative magnetoresistanc e (MR) was detected, and attributed to \nan emergent weak localization (WL) effect superimposing on a weak antilocalization \n(WAL). Thickness-dependent study shows that the WL originates from the time-reversal-symmetry breaking of topological surface states by interfacial exchange \ncoupling. The weight of WL declined when the interfacial magnetization was aligned \ntoward the in-plane direction, which is understood as the effect of tuning the exchange \ngap size by varying the perpendicular magnetization component. Importantly, \nmagnetotransport study revealed anomalous Hall effect (AHE) of square loops and anisotropic magnetoresistance (AMR) char acteristic, typifyi ng a ferromagnetic \nconductor in Bi\n2Se3/TmIG, and the presence of an interfacial ferromagnetism driven \nby MPE. Coexistence of MPE-induced ferromagnetism and the finite exchange gap provides an opportunity of realizing zero magnetic-field dissipation-less transport in \ntopological insulator/ferromagne tic insulator heterostructures. Breaking time-reversal symmetry (TRS) in topological insulators (TIs) leads to \nseveral exotic phenomenon such as quantum anomalous Hall effect (QAHE), \ntopological magnetoelectric effect, and magnetic monopole [1,2] . A prerequisite of \nthese novel quantum state is an energy gap opened at the Dirac surface state induced \nby exchange interaction with magnetic elements [3]. Magnetic doping is a prevalent \nway of introducing ferromagnetism in TIs [4-7] . Study of TRS breaking in \nmagnetically doped TIs was ignited by the direct observation of an exchange gap \nopening of topological surface states (TSS s) via angle-resolved photoemission \nspectroscopy (ARPES) [5], and culminated with the real ization of QAHE in Cr-doped \n(Bi,Sb) 2Te3 [8]. Although magnetic doping is proven to be effective in breaking TRS, \nthe observation temperature of QAHE reported so far was less than 2 K [8-12] , \norder-of-magnitude lower than the ferromagnetic Curie temperature ( ܶC). It is \nsuggested that the disorder created by dopants, as well as the small exchange gap size \ninduced by low doping concentration, poses a limit of raising the QAHE temperature \n[12,13] . \nRecently, magnetic proximity effect (M PE) of TI/ferromagnetic insulator (FI) \nheterostructures was demonstrated as another promising route of breaking TRS \n[14-17] . Besides the benefit of much higher ܶC, the induced interfacial magnetization \nis uniform, free of crystal defects. A room -temperature ferromagnetism by MPE is \ndirectly observed in epitaxial EuS/Bi 2Se3 by polarized neutron reflectometry [16] . \nMoreover, robust anomalous Hall (AH) resistances up to 400 K has been detected in (Bi,Sb)\n2Te3 films on TmIG with perpendicular magnetic anisotropy (PMA) [17] . \nDespite the clear observations of ferromagnetism and presumably pronounced TRS \nbreaking, the experimental indications of exchange gap opening following MPE in these cases are still vague. Unlike magnet ically doped-TIs where the gapped surface \ncan be exposed to the probe of ARPES technique [5], the gapped surface state caused by MPE is buried at the interface, making it difficult to investigate using typical \nARPES. Attempts to detect MPE-induced exchange gap by transport measurements \nhave been made by various groups [18-20] . One signature of exchange gap opening is \nan emerging weak localization (WL) taking the form of negative magnetoresistance \n(MR) accompanied by a suppressed weak antilocalization (WAL) [21]. However, \nnegative MR in TI/FI was hitherto observed in samples comparable or beyond the \nIoffe-Regel limit (sheet resistance ܴୱ݁/݄ ଶ) [18-20] . Above the limit, the Anderson \n(strong) localization due to disorder c ould also give rise to a negative MR [22,23] , \nwhich cannot be easily distinguished from the one due to an exchange gap. Therefore, \na definite transport signature of MPE-induced exchange gap remains elusive. \nDespite the observation of negative MR and suppressed WAL [24-26], robust \nMPE-induced ferromagnetism in TIs, whic h should be best manifested as large \nremnant magnetization ܯ୰ pointing out-of-plane and hysteric AHE, has not been \nobserved in those systems. Conversely, although clear ܯ୰ was detected in \n(Bi,Sb) 2Te3/TmIG, the negative MR and transport signature of gap opening were not \nsimultaneously presented [17]. To achieve QAHE in TI/FI, both ingredients, robust \nferromagnetism of TSS and exchange gap opening, must be fulfilled concurrently, \nwhich is a condition yet to be demonstrated for TI/FI. In pursuing high-temperature \nQAHE through MPE, it is important to identify the transport signature of the \nexchange gap associated with MPE-induced ferromagnetism, before one moves on to \nthe ultimate goal of QAHE. Moreover, although AHE is often taken as an evidence of interfacial ferromagnetism, the possible spin current effect in TI/FI, such as spin Hall \nMR [27] , can also lead to similar AH resistances. Additional transport study is \ndemanded to elucidate possible modulations of magneto-transport by spin current effects. \nIn this work we report a pronounced WL that competes with the WAL in Bi2Se3/YIG and Bi 2Se3/TmIG bilayers. The ferrimagnetic garnet films were chosen \nbecause of their high ܶC above 500 K [28] , good thermal stability in conjunction \nwith TIs, and their technological importance [29] . The emergent WL effect is strong \nenough to manifest a negative magnetoresistance (MR), showing systematic changes \nwith the perpendicular magnetization controlled by tilted external fields. This strongly suggests that the observed WL arose from a finite MPE-induced exchange gap, whose \nsize could be further tuned by the external field directions. Most importantly, the \nbreaking of TRS by MPE is corroborated by the observation of AHE up to 180 K, \ntogether with clear anisotropic magnetoresistance (AMR), confirming ferromagnetic \nTSS. Our study thus presents a coherent picture of the long sought MPE-induced \nferromagnetism and exchange gap in electron transport. \nOur YIG and TmIG thin films are fabricated using off-axis sputtering [30,31] . \nYIG is a ferrimagnetic insulator with ultralow magnetic damping ideal for spin wave and spin current transport study [29] , while TmIG films, when under a tensile strain, \nexhibit robust and tunable perpendicular magnetic anisotropy (PMA) [31-33] which is \nessential for exchange gap of TSSs. Bi\n2Se3 thin films of 6 – 40 quintuple layer (QL) \nwere deposited on the garnet substrates by molecular beam epitaxy (MBE). High \nquality Bi 2Se3 thin films and sharp Bi 2Se3/garnet interface were obtained by the \ninvention of a novel growth procedure, a key factor for conveying strong exchange \ninteraction of the localized magnetic moments of garnets layers and TSSs [34] . For \ntransport measurements, Bi 2Se3/garnet bilayer samples were made into Hall bars \n(650 µm ൈ 50 µm ) by standard photolithography. Four points measurement was \ncarried out in a 9 T Quantum Design physical property measurement system with a \n10 µA DC current. \nFigure 1(a) shows the temperature dependence of sheet resistance ( ܴୱ) of \nBi2Se3/YIG bilayers with Bi 2Se3 thickness of 6, 10, 16, and 40 QL. All samples exhibit metallic behavior of decreasing ܴୱ when the samples were cooled down from \nroom temperature. The sheet carrier density of these samples is in the range of \nሺ1.5 െ 3 ሻൈ1 0ଵଷcmିଶ, indicating that the bulk carriers of Bi 2Se3 participate in the \nelectron transport. Due to an increasing surface scattering, the ܴୱ tends to be larger \nin thinner Bi 2Se3 [35]. Note that the maximum ܴୱ of these sample were well below \nh/݁ଶሺൎ 25.8 kΩ ሻ satisfying the condition of transport regime, thus quantum \ninterference effects of 2D electron systems in TI thin films, such as WAL and possible \nWL, can be described by well-developed theories [22] . Figure 1(b) displays the MR \ndata taken at 2 K under a perpendicular applied field for the four Bi 2Se3/YIG bilayers \nand, for comparison, a 9 QL Bi 2Se3 grown on Al 2O3. For Bi 2Se3/Al 2O3, a sharp cusp \nfeature at low fields, characteristic of WAL effect, was observed, and the MR stayed \npositive up to 9 T. WAL in thin Bi 2Se3 is generally attributed to destructive \ninterference because of difference of Berry’s phase 2ߨ accumulated by the Dirac \nfermion travelling in two time-reversed paths [1]. In contrast, notable negative MR \nwere observed in 6 and 10 QL Bi 2Se3/YIG. Specifically, at low fields ( ൏1 T ), a \nweakened positive MR or suppressed WAL was observed in all the four Bi 2Se3/YIG \nbilayers. At intermediate fields ( 1െ4 T ), MR becomes negative for the thinner two \nsamples. While the MR of 16 and 40 QL Bi 2Se3/YIG remained positive, that from 16 \nQL Bi 2Se3/YIG did show the much weaker positive MR compared to that of \nBi2Se3/sapphire. When the external field exceeded 4 T, all the samples exhibited \npositive MR that results from the Lorentz force on moving electrons. \nThe suppressed WAL effect of Bi 2Se3/YIG suggests that an additional transport \nmechanism shows up that contributes to a negative MR and competes with the WAL. \nSince the film quality of Bi 2Se3 grown on YIG is comparable to that on sapphire, the \ndistinct MR behavior of Bi 2Se3/YIG is most likely originated from the interaction \nbetween the bottom surface of Bi 2Se3 and the YIG layer. As the YIG is ferrimagnetic at 2 K [36] , a sizable interfacial exchange coupling should exist in Bi 2Se3/YIG \n[15,25,30] , which is otherwise negligible for the non-magnetic sapphire substrate. \nTherefore, the stark contrast in the MR behaviors suggest that the suppressed WAL \nand negative MR are the indication on transport properties of TRS-breaking in TIs. \nOther possible mechanisms for the negative MR of TIs are defect-induced hopping transport [37] , hybridization gap of TSSs [21], and bulk subbands in thin TIs [38] . \nEach scenario can be excluded straightfo rwardly, as discussed in details in \nSupplemental Materials [39] . \nWhen the TSS is subjected to an exchange field, the Dirac fermion becomes \nmassive, as expressed by an effective Hamiltonian ܪൌെ i ݒ\nிሺෝൈܢොሻڄસෝ\nଶۻڄ \n[21], where ݒி is the Fermi velocity, ෝ is Pauli matrix, ܬ is the exchange coupling \nconstant and ۻ is the magnetization unit factor. The resulting energy dispersion is \n \nܧൌേ ඨ൬ݒ ி݇௫ܬ\n2ܯ௬൰ଶ\n൬ ݒ ி݇௬െܬ\n2ܯ௫൰ଶ\n൬ܬ\n2ܯ௭൰ଶ\n (1)\n \nwith an exchange gap size of ܯܬ . It has been shown that electrons travelling a closed \npath would acquire a Berry’s phase as ߨሺ1െܯܬ ௭/2ܧ Fሻ, where E F is Fermi energy \nmeasured from the Dirac point [21] . The modulated Berry’s phase weakens the \nassociated destructive interference, or even induces a crossover from WAL to WL. In \nthe case of Bi 2Se3/YIG, interfacial exchange coupling can induce a finite gap through \nMPE, which further leads to competing WL. To quantitatively describe the negative \nMR, we calculated the longitudinal conductivity ܩ୶୶ from the tensor relation \nܴ୶୶/ ሺܴ ୶୶ଶܴ ୷୶ଶሻ. The competition between WAL and WL can be described using the \nmodified Hikami-Larkin-Nagaoka (HLN) equation [21,40] , \nΔܩ ൌ ܩ ୶୶ሺܤሻെܩ ୶୶ሺ0ሻൌ ߙ ୧ቆ݁ଶ\n݄ߨቇቈ ߰ቆ\n4݈݁୧ଶܤ1\n2ቇെl nቆ\n4݈݁୧ଶܤቇଵ\n୧ୀܤߚ ଶ (2)\n, where ߰ is the digamma function, ߙ represents the weights of WL ( iൌ0 ) or \nWAL ( iൌ1 ), ݈୧ is the corresponding effective phase coherence length. The ܤߚଶ \nterm primarily results from the Lorentz deflection of carriers [39]. To clearly reveal \nthe presence of the WL component, the MC curves subtracted by the ܤߚଶ \nbackground are plotted in Fig. 1(c) . Positive MC was observed for 6, 10, and 16 QL \nBi2Se3/YIG. Figure 1(d) shows the Bi 2Se3 thickness dependence of ߙ and ߙଵ. For 6 \nand 10 QL Bi 2Se3/YIG bilayers, large ߙ values of 0.7 and 2.7 were extracted, \nrespectively. A crossing of the magnitudes of ߙ and ߙଵ was observed in thicker \nBi2Se3 as ߙ decreased substantially for 16 QL Bi 2Se3 and became vanishing for 40 \nQL Bi 2Se3. Meanwhile, the ߙଵ value in general remains lower than -0.5, showing a \nslight decrease toward thinner Bi 2Se3. The smaller ߙଵ value suggests suppressed \nWAL channels in the bulk-surface-coupled Bi 2Se3 [23,35] . The extracted ߙ’s and \nߙଵ’s thus reveal the competitive behavior between WL and WAL, whose interfacial \norigin are indicated by the stronger WL and weaker WAL in thinner Bi 2Se3. It is \nnoteworthy that Eq. 2 is derived from a model considering an effective Hamiltonian \nof a single Dirac surface state. In reality, the Bi 2Se3 films have two conducting \nsurfaces interacting through the bulk carriers in transport [23]. The complexity may \ngive rise to the unexpectedly large ߙ in thin Bi 2Se3/YIG. Nevertheless, Eq. 2 and \nFig. 1(d) do capture the concept of emergent WL from TRS breaking at the interfaces \n[13]. \n TmIG films with PMA are more desirable for exchange gap opening at zero applied field due to their robust ܯ\n୰. To further verify the relation between WL and \nexchange gap, we tilted the applied field from the z direction. Based on Eq. (1), tilted \nfield should effectively vary the size of ܯ and thus tune the exchange gap size ܯܬ . In Bi 2Se3/TmIG, ܯ stands for the z component of (i) magnetization of TmIG near \nthe interface or (ii) MPE-driven magnetization on the TI side ଵ. Figure 2(c) -(f) \nshows the MR results of Bi 2Se3/Al 2O3 and Bi 2Se3/YIG under applied fields of \ndifferent angles ߠ୷ൌ 90°, 60°, and 30° . For Bi 2Se3/Al 2O3, although the MR curves \nchange with ߠ୷ in Fig. 2(c) , when plotted as a function of perpendicular field \nܤ൫ൌ ܤsinߠ ୷൯ in Fig. 2(d) , the curves collapse into one. The observation implies \nthat MR here is sensitive to ܤ only and can be well explained by an ordinary WAL \neffect in the Bi 2Se3/Al 2O3 where ܬൎ0 . \nIn sharp contrast, unusual MR behaviors were seen for Bi 2Se3/TmIG. Firstly, \nBi2Se3/TmIG also shows clear negative MR in the intermediate fields as Bi 2Se3/YIG \n(Fig. 2(e) ). Note that it is difficult to directly measure the ܤ-dependent magnetization \nof TmIG films because of large low- ܶ paramagnetic background from GGG [30,41] . \nThe total anisotropy field of TmIG is ~0.07 T at room temperature [31], which \nshould not increase dramatically at low ܶ as it is compromised by increasing \nsaturation magnetization of TmIG. At fields ܤ 1.2 ܶ where negative MR starts to \nappear, we expect that the magnetization of TmIG has been saturated by ܤ .Secondly, \nas shown in Fig. 2(f) , the ܤ dependence of MR systematically changes with ߠ୷. At \nlow ܤ, the MR curves for different ߠ୷’s coincide well because WAL is governed \nprimarily by ܤ. The MR curves split when ܤ1 . 2 ܶ and possess weaker negative \nMR for smaller ߠ୷. The correspondence between negative MR and ߠ୷ can be best \nexplained by a tunable exchange gap. As illustrated in Fig. 2(a) , when the applied \nfield was sufficient to align ۻ at interface, the exchange gap size is tuned by \nre-orienting ۻ .Since the exchange gap ܯܬ ܯן ןs i n ߠ ୷, it follows that the \nnegative MR of Bi 2Se3/TmIG, or the weight of WL, is in positive correlation with the \nexchange gap size. Because the exchange gap size determines the deviations of the \nBerry’s phase from ߨ ,the effect of gap tuning is manifested as the variable negative MR with ߠ୷. \nIn principle, an exchange gap can be induced locally by individual magnetic \nimpurities [42] . Although magnetic impurities deposited on a TI surface can acquire \nferromagnetism via Ruderman–Kittel–Kasuya–Yosidas (RKKY) type interaction \nmediated by Dirac fermions [42], this may not be the leading mechanism for \nferromagnetism in a TI/FI system, where interlayer exchange coupling plays the \nmajor role. To realize QAHE, ferromagnetism needs be establishe d for an exchange \ngap opened macroscopically without an applied field [13]. In the following, we show \nthat the Bi 2Se3/TmIG does meet the criterion. Figure 3(a) shows a representative \ncurve of AHE at 100 K. A square hysteresis loop of Hall resistance was observed after \nthe contribution from the ordinary Hall effect was subtracted, based on the empirical \nformula ܴ୷୶ൌܴ Hሺܤሻܴ AHሺܤሻ, where ܴH is the ordinary Hall resistance, and \nܴAH represents the AH resistance. Since TmIG layer is insulating, the AH resistance \ndominantly comes from the TI layer. The hysteresis loop resembles that of TmIG \nmagnetization [31] . As displayed in Fig. 3(b) , the switching field of the hysteresis \nloops ܤୡ increases rapidly as temperature was lowered. The enhanced ܪୡ is likely \nassociated with the larger strain in TmIG at low temperatures [33] . Moreover, the \neffect of the stray field on ܴAH was negligible as we did not observe an AH \nresistance in Bi 2Se3/Al 2O3/TmIG, in which the interfacial exchange coupling is \ngreatly suppressed by nonmagnetic Al 2O3 (see Supplemental materials, Fig. S2(a), (b) \n[39]). The above observations indicate that a spontaneous magnetization, ଵ, has \ndeveloped at Bi 2Se3/TmIG interface because of MPE, with the magnetized Bi 2Se3 \nbottom surface effectively acting as a magnetic conductor. The AH resistance can be further transformed to AH conductance using ߪ\nAHߩ؆ AH/ߩ୶୶. Figure 3(b) shows the \ntemperature dependence of AH conductance amplitude ߪAH. ߪAH decays moderately \nwith increasing ܶ below 50 K, and persists up to 180 K. In the weakly disorder limit, the exchange gap size can be estimated from total ߪAH using ߪAHൎమ\nቀ\nாFቁଷ\n, taking \ninto account of extrinsic AH conductivity [43]. With ܧF0 . 1 5 eV for \nbulk-conductive Bi 2Se3 [23] , a lower bound of exchange gap size ~7.7 meV at 10 K \nis determined. The gap size is in good agreement with 9 meV obtained from density-functional theory calculations for EuS/Bi\n2Se3 [44]. The gap is one order of \nmagnitude smaller than that observed in magnetically doped TIs of ~100 meV \n[13,45] . However, the very large surface state gap in doped TIs is likely nonmagnetic \nand caused by resonant states induced by impurities near the Dirac point [45]. \nTo clarify the role of MPE-induced magn etization, the MR measurements were \nconducted at 100 K to preclude low-fiel d quantum interference effects of TSS. Figure \n4(a) shows the field-dependent resistance of our samples with longitudinal ( צܴ ,)\ntransverse ( ܴT), and perpendicular ( ܴୄ) fields. Distinct turnings of צܴ and ܴT were \nobserved at ~ 0.5 T, which were attributed to the field needed to fully saturate the \nperpendicular magnetization in Bi 2Se3/TmIG toward in-plane direction. Below the \nsaturation field, צܴ and ܴT progressively increase with the increasing in-plane field, \nand צܴܴ T in particular. Meanwhile, ܴୄ is parabolic because of ܤ-induced \nLorentz deflection (see also Figs. 4(c) and (d)). We recognize the MR behaviors in Fig. \n4(a) as features of AMR caused by MPE. Regardless of the domain configuration, an \nin-plane field promotes (diminishes) the average of in-plane (perpendicular) \nMPE-induced magnetization ݉ۃଵ୶,ଵ୷ ۄ( ݉ۃଵۄ )until the saturation field was reached. \nThe subsequent increase of צܴ and ܴT implies that ݉ۃଵ୶ۄ and ݉ۃଵ୷ۄ contribute \nlarger resistances than ݉ۃଵۄ does, i.e. ܴ,צܴTܴ ୄ. Indeed, in the regime \n|ܤ|൏0 . 7 ܶ where ܴୄ was not overwhelmingly enhanced by ܤ ,the AMR relation \nof magnetic thin films, צܴܴ Tܴ ୄ [46], has already appeared. Furthermore, \nFigure 4(a) also rules out SMR to be the dominant source of the AH resistances because SMR features צܴൎܴ ୄܴ T [27] . \nThe AMR amplitude צܴെܴ T continues to build up as ܤ went larger, further \njustified by the ሺcosሻଶ dependence on ߶୶୷ shown in Fig. 4(b) . Corresponding \nplanar Hall effect characteristic of fe rromagnetic conductors was also detected \n(Supplemental materials, Fig. S4(a), (b) [39] ). Alternatively, צܴെܴ T can be \nextracted from the resistance difference of ߠ୷ and ߙ୶ scans displayed in Fig. 4(c) , \ndespite the large contributions of Lorentz deflection in ܴୄ. As a comparison, nearly \nno ߶୶୷ dependence of resistances was detected in Bi 2Se3/Al 2O3 (Fig. 4(d) ). We \nnotice that the field-enhanced צܴെܴ T was also reported in Pt/YIG, where the \nauthors stated that the large-field צܴെܴ T mostly came from the \"hybrid MR\" of \nMPE [47] , which exhibits the same relation צܴൎܴ ୄܴ T as that of SMR. \nNotwithstanding the similarity between the two systems, we point out that Bi 2Se3 \ncannot be simply treated as a heavy metal with strong spin-orbit-coupling even at an elevated temperature. The MPE in Bi\n2Se3/TmIG involves hybridization between Fe \nd-orbitals and the paramagnetic TSS arising from the Bi and Se p-orbitals, as opposed \nto Pt/YIG or other Pt/FM structures where d-d interaction is responsible for MPE. The \ndistinct MR behaviors, AMR in Bi 2Se3/TmIG contrary to SMR/hybrid MR in Pt/YIG, \nmay be an important clue to the microsc opic transport property of MPE in TI/FI. \nTo summarize, a competing WL along with a suppressed WAL has been \nobserved in Bi 2Se3/YIG and Bi 2Se3/TmIG. Bi 2Se3 thickness dependence study \nsuggests that the WL comes from an exchange gap of TSSs opened at interface. In addition, the weight of WL evolves with tilted MPE-induced magnetization. Such \nangular dependence consolidates the exchange gap as the origin of WL, and the \nvariable WL strength signifies tunability of the gap. Moreover, the well-defined \nsquare ܴ\nAH loops in Bi 2Se3/TmIG unambiguously point to a long-range \nferromagnetic order at the interface, and thus ensure a macroscopic and uniform exchange gap at zero field. The MPE-induced ferromagnetism in Bi 2Se3/TmIG is \ndoubly evidenced by typical AMR characteristics, alleviating the concern of spin \ncurrent effects on the magneto-transport. The simultaneous presence of the \nMPE-induced long-range ferromagnetic order at the surface and the exchange gap is \nthus realized in the prototypical TI Bi 2Se3, pending the Fermi-level tuning to deplete \nthe bulk conduction. Lastly, by circumventing the inhomogeneous magnetic doping \nand the impurity-induced resonance state problems that have been encountered in \nmagnetically doped Bi 2Se3 [13,45] , our study demonstrates that MPE could be a more \nviable way of introducing ferromagnetism in various TI systems. \n \nAcknowledgment \nTechnical support from Nano group Public Laboratory, Institute of Physics, at \nAcademia Sinica in Taiwan, is acknowledged. The work is supported by MoST 105-2112-M-007-014-MY3, 106-2112-M- 002-010-, 107-2622-8-002-018, and \n105-2112-M-001-031-MY3 of the Ministry of Science and Technology in Taiwan. \n \n References \n[1] M. Z. Hasan and C. L. Kane, Rev. Mod. Phys. 82, 3045 (2010). \n[2] X. L. Qi and S. C. Zhang, Rev. Mod. Phys. 83, 1057 (2011). \n[3] X. L. Qi, T. L. Hughes, and S. C. Zhang, Phys. Rev. B 78, 195424 (2008). \n[4] R. Yu, W. Zhang, H. J. Zhang, S. C. Zhang, X. Dai, and Z. Fang, Science 61, \n329 (2010). \n[5] Y . L. Chen, J. H. Chu, J. G. Analytis, Z. K. Liu, K. Igarashi, H. H. Kuo, X. L. \nQi, S. K. Mo, R. G. Moore, D. H. Lu , M. Hashimoto, T. Sasagawa, S. C. \nZhang, I. R. Fisher, Z. Hussain, and Z. X. Shen, Science 329, 659 (2010). \n[6] Y . S. Hor, P . Roushan, H. Beidenkopf, J. Seo, D. Qu, J. G. Checkelsky, L. A. \nWray, D. Hsieh, Y . Xia, S. Y . Xu, D. Qian, M. Z. Hasan, N. P. Ong, A. Yazdani, \nand R. J. Cava, Phys. Rev. B 81 (2010). \n[7] C. Z. Chang, J. Zhang, M. Liu, Z. Zhang, X. Feng, K. Li, L. L. Wang, X. Chen, \nX. Dai, Z. Fang, X. L. Qi, S. C. Zhang, Y . Wang, K. He, X. C. Ma, and Q. K. \nXue, Adv. Mater. 25, 1065 (2013). \n[8] C. Z. Chang, J. Zhang, X. Feng, J. Shen, Z. Zhang, M. Guo, K. Li, Y . Ou, P . \nWei, L. L. Wang, Z. Q. Ji, Y . Feng, S. Ji, X. Chen, J. Jia, X. Dai, Z. Fang, S. C. \nZhang, K. He, Y . Wang, L. Lu, X. C. Ma, and Q. K. Xue, Science 340, 167 \n(2013). \n[9] X. Kou, S. T. Guo, Y . Fan, L. Pan, M. Lang, Y . Jiang, Q. Shao, T. Nie, K. \nMurata, J. Tang, Y . Wang, L. He, T. K. Lee, W. L. Lee, and K. L. Wang, Phys. \nRev. Lett. 113, 137201 (2014). \n[10] C. Z. Chang, W. Zhao, D. Y . Kim, H. Zhang, B. A. Assaf, D. Heiman, S. C. \nZhang, C. Liu, M. H. Chan, and J. S. Moodera, Nat. Mater. 14, 473 (2015). \n[11] A. Kandala, A. Richardella, S. Kempinger, C. X. Liu, and N. Samarth, Nat. \nCommun. 6, 7434 (2015). \n[12] M. Mogi, R. Yoshimi, A. Tsukazaki, K. Yasuda, Y . Kozuka, K. S. Takahashi, \nM. Kawasaki, and Y . Tokura, Appl. Phys. Lett. 107, 182401 (2015). \n[13] C. Z. Chang, P. Tang, Y . L. Wang, X. Feng, K. Li, Z. Zhang, Y . Wang, L. L. \nWang, X. Chen, C. Liu, W. Duan, K. He, X. C. Ma, and Q. K. Xue, Phys. Rev. \nLett. 112, 056801 (2014). \n[14] P. Wei, F. Katmis, B. A. Assaf, H. Steinberg, P. Jarillo-Herrero, D. Heiman, \nand J. S. Moodera, Phys. Rev. Lett. 110, 186807 (2013). \n[15] M. Lang, M. Montazeri, M. C. Onbasli, X. Kou, Y . Fan, P. Upadhyaya, K. Yao, \nF . Liu, Y . Jiang, W . Jiang, K. L. W ong, G. Y u, J. T ang, T . Nie, L. He, R. N. \nSchwartz, Y . Wang, C. A. Ross, and K. L. Wang, Nano Lett. 14, 3459 (2014). \n[16] F. Katmis, V . Lauter, F. S. Nogueira, B. A. Assaf, M. E. Jamer, P. Wei, B. \nSatpati, J. W. Freeland, I. Eremin, D. Heiman, P. Jarillo-Herrero, and J. S. Moodera, Nature 533, 513 (2016). \n[17] C. Tang, Chang, C. Z., G. Zhao, Y . Liu, Z. Jiang, C. X. Liu, M. R. McCartney, \nD. J. Smith, T. Chen, J. S. Moodera, and J. Shi, Sci. Adv. 3, e1700307 (2017). \n[18] G. Zheng, N. Wang, J. Yang, W. Wang, H. Du, W. Ning, Z. Yang, H. Z. Lu, Y . \nZhang, and M. Tian, Sci. Rep. 6, 21334 (2016). \n[19] Q. I. Yang, M. Dolev, L. Zhang, J. F. Zhao, A. D. Fried, E. Schemm, M. Liu, A. \nPalevski, A. F. Marshall, S. H. Risbud, and A. Kapitulnik, Phys. Rev. B 88, \n081407(R) (2013). \n[20] Q. I. Yang and A. Kapitulnik, Phys. Rev. B 98, 081403((R) (2018). \n[21] H. Z. Lu, J. Shi, and S. Q. Shen, Phys. Rev. Lett. 107, 076801 (2011). \n[22] J. Liao, Y . Ou, X. Feng, S. Yang, C. Lin, W. Yang, K. Wu, K. He, X. Ma, Q. K. \nXue, and Y . Li, Phys. Rev. Lett. 114, 216601 (2015). \n[23] M. Brahlek, N. Koirala, N. Bansal, and S. Oh, Solid State Commun. 215, 54 \n(2015). \n[24] Z. Jiang, F. Katmis, C. Tang, P. Wei, J. S. Moodera, and J. Shi, Appl. Phys. \nLett. 104, 222409 (2014). \n[25] X. Che, K. Murata, L. Pan, Q. L. He, G. Yu, Q. Shao, G. Yin, P. Deng, Y . Fan, \nB. Ma, X. Liang, B. Zhang, X. Han, L. Bi, Q. H. Yang, H. Zhang, and K. L. \nWang, ACS Nano 12, 5042 (2018). \n[26] S. Y . Huang, C. W. Chong, Y . Tung, T. C. Chen, K. C. Wu, M. K. Lee, J. C. \nHuang, Z. Li, and H. Qiu, Sci. Rep. 7, 2422 (2017). \n[27] H. Nakayama, M. Althammer, Y . T. Ch en, K. Uchida, Y . Kajiwara, D. Kikuchi, \nT. Ohtani, S. Geprags, M. Opel, S. Takahashi, R. Gross, G. E. Bauer, S. T. \nGoennenwein, and E. Saitoh, Phys. Rev. Lett. 110, 206601 (2013). \n[28] P. E. Seiden, Phys. Rev. 133, A728 (1964). \n[ 2 9 ] Y . K a j i w a r a , K . H a r i i , S . T a k a h a s h i , J . O h e , K . U c h i d a , M . M i z u g u c h i , H . \nUmezawa, H. Kawai, K. Ando, K. Takanashi, S. Maekawa, and E. Saitoh, \nNature 464, 262 (2010). \n[30] Y . T. Fanchiang, K. H. M. Chen, C. C. Tseng, C. C. Chen, C. K. Cheng, S. R. \nYang, C. N. Wu, S. F. Lee, M. Hong, and J. Kwo, Nat. Commun. 9, 223 \n(2018). \n[31] C. N. Wu, C. C. Tseng, Y . T. Fanchiang, C. K. Cheng, K. Y . Lin, S. L. Yeh, S. \nR. Yang, C. T. Wu, T. Liu, M. Wu, M. Hong, and J. Kwo, Sci. Rep. 8, 11087 \n(2018). \n[32] C. Tang, P. Sellappan, Y . Liu, Y . Xu, J. E. Garay, and J. Shi, Phys. Rev. B 94, \n140403(R) (2016). \n[33] A. Quindeau, C. O. Avci, W. Liu, C. Sun, M. Mann, A. S. Tang, M. C. Onbasli, \nD. Bono, P. M. V oyles, Y . Xu, J. Robinson, G. S. D. Beach, and C. A. Ross, Adv. Electron. Mater. 3, 1600376 (2017). \n[34] C. C. Chen, K. H. M. Chen, Y . T. Fanchiang, C. C. Tseng, S. R. Yang, C. N. \nWu, M. X. Guo, C. K. Cheng, C. T. Wu, M. Hong, and J. Kwo, \narXiv:1809.04513 (2018). \n[35] Y . S. Kim, M. Brahlek, N. Bansal, E. Edrey, G. A. Kapilevich, K. Iida, M. \nTanimura, Y. Horibe, S.-W. Cheong, and S. Oh, Phys. Rev. B 84 (2011). \n[36] E. E. Anderson, Phys. Rev. 134, A1581 (1964). \n[37] K. Banerjee, J. Son, P. Deorani, P. Ren, L. Wang, and H. Yang, Phys. Rev. B \n90, 235427 (2014). \n[38] H. Z. Lu and S. Q. Shen, Phys. Rev. B 84, 125138 (2011). \n[39] Supplemental Materials. \n[40] S. Hikami, A. I. Larkin, and Y . Nagaoka, Prog. Theor. Phys. 63, 707 (1980). \n[41] J. C. Gallagher, A. S. Yang, J. T. Brangham, B. D. Esser, S. P. White, M. R. \nPage, K. Meng, . Y ., S. Yu, R. Adur, W. Ruane, S. R. Dunsiger, D. W. \nMcComb, F. Yang, and P. C. Hammel, Appl. Phys. Lett. 109, 072401 (2016). \n[42] Q. Liu, C. X. Liu, C. Xu, X. L. Qi, and S. C. Zhang, Phys. Rev. Lett. 102, \n156603 (2009). \n[43] I. A. Ado, I. A. Dmitriev, P. M. Ostrovsky, and M. Titov, Europhys. Lett. 111, \n37004 (2015). \n[44] A. T. Lee, M. J. Han, and K. Park, Phys. Rev. B 90, 155103 (2014). \n[45] J. Sanchez-Barriga, A. Varykhalov, G. Springholz, H. Steiner, R. Kirchschlager, \nG. Bauer, O. Caha, E. Schierle, E. Weschke, A. A. Unal, S. Valencia, M. Dunst, J. Braun, H. Ebert, J. Minar, E. Golias, L. V . Y ashina, A. Ney, V . Holy, and O. \nRader, Nat. Commun. 7, 10559 (2016). \n[46] T. G. S. M. Rijks, S. K. J. Lenczowski, R. Coehoorn, and W. J. M. de Jonge, \nPhys. Rev. B 56, 362 (1997). \n[47] B. F. Miao, S. Y . Huang, D. Qu, and C. L. Chien, Phys. Rev. Lett. 112, 236601 \n(2014). \n \n \n \n \n \n \nFigure 1. Transport properties of Bi 2Se3/YIG of various Bi 2Se3 thickness and one \nBi2Se3/Al 2O3 bilayer. (a) Sheet resistance ܴୱ vs temperature ܶ( .b) \nMagnetoresistance (MR) measured at 2 K. (c) The magnetoconductance (MC) \nobtained by subtracting the contribution from the ܤߚଶ term of Eq. (2). Inset: \ndecomposition of the MC curve into the WL, the WAL, and the B2 components for the \n10 nm Bi 2Se3/YIG samples. The MC curve can be we ll-fitted to Eq. (2). (d) Thickness \ndependence of ߙ and ߙଵ extracted by curve fitting to Eq. (2). \n \nFigure 2. (a) Illustration of exchange gap opening and its size dependence on the direction of ( .b) Configurations of three different angular dependent resistance \nwith field rotating in the xy-plane ( ߶\n୶୷-scan), yz-plane ( ߠ୷-scan), and xz-plane \n(ߙ୶-scan). (e)-(f) MR measurement at 2 K with magnetic field applied at ߠ୷ൌ\n90°, 60° and 30°. In (c) and (e), resistances are plotted as a function of the magnetic \nfield strength for Bi 2Se3/Al 2O3 and Bi 2Se3/TmIG, respectively. The field data are \nfurther transformed by ܤsinߠ ௬ൌܤ to show the ܤ dependence of MR in (d) and \n(f). \n \nFigure 3. (a) A representative ܴAHെܤ hysteresis loop of Bi 2Se3/TmIG at 100 K. (b) \nAmplitude of AH conductance ΔߪAH and ܤୡ as a function of temperature. \n \n \n \n \n \n \nFigure 4. Field- and angular dependent resistances of Bi 2Se3/TmIG and Bi 2Se3/Al 2O3 \nat 100 K. (a) Field-dependent צܴ ,ܴT, and ܴୄ of Bi 2Se3/TmIG. (b) ߶୶୷-, (c) ߠ୷-, \nand ߙ୶-dependent resistances of Bi 2Se3/TmIG. Here MR is defined as ൫ܴୱሺ݅ሻെ\nܴୱሺ90°ሻ൯/ܴୱሺ90°ሻ with ݅ൌ߶ ୶୷,ߠ୷, and ߙ୶. (d) ߶୶୷-, ߠ୷-, and ߙ୶-dependent \nresistances of Bi 2Se3/Al 2O3. \n \n \n \nFig. S1. Dependence of the fitted parameters on the curve fitting range . The figures in \neach column display data belonging to a specific sample indicated by the column \nheadlines . The top row displays the MC curve s of each sample , where the insets show \nthe MC curves at 𝐵<0.5 T. The 2nd, 3rd, and 4th rows show the extracted 𝛼0 and 𝛼1, \n𝑙0 and 𝑙1, and the electron mobility obtained by curve fitting ( 𝜇L) and Hall \nmeasurement s (𝜇H), respectively. Error bars represent the standard errors of the fitted \nparameters. \n \n \nFig. S2. Magneto -transport data of 6 nm Bi 2Se3/3 nm Al 2O3/TmIG . (a) The MR curve \nmeasured at 2 K. (b) The anomalous Hall resistance 𝑅AH taken at 100 K. Black and \nredy symbols represent magnetic field sweeping up and down, respectively. \n \n \n \nFig. S3 . In-plane MR curve of (a) 9 nm Bi 2Se3/Al 2O3 and (b) 9 nm Bi 2Se3/TmIG \nbilayers measured at 2 K. \n \n \n \nFig. S4 . Planar Hall effect data of 9 nm Bi 2Se3/TmIG. (a) 𝜙xy-dependent 𝑅yx for \nvarious 𝐵. Solid lines are fitted curves using Eq. (S2) . (b) Comparison of the extracted \nAMR and PHE amplitude 𝑅∥−𝑅T as a function of 𝐵. \n \n \nFig. S5 . 𝑇-dependent MR curves of (a) 7 nm Bi 2Se3/YIG and (b) 9 nm Bi 2Se3/TmIG. \n \n \n1. Discussion s of other possible origins of the negative MR at intermediate field \nNegative MR in TI thin films could also result from physical mechanisms other \nthan TRS -breaking of surface states . Below we describe these mechanisms and \ndiscuss their possibilities in our Bi 2Se3/YIG and Bi 2Se3/TmIG samples. \n(i) Defect -induced hopping transport \nIt has been shown that when crystal defects are deliberately introduced \ninto TI thin films, a negative MR shows up as the applied fi eld increases. As \ndescribed in R ef. [37], the samples that underwent ion milling treatme nts \nshow considerably modified MR characteristics. At low fields, positive MR \nstill dominates indicating the robustness of TSSs and the WAL ef fect against \ndefects. However, a negative MR starts to take over when 𝐵>3 T and \nshows a quadratic dependence up to 𝐵=9 T. The large - 𝐵 negative MR \nwas attributed to field -dependent hopping probabilities among defect states \nin transport. In disordered semiconductors, localized spins hos ted by defects \nlead to spin-dependent scattering of electrons. Such a process is suppressed \nwhen a large 𝐵 is applied that effectively align s the localized spins, giving \nrise to a negative MR. \nHere we note a key difference of the negative MR of Bi 2Se3/YIG and \nBi2Se3/TmIG from that reported in R ef. [37]. The negative MR presented in \nour work occur s at smaller fields ( 3 T>𝐵>0.3 T), and at large field s the \nclassical positive MR from electron cyclotron motion dominates. This is in \nsharp contrast to the defect -induced negative MR sho wing quadratic \nbehaviors, who se magnitude is large enough to overcome the contribution \nof Lorentz deflection . Hence, the defect -induced ho pping transport is \nunlikely to be the origin of negative MR in Bi 2Se3/YIG and Bi 2Se3/TmIG. \n \n(ii) Formation of hybridization gap of top and bottom TSSs \nIt is well -known that in a 3D TI , when its thickness approach 2D limits, \nthe overlap of wave functions of the top and bottom TSSs causes a \nhybridization gap opened at Dirac point [48]. The 2D limit is 6 QL for \nBi2Se3. The negative MR due to the hybridization gap -induced WL has been \ndetected in bulk-insulating (Bi 0.57Sb0.43)2Te3 thin films [49]. The negative \nMR due to hybridization gap observed in Ref. [49] is actually similar to that \nin Bi 2Se3/YIG and Bi 2Se3/TmIG and primarily locate at even small er 𝐵<\n0.3 T. The emergence of the WL effect upon hybridization gap opening can \nalso be understood as a result of Berry phase 𝜋(1−∆H/2𝐸F) deviating \nfrom 𝜋, where ∆H denotes the size of the hybridization gap. In this aspect, both hybrid ization - and TRS -breaking -induced gaps give rise to WL effect . \nIn our experiments, the WL was also detected in Bi 2Se3 thicker than 6 QL \ngrown on YIG and TmIG . The positive MC component can be extracted for \nBi2Se3 as thick as 16 QL as shown in Fig. 3(c) . As a comparison, the 9 QL \nBi2Se3/Al 2O3 sample show s a very sharp negative MC cusp of WAL. Hence, \nwe can conclude that for Bi2Se3 films at 9 QL or thicker, hybridization \nbetween top and bottom surfaces should not be a concern in interpreting the \nWL in Bi 2Se3/YIG and Bi 2Se3/TmIG. Nevertheless, the similarities between \nthe negative MR reported in Ref. [49] and our work suggests that a Dirac \ngap is indeed opened, despite of a completely different physical origin. \n(iii) Quantized 2D bulk bands in thin TIs \nAs studied in Ref. [38], a WL could also arise from quantized 2D \nsubbands in ultrathin TI films. We again compare the MC of 9 QL \nBi2Se3/Al 2O3 and 10 QL Bi 2Se3/YIG in Fig. 3(c) . Since the two samples \nexhibit comparable carrier concentration 𝑛2D, 𝑅s and thickness , the \ncontribution of quantized bulk bands participating in transport in one sample \nshould not differ significantly from the other. Obviously, the negative MR \nis absent in Bi 2Se3 9 QL/Al 2O3. Hence , we rule out such subbands as the \nmain source of the WL in Bi 2Se3/YIG and Bi 2Se3/TmIG. \n \n2. Curve fitting detail s of Fig. 1 \nThe cusp-like feature at small fields resulted from WAL or WL is usually \ndescribed by the one -component HLN equation. For our sample s, the negative MR \nat intermediate fields implies a much larger dephasing field of WL than that of WAL. \nTo characterize the WL component, the curve fitting range is extended , and anoth er \ncomponent of HLN equation including 𝛽𝐵2 (Eq. (2) ) is introduced into the fitting \nfunction. It has been shown that a 𝛽𝐵2 term is necessary to perform the curve \nfitting in wide ranges of field and tempera ture [50]. The first two terms of Eq. (2) \npresent the competition effects of WL and WAL. The 𝛽𝐵2 term account for the \nclassical cyclotronic moti on and other terms of quantum correction s in the \nconventional HLN equation [50]. In the following , we discuss the analyses of the \nMC data and the curve fitting at small and large fiel ds separately. \n(i) Small -field regime ( 𝐵<1 𝑇): suppressed WAL \nIn this regime the weight of the 𝐵2 term is negligible, so the fitting \ninvolves four independent parameters, 𝛼0, 𝛼1, 𝑙0, and 𝑙1 in the beginning . \nWe found that four -parameter fittings do not render reliable results. Instead, \nit is possible to obtain several sets of fitted parameters that all give \nreasonably good fits by manually adjust the parameter values. Since WL and WAL terms share the sam e mat hematical form, the curve fitting is valid only \nwhen the effective phase coherence lengths 𝑙0 and 𝑙1 differ to some \nextent s and the fitting range exceeds dephasing fields , otherwise an unique \nset of fitted parameters cannot be found . In this regime, we thus set 𝛼0 and \n𝑙0 of WL to be zero. The results are shown in the 2nd and 3rd rows of Fig. \nS1. Therefore, only a suppressed WAL term can be concluded in this regime \nfor our sample s. \n(ii) Larger field regime (𝐵>1 𝑇): negative MR and WL \nThe presence of WL is seen in negative MR located at intermediate -field \nregime (Fig. 1(b) ). To disclose the characteristics of the WL effect, the curve \nfittin g range is extended to several T eslas. The MC curves within 4 T are \nshown in the first row of Fig. S1, and they exhibit a parabolic 𝐵 \ndependence toward 9 T. In this regime, five -parameter fitting, including the \n𝛽𝐵2 term, has been performed . 𝛽 is composed of the classical cyclotronic \npart 𝛽c and the quantum correction one 𝛽q from the other two term s of \nthe original HLN equation : 𝛽q𝐵2≈−𝑒2\n24𝜋ℎ[𝐵\n𝐵SO+𝐵𝑒]2\n+\n3𝑒2\n48𝜋ℎ[𝐵\n(4/3)𝐵SO+𝐵𝜙]2\n, where 𝐵SO and 𝐵𝜙 are characteristic fields of the \nspin-orbit scattering length 𝑙SO and phase -coherence length 𝑙𝜙. Here, \n𝛽<0 due to the negative MC at l arge fields. \nThe five -parameters fitting results are shown in the 2nd to 4th rows of Fig. \nS1. The MC curves of all samples can be well fitted to Eq. (2), except the \none of 40 nm Bi 2Se3/YIG which deviates the most from a 2D electron \nsystem . Since fitted param eters depend on the data range selected for the \ncurve fitting , we di splay them as a functio n of the curve fitting range. The \nfitted pa rameters show a moderate ~10 % variations with respect to the \nfitting ranges. Throughout th e fitting ranges, the magnitudes of 𝛼0, 𝛼1, 𝑙0, \nand 𝑙1 can be compared without ambiguity. The reliability of the five -\nparameter fit s of the data is further justi fied by the following three \nobservations . First ly, the 𝛼1’s and 𝑙1’s of the WAL component obtained \nfrom two -parameter fitting s at small fields are in good agreement with those \nfrom five -parameter fitting s. This implies the suitability of Eq. (2) for the \nMC behavior of Bi 2Se3/YIG and Bi 2Se3/TmIG in a wide range of 𝐵. \nSecondly, from the dephasing field 𝐵i calculated from ℏ/(4𝑒𝑙i2), we note \nthat 𝐵0 is much larger than 𝐵1, which agrees with the observation that the \nnegative MR shows up at larger fields . The notable difference of 𝑙0 and 𝑙1 \ncauses the WL and WAL to manifest themselves in different regimes of 𝐵. Thirdly , if we set 𝛽q≈0, the electron mobility calculated by 𝜇L=\n√−𝛽c𝑅s overlap well with that calculated by our Hall measurement data \n𝜇H=1/(𝑒𝑛2D𝑅xx), indicated by the blue dashed line. 𝛽q≈0 corresponds \nto a very large 𝐵SO or small 𝑙SO. \n \n3. Data of the controlled sample Bi2Se3/Al 2O3/TmIG \nA trilayer sample 6 nm Bi 2Se3/3 nm Al 2O3/TmIG has been fabricated to test the \neffect of stray fields of TmIG. Here, the 3 nm Al 2O3 layer was deposited using \natomic layer deposition (ALD). The nonmagnetic Al 2O3 insertion layer ought to \nsuppress the interlayer exchange coupling of Bi 2Se3 and TmIG, while allow s the \nstray field to penetrate . Fig. S2(a) show s the MR of Bi 2Se3/Al 2O3/TmIG at 2 K. A \ncusp-like positive MR of the WAL effect was observed, and no negative MR was \ndetected. Fig. S2(b) displays the 𝑅AH data as a function of 𝐵. No hysteresis loop \nwas detected. Therefore, the data in Fig. S2 implies that stray field s are not the root \ncause of the negative MR and hysteric 𝑅AH loops observed in the Bi2Se3/TmIG. \n \n4. In-plane MR data at 2 K \n Fig. S3(a) and (b) show the MR data under an in-plane applied (𝜃yz=0) field \ntaken at 2 K for 9 nm Bi 2Se3/Al 2O3 and 9 nm Bi 2Se3/TmIG, respectively. For the \nBi2Se3/Al 2O3 bilayer, a positive MR is detected . The MR induced by an in -plane \nfield in Bi 2Se3 has been studied extensively in R ef. [51]. In short, the applica tion of \nin-plane fields force s the electron to scatter between top and bottom surface s, and \nthe presence of bulk state is essential in understanding the in-plane MR. It was \ndemonstrated that no existing theory can well -describe the distinct transport \nprope rties o f TIs under an in -plane field, thus highlighting the important role of \nbulk-surface coupling of TIs . The qualitatively difference between perpendicular \nand in -plane MR of Bi 2Se3 can already been seen by comparing Fig. 2(d) and S3(a) . \nWhile the MR with tilted field angles can be very well explained by WAL governed \nby 𝐵z, it can be inferred that when 𝑩 is rotated across a critical angle of 𝜃yz (<\n30°), another physical picture of magneto -transport that dictates the in -plane MR \ncomes into play in this regime . \n For Bi 2Se3/TmIG, the in -plane MR exhibit distinct feature s from those of \nBi2Se3/Al 2O3: the MR is positive at 𝐵<3 T and becomes negative when 𝐵 goes \nlarger. We may differentiate the physical origin of the in -plane negative MR from \nthat of perpendicular one. From Eq. (1), we see that a gap in the TSS can only be \nopened by a perpendicular magnetization 𝑀z, while 𝑀x and 𝑀y shift the gapless \nDirac cone in the momentum space. Although it is argued that an in -plane magnetic \nfield can also break TRS of TIs when the field is aligned with a certain cry stal axis [52], this should not be of importance since our Bi 2Se3 films grown on gar net \nsubstrates contain randomly oriented in-plane domains. It is beyond the scope of \nthis work to clarify the in -plane negative MR in Bi 2Se3/TmIG, especially when the \nmagnetic scatt ering due to MPE adds to the complexity of the syste m. However, we \nemphasize that the observation of in -plane negative MR does not pose a major \nproblem of our interpretations of the negative MR under tilted fields. As in the case \nof Bi 2Se3/Al 2O3, a different scheme of physical model is needed for the in -plane \nMR. \n \n5. Planar Hall effect (PHE) in Bi 2Se3/TmIG \nFor a measurement configuration defined Fig. 2(b), the anisotropic resistivity \ntensor induced by an in -plane field can be reduced to two elements , 𝑅s (or 𝑅xx) \nand 𝑅yx, with respect to the sample coordinate. Phenomenologically , the field -\nangle -dependent 𝑅s and 𝑅yx are identified as AMR and planar Hall resistances, \nrespectively when they are expressed as, \n AMR : 𝑅s(𝜙xy)=𝑅T+(𝑅∥−𝑅T)cos2𝜙xy (S1) \n PHE : 𝑅yx(𝜙xy)=(𝑅∥−𝑅T)sin𝜙xycos𝜙xy (S2) \nFig. S4(a) shows the 𝑅yx(𝜙xy) data of 9 QL Bi 2Se3/TmIG . The 𝑅yx satisfies \nthe angular dependence sin𝜙xycos𝜙xy of PHE . By fitting the data in Fig. 4(b) and \nFig. S4(a) to Eq. (S1) and (S2) respectively, we extract the c oefficient of the angular \nterms 𝑅∥−𝑅T for 𝑅s and 𝑅yx data. Fig. S 4(b) compares the 𝑅∥−𝑅T obtained \nfrom 𝑅s and 𝑅xy data at various fields . One immediately sees a good agreement \nbetween the two sets of data . \nPHE in TI has been previously observed in non-magnetic (Bi,Sb) 2Te3 films [53] \nand EuS/(Bi,Sb) 2Te3 [54]. In Ref. [53], the PHE results from anisotropic scattering \nof Dirac fermions due to T RS broken by an i n-plane field. T he PHE amplitude can \nbe altered dramati cally by dual -gating, showing a unique two -peak profile as the \nFermi level moves across the Dirac point. We are not able to completely preclude \nsuch a scenario in Bi 2Se3/TmIG bilayer, where a similar effect could also be caused \nby the interfacial e xchange effective field. Fermi -level dependent measurements \nenabled by top -gating will be performed to investigate this kind of PHE . In Ref. \n[54], an unconventional PHE was detected, whose angular dependence cannot be \ndescribed by Eq. (S2). The autho rs argue that a non -linear Hall response defined a s \n𝑗y=𝜎yxx𝐸x2 should be considered. The proposed possible origins of the non-linea r \nHall response includes current -induced spin -orbit torques from TSSs, asymmetric \nscattering of electrons by magnons in magnetic TIs, and interband transitions \nbetween the two branches of the Dirac surface states. These scenario play minor roles , if any, in Bi 2Se3/TmIG because such an unconventional PHE was not \nobserved in this work . \n \n \n \n \n \n Reference: \n[48] Y . Zhang, K. He, C. -Z. Chang, C. -L. Song, L. -L. Wang, X. Chen, J. -F. Jia, Z. \nFang, X. Dai, W. -Y . Shan, S. -Q. Shen, Q. Niu, X. -L. Qi, S. -C. Zhang, X. -C. Ma, \nand Q. -K. Xue, Nat. Phys. 6, 584 (2010). \n[49] Z. Tang, E. Shikoh, H. Ago, K. Kawahara, Y . Ando, T. Shinjo, and M. Shiraishi, \nPhysical Review B 87 (2013). \n[50] B. A. Assaf, T. Cardinal, P. Wei, F. Katmis, J. S. Moodera, and D. Heiman, Appl. \nPhys. Lett. 102, 012102 (2013). \n[51] C. J. Lin, X. Y . He, J. L iao, X. X. Wang, V . S. Iv, W. M. Yang, T. Guan, Q. M. \nZhang, L. Gu, G. Y . Zhang, C. G. Zeng, X. Dai, K. H. Wu, and Y . Q. Li, Phys. \nRev. B 88, 041307(R) (2013). \n[52] C. K. Chiu, J. C. Y . Teo, A. P. Schnyder, and S. Ryu, Rev. Mod. Phys. 88 (2016). \n[53] A. A. Taskin, H. F. Legg, F. Yang, S. Sasaki, Y . Kanai, K. Matsumoto, A. Rosch, \nand Y . Ando, Nat. Commun. 8, 1340 (2017). \n[54] D. Rakhmilevich, F. Wang, W. Zhao, M. H. W. Chan, J. S. Moodera, C. Liu, and \nC. Z. Chang, Phys. Rev. B 98, 094404 (2018). \n \n " }, { "title": "1810.02610v2.Magnon_contribution_to_unidirectional_spin_Hall_magnetoresistance.pdf", "content": "Magnon contribution to unidirectional spin Hall magnetoresistance in\nferromagnetic-insulator/heavy-metal bilayers\nW.P. Sterk and D. Peerlings\nInstitute for Theoretical Physics, Utrecht University, Princetonplein 5, 3584 CC Utrecht, The Netherlands\nR.A. Duine\nInstitute for Theoretical Physics, Utrecht University, Princetonplein 5, 3584 CC Utrecht, The Netherlands and\nDepartment of Applied Physics, Eindhoven University of Technology,\nPO Box 513, 5600 MB Eindhoven, The Netherlands\n(Dated: March 1, 2019)\nWe develop a model for the magnonic contribution to the unidirectional spin Hall magnetore-\nsistance (USMR) of heavy metal/ferromagnetic insulator bilayer films. We show that diffusive\ntransport of Holstein-Primakoff magnons leads to an accumulation of spin near the bilayer interface,\ngiving rise to a magnoresistance which is not invariant under inversion of the current direction. Un-\nlike the electronic contribution described by Zhang and Vignale [Phys. Rev. B 94, 140411 (2016)],\nwhich requires an electrically conductive ferromagnet, the magnonic contribution can occur in fer-\nromagnetic insulators such as yttrium iron garnet. We show that the magnonic USMR is, to leading\norder, cubic in the spin Hall angle of the heavy metal, as opposed to the linear relation found for\nthe electronic contribution. We estimate that the maximal magnonic USMR in Pt|YIG bilayers is\non the order of 10\u00008, but may reach values of up to 10\u00005if the magnon gap is suppressed, and can\nthus become comparable to the electronic contribution in e.g. Pt|Co. We show that the magnonic\nUSMR at a finite magnon gap may be enhanced by an order of magnitude if the magnon diffusion\nlength is decreased to a specific optimal value that depends on various system parameters.\nPACS numbers: 73.43.Qt, 75.76.+j\nI. INTRODUCTION\nThetotalmagnetoresistanceofmetal/ferromagnethet-\nerostructures is known to comprise several independent\ncontributions, including but not limited to anisotropic\nmagnetoresistance (AMR) [1], giant magnetoresistance\n(GMR, in stacked magnetic multilayers) [2] and spin Hall\nmagnetoresistance (SMR) [3]. A common characteristic\nof these effects is that they are linear; in particular, this\nmeans the measured magnetoresistance is invariant un-\nder reversal of the polarity of the current.\nIn 2015, however, Avci et al.[4] measured a small but\ndistinct asymmetry in the magnetoresistance of Ta|Pt\nand Co|Pt bilayer films. Due to its striking similarity\nto the current-in-plane spin Hall effect (SHE) and GMR,\nsave for its nonlinear resistance/current characteristic,\nthis effect was dubbed unidirectional spin Hall magne-\ntoresistance (USMR).\nIn the years following its discovery, USMR has been\ndetected in bilayers consisting of magnetic and nonmag-\nnetictopologicalinsulators[5], andthedependenceofthe\nUSMR on layer thickness has been investigated experi-\nmentally for Co|Pt bilayers [6]. Additionally, Avci et al.\n[7] have shown that USMR may be used to distinguish\nbetween the four distinct magnetic states of a ferromag-\nnet|normal metal|ferromagnet trilayer stack, highlighting\nitspotentialapplicationinmultibitelectricallycontrolled\nmemory cells.\nAlthough USMR is ostensibly caused by spin accumu-\nlationattheferromagnet|metalinterface, acompletethe-\noretical understanding of this effect is lacking. In bilayerfilms consisting of ferromagnetic metal (FM) and heavy\nmetal (HM) layers, electronic spin accumulation in the\nferromagnet caused by spin-dependent electron mobility\nprovides a close match to the observed results [8]. It re-\nmains unknown, however, whether this is the full story;\nindeed, this model’s underestimation of the USMR by\na factor of two lends plausibility to the idea that there\nmay be additional, as-yet unknown contributions provid-\ning the same experimental signature. Additionally, the\nelectronic spin accumulation model cannot be applied to\nbilayers consisting of a ferromagnetic insulator (FI) and\na HM, as there will be no electric current in the ferro-\nmagnet to drive accumulation of spin.\nKim et al.[9] have measured the USMR of Py|Pt\n(where Py denotes for permalloy) bilayer and claim, us-\ning qualitative arguments, that a magnonic process is in-\nvolved. Likewise, for Co|Pt and CoCr|Pt, more recent re-\nsults by Avci et al.[10] argue in favor of the presence of a\nmagnon-scatteringcontributionconsistingoftermslinear\nand cubic in the applied current, and having a magnitude\ncomparable to the electronic contribution of Zhang and\nVignale [8]. Although these experimental results provide\na great deal of insight into the underlying processes, a\ntheoretical framework against which they can be tested\nis presently lacking. In this work, we aim to take first\nsteps to developing such a framework, by considering an\naccumulation of magnonic spin near the FI|HM bilayer\ninterface, which we describe by means of a drift-diffusion\nmodel.\nThe remainder of this article is structured as follows:\nin Sec. II, we present our analytical model as genericallyarXiv:1810.02610v2 [cond-mat.mes-hall] 28 Feb 20192\nas possible. In Sec. III we analyze the behavior of our\nmodel using parameters corresponding to a Pt|YIG (YIG\nbeing yttrium iron garnet) bilayer as a basis. In par-\nticular, in Sec. IIIA we give quantitative predictions of\nthe magnonic USMR in terms of the applied current and\nlayer thicknesses, and in Sec. IIIB we take into account\nthe effect of Joule heating. In the remainder of Sec. III,\nwe investigate the influence of various material param-\neters. Finally, in Sec. IV we summarize our key results\nand present some open questions.\nII. MAGNONIC SPIN ACCUMULATION\nTo develop a model of the magnonic contribution to\nthe USMR, we focus on the simplest FI|HM heterostruc-\nture: a homogeneous bilayer. We treat the transport\nof magnonic and electronic spin as diffusive, and solve\nthe resulting diffusion equations subject to a quadratic\nboundary condition at the interface. In this approach,\nvalid in the opaque interface limit, current-dependent\nspin accumulations—electronic in the HM and magnonic\nin the FI—form near the interface. In particular, the use\nof a nonlinear boundary condition breaks the invariance\nof the SMR under reversal of the current direction, i.e.\nit produces USMR.\nWe consider a sample consisting of a FI layer of thick-\nnessLFIdirectlycontactingaHMlayerofthickness LHM.\nWe take the interface to be the xyplane, such that the FI\nlayer extends from z= 0toLFIand the HM layer from\nz=\u0000LHMto 0. The magnetisation is chosen to lie in\nthe positive y-direction, and an electric field E=\u0006E^ xis\napplied in the x-direction. The set-up is shown in Fig. 1.\nThe extents of the system parallel to the interface\nare taken to be infinite, and the individual layers com-\npletely homogeneous. This allows us to treat the system\nas quasi-one-dimensional, in the sense that we will only\nconsider spin currents that flow in the z-direction. We\naccount for magnetic anisotropy only indirectly through\nthe existence of a magnon gap. We further assume that\nour system is adequately described by the Drude model\n(suitably extended to include spin effects[11]), and that\nthe interface between layers is not fully transparent to\nspin current, i.e., has a finite spin-mixing conductance\n[12]. For simplicity, we assume electronic spin and charge\ntransport may be neglected in the ferromagnet, as is the\ncase for ferromagnetic insulators.\nWedescribethetransferofspinacrosstheinterfacemi-\ncroscopically by the continuum-limit interaction Hamil-\ntonian\nHint=\u0000Z\nd3rd3r0J(r;r0)h\nby(r0)cy\n#(r)c\"(r)\n+b(r0)cy\n\"(r)c#(r)i\n;\nwherecy\n\u000b(r)[c\u000b(r)] are fermionic creation [annihilation]\noperators of electrons with spin \u000b2f\";#gat position\nrin the HM, and by(r0)[b(r0)] is the bosonic creation\nFIG. 1. Schematic depiction of our system. The magnetiza-\ntionMof the FI layer lies in the +ydirection, an electric field\nof magnitude Eis applied to the heavy metal layer (HM) in\nthe\u0006xdirection, and the interface between the layers lies in\nthexyplane.\n[annihilation] operator of a circularly polarized Holstein-\nPrimakoff magnon [13] at position r0inside the ferro-\nmagnet. We leave J(r;r0)to be some unknown coupling\nbetween the electrons and magnons, which is ultimately\nfixed by taking the classical limit [14, 15].\nTransforming to momentum space and using Fermi’s\ngolden rule, we obtain the interfacial spin current jint\ns,\nwhich can be expressed in terms of the real part of the\nspin mixing conductance per unit area g\"#\nras [14, 16]\njint\ns=g\"#\nr\n\u0019sZ\nd\"g(\")(\"\u0000\u0001\u0016)\n\u0002\u0014\nnB\u0012\"\u0000\u0001\u0016\nkBTe\u0013\n\u0000nB\u0012\"\u0000\u0016m\nkBTm\u0013\u0015\n:(1)\n(Similar expressions were derived by Takahashi et al.[17]\nand Zhang and Zhang [18], although these are not given\nin terms of the spin-mixing conductance.)\nHere,sis the saturated spin density in the FI layer,\ng(\")is the magnon density of states, nB(x) = [ex\u00001]\u00001\nis the Bose-Einstein distribution function, kBis Boltz-\nmann’s constant, and TmandTeare the temperatures\nof the magnon and electron distributions, respectively,\nwhich we do not assume a priori to be equal (al-\nthough the equal-temperature special case will be our\nprimary interest). Of crucial importance in Eq. (1)\nare the magnon effective chemical potential \u0016m—which\nwe shall henceforth primarily refer to as the magnon\nspin accumulation—and the electron spin accumulation\n\u0001\u0016\u0011\u0016\"\u0000\u0016#, which we define as the difference in chem-\nical potentials for the spin-up and spin-down electrons.\n(In both cases, a positive accumulation means the major-\nity of spin magnetic moments point in the +ydirection.)\nWe employ the magnon density of states\ng(\") =p\n\"\u0000\u0001\n4\u00192J3\n2s\u0002(\"\u0000\u0001):\nHere,Jsis the spin wave stiffness constant, \u0002(x)is the\nHeavisidestepfunction, and \u0001isthemagnongap, caused3\nby a combination of external magnetic fields and in-\nternal anisotropy fields in ferromagnetic materials [19].\nIn our primary analysis of a Pt|YIG bilayer, we take\n\u0001\u0011\u0016B\u00021 T\u0019kB\u00020:67 Kwith\u0016Bthe Bohr mag-\nneton, in good agreement with e.g. Cherepanov et al.\n[20], and in Sec. IIIE we specifically consider the limit of\na vanishing magnon gap.\nTo treat the accumulations on equal footing, we now\nredefine\u0016m!\u000e\u0016mand\u0001\u0016!\u000e\u0001\u0016, expand Eq. (1) to\nsecond order in \u000e, and set\u000e= 1to obtain\njint\ns'\u0000\"\nkBTmI0+Ie\u0001\u0016+Im\u0016m+Iee\nkBTe(\u0001\u0016)2\n+Imm\nkBTm\u00162\nm+Ime\nkBTm\u0016m\u0001\u0016#\ng\"#\nr(kBTm)3\n2\n4\u00193J3\n2ss:(2)\nHere, the Iiare dimensionless integrals given by\nEqs. (A.1) in the Appendix. All Iiare functions of Tm\nand\u0001, andI0,IeandIeeadditionally depend on Te. In\nthe special case where Tm=Te,I0vanishes,Im=\u0000Ie,\nandIee=\u0000(Imm+Ime).\nInadditionto jint\ns, thespinaccumulationsandtheelec-\ntric driving field Egive rise to the following spin currents\nin thezdirection:\nje\ns=\u0016h\n2e\u0012\n\u0000\u001b\n2e@\u0001\u0016\n@z\u0000\u001b\u0012SHE\u0013\n;(3a)\njm\ns=\u0000\u001bm\n\u0016h@\u0016m\n@z: (3b)\nHereje\nsandjm\nsare the electron and magnon spin cur-\nrents, respectively. \u001bis the electrical conductivity in the\nHM,\u001bmis the magnon conductivity in the ferromagnet,\neis the elementary charge, and \u0012SHis the spin Hall angle.\nIn line with Cornelissen et al.[21] and Zhang and\nZhang [22], we assume the spin accumulations \u0016mand\n\u0001\u0016obey diffusion equations along the z-axis:\nd2\u0016m\ndz2=\u0016m\nl2m;d2\u0001\u0016\ndz2=\u0001\u0016\nl2e;\nwherelmandleare the magnon and electron diffusion\nlengths, respectively. We solve these equations analyti-\ncally subject to boundary conditions that demand con-\ntinuity of the spin current across the interface and con-\nfinement of the currents to the sample:\njm\ns(0) =je\ns(0) =jint\ns(0);\njm\ns(LFI) =je\ns(\u0000LHM) = 0:\nThis system of equations now fully specifies the\nmagnonic and electronic spin accumulations \u0016mand\u0001\u0016,\nthe latter of which enters the charge current jcvia the\nspin Hall effect:\njc(z) =\u001bE+\u001b\u0012SH\n2e@\u0001\u0016(z)\n@z: (4)The measured resistivity at some electric field strength\nEis then given by the ratio of the electric field and the\naveraged charge current:\n\u001a(E) =E\n1\nLHMR0\n\u0000LHMdzjc(z): (5)\nFinally, we define the USMR Uas the fractional differ-\nence in resistivity on inverting the electric field:\nU\u0011\f\f\f\f\u001a(E)\u0000\u001a(\u0000E)\n\u001a(E)\f\f\f\f=\f\f\f\f\f1 +R0\n\u0000LHMdzjc(z;E)\nR0\n\u0000LHMdzjc(z;\u0000E)\f\f\f\f\f:\nIt should be noted that the even-ordered terms in the\nexpansion of the interface current are vital to the appear-\nanceofunidrectionalSMR.Supposeoursystemhasequal\nmagnon and electron temperature, such that the interfa-\ncial spin Seebeck term I0vanishes (see Section IIIB),\nand we ignore the quadratic terms in Eq. (2). Then be-\ncause the only term in the spin current equations (3)\nthat is independent of the accumulations is \u0000\u0016h\u001b\u0012SH\n2eEin\nEq. (3a), we have that \u0001\u0016/\u0016m/E. Then by Eqs. (4)\nand (5),jc/Eand\u001a(E)/E\nE, such thatU= 0. Con-\nversely, with quadratic terms in the interfacial spin cur-\nrent,\u001a(E)\u0018E\nE+E2, and likewise if I0does not vanish,\n\u001a(E)\u0018E\n1+E. BothcasesgivenonvanishingUSMR.Phys-\nically, one can say that the spin-dependent electron and\nmagnon populations couple together in a nonlinear fash-\nion (namely, through the Bose-Einstein distributions in\nEq. (1)), leading to a nonlinear dependence on the elec-\ntric field.\nIII. RESULTS\nA. Equal-temperature, finite gap case\nAlthough our model can be solved analytically (up\nto evaluation of the integrals Ii), the full expression\nofUis unwieldy and therefore hardly insightful. To\nget an idea of the behavior of a real system, we use a\nset of parameters—listed in Table I—corresponding to\na Pt|YIG bilayer as a starting point. (Unless otherwise\nspecified, all parameters used henceforth are to be taken\nfrom this table.)\nFig. 2 shows the magnonic USMR of a Pt|YIG bilayer\nversus applied driving current ( \u001bE) whenTm=Te=T,\nat the temperature of liquid nitrogen ( 77 K, blue), room\ntemperature ( 293 K, green) and the Curie temperature of\nYIG ( 560 K[20], red). FI and HM layer thicknesses used\nare90 nmand3 nm, respectively, in line with experimen-\ntal measurements by Avci et al.[23].\nIn all cases the magnonic USMR is proportional to the\napplied electric current—that is, the cubic term found\nby Avci et al.[10] is absent—and at room temperature\nhas a value on the order of 10\u00009at typical measurement\ncurrents [4]. This is roughly four orders of magnitude\nweaker than the USMR obtained—both experimentally4\n0.0 0.2 0.4 0.6 0.8 1.0\nσE(A m−2)×10120246U×10−9\nT(K)\n77\n293\n5600 600T(K)0.00.5×10−8\nFIG. 2. USMRUversus driving current \u001bEfor a Pt|YIG\nbilayer at liquid nitrogen temperature ( 77 K, blue), room\ntemperature ( 293 K, green) and the YIG Curie temperature\n(560 K, red). Inset: USMR versus system temperature Tat\nfixed current \u001bE= 1\u00021012A m\u00002.\nand theoretically—for FM|HM hybrids [4, 6, 8, 23], and\nis consistent with the experimental null results obtained\nfor this system by Avci et al.[23]. Note, however, that\nthe thickness of the FI layer used by these authors is\nsignificantly lower than the magnon spin diffusion length\nlm= 326 nm , which results in a suppressed USMR.\nFurthermore, it can be seen in the inset of Fig. 2 that\nthe magnonic USMR is, to good approximation, linear in\nthe system temperature, in agreement with observations\nby Kim et al.[9] and Avci et al.[10].\n1 2 3 4 5\nLFI(µm)1020304050LHM(nm)\n0.00.51.01.52.02.53.03.54.0\nU×10−8\nFIG. 3. Pt|YIG USMR UatTm=Te= 293 K versus FI\nlayer thickness LFIand HM layer thickness LHM. A driving\ncurrent\u001bE= 1\u00021012A m\u00002is used. A maximal USMR of\n4:2\u000210\u00008is reached at LHM= 4:5 nm,LFI= 5µm.\nIn Fig. 3 we compute the USMR at \u001bE =\n1\u00021012A m\u00002as a function of both LFIandLHM. A\nmaximum is reached around LHM\u00194:5 nm, while in\nterms ofLFI, a plateau is approached within a few spindiffusion lengths. By varying the layer thicknesses, a\nmaximal USMR of 4:2\u000210\u00008can be achieved, an im-\nprovement of one order of magnitude compared to the\nthicknesses used by Avci et al.[23].\nB. Thermal effects\nWe take into account a difference between the electron\nand magnon temperatures TeandTmby assuming these\nparameters are equal to the temperatures of the HM and\nFI layers, respectively, which we take to be homogeneous.\nWe assume that the HM undergoes ohmic heating and\ndissipates this heat into the ferromagnet, which we take\nto be an infinite heat bath at temperature Tm. We only\ntake into account the interfacial (Kapitza) thermal resis-\ntanceRthbetween the HM and FI layers, leading to a\nsimple expression for the HM temperature Te:\nTe=Tm+Rth\u001bE2LHM:\nUsing this model, we still find a linear dependence in\nthe electric field, U 'uE(Tm)\u001bE, but the coefficient\nuE(Tm)increases by three orders of magnitude compared\nto the case where the electron and magnon temperatures\nare set to be equal. The overwhelming majority of this\nincrease can be attributed to an interfacial spin Seebeck\neffect (SSE) [21, 24]: it is caused by the accumulation-\nindependent contribution I0(Eq. (A.1a)) in the interface\ncurrent. When I0is artificially set to 0, uE(Tm)changes\nless than 1% from its equal-temperature value.\nFurthermore, the overall magnitude of the interfacial\nSSE in our system can be attributed to the fact that\nwe have a conductor|insulator interface: the current runs\nthrough the HM only, resulting in inhomogeneous Joule\nheating of the sample and a large temperature disconti-\nnuity across the interface.\nC. Spin Hall angle\nThe electronic spin accumulation \u0001\u0016at the interface\nin the standard spin Hall effect is linear in the electric\nfieldEand spin Hall angle \u0012SH[3]. From the linearity\ninE, we may conclude that the terms in Eq. (2) that\nare linear in \u0001\u0016have a suppressed contribution to the\nUSMR. Thus, the contribution of the interface current\nis of order\u00122\nSH. Furthermore, \u0001\u0016enters the charge cur-\nrent (Eq. (4)) with a prefactor \u0012SH, leaving the magnonic\nUSMR predominantly cubic in the spin Hall angle. In-\ndeed, in the special case Tm=Te, expanding the full\nexpression forU(which spans several pages and is there-\nfore not reproduced within this work) in \u0012SHreveals that\nthe first nonzero coefficient is that of \u00123\nSH. This suggests\na small change in \u0012SHpotentially has a large effect on the\nUSMR.\nIn Fig. 4 we plot the USMR for a Pt|YIG bilayer—\nonce again using Tm=Te= 293 K—consisting of 4:5 nm5\n0.0 0.1 0.2 0.3 0.4 0.5\nθSH01234U×10−6\nComputed Cubic fit\nFIG. 4. USMRUatTm=Te= 293 K versus spin Hall angle\n\u0012SH. A driving current \u001bE= 1\u00021012A m\u00002and FI and HM\nlayer thicknesses LFI= 5µmandLHM= 4:5 nmare used.\nBlue curve: computed value. Dashed green curve: fit of the\nformU=u\u0012\u00123\nSH, withu\u0012'3:1\u000210\u00004.\nof Pt and 5µmof YIG, in which we sweep the spin\nHall angle. Included is a cubic fit U=u\u0012\u00123\nSH, where\nwe findu\u0012'3:1\u000210\u00004. Here it can be seen that the\nmagnonic USMR in HM|FI bilayers can, as expected, po-\ntentiallyacquiremagnitudesroughlycomparabletothose\nin HM|FM systems, provided one can find or engineer a\nmetal with a spin Hall angle several times greater than\nthat of Pt. This suggests that very strong spin-orbit\ncoupling (SOC) is liable to produce significant magnon-\nmediated USMR in FI|HM heterostructures, although we\nexpect our model to break down in this regime.\nD. A note on the magnon spin diffusion length\nAlthough we use the analytic expression for the\nmagnon spin diffusion length[18, 21, 22],\nlm=vthr\n2\n3\u001c\u001cmr\n—wherevthis the magnon thermal velocity, \u001cis the com-\nbinedrelaxationtime, and \u001cmristhemagnonicrelaxation\ntime (see Table I)—this is known to correspond poorly\nto reality, being at least an order of magnitude too low\nin the case of YIG [21]. Artificially setting the magnon\nspin-diffusion length to the experimental value of 10µm\n(while otherwise continuing to use the parameters from\nTable I) results in a drop in USMR of some 4 orders of\nmagnitude.\nIt follows directly that there exists some optimal value\noflm(which we shall label lm;opt) that maximizes the\nUSMR, which we plot as a function of the FI layer\nthicknessLFIin Fig. 5, at LHM= 4:5 nmand\u001bE=\n1\u00021012A m\u00002, and for various values of the magnon-\nphonon relaxation time \u001cmp, which is the shortest and\ntherefore most important timescale we take into account.For the physically realistic value of \u001cmp= 1 ps(blue\ncurve), the optimal magnon spin diffusion length is just\n24 nm. Although lm;optitself depends on \u001cmp, the con-\nditionlm=lm;optacts to cancel the dependence of the\nUSMR on the magnon-phonon relaxation time. Curi-\nously, the USMR additionally loses its dependence on\nLFI, reaching a fixed value of 4:14\u000210\u00007for our param-\neters.\n0 5 10 15\nLFI(µm)0.00.51.01.52.02.53.0lm,opt (µm)τmp(s)\n10−12\n10−11\n10−10\n10−9\n10−8\n∞\nFIG. 5. Value of the magnon spin diffusion length lmthat\nmaximizes the USMR, as a function of FI layer thickness LFI,\nat various values of the magnon-phonon relaxation time \u001cmp.\nWe further find that lm;optis independent of the spin\nHall angle and driving current, and shows a weak de-\ncreasewithincreasingtemperatureprovidedthemagnon-\nphonon scattering time is sufficiently short. A significant\nincrease in the optimal spin diffusion length is only found\nat low temperatures and large \u001cmp. Similarly, a weak de-\npendence on the Gilbert damping constant \u000bis found,\nbecoming more significant at large \u001cmp, with lower val-\nues of\u000bcorresponding to larger lm;opt. When\u000bis swept,\nagain the USMR at lm=lm;optacquires a universal value\nof4:14\u000210\u00007for our system parameters.\nE. Effect of the magnon gap\nWe have thus far utilised a fixed magnon gap with a\nvalueof \u0001=\u0016B= 1 TforYIG.Althoughthisisreasonable\nfor typical systems, it is possible to significantly reduce\nthe gap size by minimizing the anisotropy fields within\nthe sample, e.g. using a combination of external fields\n[25], optimized sample shapes [19, 26] and temperature\n[27, 28]. This leads us to consider the effect a decreased\nor even vanishing gap may have on our results.\nFig. 6 shows the USMR Ufor a Pt|YIG system ( 4:5 nm\nof Pt and 5µmof YIG) at room temperature, plotted\nagainst the driving current \u001bE, now for different values\nof the magnon gap \u0001. Here it can be seen that while U\nis linear in Efor large gap sizes and realistic currents,6\n0.00 0.25 0.50 0.75 1.00\nσE(A m−2)×10120.00.51.01.5U×10−5\n∆/µB(T)\n10−9\n10−6\n10−5\n10−4\n10−3\n100\nFIG. 6. USMRUof a Pt( 4:5 nm)|YIG( 5µm) bilayer at room\ntemperatureversusappliedcurrent \u001bEatvariousvaluesofthe\nmagnon gap \u0001. For large gaps, linear behavior is recovered\nat realistic currents, while for smaller gap sizes, the USMR\nsaturates as the current is increased.\nit shows limiting behavior at smaller gaps, becoming in-\ndependent of the electric current above some threshold\n(provided one neglects the effect of Joule heating). At\nlow current and intermediate magnon gap, the current\ndependenceisnonlinearat O(I2)asopposedtothe O(I3)\nbehavior found by Avci et al.[10].\nNote also that the saturation value of the USMR is\ntwo to three orders of magnitude greater than the values\nfound previously in our work, and of the same magni-\ntude as the electronic contribution found by Zhang and\nVignale [8].\nThe maximal value of the USMR that can be achieved\nmay be found by considering the full analytic expression\nforUin terms of the generic coefficients Iirepresenting\nthe dimensionless integrals given by Eqs. (A.1) in the\nAppendix. In the gapless limit \u0001!0and at equal\nmagnonandelectrontemperature( Tm=Te), thesecond-\norder coefficients ImmandImediverge, while their sum\ntakes the constant value \u0015\u0011Imm+Ime'0:323551at\nroom temperature. Ieedoes not diverge, and obtains the\nvalue\u0000\u0015.\nNow working in the thick-ferromagnet limit ( LFI!\n1), we substitute Ime!\u0000Imm+\u0015and take the limits\nE!1andImm!\u00001. By application of l’Hôpital’s\nrule in the latter, all coefficients Iidrop out of the ex-\npression forU. This leaves only the asymptotic value,\nwhich, after expanding in \u0012SH, reads\nUmax=4e2l2\ns\u00122\nSH\u001bmtanh2\u0010\nLHM\n2ls\u0011\n\u0016h2lmLHM\u001b+4lse2LHM\u001bmcoth\u0010\nLHM\nls\u0011+O(\u00124\nSH):\n(6)\nWhereas the linear-in- Eregime of the magnonic USMR\ngrowsas\u00123\nSH,wethusfindthattheleading-orderbehavior\n10−1710−1410−1110−810−510−2101\n∆/µB(T)10−810−710−610−510−4UσE(A m−2)\n107\n108\n109\n1010\n1011\n1012FIG. 7. USMRUof a Pt( 4:5 nm)|YIG( 5µm) bilayer at room\ntemperature as function of the magnon gap size \u0001, for various\nvaluesofthebasechargecurrent \u001bE. Notethelog-logscaling.\nSolid colored lines: computed USMR. Dashed colored lines:\ncontinuationsofthehigh-gaptailsofthecorrespondingcurves\naccordingtotheone-parameterfit U=u0=p\n\u0001. Dashedblack\nline: asymptotic value of the USMR as given by Eq. (6).\nof the asymptotic value is only \u00122\nSH, and the third-order\nterm vanishes completely. Physically, this can be ex-\nplained by the fact that the asymptotic magnonic USMR\nis purely a bulk effect: all details about the interface van-\nish, while parameters originating from the bulk spin- and\ncharge currents remain. The appearance of lmin the de-\nnominator and its absence in the numerator of Eq. (6)\nonce again highlights that a large magnon spin diffusion\nlength acts to suppress the USMR.\nFig. 7 is a log-log plot of the USMR versus gap size\n\u0001at various values of the driving current \u001bE. Here the\nvalueUmaxis shown as a dashed black line, indicating\nthat this is indeed the value to which Uconverges in\nthe gapless limit or at high current. Moreover, it shows\nthat for given \u001bE, one can find a turning point at which\nthe USMR switches relatively abruptly from being nearly\nconstant to decreasing as 1=p\n\u0001.\nA (backwards) continuation of the decreasing tails is\nincluded in Fig. 7 as dashed lines following the one-\nparameter fitU=u0=p\n\u0001, and we define the threshold\ngap\u0001thas the value of \u0001where this continuation in-\ntersectsUmax. We then find that \u0001thscales asE2, or\nconversely, that the driving current required to saturate\nthe USMR scales as the square root of the magnon gap.\nWe note that although the small-gap regime is math-\nematically valid (even in the limit \u0001!0, as\u0001may be\nbrought arbitrarily close to 0 in a continuous manner),\nit does not necessarily correspond to a physical situa-\ntion: when the anisotropy vanishes, the magnetization of\nthe FI layer may be reoriented freely, which will break\nour initial assumptions. Nevertheless, in taking the gap-\nless limit, we are able to predict an upper limit on the\nmagnonic USMR.7\nIV. CONCLUSIONS\nUsing a simple drift-diffusion model, we have shown\nthat magnonic spin accumulation near the interface be-\ntween a ferromagnetic insulator and a heavy metal leads\nto a small but nonvanishing contribution to the unidirec-\ntional spin Hall magnetoresistance of FI|HM heterostruc-\ntures. Central to our model is an interfacial spin current\noriginating from a spin-flip scattering process whereby\nelectronsintheheavymetalcreateorannihilatemagnons\nin the ferromagnet. This current is markedly nonlinear\nin the electronic and magnonic spin accumulations at the\ninterface, and it is exactly this nonlinearity which gives\nrise to the magnonic USMR.\nFor Pt|YIG bilayers, we predict that the magnonic\nUSMRUis at most on the order of 10\u00008, roughly three\norders of magnitude weaker than the measured USMR in\nFM|HM hybrids (where electronic spin accumulation is\nthought to form the largest contribution). This is fully\nconsistent with experiments that fail to detect USMR in\nPt|YIG systems, as the tiny signal is drowned out by the\ninterfacial spin Seebeck effect, which has a similar ex-\nperimental signature and is enhanced compared to the\nFM|HM case due to inhomogeneous Joule heating.\nWe have shown that the magnon-mediated USMR is\napproximately cubic in the spin Hall angle of the metal,\nsuggesting that metals with extremely large spin Hall\nangles may provide a significantly larger USMR than Pt.\nIt is therefore plausible that a large magnonic USMR\ncan exist in systems with very strong spin-orbit coupling,\neven though our model would break down in this regime.\nThe magnonic USMR depends strongly on the magnon\nspin diffusion length lmin the ferromagnet. Motivated\nby a large discrepancy between experimental values and\ntheoretical predictions of lm, we have shown that a sig-\nnificant increase in USMR can be realized if a method\nis found to engineer this parameter to specific, optimal\nvalues that, for realistic values of the magnon-phonon\nrelaxation time \u001cmp(on the order of 1 psfor YIG), are\nsignificantly shorter than those measured experimentally\nor computed theoretically. We further find that when the\nmagnon spin diffusion length has its optimal value, the\nUSMR becomes independent of the ferromagnet’s thick-\nness and Gilbert damping constant.\nAlthough in physically reasonable regimes, themagnonic USMR is to very good approximation linear\nin the applied driving current \u001bE, it saturates to a fixed\nvaluegivenextremelylargecurrentsorastronglyreduced\nmagnon gap \u0001. The transition from linear to constant\nbehavior in the driving current is heralded by a turn-\ning point which is proportional to the square root of the\nmagnon gap. The asymptotic behavior of the USMR be-\nyond the turning point is governed by the bulk spin- and\ncharge currents, and is completely independent of the de-\ntails of the interface.\nWhile a vast reduction in \u0001is required to bring the\nsaturation current of a Pt|YIG bilayer within experimen-\ntally reasonable regimes, the magnonic USMR scales as\n1=p\n\u0001at currents below the turning point, suggesting\nthat highly isotropic FI|HM samples are most likely to\nproduce a measurable magnonic USMR. The increase in\nmagnonic USMR at low gaps (and large currents) is in\ngood qualitative agreement with the recent experimental\nwork of Avci et al.[10], as is the linear dependence on\nsystem temperature.\nA notable disagreement with the experimental data of\nAvci et al.[10] is found in the scaling of the current de-\npendence, which in our results lacks an O(I3)term at\nlarge magnon gaps and contains an O(I2)term at inter-\nmediate gaps. It is still unclear whether this discrepancy\ncan be explained by system differences, such as the fi-\nnite electrical resistance of Co or the presence of Joule\nheating.\nFinally, we note that while our results apply to fer-\nromagnetic insulators, it is reasonable to assume a\nmagnoniccontributionalsoexistsinHM|FMheterostruc-\ntures, although the possibility of coupled transport of\nmagnons and electrons makes such systems more diffi-\ncult to model. Additionally, various extensions of our\nmodel may be considered, such as the incorporation of\nspin-momentum locking [5], ellipticity of magnons, heat\ntransport and nonuniform temperature profiles [21], di-\nrectional dependence of the magnetization, etc.\nV. ACKNOWLEDGEMENTS\nR.A.D. is member of the D-ITP consortium, a pro-\ngram of the Dutch Organisation for Scientific Research\n(NWO) that is funded by the Dutch Ministry of Educa-\ntion, Culture and Science (OCW). This work is funded\nby the European Research Council (ERC).\n[1] T. McGuire and R. Potter, IEEE Transactions on Mag-\nnetics11, 1018 (1975).\n[2] G. Binasch, P. Grünberg, F. Saurenbach, and W. Zinn,\nPhysical Review B 39, 4828 (1989).\n[3] Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer,\nS. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer,\nPhysical Review B 87, 144411 (2013), arXiv:1302.1352\n[cond-mat.mes-hall].[4] C. O. Avci, K. Garello, A. Ghosh, M. Gabureac, S. F.\nAlvarado, and P. Gambardella, Nature Physics 11, 570\n(2015), arXiv:1502.06898 [cond-mat.mes-hall].\n[5] K. Yasuda, A. Tsukazaki, R. Yoshimi, K. S. Takahashi,\nM. Kawasaki, and Y. Tokura, Physical Review Letters\n117, 127202 (2016), arXiv:1609.05906 [cond-mat.mtrl-\nsci].8\n[6] Y. Yin, D.-S. Han, M. C. H. de Jong, R. Lavrijsen, R. A.\nDuine, H. J. M. Swagten, and B. Koopmans, Applied\nPhysics Letters 111, 232405 (2017), arXiv:1711.06488\n[cond-mat.mtrl-sci].\n[7] C. O. Avci, M. Mann, A. J. Tan, P. Gambardella, and\nG. S. D. Beach, Applied Physics Letters 110, 203506\n(2017).\n[8] S. S.-L. Zhang and G. Vignale, Physical Review B 94,\n140411 (2016), arXiv:1608.02124 [cond-mat.mes-hall].\n[9] K. J. Kim, T. Moriyama, T. Koyama, D. Chiba, S. W.\nLee, S. J. Lee, K. J. Lee, H. W. Lee, and T. Ono, ArXiv\ne-prints (2016), arXiv:1603.08746 [cond-mat.mtrl-sci].\n[10] C. O. Avci, J. Mendil, G. S. D. Beach, and P. Gam-\nbardella, Physical Review Letters 121, 087207 (2018).\n[11] E. M. Chudnovsky, Physical Review Letters 99, 206601\n(2007), arXiv:0709.0725 [cond-mat.mes-hall].\n[12] W. Zhang, W. Han, X. Jiang, S.-H. Yang, and\nS. S. P. Parkin, Nature Physics 11, 496 (2015),\narXiv:1504.07929 [cond-mat.mes-hall].\n[13] T. Holstein and H. Primakoff, Physical Review 58, 1098\n(1940).\n[14] S. A. Bender and Y. Tserkovnyak, Physical Review B 91,\n140402 (2015), arXiv:1409.7128 [cond-mat.mes-hall].\n[15] Y. Tserkovnyak, A. Brataas, and G. E. Bauer, Physical\nReview Letters 88, 117601 (2002), cond-mat/0110247.\n[16] S. A. Bender, R. A. Duine, and Y. Tserkovnyak, Physi-\ncal Review Letters 108, 246601 (2012), arXiv:1111.2382\n[cond-mat.mes-hall].\n[17] S. Takahashi, E. Saitoh, and S. Maekawa, in Journal of\nPhysics Conference Series ,JournalofPhysicsConference\nSeries, Vol. 200 (IOP Publishing, 2010) p. 062030.[18] S. S.-L. Zhang and S. Zhang, Physical Review B 86,\n214424 (2012), arXiv:1210.2735 [cond-mat.mes-hall].\n[19] C. Tang, M. Aldosary, Z. Jiang, H. Chang, B. Madon,\nK. Chan, M. Wu, J. E. Garay, and J. Shi, Applied\nPhysics Letters 108, 102403 (2016).\n[20] V. Cherepanov, I. Kolokolov, and V. L’vov, Physics Re-\nports229, 81 (1993).\n[21] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A.\nDuine, and B. J. van Wees, Physical Review B 94,\n014412 (2016), arXiv:1604.03706 [cond-mat.mes-hall].\n[22] S. S.-L. Zhang and S. Zhang, Physical Review Let-\nters109, 096603 (2012), arXiv:1208.5812 [cond-mat.mes-\nhall].\n[23] C. O. Avci, K. Garello, J. Mendil, A. Ghosh, N. Blasakis,\nM. Gabureac, M. Trassin, M. Fiebig, and P. Gam-\nbardella, Applied Physics Letters 107, 192405 (2015).\n[24] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein, Physi-\ncal Review B 88, 094410 (2013), arXiv:1306.4292 [cond-\nmat.mes-hall].\n[25] M. G. Pini, P. Politi, and R. L. Stamps, Physical Review\nB72, 014454 (2005), cond-mat/0503538.\n[26] H. Skarsvåg, C. Holmqvist, and A. Brataas, Physical Re-\nviewLetters 115,237201(2015),arXiv:1506.06029[cond-\nmat.mes-hall].\n[27] J. F. Dillon, Physical Review 105, 759 (1957).\n[28] G. P. Rodrigue, H. Meyer, and R. V. Jones, Journal of\nApplied Physics 31, S376 (1960).\n[29] ASM Handbook Committee, ASM handbook , Vol. 2\n(ASM International, Materials Park, Ohio, 1990).\nAppendix: Interfacial spin current integrals\nThe following dimensionless integrals appear in the second-order expansion of the interfacial spin current to the\nspin accumulations, Eq. (2):\nI0=Z1\n\u0001\nkBTmdxr\nx\u0000\u0001\nkBTmx\u0012\nnB(x)\u0000nB\u0012Tm\nTex\u0013\u0013\n; (A.1a)\nIe=Z1\n\u0001\nkBTmdxr\nx\u0000\u0001\nkBTm \nnB\u0012Tm\nTex\u0013\n\u0000nB(x)\u0000Tm\nTexeTm\nTex\u0014\nnB\u0012Tm\nTex\u0013\u00152!\n; (A.1b)\nIm=Z1\n\u0001\nkBTmdxr\nx\u0000\u0001\nkBTmxex[nB(x)]2; (A.1c)\nIee=Z1\n\u0001\nkBTmdxr\nx\u0000\u0001\nkBTm \neTm\nTex\u0014\nnB\u0012Tm\nTex\u0013\u00153\u0014\neTm\nTex\u00001\u0000Tmx\n2Te\u0010\neTm\nTex+ 1\u0011\u0015!\n; (A.1d)\nImm=Z1\n\u0001\nkBTmdxr\nx\u0000\u0001\nkBTmx\n2ex[ex+ 1] [nB(x)]3; (A.1e)\nIme=\u0000Z1\n\u0001\nkBTmdxr\nx\u0000\u0001\nkBTmex[nB(x)]2: (A.1f)9\nDescription Symbol Expression Value at T= 293 K Ref.\nYIG spin-wave stiffness constant Js 8:458\u000210\u000040J m2[21]\nYIG spin quantum number per unit cell S 10 [21]\nYIG lattice constant a 1:2376 nm [21]\nYIG Gilbert damping constant \u000b 1\u000210\u00004[21]\nYIG spin number density s Sa\u000035:2754\u00021027m\u00003[21]\nYIG magnon gap \u0001 9 :3\u000210\u000024J[20]\nYIG magnon-phonon scattering time \u001cmp 1 ps[21]\nYIG magnon relaxation time \u001cmr\u0016h\n2\u000bkBTm130 ps[21]\nCombined magnon relaxation time \u001c\u0010\n1\n\u001cmr+1\n\u001cmp\u0011\u00001\n1 ps[21]\nMagnon thermal de Broglie wavelength \u0003q\n4\u0019Js\nkBTm1:62 nm[21]\nMagnon thermal velocity vth2p\nJskBT\n\u0016h35:1 km s\u00001[21]\nMagnon spin diffusion length lmvthq\n2\n3\u001c\u001cmr 326 nm [21]\nMagnon spin conductivity \u001bm\u0010\u00003\n2\u00012Js\n\u00033\u001c 1:35\u000210\u000024J s m\u00001[21]\nReal part of spin-mixing conductance g\"#\nr 5\u00021018m\u00002[16]\nPt electrical conductivity \u001b 1\u0002107S m\u00001[29]a\nPt spin Hall angle \u0012SH 0.11 [21]\nPt electron diffusion length ls 1:5 nm[21]\nPt|YIG Kapitza resistance Rth 3:58\u000210\u00009m2K W\u00001[24]\naThe conductivity of Pt is approximately inverse-linear in temperature over the regime we are considering. However, as we are not\ninterested in detailed thermodynamic behavior, we use the fixed value \u001b= 1\u0002107S m\u00001throughout this work.\nTABLE I. System parameters for a Pt|YIG bilayer film." }, { "title": "1903.03981v3.Magnons_at_low_excitations__Observation_of_incoherent_coupling_to_a_bath_of_two_level_systems.pdf", "content": "Magnons at low excitations: Observation of incoherent coupling to a bath of two-level\nsystems\nMarco P\frrmann,1,\u0003Isabella Boventer,1, 2Andre Schneider,1Tim\nWolz,1Mathias Kl aui,2Alexey V. Ustinov,1, 3and Martin Weides1, 4,y\n1Institute of Physics, Karlsruhe Institute of Technology, 76131 Karlsruhe, Germany\n2Institute of Physics, Johannes Gutenberg-University Mainz, 55099 Mainz, Germany\n3Russian Quantum Center, National University of Science and Technology MISIS, 119049 Moscow, Russia\n4James Watt School of Engineering, University of Glasgow, Glasgow G12 8LT, United Kingdom\n(Dated: November 26, 2019)\nCollective magnetic excitation modes, magnons, can be coherently coupled to microwave photons\nin the single excitation limit. This allows for access to quantum properties of magnons and opens up\na range of applications in quantum information processing, with the intrinsic magnon linewidth rep-\nresenting the coherence time of a quantum resonator. Our measurement system consists of a yttrium\niron garnet sphere and a three-dimensional microwave cavity at temperatures and excitation powers\ntypical for superconducting quantum circuit experiments. We perform spectroscopic measurements\nto determine the limiting factor of magnon coherence at these experimental conditions. Using the\ninput-output formalism, we extract the magnon linewidth \u0014m. We attribute the limitations of the\ncoherence time at lowest temperatures and excitation powers to incoherent losses into a bath of\nnear-resonance two-level systems (TLSs), a generic loss mechanism known from superconducting\ncircuits under these experimental conditions. We \fnd that the TLSs saturate when increasing the\nexcitation power from quantum excitation to multiphoton excitation and their contribution to the\nlinewidth vanishes. At higher temperatures, the TLSs saturate thermally and the magnon linewidth\ndecreases as well.\nStrongly coupled light-spin hybrid systems allow for\ncoherent exchange of quantum information. Such sys-\ntems are usually studied either classically at room tem-\nperature [1] or at millikelvin temperatures approaching\nthe quantum limit of excitation [2{4]. The \feld of cav-\nity magnonics [5{9] harnesses the coherent exchange of\nexcitation due to the strong coupling within the system\nand is used to access a new range of applications such\nas quantum transducers and memories [10]. Nonlinear-\nity in the system is needed to gain access to the control\nand detection of single magnons. Because of experimen-\ntal constraints regarding required light intensities in a\npurely optomagnonic system [11], hybridized systems of\nmagnon excitations and non-linear macroscopic quantum\nsystems such as superconducting qubits [12, 13] are used\ninstead, which opens up new possibilities in the emerging\n\feld of quantum magnonics [14, 15]. An e\u000ecient interac-\ntion of magnonic systems and qubits requires their life-\ntimes to exceed the exchange time. Magnon excitation\nlosses, expressed by the magnon linewidth \u0014m, translate\ninto a lifetime of the spin excitation. Identifying its lim-\niting factors is an important step toward more sophisti-\ncated implementations of hybrid quantum systems using\nmagnons. Studies in literature show the losses in magnon\nexcitations from room temperature down to about liquid\nhelium temperatures [9]. The main contribution changes\nwith temperature from scattering at rare-earth impu-\nrities [16, 17] to multi-magnon scattering at imperfect\nsample surfaces [18, 19]. For a typical environment of\nsuperconducting quantum circuit experiments, temper-\natures below 100 mK and microwave probe powers com-\nparable to single-photon excitations, temperature sweepsshow losses into TLSs [3]. In this paper, we present\nboth temperature- and power-dependent measurements\nof the magnon linewidth in a spherical yttrium iron gar-\nnet (YIG) sample in the quantum limit of magnon ex-\ncitations. We extract the critical saturation power and\npresent on- and o\u000b-resonant linewidth that is mapped\nto the ratio of magnon excitation in the hybrid system.\nFor large detuning, the fundamental linewidth can be\nextracted, thereby avoiding unwanted saturation e\u000bects\nfrom the residual cavity photon population. This renders\nthe o\u000b-resonant linewidth a valuable information on the\nlimiting factors of spin lifetimes.\nThe magnetization dynamics inside a magnetic crys-\ntal is described by bosonic quasiparticles of collective\nspin excitation, called magnons. These magnons man-\nifest as the collective precessional motion of the partic-\nipating spins out of their equilibrium positions. Their\nenergies and spatial distribution can be calculated ana-\nlytically using the Walker modes for spherical samples\n[20, 21]. We focus on the uniform in-phase precession\nmode corresponding to the wave vector k= 0, called\nthe Kittel mode [22], treating it equivalently to one sin-\ngle large macro spin. The precession frequency (magnon\nfrequency) of the Kittel mode in a sphere changes lin-\nearly with a uniform external magnetic bias \feld. The\nprecessional motion is excited by a magnetic \feld os-\ncillating at the magnon frequency perpendicular to the\nbias \feld. We use the con\fned magnetic \feld of a cav-\nity photon resonance to create magnetic excitations in a\nmacroscopic sample, biased by a static external magnetic\n\feld. Tuning them into resonance, the magnon and pho-\nton degree of freedom mix due to their strong interaction.arXiv:1903.03981v3 [quant-ph] 25 Nov 20192\n185.5 186.25 187 187.75 188.5\nExt. magnetic field (mT)\n5.205.225.245.265.28Probe frequency / (GHz)\n5.20 5.22 5.24 5.26 5.28\nProbe frequency / (GHz)\n50\n48\n46\n44\n42\n40\n38\n36\nReflection amplitude || (dB)\n/\n= .\n1.8 2.0 2.2 2.4Coil current (A)\na b\nRefl. amplitude || (arb. units)\nFIG. 1. (a) Color coded absolute value of the re\rection spectrum plotted against probe frequency and applied current at\nT= 55 mK and P=\u0000140 dBm. The resonance dips show the dressed photon-magnon states forming an avoided level crossing\nwith the degeneracy point at I0= 2:09 A, corresponding to an applied \feld of B0= 186:98 mT (dashed vertical line). The inset\ndisplays the squared gradient of the zoomed-in amplitude data. The kink in the data represents a weakly coupled magnetostatic\nmode. This was also seen in Ref. [9]. (b) Raw data of the cross section at the center of the avoided level crossing and \ft to\nthe input-output formalism. The \ft gives a magnon linewidth of \u0014m=2\u0019= 1:82\u00060:18 MHz. The data are normalized by the\n\feld independent background before \ftting and is multiplied to the \ft to display it over the raw data.\nThis creates hybridized states described as repulsive cav-\nity magnon polaritons, which are visible as an avoided\nlevel crossing in the spectroscopic data with two reso-\nnance dips at frequencies !\u0006(see Supplemental Material\n[23]) appearing in the data cross section. The interaction\nis described by the macroscopic magnon-photon coupling\nstrengthg. The system is probed in re\rection with mi-\ncrowave frequencies using standard ferromagnetic reso-\nnance techniques [24]. We use the input-output formal-\nism [25] to describe the re\rection spectrum. The complex\nre\rection parameter S11, the ratio of re\rected to input\nenergy with respect to the probe frequency !p, reads as\nS11(!p) =\u00001 +2\u0014c\ni (!r\u0000!p) +\u0014l+g2\ni(!m\u0000!p)+\u0014m;(1)\nwith the cavity's coupling and loaded linewidths \u0014cand\n\u0014l, and the internal magnon linewidth \u0014m(HWHM).\nFor our hybrid system we mount a commercially avail-\nable YIG (Y 3Fe5O12) sphere with a diameter d= 0:5 mm\n[26] inside a three-dimensional (3D) rectangular cavity\nmade of oxygen-free copper and cool the device in a dilu-\ntion refrigerator down to millikelvin temperatures (see\n\fgure in Supplemental Material [23]). YIG as a ma-\nterial is particularly apt for microwave applications, as\nit is a ferrimagnetic insulator with a very low Gilbert\ndamping factor of 10\u00003to 10\u00005[27{29] and a high net\nspin density of 2 :1\u00021022\u0016B=cm3[30]. The single crys-\ntal sphere comes pre-mounted to a beryllium oxide rod\nalong the [110] crystal direction. The 3D cavity has a\nTE102mode resonance frequency of !bare\nr=2\u0019= 5:24 GHzand is equipped with one SMA connector for re\rection\nspectroscopy measurements. For low temperatures and\nexcitation powers, we \fnd the internal and coupling\nquality factors to be Qi=!r=2\u0014i= 7125\u000697 and\nQc=!r=2\u0014c= 5439\u000629, combining to a loaded quality\nfactorQl= (1=Qi+ 1=Qc)\u00001= 3084\u000624 (see Supple-\nmental Material [23]). We mount the YIG at a magnetic\nanti-node of the cavity resonance and apply a static mag-\nnetic \feld of about 187 mT perpendicular to the cavity\n\feld to tune the magnetic excitation into resonance with\nthe cavity photon. The magnetic \feld is created by an\niron yoke holding a superconducting niobium-titanium\ncoil. Additional permanent samarium-cobalt magnets\nare used to create a zero-current o\u000bset magnetic \feld of\nabout 178 mT. The probing microwave signal is provided\nby a vector network analyzer (VNA). Microwave attenu-\nators and cable losses account for \u000075 dB of cable atten-\nuation to the sample. We apply probe powers between\n\u0000140 dBm and\u000065 dBm at the sample's SMA port. To-\ngether with the cavity parameters, this corresponds to an\naverage magnon population number hmifrom 0:3 up to\nthe order of 107[23] in the hybridized case. The probe\nsignal is coupled capacitively to the cavity photon using\nthe bare inner conductor of a coaxial cable positioned in\nparallel to the electric \feld component. The temperature\nof the sample is swept between 55 mK and 1 :8 K using a\nproportional-integral-derivative (PID) controlled heater.\nAfter a change in temperature, we wait at least one hour\nfor the sample to thermalize before measuring. All data\nacquisition and analysis are done via qkit [31].3\n0.1 1\nTemperature (K)\n0.81.01.21.41.61.82.02.2Magnon linewidth / (MHz)\n=\n=\n140\n 120\n 100\n 80\n 60\nProbe power (dBm)\nb a\n=\n=\n1 10Temperature / (GHz)\n110102103104105106107Average magnon number \nFIG. 2. (a) Temperature dependence of the magnon linewidth \u0014mat the degeneracy point. For low probe powers, \u0014m\nfollows a tanh (1 =T) behavior (crosses), while for high probe powers (circles) the linewidth does not show any temperature\ndependence. (b) Power dependence of the magnon linewidth \u0014mforT= 55 mK and 200 mK at the degeneracy point. Both\ntemperature curves show a similar behavior. At probe powers of about \u000090 dB m\u0014mdrops for both temperatures, following\nthe (1 +P=P c)\u00001=2trend of the TLS model. All linewidth data shown here are extracted from the \ft at matching frequencies.\nA typical measurement is shown in Fig. 1(a), mea-\nsured atT= 55 mK with an input power level of P=\n\u0000140 dBm. Figure 1(b) shows the raw data and the \ft of\nthe cavity-magnon polariton at matching resonance fre-\nquencies for an applied external \feld of B0= 186:98 mT.\nWe correct the raw data from background resonances and\nextract the parameters of the hybridized system by \ft-\nting to Eq. (1). The coupling strength g=2\u0019= 10:4 MHz\nof the system exceeds both the total resonator linewidth\n\u0014l=2\u0019=!r\n2Ql=2\u0019= 0:85 MHz and the internal magnon\nlinewidth\u0014m=2\u0019= 1:82 MHz, thus being well in the\nstrong coupling regime ( g\u001d\u0014l; \u0014m) for all temperatures\nand probe powers. The measured coupling strength is in\ngood agreement with the expected value\ngth=\re\u0011\n2r\n\u00160~!r\n2Vap\n2Nss; (2)\nwith the gyromagnetic ratio of the electron \re, the mode\nvolumeVa= 5:406\u000210\u00006m3, the Fe3+spin number\ns= 5=2, the spatial overlap between microwave \feld and\nmagnon \feld \u0011, and the total number of spins Ns[9]. The\noverlap factor is given by the ratio of mode volumes in\nthe cavity volume and the sample volume [1]. We \fnd\nfor our setup the overlap factor to be \u0011= 0:536. For a\nsphere diameter of d= 0:5 mm we expect a total number\nofNs= 1:37\u00021018spins. We \fnd the expected coupling\nstrengthgth=2\u0019= 12:48 MHz to be in good agreement\nwith our measured value. Even for measurements at high\npowers, the number of participating spins of the order of\n1018is much larger than the estimated number of magnon\nexcitations (\u0018107). We therefore do not expect to seethe intrinsic magnon nonlinearity as observed at excita-\ntion powers comparable to the number of participating\nspins [32].\nThe internal magnon linewidth decreases at higher\ntemperature and powers (Fig. 2) while the coupling\nstrength remains geometrically determined and does not\nchange with either temperature or power. This behav-\nior can be explained by an incoherent coupling to a bath\nof two-level systems (TLSs) as the main source of loss in\nour measurements. In the TLS model [33{36], a quantum\nstate is con\fned in a double-well potential with di\u000berent\nground-state energies and a barrier in-between. TLSs be-\ncome thermally saturated at temperatures higher than\ntheir frequency ( T&~!TLS=kB). Dynamics at low tem-\nperatures are dominated by quantum tunneling through\nthe barrier that can be stimulated by excitations at sim-\nilar energies. This resonant energy absorption shifts the\nequilibrium between the excitation rate and lifetime of\nthe TLSs and their in\ruence to the overall excitation loss\nvanishes. Loss into an ensemble of near-resonant TLSs\nis a widely known generic model for excitation losses in\nsolids, glasses, and superconducting circuits at these ex-\nperimental conditions [37]. We \ft the magnon linewidth\nto the generic TLS model loss tangent\n\u0014m(T; P ) =\u00140tanh ( ~!r=2kBT)p\n1 +P=P c+\u0014o\u000b: (3)\nDirectly in the avoided level crossing we \fnd \u00140=2\u0019=\n1:05\u00060:15 MHz as the low temperature limit of the\nlinewidth describing the TLS spectrum within the sam-\nple and\u0014o\u000b=2\u0019= 0:91\u00060:11 MHz as an o\u000bset linewidth4\n186.25 186.75 187.25 187.75\nExt. magnetic field (mT)\n0.81.21.62.0Magnon linewidth (MHz)\n186.25 186.75 187.25 187.75\nExt. magnetic field (mT)\n01 Ratiophoton\nmagnon\n01 Ratio5.22 5.23 5.24 5.25 5.26Magnon frequency / (GHz)\n5.22 5.23 5.24 5.25 5.26Magnon frequency / (GHz)\na b\n=\n =\nFIG. 3. Magnetic \feld (magnon frequency) dependence of the the magnon linewidth \u0014mfor di\u000berent probe powers at\nT= 55 mK (a) and T= 200 mK (b). The shown probe powers correspond to the ones at the transition in Fig. 2 (b). The\nnumber of excited magnons depends on the detuning of magnon and photon frequency. At matching frequencies (dashed\nline) the magnon linewidth has a minimum, corresponding to the highest excited magnon numbers and therefore the highest\nsaturation of TLSs. A second minimum at about 187 :25 mT corresponds to the coupling to an additional magnetostatic mode\nwithin the sample [inset of Fig. 1 (a)]. The insets show the ratio of excitation power within each component of the hybrid\nsystem. At matching frequencies, both components are excited equally. The magnon share drops at the plot boundaries to\nabout 20 %. The coupling to the magnetostatic mode is visible as a local maximum in the magnon excitation ratio. The xaxes\nare scaled as in the main plots. The legends are valid for both temperatures.\nadded as a lower boundary without TLS contribution.\nThe critical power Pc=\u000081\u00066:5 dBm at the SMA\nport describes the saturation of the TLS due to res-\nonant power absorption, corresponding to an average\ncritical magnon number of hmci= 2:4\u0001105. Using\n\fnite-element simulations, we map the critical excita-\ntion power to a critical AC magnetic \feld on the or-\nder ofBc\u00183\u000110\u000010T at the position of the YIG sam-\nple. Looking at the linewidths outside the anti-crossing\nat constant input power, we \fnd a minimum at match-\ning magnon and photon frequencies (dashed lines in Fig.\n3). Here, the excitation is equally distributed between\nphotons and magnons, reaching the maximum in both\nmagnon excitation power and TLS saturation, respec-\ntively. At detuned frequencies the ratio between magnon\nand photon excitation power changes, less energy excites\nthe magnons (insets in Fig. 3), and therefore less TLSs\nget saturated. The magnon linewidth increases with de-\ntuning, matching the low power data for large detunings.\nThis e\u000bect is most visible at highest excitation powers.\nWe calculate the energy ratios by \ftting the resonances in\neach polariton branch individually and weight the stored\nenergy with the eigenvalues of the coupling Hamiltonian\n[38]. For higher powers a second minimum at about\n187:25 mT can be seen at both studied temperatures. We\nattribute this to the coupling to a magnetostatic mode\nwithin the YIG sample and therefore again an increased\nnumber of excited magnons [see inset of Fig. 1 (a)]. Thiscan also be seen in the inset \fgures as a local magnon\nexcitation maximum. We attribute the TLS-independent\nlosses\u0014o\u000b=2\u0019= 0:91\u00060:11 MHz to multi-magnon scat-\ntering processes on the imperfect sphere surface [18, 19].\nAs described in Ref. [9], we model the surface of the YIG\nwith spherical pits with radii of2\n3of the size of the polish-\ning material (2 =3\u00020:05µm) and estimate a contribution\nof about 2 \u0019\u00011 MHz that matches our data. We attribute\nthe slight increase in the linewidth visible in the high-\npower data (circles) in Fig. 2 (a) to the \frst in\ruence of\nrare-earth impurity scattering, dominating the linewidth\nbehavior of the TLS-saturated system at higher temper-\natures [9, 16, 39]. In principle, loss due to TLS can also\nbe determined indirectly by weak changes of the reso-\nnance frequency [40{43] while keeping the \feld constant.\nOur system, however, operates at \fxed frequency and\nmagnetic remanence within the magnetic yoke leads to\nuncertainties in absolute magnetic \feld value beyond the\nrequired accuracy.\nIn this work, we studied losses in a spherical YIG\nsample at temperatures below 2 K and excitation powers\ndown from 107photons below a single photon. We iden-\ntify incoherent coupling to a bath of two-level systems as\nthe main source of excitation loss in our measurements.\nThe magnon linewidth \u0014m=2\u0019at the degeneracy point \fts\nwell to the generic loss tangent of the TLS model with re-\nspect to temperature and power. It decreases from about\n1:8 MHz in\ruenced by TLSs to about 1 MHz with satu-5\nrated TLSs. The magnon linewidth shows a minimum\nat maximum magnon excitation numbers, again corre-\nsponding with TLS saturation with increasing excitation\npower. While TLSs are a common source of loss in super-\nconducting circuits, their microscopic nature is still not\nfully understood. Possible models for TLS origin include\nmagnetic TLSs in spin glasses [44{47] that manifest in\ncrystalline samples in lower concentration, surface spins\n[48, 49] that in\ruence the e\u000bective number of spins or\nmagnon-phonon and subsequent phonon losses into TLSs\n[50] (see also Supplemental Material [23]. Improving the\nsurface roughness and quality of the YIG crystal can lead\nto lower overall losses and lower TLS in\ruence which can\nlead to longer coherence lifetimes for application in quan-\ntum magnonic devices.\nNote added in proof - Recently, a manuscript study-\ning losses in thin \flm YIG that independently observed\ncomparable results and reached similar conclusions was\npublished by Kosen et al. [51].\nThis work was supported by the European Research\nCouncil (ERC) under the Grant Agreement 648011\nand the Deutsche Forschungsgemeinschaft (DFG) within\nProject INST 121384/138-1 FUGG and SFB TRR 173.\nWe acknowledge \fnancial support by the Helmholtz In-\nternational Research School for Teratronics (M.P. and\nT.W.) and the Carl-Zeiss-Foundation (A.S.). A.V.U ac-\nknowledges partial support from the Ministry of Educa-\ntion and Science of Russian Federation in the framework\nof the Increase Competitiveness Program of the National\nUniversity of Science and Technology MISIS (Grant No.\nK2-2017-081).\n\u0003marco.p\frrmann@kit.edu\nymartin.weides@glasgow.ac.uk\n[1] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Phys.\nRev. Lett. 113, 156401 (2014).\n[2] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen-\nstein, A. Marx, R. Gross, and S. T. B. Goennenwein,\nPhys. Rev. Lett. 111, 127003 (2013).\n[3] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Us-\nami, and Y. Nakamura, Phys. Rev. Lett. 113, 083603\n(2014).\n[4] M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, Phys. Rev. Appl. 2,\n054002 (2014).\n[5] D. Zhang, X.-M. Wang, T.-F. Li, X.-Q. Luo, W. Wu,\nF. Nori, and J. You, npj Quantum Inf. 1, 15014 EP\n(2015).\n[6] L. Bai, M. Harder, Y. Chen, X. Fan, J. Xiao, and C.-M.\nHu, Phys. Rev. Lett. 114, 227201 (2015).\n[7] Y. Cao, P. Yan, H. Huebl, S. T. B. Goennenwein, and\nG. E. W. Bauer, Phys. Rev. B 91, 094423 (2015).\n[8] M. Goryachev, S. Watt, J. Bourhill, M. Kostylev, and\nM. E. Tobar, Phys. Rev. B 97, 155129 (2018).\n[9] I. Boventer, M. P\frrmann, J. Krause, Y. Sch on,\nM. Kl aui, and M. Weides, Phys. Rev. B 97, 184420(2018).\n[10] X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang,\nand H. X. Tang, Nat. Commun. 6, 8914 EP (2015).\n[11] S. Viola Kusminskiy, H. X. Tang, and F. Marquardt,\nPhys. Rev. A 94, 033821 (2016).\n[12] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Science 349, 405\n(2015).\n[13] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, C. R. Phys. 17,\n729 (2016).\n[14] D. Lachance-Quirion, Y. Tabuchi, S. Ishino, A. Noguchi,\nT. Ishikawa, R. Yamazaki, and Y. Nakamura, Sci. Adv.\n3, e1603150 (2017).\n[15] D. Lachance-Quirion, Y. Tabuchi, A. Gloppe, K. Us-\nami, and Y. Nakamura, Appl. Phys. Express 12, 070101\n(2019).\n[16] E. G. Spencer, R. C. LeCraw, and R. C. Linares, Phys.\nRev.123, 1937 (1961).\n[17] P. E. Seiden, Phys. Rev. 133, A728 (1964).\n[18] M. Sparks, R. Loudon, and C. Kittel, Phys. Rev. 122,\n791 (1961).\n[19] J. Nemarich, Phys. Rev. 136, A1657 (1964).\n[20] L. R. Walker, Phys. Rev. 105, 390 (1957).\n[21] P. Fletcher, I. H. Solt, and R. Bell, Phys. Rev. 114, 739\n(1959).\n[22] C. Kittel, Phys. Rev. 73, 155 (1948).\n[23] See Supplemental Material at http://link.aps.org/\nsupplemental/10.1103/PhysRevResearch.1.032023 for\nfurther information about \ftting procedure and excita-\ntion number callibration.l.\n[24] S. S. Kalarickal, P. Krivosik, M. Wu, C. E. Patton, M. L.\nSchneider, P. Kabos, T. J. Silva, and J. P. Nibarger, J.\nAppl. Phys. 99, 093909 (2006).\n[25] D. F. Walls and G. F. Milburn, Quantum Optics\n(Springer-Verlag GmbH, 2008).\n[26] Ferrisphere Inc., www.ferrisphere.com.\n[27] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, S. Maekawa, and E. Saitoh, Nature 464,\n262 (2010).\n[28] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz,\nE. Girt, Y.-Y. Song, Y. Sun, and M. Wu, Phys. Rev.\nLett. 107, 066604 (2011).\n[29] H. Kurebayashi, O. Dzyapko, V. E. Demidov, D. Fang,\nA. J. Ferguson, and S. O. Demokritov, Nature Materials\n10, 660 EP (2011).\n[30] M. A. Gilleo and S. Geller, Phys. Rev. 110, 73 (1958).\n[31] \\Qkit - a quantum measurement suite in python,\"\nhttps://github.com/qkitgroup/qkit.\n[32] J. A. Haigh, N. J. Lambert, A. C. Doherty, and A. J.\nFerguson, Phys. Rev. B 91, 104410 (2015).\n[33] P. W. Anderson, B. I. Halperin, and C. M. Varma, Phi-\nlos. Mag. 25, 1 (1972).\n[34] W. A. Phillips, J. Low Temp. Phys. 7, 351 (1972).\n[35] S. Hunklinger and W. Arnold, Ultrasonic Properties of\nGlasses at Low Temperatures , Physical Acoustics, Vol. 12\n(Academic Press, 1976) pp. 155 { 215.\n[36] W. A. Phillips, Reports on Progress in Physics 50, 1657\n(1987).\n[37] C. M uller, J. H. Cole, and J. Lisenfeld, Reports on\nProgress in Physics 82, 124501 (2019).\n[38] M. Harder and C.-M. Hu, Cavity Spintronics: An Early\nReview of Recent Progress in the Study of Magnon-6\nPhoton Level Repulsion , edited by R. E. Camley and\nR. L. Stamps, Solid State Physics, Vol. 69 (Academic\nPress, 2018) pp. 47 { 121.\n[39] E. G. Spencer, R. C. LeCraw, and A. M. Clogston, Phys.\nRev. Lett. 3, 32 (1959).\n[40] D. P. Pappas, M. R. Vissers, D. S. Wisbey, J. S. Kline,\nand J. Gao, Appl. Phys. Lett. 21, 871 (2011).\n[41] J. Gao, M. Daal, A. Vayonakis, S. Kumar, J. Zmuidzinas,\nB. Sadoulet, B. A. Mazin, P. K. Day, and H. G. Leduc,\nAppl. Phys. Lett. 92, 152505 (2008).\n[42] S. Kumar, J. Gao, J. Zmuidzinas, B. A. Mazin, H. G.\nLeDuc, and P. K. Day, Appl. Phys. Lett. 92, 123503\n(2008).\n[43] J. Burnett, L. Faoro, I. Wisby, V. L. Gurtovoi,\nA. V. Chernykh, G. M. Mikhailov, V. A. Tulin,\nR. Shaikhaidarov, V. Antonov, P. J. Meeson, A. Y. Tza-\nlenchuk, and T. Lindstr om, Nat. Commun. 5, 4119 EP\n(2014).\n[44] M. Continentino, Solid State Communications 38, 981\n(1981).\n[45] M. A. Continentino, Journal of Physics C: Solid State\nPhysics 14, 3527 (1981).\n[46] E. F. Wassermann and D. M. Herlach, Journal of Applied\nPhysics 55, 1709 (1984).\n[47] D. Wesenberg, T. Liu, D. Balzar, M. Wu, and B. L. Zink,\nNature Physics 13, 987 EP (2017).\n[48] S. E. de Graaf, A. A. Adamyan, T. Lindstr om, D. Erts,\nS. E. Kubatkin, A. Y. Tzalenchuk, and A. V. Danilov,\nPhys. Rev. Lett. 118, 057703 (2017).\n[49] S. E. de Graaf, L. Faoro, J. Burnett, A. A. Adamyan,\nA. Y. Tzalenchuk, S. E. Kubatkin, T. Lindstr om, and\nA. V. Danilov, Nature Communications 9, 1143 (2018).\n[50] S. Streib, N. Vidal-Silva, K. Shen, and G. E. W. Bauer,\nPhys. Rev. B 99, 184442 (2019).\n[51] S. Kosen, A. F. van Loo, D. A. Bozhko, L. Mihalceanu,\nand A. D. Karenowska, APL Materials 7, 101120 (2019).\n[52] S. Probst, F. B. Song, P. A. Bushev, A. V. Ustinov, and\nM. Weides, Review of Scienti\fc Instruments 86, 024706\n(2015).\n[53] M. Newville, T. Stensitzki, D. B. Allen, and A. Ingar-\ngiola, \\LMFIT: Non-Linear Least-Square Minimization\nand Curve-Fitting for Python,\" (2014).\n[54] M. A. Continentino, Phys. Rev. B 27, 4351 (1983).\n[55] M. A. Continentino, Journal of Physics C: Solid State\nPhysics 16, L71 (1983).\n[56] C. Arzoumanian, A. De Go er, B. Salce, and\nF. Holtzberg, J. Physique Lett. 44, 39 (1983).\n[57] R. N. Kleiman, G. Agnolet, and D. J. Bishop, Phys. Rev.\nLett. 59, 2079 (1987).\nCavity-Magnon coupling\nThe frequencies of both arms of the avoided level cross-\ning!\u0006are \ftted to the energy eigenvalues of a 2 \u00022\nmatrix describing two coupled harmonic oscillators, one\nwith constant frequency and one with a linearly changing\nfrequency,\n!\u0006=!bare\nr+!I=0\nm\n2\u0006s\u0012!barer\u0000!I=0m\n2\u00132\n+g2:(S1)We use the current dependent data taken at T= 55 mK\nandP=\u0000140 dBm to obtain the bare cavity fre-\nquency!bare\nr, the zero-current magnetic excitation fre-\nquency!I=0\nm, and the coupling strength g. The fre-\nquencies of the anticrossing !\u0006were obtained by track-\ning the minima in the amplitude data. From the\n\ft we obtain the bare cavity frequency !bare\nr=2\u0019=\n5:239 02\u00060:000 02 GHz and the zero-current magnetic\nexcitation frequency !I=0\nm=2\u0019= 4:9817\u00060:0002 GHz\ndue to the o\u000bset magnetic \feld by the permanent mag-\nnets. The magnon-cavity coupling strength stays nearly\nconstant for all temperatures and excitation powers at\ng=2\u0019= 10:39\u00060:17 MHz.\nMagnon number estimation\nUsing the cavity's resonance frequency, quality factors,\nand the input power Pinwe estimate the total number of\nmagnon and photon excitation within the cavity hNeiin\nunits of ~!r,\nhNei= 4Q2\nl\nQc1\n~!2r\u0001Pin: (S2)\nNote that the input power Pinis in units of watts and\nnot to be confused with the probe power (level) Pin\nunits of dBm. For the strongly coupled system the exci-\ntation energy at matching frequencies is stored in equal\nparts in photons and magnons, hni=hmi=hNei\n2.\nWe measure the re\rection signal of the cavity reso-\nnance at 55 mK at probe powers between \u0000140 dBm and\n\u000065 dBm at zero current applied to the magnetic coil.\nThe complex data is then \ftted using a circle \ft al-\ngorithm [52] to determine the power dependent qual-\nity factors (coupling quality factor Qc=!r\n2\u0014c, inter-\nnal quality factor Qi=!r\n2\u0014i, and loaded quality factor\nQl= (1=Qi+ 1=Qc)\u00001) and resonance frequencies as\nshown in Fig. S1 (a-d). Besides an initial shift of the\nquality factors of less than 1 % going from \u0000140 dBm to\n\u0000130 dBm of input power the quality factors show no\npower dependence, varying only in a range below 0 :15 %.\nThe \ftted (dressed) frequencies are shifted compared to\nthe bare cavity frequency due to the residual magnetic\n\feld. From Eq. (S1) we expect a zero-current dressed\nfrequency of !I=0\nr= 5:239 452\u00060:000 002 GHz. The cir-\ncle \ft gives a resonance frequency at the lowest power of\n!CF\nr= 5:239 474\u00060:000 002 GHz. We calculate the av-\nerage total excitation for all probe powers using Eq. (S2)\nand \ft a line to the logarithmic data (Fig. S1 (e)),\nhNei= 62:046\u0001P1:0003\nin fW\u00001: (S3)\nThe \ft agrees well with the data and is used throughout\nall data evaluation to map probe powers Pto average\nexcitation numbers. This results in average magnon ex-\ncitation numbers at matching frequencies for our experi-\nments between 0 :31 and 9:85\u0001106.7\n140\n 120\n 100\n 80\n 60\nProbe power (dBm)\n110102103104105106107108Average total excitation number \n1\n0123/ (kHz)\n140\n 120\n 100\n 80\n 60\nProbe power (dBm)\n70807120716072007240Internal Q factor \n307830823086309030943098Loaded Q factor \n542054255430543554405445Coupling Q factor \na\nb\ncd\ne\nFIG. S1. (a-c) Loaded, coupling, and internal quality factors of the cavity resonance against probe power. The data was taken\natT= 55 mK with zero current applied to the magnetic coil and does not show a power dependent behavior. (d) Shift of the\n\ftted cavity frequencies \u0001 !=2\u0019= [!r(P)\u0000!r(P=\u0000140 dB m)]=2\u0019with compared to the measurement at lowest probe power\nat zero current. Similar as with the quality factors, the cavity frequency does not show a power dependence. (e) Calculated\naverage photon number in cavity against probe power. The \ft shows a linear dependence of the photon number calculated\nwith Eq. (S2) to the input power. Note that this plot features a log-log scale, making the \ft linear again. The errors on the\naverage photon number are estimated to be smaller than 0 :35 % and are not visible on this plot.\nExtracting the internal magnon linewidth\nWe extract the internal magnon linewidth \u0014mby \ftting\nthe re\rection amplitude jS11(!)jusing the input-output\nformalism [25].\njS11(!p;I)j=\f\f\f\f\f\u00001 +2\u0014c\ni (!r\u0000!p) +\u0014l+g2\ni(!m(I)\u0000!p)+\u0014m\f\f\f\f\f;(S4)\nwith the probe frequency !p, the magnon frequency !m,\nand the loaded, coupling and magnon linewidths \u0014l,\u0014c,\nand\u0014m(HWHM). Before \ftting, we normalize the databy the current independent baseline similar to Ref. [9].\nWe estimate the background value for each probe fre-\nquency by calculating a weighted average over all entries\nalong the current axis, neglecting the areas around the\ndressed cavity resonances. The amplitude data is divided\nby this baseline to account for losses in the measurement\nsetup. The normalized data together with the \ft results\nof Eq. (S1) and the circle \ftted cavity resonance at zero\ncurrent are then \ftted to Eq. (S4) using the Python pack-\nage lm\ft [53].8\nVNA3K 0.75K 55mK\n-20dB\n-20dB\n-20dB40dB32dBb\naYIG\ncoupler\n3 mm\nFIG. S2. (a) Photograph of the sample in the cavity. The\ntop half of the cavity resonator was removed and can be seen\nin the background. (b) Schematic diagram of the experimen-\ntal setup. The cavity holding the YIG sphere and the magnet\nproviding the static \feld are mounted at the mixing cham-\nber plate of a dry dilution refrigerator. The microwave input\nsignal is attenuated to minimize thermal noise at the sample.\nThe attenuation of the complete input line to the input port\nof the cavity is \u000075 dB at the cavity resonance frequency. The\noutput signal is ampli\fed by a cryogenic ampli\fer operating\nat 3 K and an ampli\fer at room temperature. Two magneti-\ncally shielded microwave circulators protect the sample from\nampli\fer noise.\nPossible TLS origin\nThe microscopic origins of TLSs is still unclear and\npart of ongoing research. Possible models include mag-\nnetic TLSs proposed with analog behavior to the electricdipolar coupled TLSs [44, 45, 54, 55] and measured in\nspin glasses by thermal conductivity, susceptibility and\nmagnetization measuements at low temperatures [46, 56].\nWith amorphous YIG showing spin glass behavior [47] it\nseems plausible to observe these e\u000bects in our crystalline\nYIG sample where in addition to the observed rare earth\nimpurities [9] we can assume structural crystal defects.\nThis is based on materials with electric dipolar coupled\nTLSs, where TLSs appear largely in disorderd crystals\nbut also in single crystals with smaller density [57].\nAnother possibility could be surface spins leading to\nstrong damping that were observed as an important loss\nmechanism in cQED experiments [48, 49]. We evaluated\nthe coupling strength to \fnd a power or temperature de-\npendence on the participating number of spins, see Fig.\nS3. We \fnd an increase in the coupling strength of about\n1 % at the saturation conditions for the TLSs. With\ng/p\nNthis translates to an increase in the number of\nparticipating spins of the order of 2 %, e.g. due to the in-\ncreased participation of now environmentally decoupled\nsurface spins. This should not be enough to explain the\ndecrease in \u0014mby a factor of 2.\nA loss mechanism by magnon-phonon coupling and\nsubsequent phonon losses due to TLS coupling can be\nneglected since for k= 0 magnons in YIG these magnon\nlosses are proposed to be much smaller than the Gilbert\ndamping [50].9\n0.1 1\nTemperature (K)\n10.2510.3010.3510.4010.4510.50coupling strength / (MHz)\n=\n=\n140\n 120\n 100\n 80\n 60\nprobe power (dBm)\n=\n=\nFIG. S3. (a) Temperature and (b) power dependence of the coupling strength evaluated at the same conditions as Fig. (2)\nin the main text. We \fnd a increase of the coupling strength of about 1 % going to higher powers that decreases at higher\ntemperatures. This indicates an increase in participating spins on the order of 2 %." }, { "title": "2106.11283v2.A_low_loss_ferrite_circulator_as_a_tunable_chiral_quantum_system.pdf", "content": "A low-loss ferrite circulator as a tunable chiral quantum system\nYing-Ying Wang,1Sean van Geldern,1Thomas Connolly,1,\u0003Yu-Xin Wang,2Alexander\nShilcusky,1Alexander McDonald,2, 3Aashish A. Clerk,2and Chen Wang1,y\n1Department of Physics, University of Massachusetts-Amherst, Amherst, MA, USA\n2Pritzker School of Molecular Engineering, University of Chicago, Chicago, IL, USA\n3Department of Physics, University of Chicago, Chicago, IL, USA\n(Dated: November 5, 2021)\nFerrite microwave circulators allow one to control the directional flow of microwave signals and noise, and\nthus play a crucial role in present-day superconducting quantum technology. They are typically viewed as a\nblack-box, with their internal structure neither specified nor used as a quantum resource. In this work, we show\na low-loss waveguide circulator constructed with single-crystalline yttrium iron garnet (YIG) in a 3D cavity, and\nanalyze it as a multi-mode hybrid quantum system with coupled photonic and magnonic excitations. We show\nthe coherent coupling of its chiral internal modes with integrated superconducting niobium cavities, and how\nthis enables tunable non-reciprocal interactions between the intra-cavity photons. We also probe experimentally\nthe effective non-Hermitian dynamics of this system and its effective non-reciprocal eigenmodes. The device\nplatform provides a test bed for implementing non-reciprocal interactions in open-system circuit QED.\nI. INTRODUCTION\nMicrowave circulators, typically composed of a transmission\nline Y-junction with ferrite materials [1], are ubiquitous in su-\nperconducting circuit QED experiments [2]. They provide a\ncrucial link in the readout chain of superconducting quantum\nprocessors, by directing the signal traffic while protecting the\nqubits and resonators from thermal noise [3]. They also enable\nthe interactions between distinct quantum circuit modules to be\nnon-reciprocal [4, 5], a feature which is important for eliminat-\ning long-distance cross-talks in modular quantum computation\narchitectures. Despite their importance, microwave circulators\nare generally treated as broadband black-box devices in experi-\nments. Formulating a more microscopic quantum description is\noften challenging, as their internal modes involving the magnetic\nspin excitations (magnons) are generally too lossy and complex\nto be analyzed using canonical circuit quantization [6].\nOn the other hand, there has been growing interest in\nstudying and manipulating magnon excitations of ferromag-\nnetic/ferrimagnetic materials in the quantum regime [7, 8]. In\nparticular, the ferromagnetic resonance (FMR) mode of yttrium\niron garnet (YIG), a ferrimagnetic insulator with usage in com-\nmercial circulators, has shown sufficiently high quality factor\nand coupling cooperativity with microwave cavities to func-\ntion as a quantum oscillator mode in strong-coupling circuit\nQED [9–11]. Notably, coherent coupling of magnons with a\nsuperconducting qubit [12] and single-shot detection of a sin-\ngle magnon [13] have been demonstrated using a millimeter-\nsized single-crystalline YIG sphere in a 3D cavity. Furthermore,\nthere is a plausible pathway towards planar superconducting-\nmagnonic devices [14, 15] to connect circuit QED with spin-\ntronics technologies by advancing fabrication techniques of low-\ndamping YIG films [16].\nIt would be interesting to harness these recent advances in\nthe study of quantum magnonics to revisit the design of mi-\ncrowave circulators, potentially leading to new kinds of non-\nreciprocal devices in circuit QED. Our work here describes\n\u0003Present address: Department of Applied Physics, Yale University, New\nHaven, CT, USA\nywangc@umass.edua first step in this direction. Here we demonstrate a tun-\nable non-reciprocal device based on the waveguide circulator\nloaded with single-crystalline YIG, which explicitly makes use\nof well-characterized hybrid polariton modes. Such modes\nare the normal modes of coupled magnon-photon systems [9–\n11, 17, 18], and have an intrinsic chirality that is set by the\nmagnetic field [19–21]. While our device follows the same ba-\nsic working principles underpinning textbook circulators [1, 22],\ndetailed understanding of the internal modes allows us to incor-\nporate the physical source of non-reciprocity in the full descrip-\ntion of a larger system including two external superconducting\ncavities, using a non-Hermitian effective Hamiltonian.\nWhile our device can be configured to operate as a traditional\ncirculator for its non-reciprocal transmission of travelling waves,\nthe main focus of our study is to use the device for mediating\ntunable non-reciprocal interaction between localized long-lived\nquantum modes. Such non-reciprocal mode-mode couplings re-\nsult in distinct signatures in the eigenvalues and eigenvectors of\nthe non-Hermitian system Hamiltonian, which is relevant to the\nmore general study of non-Hermitian dynamics in contexts rang-\ning from classical optics to quantum condensed matter. Anoma-\nlous properties of the eigenvalues and eigenvectors of a non-\nHermitian Hamiltonian have given rise to a number of striking\nphenomena such as the existence of exceptional points [23, 24]\nand the non-Hermitian skin effect [25–27], but direct experimen-\ntal access to the underlying eigenmodes is often difficult. In this\nstudy, we provide comprehensive characterization of the eigen-\nmode structure, which is a step towards effective Hamiltonian\nengineering of non-reciprocal non-Hermitian systems.\nThe most tantalizing usage of non-reciprocity in quantum sys-\ntems (such as entanglement stabilization using directional in-\nteractions in chiral quantum optics setups [28, 29]) require ex-\ntremely high quality devices. In particular, they must approach\nthe pristine limit where undesirable internal loss rates are neg-\nligible compared to the non-reciprocal coupling rates. While\nmany experiments have focused on new avenues of achieving\nnon-reciprocity [30–36], this loss-to-coupling ratio, which can\nbe understood as the quantum efficiency of the non-reciprocal\ninteractions, has been typically limited to approximately 10%\n(\u00180.5 dB) or more, which is comparable to the linear insertion\nloss of typical commercial circulators as measured in modular\ncircuit QED experiments [4, 5]. This performance lags far be-\nhind the quality of unitary operations between reciprocally cou-arXiv:2106.11283v2 [quant-ph] 4 Nov 20212\n(a) VNA (b)\nYXZ\nIMT WCP\nFIG. 1. Device and measurement setup. (a) A YIG cylinder (black)\nis placed at the center of the intersection of three rounded-rectangular\nwaveguides placed 120 degrees away from each other. The light grey re-\ngion is vacuum inside an oxygen-free copper enclosure. The device can\nbe assembled in two different configurations: First, a drum-head shaped\ntransition pin can be attached at the end of each waveguide section to\nform an impedance matched waveguide-to-SMA transition (IMT). Al-\nternatively, a short weakly-coupled probe (WCP) can be attached to\neach waveguide section to explore the internal modes of the device. (b)\nThe device is mounted to a mezzanine plate that is thermalized to the\nmixing chamber of a dilution refrigerator, and is positioned at the center\nof a superconducting solenoid magnet which operates at 4K. The device\nis connected to three input cables (with attenuators as marked) and two\noutput amplifier lines (with directional couplers splitting signals) for\nS-parameter measurements using a vector network analyzer (VNA).\npled quantum components (i.e. two-qubit gate infidelity <1%).\nOur approach provides a route for transcending this limitation\non the quantum efficiency of non-reciprocal interactions.\nThe results of our study have implications in several areas: (1)\nIn the context of quantum magnonics, we present the first study\nof polariton modes with a partially magnetized ferrite material,\nwhich features a high quality factor and low operating field, both\nof which are crucial for constructing superconducting-magnonic\ndevices. (2) In the context of modular superconducting quan-\ntum computing, we demonstrate the first circulator with internal\nloss well below 1% of the coupling bandwidth, which would\nenable high-fidelity directional quantum state transfer. (3) For\nthe general non-Hermitian physics, we demonstrate an experi-\nmental probe of the non-reciprocal eigenvector composition of a\nnon-Hermitian system. Combining these advances, we have es-\ntablished an experimental platform that meets the conditions for\nfuture study of nonlinear non-reciprocal interactions with super-\nconducting qubits.\nII. EXPERIMENTAL SETUP\nOur experimental setup is shown in Fig. 1(a). Three rounded-\nrectangular waveguides, each with a cross section of 21.0 mm \u0002\n4.0 mm, placed 120 degrees away from each other, intersect to\nform the body of the circulator. A \u001e-5.58 mm\u00025.0 mm single-\ncrystalline YIG cylinder is placed at the center of the Y-junction,\nwith external magnetic fields applied along its height (the zaxis\nand the [111] orientation of the YIG crystal). At the end of\nthe three waveguide sections, we can either attach impedance-\nmatched waveguide-to-SMA transitions (IMT) to perform stan-dard characterization of the circulator (as in Section IV), or at-\ntach weakly-coupled probe pins (WCP) to explore the internal\nmodes of this YIG-loaded Y-shaped cavity (as in Section III).\nThe use of reconfigurable probes in the same waveguide package\nallows us to infer the operation condition and the performance\nof the circulator from the properties of the internal modes. Fur-\nthermore, the copper waveguide sections can be replaced by su-\nperconducting niobium cavities, with details to be described in\nSection V and Fig. 5. This modular substitution introduces ad-\nditional external high Q modes to the system, and understanding\nthe resulting Hamiltonian and the hybridized mode structure of\nthe full system will be a first step towards the study of pristine\nnon-reciprocal interactions in circuit QED.\nThe device package is thermalized to the mixing chamber\nplate (\u001820 mK) of a Bluefors LD-250 dilution refrigerator inside\nthe\u001e-100 mm bore of a 1 T superconducting magnet that applies\nmagnetic field along the zaxis [Fig. 1(b)]. A vector network an-\nalyzer is used to measure the complex microwave transmission\ncoefficients Sij(from Portjto Porti, wherei;j= 1;2;3) of\nthe device in series with a chain of attenuators, filters and ampli-\nfiers as in typical circuit QED experiments. A magnetic shield\nmade of a steel sheet is placed outside the bottom half of the re-\nfrigerator, and all data is acquired under the persistent mode of\nthe superconducting magnet to minimize magnetic-field fluctua-\ntions.\nIII. INTERNAL MODE STRUCTURE\nWe begin by discussing the internal mode structure of the de-\nvice, as probed by S21as a function of applied magnetic field\nBwhen the device is installed with WCP [Fig. 2(a)]. A se-\nries of electromagnetic modes (relatively field-independent) are\nobserved to undergo large avoided crossings with a cluster of\nmagnon modes of the YIG crystal, forming photon-magnon po-\nlariton modes. The magnon mode most strongly coupled to pho-\ntons is known to correspond to near-uniform precession of YIG\nspins, or the Kittel mode of FMR, whose frequency increases\nlinearly with magnetic field: !m=\r[B+\u00160(Nx;y\u0000Nz)Ms]\u0019\n\rB, as marked by the dashed line in Fig. 2(a). Here \ris the\ngyromagnetic ratio, and the (volume-averaged) demagnetizing\nfactorsNx;y;z in magnetic saturated state are very close to 1=3\nfor the aspect ratio of our YIG cylinder [37]. These avoided\ncrossings are similar to previous experiments showing strong\nphoton-magnon coupling [10, 11], but due to the much larger\nsize of the YIG in our experiment, a large cluster of higher-\norder magnetostatic modes, most of which have slightly higher\nfrequency than the Kittel mode [38, 39] also coherently interact\nwith the microwave photons, contributing to the complex trans-\nmission spectra in the vicinity of the crossings. Nevertheless, to\nhave a coarse estimate of the photon-magnon coupling strength,\nit is convenient to model each observed spectral line far away\nfrom the crossing region as a bare electromagnetic mode with\nfrequency!c=2\u0019hybridized with a single combined magnon\nmode. The implied coupling strengths g=2\u0019(in the cavity QED\nconvention) are about 1.2 GHz and 2.1 GHz for the two modes of\nparticular interest to this study [blue and red in Fig. 2(a)], plac-\ning the mode hybridization in the ultrastrong coupling regime\n(see e.g. [40]) with g=(!c+!m)\u001810%. Even atB= 0, with\na photon-magnon detuning of \u0001 =!c\u0000!m\u00192\u0019\u000110GHz, the\nparticipation of magnon excitations in the photon-branch of the3\n(a) (c)\nField ( T) Field ( T) Field ( T)Frequenc y (GHz)\nFrequenc y (GHz)\nLinewidth (MH z)\n(dB) (b)\nlower freq. modehigher freq. mode\nFIG. 2. Internal mode spectrum of the device. (a) VNA transmission measurement S21of multi-mode photon-magnon hybrid system formed\nin the waveguide circulator package with WCP. The blue (red) dashed line plots the frequency of the clockwise(counterclockwise) mode from a\nsimplified two-mode model of photon-magnon avoided crossing with g=2\u0019= 1.3 GHz (2.1 GHz) to compare with an observed spectral line. (b)\nThe right panel shows a finer sweep of S21in the low-field regime. The mode frequencies differ slightly from (a) since the data was acquired\nafter some modifications to the device packaging (a piece of Teflon spacer at the top of the YIG cylinder was removed). The left panel shows\nthe electromagnetic mode structures of the eigenmode solutions from our HFSS simulation for the WCP with good frequency agreement to the\nexperimental data (see Fig. 8 in Appendix). The color scale from red to blue represents electric field strength from high to low in log scale. The pair\nof modes around 11 GHz are connected to the circulating modes of the loaded circulator and (c) shows their linewidths.\npolariton modes remains quite substantial.\nUsing finite-element simulations (Ansys HFSS, Appendix A),\nwe identify that the five polariton modes in the frequency range\nof 8-12 GHz at B= 0include two nearly-degenerate mode pairs\nwith two-fold symmetry and another mode with three-fold sym-\nmetry. Electric field distributions of each of the modes are il-\nlustrated in Fig. 2(b). Each degenerate mode pair can be under-\nstood using a basis of standing-wave modes polarized along the\nxorydirection. The application of a magnetic field lifts this x-y\ndegeneracy, as the mode pair forms clockwise and counterclock-\nwise rotating eigenmodes with a frequency splitting [19–21].\nPrior use of these chiral polariton mode pairs have been in\nthe magnetically saturated regime [19–21]. Here we focus on\nthe low-field regime ( jBj<0:05mT) where the approximately\nlinear increase of frequency splitting between the mode pair re-\nflects increasing magnetization of YIG under increasing applied\nmagnetic field. After implementing demagnetization training\ncycles to suppress a relatively small hysteretic effect through-\nout our experiments, we expect an approximately linear mag-\nnetization curve ( M-H) for YIG until it approaches magnetic\nsaturation. In the limit of high permeability \u0016\u001d\u00160(with\u00160\nbeing the vacuum permeability), we have M=B=\u0016 0Nz(note\nthatBis the applied magnetic field strength) and Nz\u00190:285\nis thez-direction demagnetizing factor when the YIG is signif-\nicantly below magnetic saturation [37]. Saturation magnetiza-\ntionMs= 2440 Oe [41] of YIG is approached on the scale of\nB\u0018\u00160NzMs\u001970mT, which agrees with the changing curva-\nture of the mode-splitting spectra.\nOn the other hand, in the completely demagnetized state\n(M= 0) at zero field, the system is expected to satisfy macro-\nscopic time-reversal symmetry. As supported by numerical sim-\nulations, the x-ymode pairs should be in principle exactly de-\ngenerate since both the Y-junction geometry and the [111] YIG\ncrystal has 3-fold rotational symmetry around the zaxis. How-\never, appreciable zero-field splitting is observed experimentally.\nWe attribute this splitting to some anisotropy in the x-y plane\nbreaking this symmetry and allowing a preferred magnetization\naxis of the YIG at 0 field. Some possible explanations for this\nanisotropy are a small visible damage to our YIG crystal on\none edge or possible imperfections in eccentricity and align-\nment. If the magnetic domains of unsaturated YIG preferen-\ntially align with one in-plane axis compared to its orthogonal\naxis within the x-yplane, this anisotropy would result in a rela-tive frequency shift between the standing-wave modes along the\nin-plane easy and hard axes. This anisotropy-induced frequency\nshift\u0006\ffor thexandymodes can be modeled in numerical\nsimulations employing a permeability tensor of unsaturated fer-\nromagnets [42, 43] with certain anisotropic assumption, which\ncan plausibly explain the data (Appendix A). As Bincreases, we\nexpect\fto decay towards 0 when the magnetic domains are in-\ncreasingly aligned towards the zdirection, thus making any x-y\nplane energetic preference of negligible effect. We model this\ndecay with a thermodynamic toy model (Appendix B) whose\ndetails do not affect the conclusions of this study.\nFor the rest of this article, we will focus on the pair of polari-\nton modes near 11 GHz in Fig. 2(b), and refer to them as “the\ncirculator modes” for reasons that will become apparent. We\ncan model their frequencies in the partially magnetized regime\n(jBj<50mT) using a phenomenological model accounting for\nthe degeneracy-lifting anisotropy and the field-dependent mag-\nnetization of YIG. Let the zero-field frequencies of the xandy\nmodes be!x=!yif the device had perfect 3-fold symmetry,\n\fand\u0012=2be the magnitude of anisotropy caused degeneracy-\nlifting and the direction of the in-plane anisotropy axis (rela-\ntive to thexaxis), and off-diagonal imaginary coupling term\n\u0006ikB be the magnetic field induced degeneracy-lifting, linearly\nincreasing with a real coefficient k. We use the following Hamil-\ntonian to characterize the pair of circulator modes in the basis of\nxandymode amplitudes:\nH=~=\u0012\n!x+\fcos\u0012+mB2\fsin\u0012+ikB\n\fsin\u0012{ikB ! y\u0000\fcos\u0012+mB2\u0013\n(1)\nThis effective model of the polariton modes has absorbed the\nmagnon contributions in the regime where they have been adi-\nabatically eliminated. The formation of clockwise and counter-\nclockwise eigenmodes is due to magnon-mediated interactions\nmodeled by\u0006ikB. The level repulsion from the far-detuned\nmagnon modes is approximated by a small quadratic shift in\nfrequencymB2. The quadratic dependence was empirically\nchosen because the sum of the mode frequencies over field dis-\nplayed a roughly quadratic relationship with Bover the plotted\nfield range. By fitting the mode spectrum in Fig. 2(b), we ob-\ntain!x=2\u0019=!y=2\u0019= 11:054GHz,k=2\u0019= 9:82GHz/T,\nm=2\u0019= 50 GHz/T2,\f=2\u0019= 139 MHz.\nIt is well-known that the FMR modes of partially magnetized\nferrimagnetic insulators, where the magnetic domains are not4\nFrequency (GHz)Frequency (GHz)\ndBdBS12S23\nS21S13(a) (b)(d)\nS12\nS21(e)(f)\n(c)(f)\nπ/6\n−π/6\n−π/6Isolated\nOutput1 -1 0Isolated\nInputOutputωt = 0 ωt = π/4\nInputAmplitude\nPhase\nFrequency Frequencyωdriveωdrive\n(i)(g)\n(h)\nField (T) Field (T)S21\nS12S32\nS31\nFIG. 3. Illustration of the circulator working principle and low-temperature characterization of the non-reciprocity. In the circulator package\nwith IMT, the frequency splitting of clockwise and counterclockwise rotating modes as shown in (a) can be tuned such that the phase of the modes\nare\u0019=6and\u0000\u0019=6as shown in (b). This then produces a node at the upper port, thereby preventing any signal from leaving there at all times where\n!t= 0and!t=\u0019=4are shown pictorially in (c). (d, e) Measured microwave transmission (d) jS12jand (e)jS21jspectra as a function of magnetic\nfield B. (f-i) The isolation performance, (f) I12=jS12=S21j,(h)I21=jS21=S12j, (g)I23=jS23=S32j, (i)I13=jS13=S31j.S21is obtained by\nmeasuring the S12at –B, which provides a self-calibrated way to determine the isolation of the circulator.\naligned in equilibrium, have large damping. Therefore, one may\nexpect broad linewidths for photon-magnon polariton modes be-\nlow magnetic saturation. Indeed, we have observed linewidths\nexceeding 100 MHz for another polariton mode at 5 GHz at B <\n50 mT (not shown). Surprisingly, the polariton modes at higher\nfrequency display narrow linewidths, \u0014i\u00192MHz for the pair of\ncirculator modes [Fig. 2(c)], which corresponds to quality fac-\ntors on par with some circuit QED elements such as the read-\nout resonators. The narrow internal linewidth of the circulator\nmodes is crucial for constructing a low-loss circulator and even-\ntually achieving high quantum efficiency of non-reciprocal inter-\nactions in circuit QED. It is primarily aided by the use of single\ncrystalline YIG and the relatively low magnon participation in\nthe circulator modes compared to commercial circulators. The\nobserved\u0014imay be limited by either the spin relaxation in YIG\nor the Ohmic loss in copper. The former remains to be inves-\ntigated in this partially magnetized regime, and the latter may\nbe further reduced through better surface treatment or the use of\nsuperconducting materials in low-field regions of the waveguide\npackage.\nIV . CIRCULATOR CHARACTERIZATION\nThe device acts as a circulator when the end of each waveg-\nuide section is in IMT rather than WCP with an applied magnetic\nfield in the ^zdirection. In this configuration, the linewidths of\nall internal modes are substantially broadened forming a trans-\nmission continuum in the measurement, as shown in Fig. 3(d,e)\nforjS12jandjS21j. Nevertheless, the operating condition of the\ncirculator can be conceptually understood as having a pair of\ncounter-propagating internal modes with their magnetic-field-\ninduced splitting ( \u000e) satisfying the relationship \u000e= 2\u0014c=p\n3ver-\nsus their half linewidths ( \u0014c) [22]. As illustrated in Fig. 3(a-c),\nwhen driven at a frequency in the middle of the two resonances,\nthe two circulator modes are excited with equal amplitude and\na phase shift of\u0006\u0019\n6relative to the drive. The resultant standing\nwave pattern forms a node at the isolation port of the circulator.\nThis condition can be satisfied by choosing the correct combina-\ntion of frequency and magnetic field.We characterize the non-reciprocity of the circulator by the\nisolation ratioI12=jS12=S21j, which may be computed from\nFig. 3(d,e). However, since S12andS21are measured through\ndifferent cables and amplifier chains [Fig. 1(b)], it is challenging\nto calibrate their absolute values precisely. A much better self-\ncalibrated technique to extract the isolation ratio in our system is\nto use the Onsager-Casimir relation [44], S21(B) =S12(\u0000B),\nresulting from the microscopic time reversal symmetry. There-\nfore, we useI12=jS12(B)=S12(\u0000B)jto determine the isola-\ntion ratio of the circulator as shown in Fig. 3(f), with the (field-\nindependent) contribution from same transmission chain can-\ncelled out. The result indicates the circulator working condition\nis met for the pair of counter-propagating modes at \u001811.2 GHz\nwith external field \u00180.022 T. We see\u001520 dB of isolation over\na bandwidth of about 250 MHz, with maximum isolation of at\nleast 35 dB.\nThe same analysis on S21data yields the same isolation prop-\nerty [Fig. 3(h)] as expected. Similarly, I23andI13are measured\nas in Fig. 3(g) and (i), each showing a slightly different working\nfield and frequency (possibly due to imperfections of the device\ngeometry) but similar isolation magnitude and bandwidth. These\ndata are measured at an estimated circulating photon number on\nthe order of 10’s, but when we lower the power to below sin-\ngle photon level, the isolation property does not show notable\nchanges.\nAn important motivation of our work is to ultimately imple-\nment pristine non-reciprocal interactions between superconduct-\ning qubits or cavities. It is crucial to minimize the ratio between\nthe undesirable internal dissipation ( \u0014i) and the external bath\ncoupling (\u0014c) that enables non-reciprocity. In the case of a cir-\nculator, this ratio sets the limit for the circulator’s microwave\ninsertion lossL21[1, 22]:\nL21= 1\u0000jS21j2\u00151\u0000jS21j2\u0000jS11j2\u0000jS31j2\u0019\u0014i\n\u0014c(2)\nThis lower limit is obtained in principle when the circulator\nhas perfect impedance matching ( S11= 0) and isolation ratio\n(S31= 0). Typical commercial ferrite circulators used in circuit\nQED experiments have shown insertion loss around 10% [4, 5],\nwhich is dominated by internal loss. Experimental Josephson5\nFrequenc y (GHz)dB\ndB(b)\nLoss (%)\nField ( T)Linewidth (MH z)(a)\nlower freq. modehigher freq. mode\nFIG. 4. Characterization of the internal loss of the circulator at\nroom temperature. (a) Linewidths of the pair of circulator modes\nmeasured at room temperature. (b) Transmission S21and reflection\nS11near the maximum isolation regime of the circulator, measured at\nB= 24:8mT (top panel) and the internal loss of the circulator calcu-\nlated from it (bottom panel) at room temperature.\ncirculators so far have also reported insertion loss of -0.5 dB\n(11%) or higher [30, 31]. The lowest quoted insertion loss for\na commercially-listed waveguide circulator is -0.1 dB (or 2.2%)\nbut that is untested in the quantum regime. In order for the quan-\ntum efficiency of a non-reciprocal two-qubit interaction chan-\nnel to match the fidelity of state-of-the-art two-qubit operations,\nthe insertion loss would need to be improved to the sub-percent\nlevel.\nTo the best of our knowledge, it is an open challenge to cal-\nibrate the insertion loss of a microwave component in a dilu-\ntion refrigerator with a precision better than 1%. Even using\nspecialized Thru-Reflect-Line calibration components and well-\ncharacterized cryogenic switches, the resultant precision would\nstill be limited to about 0.1dB (or 2.3%) [45]. In order to infer\nthe loss of our circulator at 20 mK, we measure its Sparam-\neters at room temperature after a careful calibration procedure\nthat uses attenuators in series to suppress standing waves. We\nfind a conservative upper bound for room-temperature internal\nloss of\u00141{jS21j2{jS11j2\u00192%, as shown in Fig. 4(b). As-\nsuming\u0014cdoes not change as a function of temperature, com-\nparing the intrinsic linewidth of the circulator mode pair at room\ntemperature versus 20 mK would inform the internal loss at 20\nmK. The intrinsic linewidths, measured in WCP, are 4.1 and 6.3\nMHz at room temperature [Fig. 4(a)] and 1.8 and 2.2 MHz at\nlow temperature [Fig. 2(c)], indicating that \u0014c&260MHz and\n\u0014i=\u0014c.0:8%. If we instead use the relation of \u0014c=p\n3\u000e=2,\nwhich yields \u0014cin the range of 430 MHz to 550 MHz (and data\nin Section V would further suggest \u0014cat the high end of this\nrange), or\u0014i=\u0014c\u00190:4%. Further improvement of the circulator\nbandwidth and the coupling ratio can be achieved by applying\nimpedance transformation techniques to increase \u0014c[46].\nTranslating this small internal loss ratio to a sub-percent inser-\ntion loss for a circulator as a peripheral transmission-line device\nwould further require excellent impedance matching. However,\nwe emphasize that this requirement is not fundamental if the cir-\nculator is modeled as part of the quantum system itself mediating\ninteractions between other quantum resonance modes. Unlike\nmost ferrite circulators, our device operates in the regime of par-\ntial magnetization for YIG. It only requires a moderate external\nmagnetic field that is significantly below the critical field of a\nvariety of superconducting materials. This allows for 3D inte-gration of superconducting niobium cavities and shielded trans-\nmon qubits for studying circuit QED with non-reciprocal inter-\nactions. In the following section, we demonstrate direct cou-\npling of two external superconducting cavity modes with the\ncirculator modes and analyze the resultant non-reciprocal hybrid\nsystem as a whole.\nV . TUNING NON-RECIPROCITY OF EIGENMODE\nSTRUCTURE\nWe integrate superconducting cavities with the ferrite device\nby replacing the rectangular waveguide extensions with super-\nconducting 3D cavities made of niobium [Fig. 5(a)]. Two cav-\nities, attached at Port 1 and 2, are tuned to have resonance fre-\nquencies close to each other, !1\u0019!2\u001810:8GHz, both of\nwhich are within the bandwidth of the circulator. Each cavity is\ncoupled to the central Y-junction via a coupling aperture. As a\nresult, the circulator modes will mediate an interaction between\nthese two external cavities. Crucially, this circulator-mediated\ninteraction can have both coherent and dissipative aspects, and\ncan be non-reciprocal. The degree and the direction of non-\nreciprocity of the coupling can be tuned via the external mag-\nnetic field. Note that Port 3 remains impedance-matched to a\ntransmission line. This is also essential: it serves as the dominant\ndissipative bath that is necessary for achieving non-reciprocal\ninter-mode interactions [47].\nTo probe the hybridized mode structure of the composite sys-\ntem, we measure S31from a weakly-coupled drive port on Cav-\nity 1 to Port 3. The measured amplitude of S31as a function\nof magnetic field and frequency is shown in Fig. 6(a). There\nare a total of four bare oscillator modes in the vicinity (within\n0.5 GHz) of the frequency range of interest: two supercon-\nducting cavity modes and two internal circulator modes. Since\nthe loaded circulator modes with very broad linewidths ( >100\nMHz) are difficult to observe in the presence of the standing-\nwave background of the coaxial cables, this spectroscopy mea-\nsurement primarily reveals the eigenmodes that are localized in\nthe external superconducting cavities. Indeed, at jBj>0:03T,\nthe spectrum shows two sharp resonances which we identify as\nthe bare cavity modes to a good approximation. At lower fields,\nthe cavities appear to more strongly hybridize with each other\nand with the lossy circulator modes, but the spectrum can non-\ntheless be captured relatively well by the sum of two Lorentzian\nmodesaandb:\nS31=Aaei\u001ea\n\u0000i(!\u0000!a)\u0000\u0014a=2+Abei\u001eb\n\u0000i(!\u0000!b)\u0000\u0014b=2(3)\nBy fitting the spectrum to Eq. (3), we can extract the linewidth\n(\u0014i), frequency ( !i) and amplitude ( Ai) of the two Lorentzians\nat each magnetic field, as plotted in Fig. 6(c-e).\nThe magnetic field dependence of the two prominent\nLorentzians can be connected to the eigenmode solutions of an\neffective Hamiltonian model of system. We describe the sys-\ntem using the following 4 \u00024 non-Hermitian matrix, written in\nthe basis of the amplitudes of the two cavity modes and the two\ncirculator modes:6\nCopper Waveguide\nOutput P ort 3\nNiobium Cavity 1Niobium C\navity 2\nInput por t 1 Input por t 2YIG C ylinderCircula tion\nDirection a t\nPositiv e Field(b)\n+ -+ +(c)\n (a)\nx\nFIG. 5. Waveguide circulator-cavity integration. (a) The photo image, (b) a schematic top-down view, and (c) a diagrammatic illustration of the\neffective Hamiltonian (see Eq. (4), for clarity the \fandmB2terms have been neglected in the illustration) of our integrated non-reciprocal device.\nIt is composed of a Cu waveguide Y-junction loaded with a YIG cylinder, two Nb cavity segments with weakly-coupled drive ports (Port 1 and 2),\nand an output port with IMT (Port 3). For each cavity, the sidewall closest to the copper Y-junction is formed by a standalone niobium plate in the\nassembly [enclosed in blue in (a)], which contains a 5 mm-diameter aperture to create an evanescent coupling between the superconducting cavity\nmode and the circulator modes. One of the cavities is loaded with a transmon qubit [marked as \u0002in (b)] which stays unused in its ground state in\nthis study.\nHe\u000b=~=0\nB@!1\u0000i\u00141\n20 gy gx\n0!2\u0000i\u00142\n2gy \u0000gx\ngygy!y\u0000\fcos\u0012+mB2\u0000i\u00143\n2\fsin\u0012\u0000ikB\ngx\u0000gx \fsin\u0012+ikB ! x+\fcos\u0012+mB21\nCA (4)\nThe two niobium cavities have bare frequencies !1,!2, and\ninput coupling rates of \u00141and\u00142. The bottom right block of\nEq. (4) describes the two circulator modes, with their anisotropy\ndependence and imaginary coupling due to magnon hybridiza-\ntion following the same description as in Eq. (1). The zero-field\nfrequencies of the two circulator modes !x,!yare no longer\nequal since the device is no longer 3-fold symmetric. The y-\nmode with frequency !yis symmetric with respect to the yaxis,\nand therefore has an equal and in-phase coupling rate gywith\nthe two cavities. It rapidly leaks to the waveguide output Port 3,\nwith a decay rate \u00143\u001d\u00141;\u00142;gx;gy.\u00143is related to \u0014cof the\nloaded circulator as in Section IV by \u00143= 4\u0014c=3. Thex-mode\nis anti-symmetric with respect to the yaxis, preventing it from\ncoupling to the output port. This also leads to a 180\u000ephase dif-\nference in cavity coupling as accounted for by the negative sign\non two of the gxparameters\nThis effective non-Hermitian Hamiltonian can be diagonal-\nized as:\nHe\u000b=X\nn~!njnRihnLj (5)\nwheren=a;b;c;d are the eigenmode indices of the system,\n!nthe complex eigen-frequencies, and jnRiandjnLithe right\nand left eigenvectors of the non-Hermitian Hamiltonian, de-\nfined as:He\u000bjnRi=~!njnRiandHy\ne\u000bjnLi=~!\u0003\nnjnLi.\nThe scattering matrix element Sijfrom Portjto Portican be\ngenerally drived from the input-output theory relation: Sij=\n\u000eij\u0000ip\u0014i\u0014jGR\nij(!), where the 4\u00024retarded matrix Green’s\nfunction is defined as: GR(!) = (!\u0000He\u000b)\u00001, and\u0014iand\u0014j\nare the output and input coupling rates, respectively. Applying\nthis formalism to the S31measurement of our device, we arrive\nat the following Lorentzian spectral decomposition to describe\nthe spectrum:\nS31(!) =X\nn\u0000ip\u00141\u00143hyjnRihnLj1i\n!\u0000!n(6)where the real and imaginary parts of the eigen-frequency !n\ncorrespond to the observed Lorentzian frequencies and half\nlinewidths, respectively. The amplitudes of the Lorentzians are\nproportional to the product of the left eigenvector overlap with\nthe bare cavity mode j1iand the right eigenvector overlap with\nthe output circulator mode jyi.\nBy fitting the extracted Lorentzian parameters of the two\nprominent eigenmodes in Fig. 6(c-e) to the predictions of the\n4\u00024Hamiltonian model across all fields (Eq. 4), we can deter-\nmine all the free Hamiltonian parameters in this model. This\nincludes\u00143= 730 MHz, implying \u0014c= 550 MHz for the\nloaded circulator, consistent with (and at the high end of) the es-\ntimates in Section IV . Somewhat surprisingly, the experimental\ndata strongly suggests that the cavity-circulator coupling rates gx\nandgymust be magnetic field dependent. (For example, it heav-\nily constrains that gx=2\u0019>16MHz nearB= 0 andgx=2\u0019<12\nMHz atjBj>30mT.) We attribute this varying coupling to\nthe change in electromagnetic field distribution of the x- andy-\nmodes around the coupling aperture due to the x-yanisotropy of\nYIG. Assuming gxandgycontains a contribution proportional to\n\f(B)with the same decay shape over applied field, the effective\nHamiltonian model fits the Lorentzian parameters quite well and\nalso reproduces the overall transmission spectrum [Fig. 6(b)].\nThe eigenmode features of the system can be understood in-\ntuitively by considering first the inter-mixing of the x,ycircula-\ntor modes (i.e. diagonalization of the lower right block of He\u000b)\nand then their mixing with the two cavity modes. At B= 0,\nthe circulator modes are relatively close in frequency to the bare\ncavities, resulting in strong four-mode hybridization and sub-\nstantial linewidth-broadening and frequency shift to Mode a. As\nBincreases, the block-diagonalized circulator modes split fur-\nther in frequency in response to increasing magnetization of YIG\n(analogous to the unloaded internal mode spectrum in Fig. 2b),\nand become more detuned from the bare cavities, so the cavity-\ncirculator hybridization is continuously reduced. This is re-\nflected in the eventual flattening of the frequency and linewidth7\nExper imen t |S | 31\nTheor y |S | 31\nField ( T)Field ( T)\nLinewidth (MH z)Frequenc y (GHz)(c)\n(d)(e)\n(a)\n(b)Frequenc y (GHz) Frequenc y (GHz)\nAmplitude (MH z)1.0\n0.6\n0.4\n0.2\n0.0\n-0.05 -0.025 0.00 0.025 0.050102030\n10.80510.81010.81510.82010.8250.8\nField ( T)-0.05 -0.025 0.00 0.025 0.05A\nField ( T)0.00 0.02 0.040.000.501.001.50 Amplitude r atioωκ\n(f)A(B)\nA(-B)-0.05 -0.025 0.00 0.025 0.05\nmode bmode a\nmode bmode a\nmode bmode a\n3 x mode bmode a\n-30\n-40\n-50\n-60\n-70\n-80\n-30\n-40\n-50\n-60\n-70\n-80\nFIG. 6. Spectroscopy of the hybridized non-reciprocal modes of a circulator-cavity system. (a) VNA transmission measurement and (b)\nmodel prediction of jS31jfrequency spectrum over external magnetic field B. Remaining panels show magnetic field dependence of the system’s\neigenmodes and wavefunctions: (c) eigenmode linewidths \u0014n=2\u0019, (d) eigenmode frequencies !n=2\u0019, (e) amplitude parameter An(c.f. Eq.(3)),\nand (f) amplitude ratio (c.f. Eq.(8)) of experimental data (dots) from two-mode Lorentzian fit (Eq.(3)) and theory predictions (dashed lines). The\nsymmetry of \u0014nand!n(i:e:complex eigen-energy of the hybrid system) with respect to Bexemplifies the microscopic time-reversal symmetry of\nthe non-Hermitian system. The non-reciprocity is reflected in the difference in Anat\u0006B, which reveals the asymmetry in the left/right eigen-vector\nstructure (c.f. Eq.(8))). The effective Hamiltonian parameters from the fit are: !1=2\u0019= 10:8104 GHz,!2=2\u0019= 10:8040 GHz,!x=2\u0019= 10:707\nGHz,!y=2\u0019= 10:813GHz,\u0012= 37:7\u000e,\u00143=2\u0019= 730 MHz,gx=2\u0019= (9:0 + 0:011\f)MHz,gy=2\u0019= (5:0 + 0:006\f)MHz with\f=2\u0019= 139\nMHz atB= 0and decays withjBj.\nof the observed Lorentzians at high fields.\nIn our device, opposite magnetic fields produce opposite di-\nrections of non-reciprocity, hence the transmission spectra ob-\nserved at\u0006Bin Fig. 6(a) are markedly different. Interest-\ningly, the extracted data in Fig. 6(c,d) shows that the underly-\ning eigenmode frequency and linewidths at \u0006Bare equal, un-\nchanged under the mapping PofB:7!\u0000B. This is no co-\nincidence, but is rather the direct consequence of microscopic\nsymmetry requirements. Recall again that the Onsager-Casimir\nrelation [44] requires that the full scattering matrix Ssatisfy\nS(\u0000B) =ST(B). AsSis however directly determined by\nour non-Hermitian Hamiltonian, this necessarily implies that\nHe\u000b(\u0000B) =HT\ne\u000b(B). This in turn implies that the complex\neigenvalues of He\u000bare unchanged under P. Note that the op-\nerationPis not just a simple time-reversal operation, as it does\nnot involve transforming loss to gain (and vice versa). This prop-\nerty ofHe\u000bcan be easily seen to hold for our specific model in\nEq. (4). Nonetheless, we emphasize that our experimental ob-\nservation here of eigenvalue invariance under the mapping Pis\na demonstration of a general physical property; it is by no means\ncontingent on the specifics of our model.\nWhile the eigenvalues of He\u000bdo not directly reflect the non-\nreciprocal physics of our system, the same is not true of its\neigenvectors. As it involves matrix transposition, the opera-\ntionPexchanges the left and right eigenvectors of the effective\nHamiltonian:jnR(B)i=jnL(\u0000B)i\u0003. A defining feature of a\nnon-reciprocal Hamiltonian is that the left and right eigenvec-\ntors generally differ in their spatial structures (i.e. they look very\ndifferent when expressed in a basis of bare modes):\nRi;n=jhnLjiij\njhijnRij6= 1 (7)\nAs has been discussed elsewhere [48, 49], the Ri;ncharacter-izes a fundamental asymmetry in the response of our system.\nThe numerator characterizes the susceptibility of the eigenmode\nnto a perturbation or excitation entering from bare mode i. In\ncontrast, the denominator tells us the amplitude on bare mode i\nthat would result given that the system eigenmode nis excited.\nIn a Hermitian system these quantities are necessarily identical,\nexpressing a fundamental kind of reciprocity between suscepti-\nbility and response. In our non-Hermitian system, the non-unity\nratio here reflects the effective non-reciprocity of the inter-mode\ninteractions.\nThis non-reciprocal eigenvector structure is experimentally\nverified by the asymmetry of the Lorentzian amplitudes with re-\nspect toBin Fig. 6(e),\nAn(B)\nAn(\u0000B)=\f\f\f\fhnLj1i\nh1jnRihyjnRi\nhnLjyi\f\f\f\f=R1;n\nRy;n(8)\nWe plot this ratio in Fig. 6(f). For our device, a calculation based\non Eq. (4) shows that Ry;n\u00191for most of the field range (near\nzero field andjBj&15mT), allowing Fig. 6(f) to be under-\nstood as a measurement of the non-reciprocity ratio R1;n, in this\nfield range, showing the role of Cavity 1 in the two prominent\neigenmodes of the system. In particular, the most pronounced\nasymmetry is observed near the optimal working point of the\ncirculator (B=\u000628mT) for Mode b, which can leak through\ncavity 1 but cannot be excited from Cavity 1 or vice versa, as\nexpected for a mode dominated by photons in Cavity 2.\nIt is also interesting to discuss our system in the context of\ngeneral systems exhibiting non-reciprocal interactions between\nconstituent parts. Such systems are commonly described by phe-\nnomenological non-Hermitian Hamiltonian matrices H, whose\nmatrix elements in a local basis encode interactions with direc-\ntionality:jHijj6=jHjij. A prominent example is the Hatano-\nNelson model [25] of asymmetric tunneling on a lattice. In our8\n0246810101\n100\n10-1\n-0.04 -0.02 0.00 0.02 0.04MHz\nField (T)\nFIG. 7. Mediated non-reciprocal coupling rates between the ex-\nternal superconducting cavities. Red curves show the off-diagonal\ncoupling terms in the effective two-mode Hamiltonian (c.f. Eq.(9)),\njH12jandjH21j, as a function of magnetic field, and blue shows\nr=p\njH21j=jH12j.\ncase, we have a microscopically-motivated model that is fully\nconsistent with the requirements of microscopic reversability,\nbut which encodes non-reciprocity. As shown in appendix C,\none can adiabatically eliminate the internal circulator modes\nfrom our system to obtain an effective two-mode non-Hermitian\nHamiltonian that describes the external cavity modes and their\ncirculator-mediated interaction:\nH0\ne\u000b=~=\u0012\n!1;e\u000b\u0000i\u00141;eff\n2H12\nH21!2;e\u000b\u0000i\u00142;eff\n2\u0013\n(9)\nThe field-tunable non-reciprocity can be seen in the asymmetry\nof the off-diagonal coupling values H12andH21based on the\nmodel, as plotted in Fig. 7. We note that the scale of H12and\nH21of a few MHz (which can be increased by using a larger\ncoupling hole) is much larger than the achievable internal loss\nof the superconducting cavities , making the non-reciprocal cou-\npling the dominant interaction.\nFurthermore, this reduced Hamiltonian and its eigenvec-\ntors can be mapped to a reciprocal Hamiltonian and associ-\nated eigenvectors using a similarity transformation S(r), wherep\njH21j=jH12j\u0011r, as outlined in Appendix C. The similarity\ntransform effectively localizes the mode participation on the lat-\ntice site in the direction of stronger coupling more than would\nbe expected in the reciprocal case, causing the amplitude ratios\n(Ri;n) to deviate from 1, which can be viewed as a consequence\nof the non-Hermitian skin effect on a two site Hatano-Nelson lat-\ntice [26]. Furthermore,the similarity transformation can be used\nto explain the qualitative behavior of the disparate amplitude ra-\ntios seen in Fig. 6(f). In particular, for B&20mT, we have\nR1;a\u00191andR1;b\u0019r2(see Appendix C for details).\nVI. OUTLOOK\nIn this work, we have revisited the working principles of a\nY-junction ferrite circulator [22], a microwave engineering clas-\nsic from the 1960’s, in an entirely new context of hybrid quan-\ntum systems and non-Hermitian Hamiltonian. The use of re-\nconfigurable probes and single-crystalline YIG in a low-loss\nwaveguide package allows us to connect the properties of the\nphoton-magnon polaritons to the circulator performance. We\nhave further leveraged our direct access to the internal modesand our ability to tune their coupling in situ to construct a multi-\nmode chiral system and unambiguously reveal its non-reciprocal\neigenvector structure. An understanding of the circulator modes\nand the non-reciprocal eigen-vector structure of the multi-mode\nchiral system provides a foundation for future engineering of any\ntarget non-Hermitian Hamiltonian. This is achieved by our cre-\nation of a template model that one can use to couple any circuit\nQED element to in order to understand how it would integrate\ninto the non-reciprocal dynamics, as was done here with the su-\nperconducting cavities.\nLooking forward, our device architecture provides a versatile\ntestbed for studying non-reciprocal interactions in circuit QED\nby integration of superconducting qubits. This is enabled by two\nof its highlighted properties: the low internal loss of the circula-\ntor modes (<1% of the demonstrated coupling rates, compatible\nwith potential high-fidelity operations), and the relatively low-\nfield operation of the circulator ( \u001825 mT, below ferrimagnetic\nsaturation). The latter allows niobium waveguides or cavities to\nconveniently act as magnetic shields for superconducting qubits.\nWe have preliminarily tested that the coherence times of a trans-\nmon qubit housed in one of the niobium cavities are unaffected\nby in-situ application of a global magnetic field up to at least 0.1\nT. We expect a transmon housed in a niobium waveguide should\nreceive a similar level of protection from magnetic field.\nDirect non-reciprocal coupling of superconducting qubits\nwould open a new frontier in the study of non-reciprocal dynam-\nics currently dominated by linear systems [33, 34, 36, 50]. The\nphysics of a N-mode linear non-reciprocal system can always\nbe described efficiently by a N\u0002Nnon-Hermitian Hamiltonian\nmatrix (exemplified by our application of such a model) and its\ndynamics are always in the classical correspondence limit. Di-\nrect participation of multiple nonlinear modes (such as super-\nconducting qubits) in non-reciprocal coupling, as envisioned in\nchiral quantum optics [29], would lead to novel forms of entan-\nglement stabilization and many-body phases [28, 51]. Our sys-\ntem presents another potential platform to implement this regime\nin circuit QED in additional to those proposed using dynamic\ncontrol [52, 53]. Strong coupling of Josephson circuits with\nlow-loss non-reciprocal elements can even produce degenerate\nand protected ground states for robust encoding of qubits [54].\nACKNOWLEDGMENTS\nWe thank Juliang Li and Dario Rosenstock for experimental\nassistance. This research was supported by U.S. Army Research\nOffice under grants W911-NF-17-1-0469 and W911-NF-19-1-\n0380.\nAppendix A: Numerical simulation of the ferrite device\nFinite element analysis software that supports magnetody-\nnamic simulations, such as Ansys HFSS, can be used to sim-\nulate our ciculator system with both driven mode and eigen-\nmode solutions. Eigenmode analysis can solve for the frequency\nand field distributions of our device’s eigenmodes, while driven\nmode analysis reports the S-parameters over frequency. Here we\ndiscuss eigenmode simulations, but driven mode analysis can be\ncarried out similarly.9\nIt is well known that when the applied field is large and mag-\nnetization is saturated along zaxis, one can generalize to the\nwhole ferrite the equations of motion derived from the torque\nexperienced by an electron dipole moment under the presence\nof an applied field. This approach, augmented by the small sig-\nnal approximation of the Landau-Lifshitz equation of motion,\nyields the textbook Polder (relative) permeability tensor:\n[\u0016]z=0\n@\u0016ri\u00140\n\u0000i\u0014 \u0016r0\n0 0 11\nA (A1)\nwhere\u0016r= 1 +!0!m\n!2\n0\u0000!2,\u0014=!!m\n!2\n0\u0000!2, with!0=\r\u00160H0and\n!m=\r\u00160Msbeing the internal field strength and saturation\nmagnetization converted to frequencies, respectively.\nThe Polder permeability tensor is implimented in HFSS by\ndefault to solve for the interaction of a saturated ferrite with an\nAC microwave field. However, in our experiment we operate\nthe circulator at a low bias field, where the ferrite is not fully\nsaturated. We adopt a permeability tensor model proposed by\nSandy and Green [43] for a partially-magnetized ferrite:\n[\u0016]z=0\n@\u0016pi\u0014p0\n\u0000i\u0014p\u0016p0\n0 0\u0016z1\nA (A2)\nwhere\n\u0016p=\u0016d+ (1\u0000\u0016d)\u0012jMpj\nMs\u00133=2\n(A3)\n\u0014p=\u0014\u0012Mp\nMs\u0013\n(A4)\n\u0016z=\u0016\u0000\n1\u0000jMpj\nMs\u00015=2\nd(A5)\n\u0016d=1\n3+2\n3s\n1\u0000\u0012!m\n!\u00132\n(A6)\nwithMpbeing the net magnetization of the partially magnetized\nferrite. This model contains functional forms for \u0016pand\u0016zthat\nare purely empirical. However, the expressions for \u0014p, which\ndictates the chiral splitting of the circulator modes, and \u0016d,\nwhich represents the permeability in fully demagnetized state,\nare well motivated [42].\nThis model is implemented in simulations by defining mate-\nrials with the customized permeability tensor as given above.\nWhereas HFSS does not by default support eigenmode simula-\ntions for a ferrite under a DC bias field, manually defining the\npermeability tensor components allows us to simulate the circu-\nlator’s eigenmode structure at any magnetization (bias field) as\nshown in Fig. 8.\nThe simulation results agree semi-quantitatively with the ex-\nperimental data in Fig. 2(a) with a linear relationship between\napplied magnetic field and magnetization M=\u00160B=Nzmen-\ntioned earlier, including a dielectric resonance mode with steep\nmagnetic field dependence that is visible in the experimental\ndata.\nRelating to the anisotropy mentioned earlier in section III, the\nsimulation is treating the YIG cylinder as completely isotropic,\nleading to degenerate Mode x and y at 0 field. To account for the\nanisotropy, we introduce a general energetic preference along\nthexaxis, thus making the domains of the unsaturated YIG\nFIG. 8. Eigenfrequencies of the device from finite-element simula-\ntions. For the circulator device with WCP, HFSS eigenmode simulation\ngives the mode frequency over different magnetic fields(dots) and agree\nsemi-quantitatively with experimental data.\npreferentially align along the xaxis and breaking the rotational\nsymmetry.\nWhen all domains are oriented along the zaxis with net mag-\nnetization of zero, the permeability is calculated to be\n[\u0016]z=0\n@\u0016e\u000b0 0\n0\u0016e\u000b0\n0 0 11\nA (A7)\nwhere\u0016e\u000b=q\n!2\u0000!2m\n!2. To get the permeability matrix for do-\nmains align along the xandyaxes ( [\u0016]x,[\u0016]y), one can apply\na change of coordinates to Eq. (A7). The matrix for completely\nrandom domain orientations would be an equal average of the\nthree permeability matrices, [\u0016]x;[\u0016]y;[\u0016]z[42]. Applying a\nweighted average to the matrices will then allow for representa-\ntion of an energetic preference, as shown for a preference along\nthexaxis:\n[\u0016] = (1\n3+\u000e)[\u0016]x+ (1\n3\u0000\u000e)[\u0016]y+1\n3[\u0016]z (A8)\nUsing\u000e= 0:1in Eq. (A8) gives 260 MHz of splitting be-\ntween Mode x and Mode y, which is in good agreement with\nexperimental results from Fig. 2(b).\nAppendix B: Modeling YIG anisotropy in system Hamiltonian\nAs mentioned earlier, there is a clear broken rotational sym-\nmetry in the x-yplane apparent from the splitting in Fig. 2(b).\nSince the exact origin of the anisotropy is unknown, we will\ntreat it as a general energetic favoring in the x-y plane. As the ^z\nbias field is increased, the magnetization will align more along\n^z, makingx-yplane preferences less impactful. Based off this\nunderstanding, we wanted a simple functional form to describe\nhow the effect of this anisotropy decays with an increase in bias\nfield strength that we could use to describe the decay of \f. Since\nwe just want the general form of how the effect of an energetic\npreference decays over field, the actual form of the energetic10\npreference in the x-yplane is not important. We chose to use\na toy model of a magnetic domain with a simple Hamiltonian\n(Han) with a simple energetic preference given by Kalong the\nxaxis and a total net magnetic moment M:\nHan=\u0000BMcos(\u0012)\u0000Ksin2(\u0012) cos2(\u001e) (B1)\nTo see how the effect of this anisotropy changes as we vary the\nmagnetic field B, we utilized classical Boltzmann statistics. We\ndefine a partition function:\nZ=Z\u0019\n0Z2\u0019\n0e\u0000Han(\u0012;\u001e)=(kbT)sin(\u0012)d\u0012d\u001e (B2)\nso we can calculate the expectation of the magnetic moment\ndirection using Eq. (B3) for A=Mx;My;Mz;Mx=\nMsin(\u0012) cos(\u001e);My=Msin(\u0012) sin(\u001e);Mz=Mcos(\u0012).\nhA2i=Z\u0019\n0Z2\u0019\n0A2e\u0000Han(\u0012;\u001e)=(kbT)sin(\u0012)d\u0012d\u001e=Z (B3)\nWe calculate these expectation values numerically, and find\nthat the difference of hM2\nxi\u0000hM2\nyifollows approximately a\nsech(B)function. This motivates us to use this simple func-\ntional form to model the anisotropy-induced term \f:\n\f(B) =\f0sech(B=B 0) (B4)\nThe scaling factor B0was fit to the S31spectrum giving a\nvalue of 18.5 mT. While this is a rather crude phenomenological\ntreatment of the anisotropy, since the detuning of the circula-\ntor modes becomes large enough that there is little hybridization\nwith the cavities at relatively small magnetic fields ( \u001820 mT),\nthe exact dependence on magnetic field becomes less important\nto understand the non reciprocal dynamics of the cavities.\nAppendix C: Two-mode Hamiltonian and gauge symmetry\nWe aim to elucidate the non-reciprocity from the Hamiltonian\ngiven in Eq. (4) by reducing it to the form written in Eq. (9). In\norder to do this, we adiabatically integrate out the two circula-\ntor modes to reduce the Hamiltonian to a simple 2\u00022matrix\n(H0\ne\u000b)involving only the two cavity modes. The adiabatic elim-\nination is justified due to the large loss rate on the hybridized\ncirculator modes, making their relevant time scales much faster\nthan the time scale set by the coupling parameters to the cav-\nities. The form of the of the effective Hamiltonian is written\nout in Eq. (9). Due to the complicated dependence on the four\nmode model parameters, we have written simple frequency and\nloss terms on the diagonal entries and simple non-reciprocal\ncouplings on the off diagonal entries where their explicit val-\nues change as a function of magnetic field. The coupling terms\n(H12;H21) along with r=p\njH21j=jH12jare plotted in Fig. 7.\nThe non-reciprocal nature of the system then becomes immedi-\nately apparent as the H21andH12Hamiltonian terms are dif-\nferent outside of 0 field, showing a clear directionality in the\ninteraction. We can map this Hamiltonian to a reciprocal one\nusing the similarity transformation outlined in Eq. (C1) with thetransformation matrix written in Eq. (C2). This new recipro-\ncal Hamiltonain is now symmetric under flipping the sign of the\nmagnetic field Hrec(B) =Hrec(\u0000B).\nH0\ne\u000b!SH0\ne\u000bS\u00001\u0011Hrec (C1)\nS=\u0012\nr1=20\n0r\u00001=2\u0013\n(C2)\nThis means that plotting the ratio of Ri;n;recfromHrecwill al-\nways yield 1 for all B values. One can also map the eigenvectors\nof the original system ( j ii) to the reciprocal system ( j i;reci)\nbyj Ri;reci=Sj Rii;j Li;reci=S\u00001j Lii. Starting from the\nratioRi;n;rec= 1 using the eigenvectors of Hrec, it is then ap-\nparent that transforming the eigenvectors back to those of H0\ne\u000b\nwill allow one to simply caluclate Ri;n. To illustrate this, we\nstart with the explicit change in components from the transfor-\nmation of the right and left eigenvectors as written in Eqns. (C3,\nC4), we can then substitute these in to the earlier expression for\nthe ratioRi;nand see how the ratio deviates from the reciprocal\ncase of 1, as done in Eq. (C5) with i= 1as an example.\nj R;reci=\u0012\nx\ny\u0013\nsimilarity\u0000\u0000\u0000\u0000\u0000!\ntransformj Ri=1q\njxj2\nr+jyj2r\u00121prxpry\u0013\n(C3)\nj L;reci=\u0012\nx\u0003\ny\u0003\u0013\nsimilarity\u0000\u0000\u0000\u0000\u0000!\ntransformj Li=1q\njxj2r+jyj2\nr\u0012prx\u0003\n1pry\u0003\u0013\n(C4)\nR1;n=jhnLj1ij\njh1jnRij=jr1=2xp\njxj2r\u00001+jyj2rj\njr\u00001=2xp\njxj2r+jyj2r\u00001j(C5)\nIt is important to note two simplifying limits for Eq. (C5) that\nthe reader may verify themselves, for x=y\u001dr,R1;n\u00191and\nfory=x\u001dr,R1;n\u0019r2.\nOne can use this similarity transformation to understand the\nqualitative behavior of the disparate amplitude ratios seen in\nFig. 6(f). As mentioned earlier, the amplitude ratio in this case\ncan be roughly approximated as R1;natjBj&15 mT so we\ncan focus primarily on this ratio to understand the behavior in\nthis field range. At larger fields ( B&20mT) Modes a and\nb are dominated by participation in the bare cavity modes so\nwe can approximate these modes by using the eigenmode val-\nues fromH0\ne\u000bfor the cavity mode components and zeros for\nthe circulator mode components. Under this approximation we\ncan look at the inner products in R1;njust from the components\nin the eigenmodes of H0\ne\u000b. Mode a is largely dominated by\nthe cavity 1 component with little circulator participation with\njharecj1ij=jharecj2ij\u001drfor all values of r, thus we can in-\nvoke the limit of Eq.(C5) previously mentioned to find the ra-\ntioR1;b\u00191which is what is seen in Fig. 6(f). The same ar-\ngument can be made for mode b, but in the opposite limit of\njhbrecj2ij=jhbrecj1ij\u001dr, leading to the other limit of Eq.(C5),\nmaking the ratio R1;b\u0019r2which can be seen by comparing\nFig. 6(f) with Fig. 7.11\n[1] A. Kord, D. L. Sounas, and A. Al `u, Proceedings of the IEEE 108,\n1728 (2020).\n[2] M. H. Devoret and R. J. Schoelkopf, Science 339, 1169 (2013).\n[3] P. Krantz, M. Kjaergaard, F. Yan, T. P. Orlando, S. Gustavsson,\nand W. D. Oliver, Applied Physics Reviews 6, 021318 (2019).\n[4] P. Kurpiers, P. Magnard, T. Walter, B. Royer, M. Pechal, J. Hein-\nsoo, Y . Salath ´e, A. Akin, S. Storz, J.-C. Besse, S. Gasparinetti,\nA. Blais, and A. Wallraff, Nature 558, 264 (2018).\n[5] C. J. Axline, L. D. Burkhart, W. Pfaff, M. Zhang, K. Chou,\nP. Campagne-Ibarcq, P. Reinhold, L. Frunzio, S. M. Girvin,\nL. Jiang, M. H. Devoret, and R. J. Schoelkopf, Nature Physics\n14, 705 (2018).\n[6] U. V ool and M. Devoret, International Journal of Circuit Theory\nand Applications 45, 897 (2017).\n[7] D. Lachance-Quirion, Y . Tabuchi, A. Gloppe, K. Usami, and\nY . Nakamura, Applied Physics Express 12, 070101 (2019).\n[8] D. D. Awschalom, C. H. R. Du, R. He, F. J. Heremans, A. Hoff-\nmann, J. T. Hou, H. Kurebayashi, Y . Li, L. Liu, V . Novosad,\nJ. Sklenar, S. E. Sullivan, D. Sun, H. Tang, V . Tiberkevich, C. Tre-\nvillian, A. W. Tsen, L. R. Weiss, W. Zhang, X. Zhang, L. Zhao,\nand C. W. Zollitsch, arXiv:2102.03222 (2021).\n[9] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifenstein,\nA. Marx, R. Gross, and S. T. B. Goennenwein, Physical Review\nLetters 111, 127003 (2013).\n[10] Y . Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and\nY . Nakamura, Physical Review Letters 113, 083603 (2014).\n[11] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Physical Review\nLetters 113, 156401 (2014).\n[12] Y . Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki,\nK. Usami, and Y . Nakamura, Science 349, 405 (2015).\n[13] D. Lachance-Quirion, S. P. Wolski, Y . Tabuchi, S. Kono, K. Us-\nami, and Y . Nakamura, Science 367, 425 (2020).\n[14] J. T. Hou and L. Liu, Physical Review Letters 123, 107702 (2019).\n[15] I. A. Golovchanskiy, N. N. Abramov, V . S. Stolyarov, M. Wei-\ndes, V . V . Ryazanov, A. A. Golubov, A. V . Ustinov, and M. Y .\nKupriyanov, Science Advances 7, eabe8638 (2021).\n[16] F. Heyroth, C. Hauser, P. Trempler, P. Geyer, F. Syrowatka,\nR. Dreyer, S. G. Ebbinghaus, G. Woltersdorf, and G. Schmidt,\nPhysical Review Applied 12, 054031 (2019).\n[17] I. Boventer, M. Pfirrmann, J. Krause, Y . Sch ¨on, M. Klaui, and\nM. Weides, Physical Review B 97, 184420 (2018).\n[18] D. Zhang, X.-Q. Luo, Y .-P. Wang, T.-F. Li, and J. Q. You, Nature\nCommunications 8, 1368 (2017).\n[19] B. M. Anderson, R. Ma, C. Owens, D. I. Schuster, and J. Simon,\nPhysical Review X 6, 041043 (2016).\n[20] C. Owens, A. LaChapelle, B. Saxberg, B. M. Anderson, R. Ma,\nJ. Simon, and D. I. Schuster, Physical Review A 97, 013818\n(2018).\n[21] X. Zhang, A. Galda, X. Han, D. Jin, and V . M. Vinokur, Physical\nReview Applied 13, 044039 (2020).\n[22] C. E. Fay and R. L. Comstock, IEEE Transactions on Microwave\nTheory and Techniques 13, 15 (1965).\n[23] W. D. Heiss, 45, 444016 (2012).\n[24] S. K. ¨Ozdemir, S. Rotter, F. Nori, and L. Yang, Nature Materials\n18, 783 (2019).\n[25] N. Hatano and D. R. Nelson, Physical Review B 56, 8651 (1997).\n[26] S. Yao and Z. Wang, Physical Review Letters 121, 086803 (2018).[27] A. McDonald, T. Pereg-Barnea, and A. A. Clerk, Physical Review\nX8, 041031 (2018).\n[28] K. Stannigel, P. Rabl, and P. Zoller, New Journal of Physics 14,\n063014 (2012).\n[29] P. Lodahl, S. Mahmoodian, S. Stobbe, A. Rauschenbeutel,\nP. Schneeweiss, J. V olz, H. Pichler, and P. Zoller, Nature 541,\n473 (2017).\n[30] B. J. Chapman, E. I. Rosenthal, J. Kerckhoff, B. A. Moores, L. R.\nVale, J. A. B. Mates, G. C. Hilton, K. Lalumi `ere, A. Blais, and\nK. W. Lehnert, Physical Review X 7, 041043 (2017).\n[31] F. Lecocq, L. Ranzani, G. A. Peterson, K. Cicak, R. W. Sim-\nmonds, J. D. Teufel, and J. Aumentado, Physical Review Applied\n7, 024028 (2017).\n[32] K. M. Sliwa, M. Hatridge, A. Narla, S. Shankar, L. Frunzio, R. J.\nSchoelkopf, and M. H. Devoret, Physical Review X 5, 041020\n(2015).\n[33] F. Ruesink, M.-A. Miri, A. Al `u, and E. Verhagen, Nature Com-\nmunications 7, 13662 (2016).\n[34] K. Fang, J. Luo, A. Metelmann, M. H. Matheny, F. Marquardt,\nA. A. Clerk, and O. Painter, Nature Physics 13, 465 (2017).\n[35] Y .-P. Wang, J. W. Rao, Y . Yang, P.-C. Xu, Y . S. Gui, B. M. Yao,\nJ. Q. You, and C.-M. Hu, Physical Review Letters 123, 127202\n(2019).\n[36] H. Xu, L. Jiang, A. A. Clerk, and J. G. E. Harris, Nature 568, 65\n(2019).\n[37] D.-X. Chen, J. Brug, and R. Goldfarb, IEEE Transactions on Mag-\nnetics 27, 3601 (1991).\n[38] R. C. Fletcher, R. C. LeCraw, and E. G. Spencer, Physical Review\n117, 955 (1960).\n[39] S. Klingler, H. Maier-Flaig, C. Dubs, O. Surzhenko, R. Gross,\nH. Huebl, S. T. B. Goennenwein, and M. Weiler, Applied Physics\nLetters 110, 092409 (2017).\n[40] D. Markovi ´c, S. Jezouin, Q. Ficheux, S. Fedortchenko, S. Fe-\nlicetti, T. Coudreau, P. Milman, Z. Leghtas, and B. Huard, Physi-\ncal Review Letters 121, 040505 (2018).\n[41] I. H. Solt, Journal of Applied Physics 33, 1189 (1962).\n[42] E. Schl ¨omann, Journal of Applied Physics 41, 204 (1970).\n[43] J. Green and F. Sandy, IEEE Transactions on Microwave Theory\nand Techniques 22, 641 (1974).\n[44] H. B. G. Casimir, Reviews of Modern Physics 17, 343 (1945).\n[45] L. Ranzani, L. Spietz, Z. Popovic, and J. Aumentado, Review of\nScientific Instruments 84, 034704 (2013).\n[46] J. Helszajn, The Stripline Circulator: Theory and Practice , 1st ed.\n(Wiley-IEEE Press, Hoboken, NJ, 2008).\n[47] A. Metelmann and A. A. Clerk, Physical Review X 5, 021025\n(2015).\n[48] A. McDonald and A. A. Clerk, Nature Communications 11, 5382\n(2020).\n[49] H. Schomerus, Physical Review Research 2, 013058 (2020).\n[50] D. L. Sounas and A. Al `u, Nature Photonics 11, 774 (2017).\n[51] T. Ramos, H. Pichler, A. J. Daley, and P. Zoller, Physical Review\nLetters 113, 237203 (2014).\n[52] P.-O. Guimond, B. Vermersch, M. L. Juan, A. Sharafiev, G. Kirch-\nmair, and P. Zoller, npj Quantum Information 6, 1 (2020).\n[53] N. Gheeraert, S. Kono, and Y . Nakamura, Physical Review A 102,\n053720 (2020).\n[54] M. Rymarz, S. Bosco, A. Ciani, and D. P. DiVincenzo, Physical\nReview X 11, 011032 (2021)." }, { "title": "1503.06108v1.Control_of_spin_current_by_a_magnetic_YIG_substrate_in_NiFe_Al_nonlocal_spin_valves.pdf", "content": "Control of spin current by a magnetic YIG substrate in NiFe/Al nonlocal spin valves\nF. K. Dejene,1,\u0003N. Vlietstra,1D. Luc,2X. Waintal,2J. Ben Youssef,3and B. J. van Wees1\n1Physics of Nanodevices, Zernike Institute for Advanced Materials,\nUniversity of Groningen, 9747AG, Groningen, The Netherlands\n2CEA-INAC/UJF Grenoble 1, SPSMS UMR-E 9001, Grenoble F-38054, France\n3Universit de Bretagne Occidentale, Laboratoire de Magnetisme de Bretagne CNRS,\n6 Avenue Le Gorgeu, 29285 Brest, France\n(Dated: June 9, 2021)\nWe study the e\u000bect of a magnetic insulator (Yttrium Iron Garnet - YIG ) substrate on the spin\ntransport properties of Ni 80Fe20/Al nonlocal spin valve (NLSV) devices. The NLSV signal on the\nYIG substrate is about 2 to 3 times lower than that on a non magnetic SiO 2substrate, indicating\nthat a signi\fcant fraction of the spin-current is absorbed at the Al/YIG interface. By measuring the\nNLSV signal for varying injector-to-detector distance and using a three dimensional spin-transport\nmodel that takes spin current absorption at the Al/YIG interface into account we obtain an e\u000bective\nspin-mixing conductance G\"#'5\u00008\u00021013\n\u00001m\u00002. We also observe a small but clear modulation\nof the NLSV signal when rotating the YIG magnetization direction with respect to the \fxed spin\npolarization of the spin accumulation in the Al. Spin relaxation due to thermal magnons or roughness\nof the YIG surface may be responsible for the observed small modulation of the NLSV signal.\nThe coupled transport of spin, charge and heat in non-\nmagnetic (N) metals deposited on the magnetic insulator\nY3Fe5O12(YIG) has led to new spin caloritronic device\nconcepts such as thermally driven spin currents, the gen-\neration of spin angular momentum via the spin Seebeck\ne\u000bect (SSE) [1], spin pumping from YIG to metals [2],\nspin-orbit coupling (SOC) induced magnetoresistance ef-\nfects [3, 4] and the spin Peltier e\u000bect, i.e., the inverse\nof the SSE that describes cooling/heating by spin cur-\nrents [5]. In these spin caloritronic phenomena, the spin-\nmixing conductance G\"#of the N/YIG interface controls\nthe transfer of spins from the conduction electrons in N\nto the magnetic excitations (magnons) in the YIG, or\nvice versa [6{10]. The interconversion of spin current to\na voltage employs the (inverse) spin Hall e\u000bect in heavy-\nmetals such as Pt or Pd. The possible presence of prox-\nimity induced magnetism in these metals is reported to\nintroduce spurious magnetothermoelectric e\u000bects [11, 12]\nor enhance G\"#[7]. Owing to the short spin-di\u000busion\nlength\u0015in these large SOC metals, the applicability of\nthe di\u000busive spin-transport model is also questionable.\nExperimental measurements that alleviate these concerns\nare however scarce and hence are highly required.\nIn this article, we investigate the interaction of spin\ncurrent (in the absence of a charge current) with the\nYIG magnetization using the NLSV geometry [13{15].\nUsing a metal with low SOC and long spin-di\u000busion\nlength allows to treat our experiment using the di\u000bu-\nsive spin-transport model. We \fnd that the NLSV signal\non the YIG substrate is two to three times lower than\nthat on the SiO 2substrate, indicating signi\fcant spin-\ncurrent absorption at the Al/YIG interface. By vary-\ning the angle between the induced spin accumulation\nand the YIG magnetization direction we observe a small\nbut clear modulation of the NLSV signal. We also \fnd\nthat modifying the quality of the Al/YIG interface, us-ing di\u000berent thin-\flm deposition methods [4], in\ruences\nG\"#and hence the size of the spin current \rowing at\nthe Al/YIG interface. Recently, a low-temperature mea-\nsurements of a similar e\u000bect was reported by Villamor et\nal.[16] in Co/Cu devices where G\"#\u00181011\n\u00001m\u00002was\nestimated, two orders of magnitude lower than in the lit-\nerature [4, 8]. Here, we present a room-temperature spin-\ntransport study in transparent Ni 80Fe20(Py)/Al NLSV\ndevices.\nFigure 1 depicts the concept of our experiment. A non-\nmagnetic metal (green) deposited on the YIG connects\nthe two in-plane polarized ferromagnetic metals F1and\nF2, which are used for injecting and detecting spin cur-\nrents, respectively. A charge current through the F1/Al\ninterface induces a spin accumulation \u0016s(~ r) = (0;\u0016s;0)T\nthat is polarized along the ^ ydirection, parallel to the\nmagnetization direction of F1. This non-equilibrium \u0016s,\nthe di\u000berence between the electrochemical potentials for\nspin up and spin down electrons, di\u000buses to both +^ x\nand\u0000^xdirections of F1/Al interface with an exponen-\ntial decay characterized by the spin di\u000busion length \u0015N.\nSpins arriving at the detecting F2/Al interface give rise\nto a nonlocal voltage Vnlthat is a function of the rela-\ntive magnetic con\fguration of F1andF2, being minimum\n(maximum) when F1andF2are parallel (antiparallel) to\neach other.\nFor NLSV devices on a SiO 2substrate, spin relaxation\nproceeds via electron scattering with phonons, impuri-\nties or defects present in the spin transport channel, also\nknown as the Elliot-Yafet (EY) mechanism. The situa-\ntion is di\u000berent for a NLSV on the magnetic YIG sub-\nstrate where additional spin relaxation due to thermal\nmagnons in the YIG and/or interfacial spin orbit cou-\npling can be mediated by direct spin-\rip scattering or\nspin-precession. Depending on the magnetization direc-\ntion ^mof the YIG with respect to \u0016sspins incident atarXiv:1503.06108v1 [cond-mat.mes-hall] 20 Mar 20152\non SiO 2\non YIG sµ\n0ˆmAl\nYIGspin waves \nˆm\nFIG. 1. (Color online) Concept of the experiment for ^ mk\u0016s.\n(a) A charge current through the F 1/Al interface creates a\nspin accumulation \u0016sin the Al. The di\u000busion of \u0016sto\nthe F 2/Al interface is a\u000bected by spin-\rip relaxation at the\nAl/YIG interface. Scattering of a spin up electron ( s=~=2)\ninto spin down electron ( s=\u0000~=2) is accompanied by magnon\nemission (s=~) creating a spin current that is minimum (max-\nimum) when ^ \u0016sis parallel (perpendicular) to the magnetiza-\ntion of the YIG. (b) Pro\fle of \u0016salong the Al strip on a SiO 2\n(red) and YIG (blue) substrate. The spin accumulation at\nthe F 2/Al is lower for the YIG substrate compared to that on\nSiO2.\nthe Al/YIG surface are absorbed ( ^ m?\u0016s) or re\rected\n( ^mk\u0016s) thereby causing a spin current density js(~ r)\nthrough the Al/YIG interface [9]\njs( ^m)jz=0=Gr^m\u0002( ^m\u0002\u0016s)+Gi( ^m\u0002\u0016s)+Gs\u0016s:(1)\nHere ^m= (mx;my;0)Tis a unit vector parallel to the\nin-plane magnetization of the YIG, Gr(Gi) is the real\n(imaginary) part of the spin-mixing conductance per unit\narea andGsis a spin-sink conductance that can be in-\nterpreted as an e\u000bective spin-mixing conductance that\nquanti\fes spin-absorption (\rip) e\u000bects that is indepen-\ndent of the angle between ^ mand\u0016s.\nWhen ^mk\u0016ssome of the spins incident on the YIG\nare re\rected back into the Al while some fraction is ab-\nsorbed by the YIG. The absorption of the spin-current\nin this collinear case is governed by a spin-sinking e\u000bect\neither due to (i) the thermal excitation of the YIG mag-\nnetization (thermal magnons) or (ii) spin-\rip processes\ndue to interface spin orbit e\u000bects or magnetic impurities\npresent at the interface. This process can be character-\nized by an e\u000bective spin-mixing interface conductance Gs\nwhich, at room temperature, is about 20% of Gr[5]. Be-\ncause of this additional spin-\rip scattering, the maximum\nNLSV signal on the YIG substrate should also be smaller\nthan that on the SiO 2. When ^m?\u0016sspins arriving at\nthe Al/YIG interface are absorbed. In this case all threeterms in Eq. (1) contribute to a maximum \row of spin\ncurrent through the interface. The nonlocal voltage mea-\nsured at F 2is hence a function of the angle between ^ m\nand\u0016sand should re\rect the symmetry of Eq. 1.\nFig. 2(a) shows the scanning electron microscope im-\nage of the studied NLSV device that was prepared on a\n200-nm thick single-crystal YIG, having very low coercive\n\feld [2, 4, 17], grown by liquid phase epitaxy on a 500\n\u0016m thick (111) Gd 3Ga5O12(GGG) substrate. It consists\nof two 20-nm thick Ni 80Fe20(Py) wires connected by a\n130-nm thick Al cross. A 5 nm-thick Ti bu\u000ber layer was\ninserted underneath the Py to suppress direct exchange\ncoupling between the Py and YIG. We studied two types\nof devices, hereafter named Type-A and Type-B devices.\nIn Type-A devices (4 devices), prior to the deposition of\nthe Al (by electron beam evaporation), Ar ion milling\nof the Py surface was performed to ensure a transparent\nPy/Al interface. This process, however, introduces un-\navoidable milling of the YIG surface thereby introducing\ndisordered Al/YIG interface with lower G\"#[18]. To cir-\ncumvent this problem, in Type-B devices (2 devices), we\n\frst deposit a 20 nm-thick Al strip (by DC sputtering)\nbetween the injector and detector Py wires. Sputtering\nis reported to yield a better interface [4]. Next, after Ar\nion milling of the Py and sputtered-Al surfaces, a 130\nnm-thick Al layer was deposited using e-beam evapora-\ntion. Similar devices prepared on SiO 2substrate were\nalso investigated. All measurements were performed at\nroom temperature using standard low frequency lock-in\nmeasurements.\nThe NLSV resistance Rnl=Vnl=Ias a function of\nthe applied in-plane magnetic \feld (along ^ y) is shown in\nFig. 2(b), both for SiO 2(red and orange) and YIG (blue)\nsamples. Note that the magnetizations of the injector,\ndetector and YIG are all collinear and hence no initial\ntransverse spin component is present. The spin valve sig-\nnal, de\fned as the di\u000berence between the parallel RPand\nanti-parallel RAPresistance values, RSV=RP\u0000RAP\non the YIG substrate is about two to three times smaller\nthan that on the SiO 2substrate. This reduction in the\nNLSV signal indicates the presence of an additional spin-\nrelaxation process even for ^ mk\u0016s. Assuming an iden-\ntical spin injection e\u000eciency in both devices, this means\nthat spin relaxation in the Al on the YIG substrate occurs\non an e\u000bectively shorter spin relaxation length \u0015N. To\nproperly extract \u0015Nwe performed several measurements\nfor varying distance between the Py wires, as shown in\nFigure 2(c) both on SiO 2(red diamond) and YIG (blue\nsquare) substrates. Also shown are dashed-line \fts us-\ning the expression for the nonlocal spin valve signal RSV\nobtained from a one-dimensional spin transport theory\ngiven by [14]\nRSV=\u000b2\nFRNe\u0000d=2\u0015N\n(RF\nRN+ 1)[RF\nRNsinh(d=2\u0015N) + cosh(d=2\u0015N)]:(2)3\n(a)\nAlYIG\nxy1\n23\n4\nV(b) (c)\n300 nm\nFIG. 2. (Color online) (a) Scanning electron microscopy image of the measured Type-A device. Two Py wires (indicated\nby green arrows) are connected by an Al cross. A charge current Ifrom contact 1 to 2 creates a spin accumulation at the\nF1/Al interface that is detected as a nonlocal spin voltage Vnlusing contacts 3 and 4. (b) The NLSV resistance Rnl=Vnl=I\nfor representative YIG (blue) and SiO 2(red and orange) NLSV samples. For comparison, a constant background resistance\nhas been subtracted from each measurement. (c) Dependence of the NLSV signal on the spacing dbetween the injecting\nand detecting ferromagnetic wires together with calculated spin signal values using a 1D (dashed lines) and 3D (solid lines)\nspin-transport model. For each distance dbetween the injector and detector several devices were measured, with the error bars\nindicating the spread in the measured signal.\nHereRF= (1\u0000\u000b2\nF)\u0015F\n\u001bFandRN=\u0015N\n\u001bNare spin area re-\nsistance of the ferromagnetic (F) and non-magnetic (N)\nmetals, respectively. \u0015Nand\u0015Fare the corresponding\nspin di\u000busion lengths, \u001bF(\u001bN) is the electrical conduc-\ntivity of the F (N), \u000bFis the spin polarization of Fandd\nis the distance between the injecting and detecting ferro-\nmagnetic electrodes. Fitting the SiO 2data using Eq. (2),\nwe extract \u000bF=0.32 and \u0015N;SiO 2=320 nm, which are\nboth in good agreement with reported values [13{15].\nA similar \ftting procedure for the YIG data, assuming\nan identical spin injection e\u000eciency, yields an e\u000bectively\nshorter spin-di\u000busion length \u0015N;YIG=190 nm due to the\nadditional spin-\rip scattering at the Al/YIG interface.\nThis value of \u0015N;YIGtherefore contains important infor-\nmation regarding an e\u000bective spin-mixing conductance\nGsthat can be attributed to the interaction of spins with\nthermal magnons in the YIG. When spin precession, due\nto the applied external \feld as well as the e\u000bective \feld\ndue toGiis disregarded, we can now estimate Gsby\nrelating\u0015N;YIGto\u0015N;SiO 2viaGsas (see Supplemental\nMaterial [19], Sec. I):\n1\n\u00152\nN;YIG=1\n\u00152\nN;SiO 2+1\n\u00152r; (3)\nwith\u0015\u00002\nr= 2Gs=tAl\u001bN[19]. Using the extracted values\nfrom the \ft, \u001bN=2\u0002107S/m andtAl=130 nm, we extract\nGs'2:5\u00021013\n\u00001m\u00002, which is about 25% of the\nmaximumGr\u00181014\n\u00001m\u00002reported for Pt/YIG [4, 7]\nand Au/YIG [8] interfaces.\nTo quantify our results we performed three-\ndimensional \fnite element simulations using COMSOL\nMultiphysics (3D-FEM) [19, 20] that uses a set ofequations that are equivalent to the continuous random\nmatrix theory in 3 dimensions (CRMT3D) [21]. The\ncharge current j\u000b\nc(~ r) and spin current j\u000b\ns(~ r), (where\n\u000b2x;y;z ), are linked to their corresponding driving\nforces via the electrical conductivity as\n\u0012j\u000b\nc(~ r)\nj\u000b\ns(~ r)\u0013\n=\u0000\u0012\u001b \u000bF\u001b\n\u000bF\u001b \u001b\u0013 \u0012~r\u0016c\n~r\u0016s\u0013\n(4)\nwhere\u0016c= (\u0016\"+\u0016#)=2 and\u0016s= (\u0016\"\u0000\u0016#)=2 are the\ncharge and spin accumulation chemical potentials, re-\nspectively. We supplement Eq. (4) by the conservation\nlaws for charge (r\u0001j\u000b\nc(~ r) = 0) and spin current ( r\u0001js=\n(1\u0000\u000b2\nF)\u001b\u0002\n\u0016s=\u00152+~ !L\u0002\u0016s\u0003\n) where~ !L=g\u0016B~B=~with\ng= 2 is the Larmor precession frequency due to spin\nprecession in an in-plane magnetic \feld ~B= (Bx;By;0)T\nand\u0016Bis the Bohr magneton (see Supplemental Mate-\nrial [19], Sec. II). To include spin-mixing at the Al/YIG\ninterface we impose continuity of the spin current jsat\nthe interface using Eq. (1). The input material param-\neters such as \u001b,\u0015and\u000bFare taken from Refs. 22 and\n23.\nThe calculated spin signals obtained from our 3D-FEM\nare shown in Fig. 2(c) for samples on SiO 2(red solid line)\nand YIG (blue solid line) substrates. By matching the\nexperimentally measured NLSV signal on the SiO 2sub-\nstrate with the calculated values in the model we obtain\n\u000bF= 0:3 and\u0015N=350 nm. Using these two values and\nsettingGs'5\u00021013\n\u00001m\u00002well reproduces the mea-\nsured spin signal on the YIG substrate. This value of Gs\nobtained here is consistent with that extracted from our\n1D analysis based on Eq. 2. Hence, the interaction of\nspins with the YIG magnetization, as modeled here, can4\nα\nˆysµˆm\nSV S\nFIG. 3. (Color online) (a) Nonlocal spin valve resistance R nlof a Type-B device with d=500 nm between injecting and detecting\nPy wires and tAl=130 nm. A constant background resistance of 117 m\n was subtracted from the original data. (b) Angular\ndependence of the NLSV signal in the parallel and antiparallel con\fgurations. The AP curve is average of 10 measurements\nand that of the P state is a single scan. Both resistance states exhibit a cos(2 \u000b) dependence on the angle between ^ mand\u0016s.\nThe black solid lines are calculated using the 3D-FEM model for Gr= 1\u00021013\n\u00001m\u00002that show a percentage modulation of\nonly 12% corresponding to the green curve in (c) \u000eRSV=RSVis plotted. The angular dependent measurement in (b) is from\na device for which complete set of measruements were peformed. A spin valves measurement as in (a) was also performed for\nanother device with d= 300 nm.\ncapture the concept of spin-mixing conductance being\nresponsible for the observed reduction in the spin signal.\nIn the following we investigate the dependence of Rnl\non the angle \u000bbetween\u0016sand ^m. We rotate the sam-\nple under the application of a very low in-plane mag-\nnetic \feld B\u00145 mT, enough to saturate the low-coercive\n(\u00140:5 mT) YIG magnetization [4, 5] but smaller than\nthe coercive \felds of F1andF2(\u001820 mT). This con-\ndition is important to maintain \fxed polarization axes\nof\u0016s, along the magnetization direction of the injecting\nferromagnet, and also have a well de\fned \u000b. The re-\nsult of such measurement in a Type-B device is shown\nin Fig. 3(b) for d= 400 nm between F 1and F 2. Al-\nthough the measured NLSV signal [Fig.3(a)] is smaller\nthan in Type-A devices, possibly due to a better Al/YIG\ninterface,Rnlexhibits a cos(2 \u000b) behavior with a maxi-\nmum (minimum) for \u000b= 0 (\u000b=\u0019=2), consistent with\nEq. (1). However, the maximum change (modulation) of\nthe signal\u000eRs=Rnl(\u000b= 0)\u0000Rnl(\u000b=\u0019=2)) is only 12%\nof the total spin signal RSV, which is at odds with the\nlarge spin-mixing conductance estimated from Fig. 2(b).\nFrom anistropic magnetoresistance measurements we ex-\nclude the possibility of any rotation of the magnetization\nof the injector and detector as the cause for the observed\nmodulation in the NLSV signal (see Supplemental Mate-\nrial [19], Sec. III-B).\nUsing the 3D-FEM we calculated the angular depen-\ndence ofRSVfor various values of Grwhere the percent-\nage modulation \u000eRs=RSVis plotted as a function of \u000b,\nas shown in Fig. 3(c). The Grvalue of 1\u00021013\n\u00001m\u00002\nextracted from the NLSV signal modulation experiment\nis one order of magnitude less than reported elsewhere\n[4]. This can be possibly caused by the presence of disor-\ndered Al/YIG interface with r.m.s. roughness of 0.8 nm\n(as measured by AFM), which is close to the magnetic co-herence volume3pVc'1:3 nm [6] of the YIG. This length\nscale determines the e\u000bective width of the Al/YIG inter-\nface and also the extent to which spin current from the\nAl is felt by the YIG magnetization [6, 24]. Furthermore,\nthe fact that there exists a \fnite spin-mixing when \u000b= 0,\nas discussed above, can also explain the observed small\nmodulation. It is important to note that in our experi-\nments the non-equilibrium spin accumulation induced by\nelectrical spin injection into Al has a spin-polarization\nstrictly along the direction of the magnetization of F1,\nwhich lies along the ^ yaxis. In the measurement results\nshown in Figs. 1(b) and 2(b) the magnetization of the\nF2is always kept either parallel or antiparallel to the de-\ntectorF1. This ensures that it is only the ^ ycomponent\nof the spin accumulation that is measured in our exper-\niments as it is insensitive to other two spin-polarization\n/s48 /s57/s48 /s49/s56/s48 /s50/s55/s48 /s51/s54/s48/s45/s52/s48/s45/s50/s48/s48/s50/s48/s52/s48\n/s48 /s57/s48 /s49/s56/s48 /s50/s55/s48 /s51/s54/s48/s45/s54/s45/s51/s48/s51/s54/s32/s82\n/s110/s108/s32/s40 /s41\n/s32/s40/s100/s101/s103/s114/s101/s101/s115/s41/s120/s45/s99/s111/s109/s112/s111/s110/s101/s110/s116\n/s40/s97/s41\n/s32/s32/s82\n/s110/s108/s32/s40 /s41\n/s32/s40/s100/s101/s103/s114/s101/s101/s115/s41/s32/s80\n/s32/s65/s80/s32\n/s122/s45/s99/s111/s109/s112/s111/s110/s101/s110/s116\n/s40/s98/s41\nFIG. 4. (Color online) Calculated NLSV signals showing the\n(a)x-component and (b) z-component of the NLSV signal\nRnlin the parallel (red) and antiparallel (blue) magnetiza-\ntion con\fgurations of the injector and detector ferromagnetic\ncontacts for Gr= 1\u00021013\n\u00001m\u00002andGi= 0:1Gr. Even if\nthe injected spin accumulation is polarized along the magne-\ntization direction of the injecting electrode F 1, its interaction\nwith the magnons via the spin-mixing conductance induces\nthese spin accumulation components.5\ndirections. It is however possible that the interaction\nof the initially injected spin accumulation with the YIG\nmagnetization, via G\"#, to induce a \fnite NLSV signal\nwith components polarized along the ^ x- and ^z-directions.\nFigure 4 shows the angular dependence of the ^ x\u0000and\n^z\u0000component of the NLSV signal as calculated using our\n3D-FEM. While the ^ zcomponent exhibits a sin( \u000b) de-\npendence, the ^ xcomponent shows a sin(2 \u000b) dependence\nwhich is consistent with Eq. (1). The size of the mod-\nulation is determined by Grfor the ^x\u0000component and\nbyGifor the ^z\u0000component. In a collinear measure-\nment con\fguration these transverse spin accumulation\ncomponents can induce local magnetization dynamics by\nexerting a spin transfer torque to the YIG. Separately\nmeasuring these spin accumulation using ferromagnetic\ncontacts magnetized along the ^ xand ^zdirections can be\nan alternative way to extract G\"#.\nIn summary, we studied spin injection and relaxation\nat the Al/YIG interface in Ni 80Fe20/Al lateral spin valves\nfabricated on YIG. The samples on the YIG substrate\nyield NLSV signals that are two to three times lower than\nthose grown on standard SiO 2substrates, indicating spin-\ncurrent absorption by the magnetic YIG substrate. We\nalso observed a small but clear modulation of the mea-\nsured NLSV signal as a function of the angle between\nthe spin accumulation and magnetization of the YIG.\nThe presence of a disordered Al/YIG interface combined\nwith a spin-\rip (sink) process due to thermal magnons or\ninterface spin-orbit e\u000bects can be accounted for this small\nmodulation. Using \fnite element magnetoelectronic cir-\ncuit theory as well as additional control experiments, we\nestablish the concept of collinear (e\u000bective) spin mixing\nconductance due to the thermal magnons in the YIG.\nOur result therefore calls for the inclusion of this term in\nthe analysis of spintronic and spin caloritronic phenom-\nena observed in metal/YIG bilayer systems.\nThe authors thank M. de Roosz and J.G. Holstein for\ntechnical assistance. This work is part of the research\nprogram of the Foundation for Fundamental Research on\nMatter (FOM) and is supported by NanoLab NL, EU-\nFET Grant InSpin 612759 and the Zernike Institute for\nAdvanced Materials.6\nSUPPLEMENTAL MATERIAL\nI. Derivation for the e\u000bective spin\nrelaxation length in the collinear case\nThe spin accumulation \u0016s, with polarization parallel to\nthe magnetization direction of F1(see Fig. S5), injected\nin the Al is governed by the Valet-Fert spin di\u000busion\nequation [25]\u0002\n@2\nx+@2\ny+@2\nz\u0003\n\u0016s=\u0016s=\u00152\nN, which can be\nre-arranged to give\n@2\nx\u0016s=\u0016s=\u00152\nN\u0000@2\nz\u0016s: (5)\nHere we assume that, for a homogeneous system, the\nspin current along the ^ y-direction is zero. As discussed\nin the main text, when the YIG magnetization direction\n^mk\u0016sthe spin current jz=0\nsat the Al/YIG interface, in\nthe ^z-direction, is governed by the spin sink term Gsin\nEq. 1 of the main text. Applying spin current continuity\ncondition at the Al/YIG interface we \fnd that\n\u001bN\n2@z\u0016s=Gs\u0016s (6)\nwhere\u001bNis the conductivity of the normal metal. Now\nafter re-arranging Eq. (6) to obtain @z\u0016s, di\u000berentiat-\ning it once and using @z\u0016s=\u0016s=tAl, wheretAlis the\nthickness of the Al, we obtain\n@2\nz\u0016s=\u00002Gs\u0016s\n\u001bNtAl: (7)\nSubstituting Eq. (7) into Eq. (5) we obtain a modi-\n\fed VF-spin di\u000busion equation that contains two length\nscales\n@2\nx\u0016s=\u0016s=\u00152\nN+ 2Gr\u0016s=\u001bNtAl; (8a)\n=\u0016s\n\u00152\nN+\u0016s\n\u00152r; (8b)\nwhere we de\fned a new length scale \u0015\u00002\nr= 2Gs=\u001bNtAl\nthat, together with the \u0015N, re-de\fnes an e\u000bective spin\nrelaxation length \u0015\u00002\ne\u000b=\u0015\u00002\nN+\u0015\u00002\nr. This e\u000bective spin\nrelaxation length in the Al channel is weighted by the\nspin-mixing conductance Gsof the Al/YIG interface.\nThe modulation of the NLSV signal observed in our mea-\nsurements is hence determined by the interplay between\nthese two length scales, \u0015Nand\u0015r. While the \frst quan-\nti\fes the e\u000bective spin-conductance of the Al channel\n(GN=\u001bNAN=\u0015Al) over the spin relaxation length, the\nsecond is a measure of the quality of the Al/YIG inter-\nface and is set by Gs. For the devices investigated in\nthis work, using AN=tAlwAlwith the width of the Al\nchannelwAl= 100nm and \u001bAl= 2\u0002107S/m, we ob-\ntainA\u00001\nNGN'6\u00021013\n\u00001m\u00002, which is close to the Gs\nobtained in our experiments. This highlights the impor-\ntance of spin-relaxation induced by the thermal motion\nof the YIG magnetization, as discussed in the main text.Geometrical enhancement of the modulation can be ob-\ntained by reducing tAl, as shown in Fig. S5(d), thereby\nmaximizing spin-absorption at the Al/YIG interface [16].\nII. Three dimensional (3D) spin\ntransport model\nHere we describe the our 3D spin transport model used\nto analyze our data. It is similar to that described in\nRef. 20 for collinear spin transport with the possibility of\nstudying spin-relaxation e\u000bects (i) due to the spin-mixing\nconductance at the Al/YIG interface as well as (ii) Hanle\nspin-precession due to the in-plane magnetic \feld [see\nSec. III below for detail]. The charge current j\u000b\nc(~ r) and\nspin currentj\u000b\ns(~ r), forj\u000b\nc(~ r) (where\u000b2x;y;z ), are re-\nlated to the charge \u0016c(~ r) and spin potentials \u0016sas\n\u0012j\u000b\nc(~ r)\nj\u000b\ns(~ r)\u0013\n=\u0000\u0012\u001b \u000bF\u001b\n\u000bF\u001b \u001b\u0013 \u0012~r\u0016c\n~r\u0016s\u0013\n(9)\nwhere\u001bis the bulk conductivity and \u000bFis the bulk spin\npolarization of the conductivity. The device geometry we\nmodel is shown in Fig. S5(a), showing schematic source-\ndrain con\fgurations as well as voltage contacts. We im-\npose charge \rux at contact 1 and drain it at 2. The\nnonlocal voltage, due to spin di\u000busion, is obtained by\ntaking the di\u000berence between the surface integrated \u0016c\nat contacts 3 and 4 , both for the parallel (P) or antipar-\nallel (AP) magnetization con\fgurations. To solve Eq. (9),\nwe use conservation laws for charge ( r\u0001j\u000b\nc(~ r) = 0) and\nspin current (r\u0001js= (1\u0000\u000b2\nF)\u001b\u0016s=\u00152) with spin pre-\ncession due to the in-plane applied \feld also included in\nthe model. By de\fning an angle \u000bbetween\u0016sand the\nYIG magnetization ^ mand allowing for a boundary spin\ncurrent at the Al/YIG interface using Eq. 1 of the main\ntext, we can study the transport of spins in NLSV de-\nvices and their interaction with the YIG magnetization.\nThe material parameters for the model, \u001b,\u000bFand\u0015sare\ntaken from Ref. [23]. Our modeling procedure involves,\n\frst, \ftting of the measured NLSV signal on a SiO 2sub-\nstrate by varying \u000bFand using\u0015N= 350nm. Next, we\naim to \fnd Gsof the Al/YIG interface that properly\nquanti\fes spin transport properties of the YIG sample.\nFigure S5(b) shows the dependence of the NLSV signal\nonGs. As expected, when Gsvery low, the NLSV signal\nis not a\u000bected by the presence of the YIG as spins are\nnot lost to the substrate. For Gs'5\u00021013\n\u00001m\u00002we\nobtain the experimentally measured NLSV signal (shown\nin red dashed line). For even larger Gsvalues, the e\u000bect\nis maximum with the NLSV signal falling by almost one\norder of magnitude. It is important to remember that\nthe value of Gsthat is extracted here is a simple mea-\nsure of spin-\rip processes at the Al/YIG interface due to\nthermal \ructuation of the YIG magnetization or disorder\ninduced e\u000bects. At the temperatures of our experiment it\nis di\u000ecult to distinguish which one of the two processes\nis dominant.7\n13 2 13 2G 8 10 m and G 5 10 mr s− −= × Ω = × Ω(c)Gs(b) \n12\n4\n3cj/arrowrightnosp\nxz\ny(a) \n(d) SV S\nFIG. 5. (a) Geometry of the modeled device showing the measurement con\fguration with a 3D pro\fle and the y-component\nof the spin accumulation. (b) The dependence of the NLSV signal on the e\u000bective (collinear) spin mixing conductance Gs. To\nreproduce the experimentally observed decrease in the spin signal from SiO 2to the YIG substrate, an e\u000bective spin mixing\nconductance of Gs= 5\u00021013\n\u00001m\u00002is required. (c) The dependence of the NLSV signal on the angle between ^ mand\u0016s\nforGs= 5\u00021013\n\u00001m\u00002. (d) The dependence of the spin signal modulation amplitude on the thickness of the Al channel\nsignifying the interplay between the spin-mixing conductance and the spin-conductance in the Al channel.\nFor the angular dependent simulation we only vary the\nangle\u000bbetween\u0016sand ^mwhile keeping all other param-\neters constant (such as \u000bF,\u0015NGs= 5\u00021013\n\u00001m\u00001\nandGr= 8\u00021013\n\u00001m\u00001). As shown in Fig. S5(b) our\nsimulation as described above reproduces the cos2(\u000b) de-\npendence observed in our experiments as well as by Vil-\nlamor et al. [16].\nFor the extracted values of Grfrom our analysis, the\nexperimentally observed modulation of the NLSV signal\nby the rotating magnetization direction of the YIG is\nsmall. Possible ways to enhance the modulation are to\n1) maximize the spin-mixing conductance via controlled\ninterface engineering of the Al/YIG interface or 2) reduce\nthe thickness of the spin transport channel. In the latter,\nfor a \fxed Gr, the e\u000bect of decreasing the thickness of\nthe spin transport channel is to e\u000bectively reduce the spin\nconductance GNalong the channel thereby maximizing\nthe spin current through the Al/YIG interface. Fig-\nure S5(c) shows the thickness dependence of the modula-\ntion of the spin signal \u000eRs=Rs(\u000b= 00)\u0000Rs(\u000b= 900)normalized by Rsas a function of the thickness tAl, with\nthe inset showing that for the P and AP con\fgurations.\nAs the thickness of the Al channel increases the spin cur-\nrent absorption at the Al/YIG interface decreases or vice\nversa.\nIII. Investigation of possible alternative\nexplanations for the observed modulation\nIt can be argued that the experimentally observed\nmodulation of the NLSV signal can be fully explained\nby (i) the Hanle spin-precession and/or (ii) the rotation\nof the magnetizations of the injector/detector electrodes\ndue to the 5 mT in-plane magnetic \feld. Below, we show\nthat even the combined e\u000bect of both mechanisms is too\nsmall to explain the experimentally observed modulation\nof the NLSV signal.8\n(b) (c)(a)\nAntiparallelParallel\nInjector Detector\nFIG. 6. (a) Modulation of the NLSV when only considering the Hanle e\u000bect due to the in-plane magnetic \feld in the P\n(dashed lines) and AP (solid lines) at 5 mT (red), 50 mT (blue) and 100 mT (black). see text for more details. (b) Anisotropic\nmagnetoresistance (AMR) measurement for the injector (left) and detector (right) ferromagnets at two di\u000berent magnetic \felds.\nThe insets show the full-scale plot of the measurements at 5mT.\nA. Hanle spin-precession induced modulation of the\nNLSV signal\nSpins precessing around an in-plane magnetic \feld\n~Bwould acquire an average spin precession angle of\n\u001e=!L\u001cD, where!L=g\u0016B~B=~is the Larmor precession\nfrequency,\u001cD=L2=2Dc= 25 ps is the average di\u000busion\ntime an electron takes to traverse the distance Lbetween\nthe injector and the detector and Dc= 0:005m2/s is the\ndi\u000busion coe\u000ecient [26]. For an applied \feld of 5 mT\nandL=500 nm, we obtain \u001e= 1:25o, giving us a max-\nimum contribution of 1 \u0000cos\u001e=\u00180.02% [see Eq. (10)]\nto the experimentally observed signal (compared to the\n\u001812% in Fig. 3(b) of the main text). This is expected be-\ncause the spin-precession frequency !\u00001\nL(\u00188 ns) at such\nmagnetic \felds is three orders of magnitude slower than\n\u001cD.\nThis simple estimate is further supported by our 3D \f-\nnite element model as we show next. Figure S6(a) shows\nthe angle dependence of the nonlocal signal due to an\nin-plane magnetic \feld when we only consider the Hanlee\u000bect both for the AP (solid lines) and P (dashed lines)\ncon\fgurations at three di\u000berent magnetic \feld values of\n5 mT (red), 50 mT (blue) and 100 mT (black). The\nmaximum modulation of the NLSV signal that the Hanle\ne\u000bect presents is only 0.001% at the measurement \feld\nof 5 mT and only become relevant at high \felds. There-\nfore, the Hanle e\u000bect alone can not explain the results\npresented in the main text.\nB. Magnetization rotation induced modulation of\nthe NLSV signal\nThe in-plane rotation of the sample under an applied\nmagnetic \feld of 5 mT might induce rotations in the ma-\ngentization of the injector/detector electrodes. In such a\ncase, a relative angle \u0012rbetween the magnetization direc-\ntion of the injector and detector electrodes would result\nin a modulation of the NLSV signal given by\n\u000eRnl\nRnl(\u0012r= 0)=Rnl(\u0012r= 0)\u0000Rnl(\u0012r)\nRnl(\u0012r= 0)=\u0006j1\u0000cos\u0012rj;\n(10)9\nwith +(\u0000) corresponding to the P (AP) con\fguration.\nUsing Eq. (10), we \fnd that a relative angle \u0012r'28o\nbetween the magnetization directions of the injector and\ndetector is required in order to explain the experimentally\nobserved modulation. To determine the \feld induced in-\nplane rotation of the magnetization by the applied mag-\nnetic \feld, we carried out angle dependent anisotropic\nmagnetoresistance (AMR) measurements both for the in-\njector and detector electrodes, using a new set of devices\nwith identical dimensions. The AMR measurements were\nrepeated for di\u000berent magnetic \feld strengths, at 5 mT\nand at higher magnetic \felds of 100 mT and 300 mT.\nFigure S6(b) and (c) show the two-probe AMR mea-\nsurement of the injector and detector electrodes, respec-\ntively, at two di\u000berent magnetic \felds. For the injector\nelectrode in Fig. S6(b), at an applied \feld of 100 mT\n(red line), an AMR response \u0001 R=Rk\u0000R?= 0:6 \nis observed, where Rk(R?) is the resistance of the ferro-\nmagnet when the angle between the applied \feld and the\neasy axis is \u0012= 0o(\u0012= 90o). For the same electrode, at\nan applied \feld of 5 mT (blue line, see also the inset),\nthe AMR response is only 0.025 \n. Now, by comparing\nthese two measurements we conclude that the e\u000bect of\nthe 5 mT \feld would be to rotate the magnetization of\nthis electrode by a maximum angle \u00121= 15ofrom the\neasy axis. A similar analysis for the detector electrode,\nusing the AMR responses of 2 \n (at 300 mT) and 0.025\n\n (at 5 mT) in Fig. S6(c), yields a maximum magneti-\nzation rotation \u00122= 10o. Relevant here is the net rel-\native magnetization rotation between the two electrodes\n\u0012r=\u00121\u0000\u00122= 5oand, using Eq. (10), we conclude that\nit would only cause a modulation of 0.4 %, which is much\nsmaller than the 12% observed in our experiments. Our\nanalysis based on the AMR e\u000bect is equivalent to that in\nRef. 16 where magneto-optical Kerr e\u000bect measurements\nwere used to exclude a possible in-plane magnetization\nrotation as the origin for the observed modulation in the\nnonlocal spin valve signal [16].\nTo summarize this section, the Hanle e\u000bect and the\nmagnetization rotation induced by the in-plane magnetic\n\feld neither separately nor when combined are su\u000ecient\nto explain the experimentally observed modulation. Only\nafter including the e\u000bect of the spin-mixing interaction\nviaG\"#that it is possible to reproduce the modulation\nobserved in the experiments.\n\u0003e-mail:f.k.dejene@gmail.com\n[1] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi,\nJ. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai,\net al. , Nature materials 9, 894 (2010).\n[2] V. Castel, N. Vlietstra, J. Ben Youssef, and B. J. van\nWees, Applied Physics Letters 101, 132414 (2012).\n[3] M. Althammer, S. Meyer, H. Nakayama, M. Schreier,S. Altmannshofer, M. Weiler, H. Huebl, S. Gepr ags,\nM. Opel, R. Gross, D. Meier, C. Klewe, T. Kuschel, J.-M.\nSchmalhorst, G. Reiss, L. Shen, A. Gupta, Y.-T. Chen,\nG. Bauer, E. Saitoh, and S. Goennenwein, Phys. Rev. B\n87, 224401 (2013).\n[4] N. Vlietstra, J. Shan, V. Castel, B. J. van Wees, and\nJ. Ben Youssef, Physical Review B 87, 184421 (2013).\n[5] J. Flipse, F. K. Dejene, D. Wagenaar, G. E. W. Bauer,\nJ. B. Youssef, and B. J. van Wees, Phys. Rev. Lett. 113,\n027601 (2014).\n[6] J. Xiao, G. E. W. Bauer, K.-c. Uchida, E. Saitoh, and\nS. Maekawa, Physical Review B 81, 214418 (2010).\n[7] X. Jia, K. Liu, K. Xia, and G. E. W. Bauer, EPL (Eu-\nrophysics Letters) 96, 17005 (2011).\n[8] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz,\nE. Girt, Y.-Y. Song, Y. Sun, and M. Wu, Physical Re-\nview Letters 107, 066604 (2011).\n[9] Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer,\nS. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer,\nPhysical Review B 87, 144411 (2013).\n[10] M. Weiler, M. Althammer, M. Schreier, J. Lotze,\nM. Pernpeintner, S. Meyer, H. Huebl, R. Gross,\nA. Kamra, J. Xiao, Y.-T. Chen, H. Jiao, G. Bauer, and\nS. Goennenwein, Phys. Rev. Lett. 111, 176601 (2013).\n[11] S. Huang, X. Fan, D. Qu, Y. Chen, W. Wang, J. Wu,\nT. Chen, J. Xiao, and C. Chien, Phys. Rev. Lett. 109,\n107204 (2012).\n[12] T. Kikkawa, K. Uchida, S. Daimon, Y. Shiomi,\nH. Adachi, Z. Qiu, D. Hou, X.-F. Jin, S. Maekawa, and\nE. Saitoh, Physical Review B 88, 214403 (2013).\n[13] F. J. Jedema, M. V. Costache, H. B. Heersche, J. J. A.\nBaselmans, and B. J. van Wees, Applied Physics Letters\n81(2002).\n[14] F. J. Jedema, M. S. Nijboer, A. T. Flip, and B. J. van\nWees, Physical Review B 67, 085319 (2003).\n[15] T. Kimura, T. Sato, and Y. Otani, Physical Review Let-\nters100, 066602 (2008).\n[16] E. Villamor, M. Isasa, S. V\u0013 elez, A. Bedoya-Pinto,\nP. Vavassori, L. E. Hueso, F. S. Bergeret, and\nF. Casanova, Phys. Rev. B 91, 020403 (2015).\n[17] V. Castel, N. Vlietstra, B. J. van Wees, and J. B.\nYoussef, Physical Review B 86, 134419 (2012).\n[18] Z. Qiu, K. Ando, K. Uchida, Y. Kajiwara, R. Takahashi,\nH. Nakayama, T. An, Y. Fujikawa, and E. Saitoh, Ap-\nplied Physics Letters 103, 092404 (2013).\n[19] See supplemental material for the derivation of Eq. 3,\ndetailed procedure of the \fnite element simulation and\nadditional control experiments.\n[20] A. Slachter, F. L. Bakker, and B. J. van Wees, Phys.\nRev. B 84, 174408 (2011).\n[21] V. S. Rychkov, S. Borlenghi, H. Ja\u000bres, A. Fert, and\nX. Waintal, Phys. Rev. Lett. 103, 066602 (2009).\n[22] F. L. Bakker, A. Slachter, J.-P. Adam, and B. J. van\nWees, Phys. Rev. Lett. 105, 136601 (2010).\n[23] F. K. Dejene, J. Flipse, G. E. W. Bauer, and B. J. van\nWees, Nature Physics 9, 636 (2013).\n[24] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W.\nBauer, R. Gross, and S. T. B. Goennenwein, Physical\nReview B 88, 094410 (2013).\n[25] T. Valet and A. Fert, Physical Review B 48, 7099 (1993).\n[26] F. J. Jedema, M. V. Costache, H. B. Heersche, J. J. A.\nBaselmans, and B. J. van Wees, Applied Physics Letters\n81(2002)." }, { "title": "2202.03774v1.Modulation_of_Spin_Seebeck_Effect_by_Hydrogenation.pdf", "content": "arXiv:2202.03774v1 [cond-mat.mes-hall] 8 Feb 2022AIP/123-QED\nModulation of Spin Seebeck Effect by Hydrogenation\nK. Ogata,1T. Kikkawa,2E. Saitoh,2, 3and Y. Shiomi1, 4\n1)Department of Integrated Science, University of Tokyo, Meg uro, Tokyo 153-8902,\nJapan\n2)Department of Applied Physics, University of Tokyo, Bunkyo , Tokyo 113-8656,\nJapan\n3)Institute for AI and Beyond, University of Tokyo, Bunkyo, To kyo 113-8656,\nJapan\n4)Department of Basic Science, University of Tokyo, Meguro, T okyo 153-8902,\nJapan\n(*Electronic mail: yukishiomi@g.ecc.u-tokyo.ac.jp)\n(Dated: 9 February 2022)\nWe demonstrate the modulation of spin Seebeck effect (SSE) b y hydrogenation in Pd/YIG\nbilayers. In the presence of 3% hydrogen gas, SSE voltage dec reases by more than 50\n% from the magnitude observed in pure Ar gas. The modulation o f the SSE voltage is\nreversible, but the recovery of the SSE voltage to the prehyd rogenation value takes a few\ndays because of a long time constant of hydrogen desorption. We also demonstrate that the\nspin Hall magnetoresistance of the identical sample reduce s significantly with hydrogen\nexposure, supporting that the observed modulation of spin c urrent signals originates from\nhydrogenation of Pd/YIG.\n1Hydrogen is an energy carrier which can be produced by an envi ronmentally clean process and\ntherefore has a positive impact on decarbonization1. To utilize hydrogen as a clean and renewable\nalternative to carbon-based fuels, hydrogen safety sensor s are also critical to assure the develop-\nment of hydrogen systems2. Metal-hydride systems have been widely studied for the pot ential of\nsolid-state hydrogen storage and sensing. In particular, P d is frequently used as a catalyst for hy-\ndrogen dissociation and adsorption. A hydrogen molecule de composes into independent hydrogen\natoms when the molecule approaches a Pd surface due to the str ong interaction between Pd and H\natoms. As the smallest single atom, a H atom can easily diffus e into the interstices of the Pd lattice\nand cause lattice expansion. As a result, hydrogen adsorpti on changes the density of states of Pd\nnear the Fermi energy3,4, significantly modulating its electrical and optical prope rties.\nThe hydrogenation of Pd films also impacts spintronic effect s. Pd is known to exhibit a\nstrong spin-orbit coupling and has been used in many spintro nic experiments. Magnetic multi-\nlayers and super-lattices which include Pd layers have been of particular interest. It was reported\nthat in Co/Pd bilayers which possess a strong interfacial pe rpendicular magnetic anisotropy,\nthe magnetic anisotropy and ferromagnetic resonance are re versibly modulated by hydrogen\nexposure5–11. Efficient hydrogen sensing based on magnetization dynamic s was also reported\nin similar materials5,12,13. Moreover, the inverse spin Hall effect (ISHE) induced by sp in pumping\nwas successfully modulated by hydrogen exposure in Co/Pd bi layers14,15. Absorption of hydrogen\ngas at 3% concentration in the Pd layer reduces the ISHE volta ge by 20%15. This decrease in ISHE\nsignals in the presence of hydrogen gas was attributed to the decrease in spin diffusion length due\nto enhanced scatterings to hydrogen atoms in the Pd layer. Af ter the hydrogen gas is flushed out\nof the setup, the ISHE voltage returns to the prehydrogenati on value; hence the observed effect is\nreversible.\nThe studies of hydrogen effects on spintronic materials hav e been carried out for the combi-\nnation of Pd layers with itinerant magnetic films. For the mea surement of ISHE in ferromag-\nnetic/nonmagnetic metallic bilayers, however, it is known that the precise estimation of ISHE\nvoltage is difficult because of spurious spin rectification e ffects such as anisotropic magnetoresis-\ntance and anomalous Hall effect which couple the dynamic mag netization to microwave currents\nin the ferromagnetic layer16. Hence magnetic insulators such as Y 3Fe5O12(YIG) should be more\nsuitable to investigate hydrogen effects on pure ISHE signa ls17.\nIn this letter, we demonstrate the reversible manipulation of the spin Seebeck effect (SSE) by\nhydrogen exposure in Pd/YIG bilayers. The SSE is the generat ion of a spin current as a result of\n2a temperature gradient applied across a junction consistin g of a magnet and a metal18,19. The spin\ncurrent injected into a metal can be converted into a voltage by ISHE. Since the ISHE induced by\nSSE is a transverse thermoelectric effect, it can be employe d to realize transverse thermoelectric\ndevices, which could potentially overcome the inherent lim itations of conventional thermoelectric\ndevices20,21. Moreover, SSE is expected to be utilized for flexible heat-fl ow sensors22. The ma-\nnipulation of SSE by hydrogenation demonstrated below may o pen up new device potentials in\nspin caloritronics.\nWe used epitaxial YIG films with 2 micron thickness grown by li quid phase epitaxy on\nGd3Ga5O12(111) substrates. The YIG surfaces were mechanically polis hed, and then 5-nm-thick\nPd films were sputtered at room temperature. The Pd layer is 5 m m long and 0.5 mm wide. For\nthe Pd/YIG bilayers, the SSE measurements in the longitudin al configuration18were performed\nat room temperature using an electromagnet (3470 Electroma gnet System, GMW Associates).\nThe bilayer sample was placed between sapphire and copper pl ates. A 1-k Ωresistive heater was\nattached to the upper sapphire plate and the lower copper pla te is a heat sink. To facilitate hydro-\ngenation of the Pd layer, a breathable tape (TBAT-252, TRUSC O) was inserted between the upper\nplate and the sample [Fig. 1(a)]. The temperature gradient i s generated by applying an electric\ncurrent to the heater. Two-pairs of leads were attached to th e Pd layer to measure not only the\nSSE but also the spin Hall magnetoresistance (SMR)23in the same setup. The distance between\nthe voltage terminals is 2.5 mm. The thermoelectric voltage due to the ISHE induced by SSE\nwas monitored with a Keithley 2182A nanovoltmeter. SMR was m easured by lockin detection\nusing Anfatec USB Lockin Amplifier 250; the frequency and amp litude of ac electric current are\n111 Hz and 0.8 mA. The sample was loaded into a small chamber to control the atmosphere. For\nhydrogenation measurements, the samples were first measure d in pure Ar gas ( >99.9999 vol.%)\nat atmospheric pressure followed by a 3%/97% H 2/Ar gas mixture. Before the measurements in\nAr-H 2gas, we waited 20-40 minutes for the Pd layer to be completely hydrogenated15,24after the\nchamber was filled with 1 atm Ar-H 2gas.\nFirst, we measured SSE voltage VSSEof Pd/YIG in Ar atmosphere. Figure 1(b) shows the\nmagnetic-field ( H) dependence of VSSEmeasured at several heater power levels. Here symmetric\ncomponents of the output voltage with respect to Hare subtracted and antisymmetric components\nare plotted; note that the symmetric components which are no t to be attributed to the effect under\nstudy are almost independent of Hin our measurements. When the heater is off, VSSEis almost\nzero in the entire Hrange in Fig. 1(b). As the heater power Pincreases from zero, the clear\n3FIG. 1. (a) Measurement setup of the SSE. A breathable tape wa s inserted between Pd/YIG and the heater\npart to facilitate hydrogen absorption and desorption in th e Pd film. (b) Magnetic field ( H) dependence of\nthe SSE voltage ( VSSE) measured in 1 atm of Ar. The heater power ( P) was changed from 0 mW to 100 mW.\n(c) Heater power ( P) dependence of the SSE voltage ( VSSE) at 200 mT. The raw data is shown in (b).\nSSE signals appear and their magnitudes increase with P. The sign of VSSEis the same as that\nreported for Pt/YIG18. The saturated magnitude of VSSEis plotted against Pin Fig. 1(c). The\nVSSEmagnitude increases linearly with the heater power, which i ndicates that VSSEis proportional\nto temperature gradient generated across the Pd/YIG juncti on. The temperature difference ∆T\ngenerated at P=100 mW is estimated to be ∼1.5 K (see Fig. S1 in Supplementary Material).\nNext, the effect of exposing the 3% H 2mixture on the Pd/YIG sample is investigated in Fig. 2\n(see also Fig. S2 in Supplementary Material for additional e xperimental results). Here the heater\npower Pis kept constant at 100 mW during the series of measurements. After the initial SSE\nmeasurement in pure Ar gas at atmospheric pressure already s hown in Figs. 1(b) and 1(c), the\nsample chamber was filled with H 23% H 2/Ar mixture and the SSE measurement was performed.\nAs shown in Fig. 2, the magnitude of VSSEis found to be reduced by more than 50% in the\npresence of H 2gas. After completing the SSE measurement in Ar-H 2atmosphere, the sample\nwas then remeasured in pure Ar. The VSSEmagnitude returned to the pristine value as shown\nin Fig. 2. Note that this data was taken 2.5 days after the cham ber was refilled with Ar. The\nobserved decrease in the SSE signal is safely ascribed to the presence of hydrogen in Pd/YIG, and\n4FIG. 2. Magnetic field ( H) dependence of the SSE voltage ( VSSE) measured before hydrogenation, during\nexposure to hydrogen gas, and after the hydrogen gas is flushe d out of the setup. The heater power is kept\nat 100 mW.\nimportantly, the change is reversible.\nIt is well known15,25that upon hydrogenation, Pd thin films undergo two stages of l attice ex-\npansion depending on the hydrogen gas concentration. For li ght concentration levels up to 2-3 %,\nthe lattice constant grows by approximately 1% in the out-of -plane direction only. This expansion\nis reversible. In the second stage, the lattice constant gro ws by up to 4% in both out-of-plane and\nin-plane directions. These changes are irreversible, caus ing structural changes to the Pd lattice.\nIn our SSE measurements under 3% hydrogen gas, the sample sho uld undergo the first stage of\nlattice expansion and the SSE is thereby reversible. Note th at we confirmed by x-ray diffraction\nthat the Pd films are (111) oriented as in the literature25(see Supplementary Material).\nThough the modulation of SSE by hydrogen absorption/desorp tion is reversible, the recovery\n5FIG. 3. (a) Magnetic field ( H) dependence of the SSE voltage ( VSSE) measured 1.7-66 hours after Ar gas is\nrefilled in the measurement chamber. The heater power is kept at 100 mW. (b) Time dependence of the SSE\nvoltage ( VSSE) at 210 mT measured after Ar gas is refilled in the measurement chamber. The selected raw\ndata is shown in (a). The black curve is a fit to the experimenta l data (see text).\ntime of the SSE signal due to hydrogen desorption is as long as 2.5 days. It was reported that\nthe hydrogen desorption takes a long time in contrast to the q uick hydrogen absorption9, and the\nresponse time depends significantly on materials. The time f or hydrogen desorption is typically at\nmost several tens of minutes for Co/Pd5–11, while the completion of the entire desorption requires\nat least a few days at 10−3mbar for FePd alloys26. Our Pd/YIG also includes Fe and Pd, and the\nsituation looks similar to FePd alloys.\nWe then take a closer look on the dehydrogenation process by t he time dependent measurement\nof SSE in Fig. 3. Figure 3(a) shows VSSEcurves measured at different times after the measurement\nchamber is refilled with pure Ar gas. The VSSEmagnitude is approximately 0.5 µV just after the\ngas is replaced with Ar, and increases monotonically with ti me. After 50 hours, the VSSEmagnitude\nis almost saturated at ∼1µV.\nThe time dependence of VSSEat 210 mT is plotted in Fig. 3(b). The VSSEmagnitude increases\nmonotonically with time, as already shown in Fig. 3(a). We fit the experimental data by a standard\nrelaxation function: VSSE∝1−e−t/τ, where tis the measurement time and τis a time constant\nof hydrogen desorption. The fitting curve matches the experi mental data very well, meaning that\nthe hydrogen desorption follows an exponential function. T he same function was adopted for the\nhydrogenation effect on magneto-optical effects in Pd/Co/ Pd films9. The fit in Fig. 3(b) yields\nτ≈25 hour. Such a long time constant was not observed in the spin pumping measurement for\n6FIG. 4. Magnetic field ( H) dependence of the magnetoresistance (MR) ratio ( ρ(H)/ρ(H=0)−1) measured\nbefore hydrogenation (a), during exposure to hydrogen gas ( b), and after the hydrogen gas is flushed out of\nthe setup (c).\nPd/Co bilayers15.\nIn contrast to the spin pumping measurements, the attachmen t of the heater to the Pd surface is\nrequired in the SSE measurements, which may adversely affec t the absorption/desorption of hy-\ndrogen because of small numbers of exposed surface atoms. To confirm that spin current signals\nin the Pd layer is indeed modulated by hydrogenation, we also perform the measurement of SMR\n(spin Hall magnetoresistance) for the same sample in the sam e setup. The SMR is a magnetoresis-\ntance effect related to a nonequilibrium proximity effect c aused by the simultaneous action of the\nSHE and ISHE23,27; the absorption/reflection of spin current at the ferromagn et/metal interface re-\nsults in magnetoresistance, since the spin-dependent scat tering at the metal/ferromagnet interface\ndepends on the angle between the polarization of spin Hall cu rrent and the magnetization of the\nattached magnetic layer. The experimental setup is illustr ated in the inset to Fig. 4(a). Magnetic\nfield is applied perpendicular to the electric-current dire ction in the film plane.\nFigure 4 shows the hydrogen effects on SMR in the Pd/YIG bilay er. Here, since the size of\nSMR is very small, the magnetoresistance measurements were repeated several times and aver-\naged. The error bars stand for the standard errors. Before hy drogenation [Fig. 4(a)], a negative\nmagnetoresistance effect is observed. The magnetic-field d ependence of resistance change follows\nthe magnetization process of the YIG layer, consistent with the SMR23. The size of SMR is about\n1×10−3%. This magnitude is about ten times smaller than that in Pt/Y IG23. A small SMR of\nabout 10% compared to Pt/YIG was also reported in the literat ure28.\nDuring the exposure to 3% hydrogen gas, the SMR magnitude dec reases significantly as shown\n7in Fig. 4(b). Although quantitative analysis is difficult be cause of the large error bars, the suppres-\nsion of SMR ratio by hydrogenation looks more than 50%, consi stent with the modulation in SSE\nvoltages (Figs. 2 and 3). After the hydrogen gas is flushed out of the chamber and pure Ar gas is\nrefilled, we confirmed that the size of SMR returns to the initi al value [Fig. 4(c)].\nAn important finding in the SMR measurement is that the SMR rat io has already returned to its\noriginal value 30 minutes after refilling Ar gas. Namely, the time constant of hydrogen desorption\nin the SMR measurement is much shorter than that in the SSE mea surement. Since both the\nmeasurements were performed for the same sample in the same s etup, the long time constant of\nhydrogen desorption in the SSE measurement cannot be attrib uted to impurities/defects in the Pd\nlayer, surface oxidation, surface morphology9, or moisture which may trap hydrogen atoms and\nhinder the hydrogen desorption29,30.\nIn our measurements of SSE and SMR, spin current signals are s ignificantly suppressed by\nhydrogen exposure as shown in Figs. 2-4. The decrease in the s pin Hall signals with hydrogen\nexposure is consistent with the previous spin pumping measu rements for Co/Pd14,15. Scatterings\nof conduction electrons to hydrogen atoms in the Pd layer dec rease the spin diffusion length due\nto the enhanced Elliot-Yafet relaxation mechanism, and res ult in the decrease in spin-pumping\nsignals15. This mechanism should be also applicable to SSE and SMR. Sin ce the theory has\nshown that both effects depend on spin diffusion length and s pin Hall angle of the Pd layer19,27, the\nsignal variation by hydrogenation can be attributed to the d ecrease in the spin diffusion length15.\nOn the other hand, it is notable that magneto-optical Kerr si gnals are enhanced by hydrogenation\nin Co/Pd bilayers9,10, in contrast to the decrease in the spin current signals14,15. In transport\nmeasurements such as (inverse) spin Hall effects, enhanced electron scatterings due to interstitial\nhydrogen impurities are likely to play a dominant role in the hydrogenation effect. The significant\nscattering effect due to hydrogen atoms is also evidenced by the reduction of the anomalous Hall\nsignal in hydrogenated Co xPd1−xfilms12.\nThe decrease in the VSSEmagnitude ( >50%) by hydrogen exposure is noticeably greater than\nthe change in ISHE signals reported in the spin pumping measu rements15; the decrease in the spin-\npumping voltage in H 2/Ar mixture with 3% of hydrogen was only 20%. The larger signa l change in\nour results suggests that there may be other factors for the r eduction of VSSEbesides hydrogenation\nof the Pd layer. The first possibility is imperfect separatio n of ISHE signals from spin rectification\neffects in metallic Co/Pd bilayers14,15. Another possible origin is different interfacial stresse s to\nthe Pd layer between YIG and Co. It is known that electrical re sistivity of single-layer Pd grown on\n8Si substrates increases upon hydorogenation, while it tend s to decrease for bilayer cases15because\nof the interfacial compressive stress from the underlying l ayer. The interfacial stress can also affect\nthe interface spin mixing conductance, modulating the inje ction efficiency of spin currents. Note\nthat the resistivity of the Pd film on YIG decreases by hydroge nation, but the change in resistivity\nis as small as 1% (Fig. S3 in Supplementary Material), which c annot explain the large variation\n(>50%) of SSE voltage by hydrogen exposure.\nMoreover, since the SSE also depends on bulk spin transport i n the YIG layer31,32in contrast\nto the spin pumping, hydrogen effects on YIG may contribute t o the significant reduction in the\nVSSEmagnitude. Hydrogen diffusion in YIG was indeed reported fo r annealed samples in H 2\natmosphere33–35. The hydrogen diffusion in the YIG layer can suppress the mag non and phonon\ntransport, which should reduce the VSSE. Also the interface spin-exchange coupling can be weak-\nened by hydrogen around the interface, leading to the decrea se in the interface spin-injection\nefficiency.\nThe presence of hydrogen effects on the YIG layer is also sugg ested by the different recovery\ntime constants between SSE and SMR. Our measurements in Figs . 3 and 4 showed that the time\nconstant for the signal recovery of SSE is much longer than th at of SMR. The different recovery\ntime constants are attributable to different bulk sensitiv ity of these effects. In SMR, spin-dependent\nscattering at the Pd/YIG interface is essential. In contras t, bulk thermal spin current also plays an\nimportant role in the SSE voltage31,32in addition to the interfacial spin coupling. Bulk properti es\nof magnetic materials such as bulk magnetization, thermal c onductivity, and magnon transport\ncoefficient contribute to the SSE signals, but not to SMR or sp in pumping. Also in the case of\nmagneto-optical effects of Pd/Co frequently studied befor e for hydrogenation effects, the variation\nof perpendicular magnetic anisotropy originates from inte rface effects5,9. Hence the SSE is a rare\nspintronic phenomenon that depends not only on interface pr operties but also on bulk properties\nof magnons and phonons in the magnetic layer. Hydrogen effec ts on YIG could be related to the\nreduction of VSSEand also the long time constant for hydrogen desorption in SS E.\nIn conclusion, we experimentally demonstrated the reversi ble modulation of SSE and SMR by\nhydrogenation in Pd/YIG bilayers. Absorption of hydrogen r esults in the decrease in both SSE\nand SMR signals by more than 50%. Enhanced scatterings of con duction electrons to hydrogen\natoms in the Pd layer are partly responsible for the decrease in the spin-current signals, as reported\nin the previous spin pumping experiments. The modulation of SSE voltage is reversible, but the\ntime constant for the signal recovery is longer than 2 days. T he long time constant for hydrogen\n9desorption in the SSE measurement is in contrast to the case o f SMR, in which the SMR ratio\nalready returned to the prehydrogenation value 30 minutes a fter the chamber was refilled with\npure Ar. We speculate that the significant decrease in the SSE magnitude by hydrogen exposure\nand the long time constant for hydrogen desorption in SSE are related to the hydrogen modulation\nof bulk properties of the YIG layer, since the SSE depends not only on interfacial spin couplings\nbut also on bulk properties of the magnetic layer. We hope tha t the present results will stimulate\nfurther research on hydrogen effects on Pd films grown on insu lating magnetic oxides.\nSee the supplementary material for additional SSE data, x-r ay diffraction data, and resistivity\nchange by hydrogen exposure.\nWe thank Y . Miyazaki for the experimental help of sample prep aration and Dr. T. Yok-\nouchi for the fruitful discussion. This research was suppor ted by JST CREST (JPMJCR20C1\nand JPMJCR20T2), Institute for AI and Beyond of the Universi ty of Tokyo, and JSPS KAK-\nENHI Grant Numbers JP20H05153, JP20H02599, JP20H04631, JP 21K18890, JP19H05600, and\nJP19H02424.\nThe data that support the findings of this study are available from the corresponding author\nupon reasonable request.\nREFERENCES\n1Etienne Rivard, Michel Trudeau, and Karim Zaghib. Hydrogen storage for mobility: A review.\nMaterials , 12(12), 2019.\n2William J. Buttner, Matthew B. Post, Robert Burgess, and Car l Rivkin. An overview of hydrogen\nsafety sensors and requirements. International Journal of Hydrogen Energy , 36(3):2462–2470,\n2011. The Third Annual International Conference on Hydroge n Safety.\n3Steven G. Louie. Hydrogen on pd(111): Self-consistent elec tronic structure, chemical bonding,\nand photoemission spectra. Phys. Rev. Lett. , 42:476–479, Feb 1979.\n4P. Jena, F. Y . Fradin, and D. E. Ellis. Models of electronic st ructure of hydrogen in metals: Pd-h.\nPhys. Rev. B , 20:3543–3551, Nov 1979.\n5Crosby S. Chang, Mikhail Kostylev, and Eugene Ivanov. Metal lic spintronic thin film as a\nhydrogen sensor. Applied Physics Letters , 102(14):142405, 2013.\n6Wen-Chin Lin, Cheng-Jui Tsai, Xin-Ming Liu, and Adekunle O. Adeyeye. Critical hydrogena-\n10tion effect on magnetic coercivity of perpendicularly magn etized co/pd multilayer nanostruc-\ntures. Journal of Applied Physics , 116(7):073904, 2014.\n7K. Munbodh, F. A. Perez, C. Keenan, D. Lederman, M. Zhernenko v, and M. R. Fitzsimmons.\nEffects of hydrogen/deuterium absorption on the magnetic p roperties of co/pd multilayers. Phys.\nRev. B , 83:094432, Mar 2011.\n8Satoshi Okamoto, Osamu Kitakami, and Yutaka Shimada. Enhan cement of magnetic anisotropy\nof hydrogenated pd/co/pd trilayers. Journal of Magnetism and Magnetic Materials , 239(1):313–\n315, 2002. International Symposium on Physics of Magnetic M aterials/Internat ional Sympo-\nsium on Advanced Magnetic Technologies.\n9Wen-Chin Lin, Cheng-Jui Tsai, Bo-Yao Wang, Chao-Hung Kao, a nd Way-Faung Pong. Hydro-\ngenation induced reversible modulation of perpendicular m agnetic coercivity in pd/co/pd films.\nApplied Physics Letters , 102(25):252404, 2013.\n10Wen-Chin Lin, Cheng-Jui Tsai, Han-Yuan Huang, Bo-Yao Wang, Venkata Ramana Mudinepalli,\nand Hsiang-Chih Chiu. Hydrogen-mediated long-range magne tic ordering in pd-rich alloy film.\nApplied Physics Letters , 106(1):012404, 2015.\n11Satoshi Akamaru, Takashi Matsumoto, Mikako Murai, Katsuhi ko Nishimura, Masanori Hara,\nand Masao Matsuyama. Sensing hydrogen in the gas phase using ferromagnetic pd–co films.\nJournal of Alloys and Compounds , 645:S213–S216, 2015. Supplement Issue: Proceedings from\nthe 14th International Symposium on Metal-Hydrogen System s: Fundamentals and Applica-\ntions, 2014 (MH2014).\n12A. Gerber, G. Kopnov, and M. Karpovski. Hall effect spintron ics for gas detection. Applied\nPhysics Letters , 111(14):143505, 2017.\n13Jaw-Yeu Liang, Yun-Chieh Pai, Tu-Ngoc Lam, Wen-Chin Lin, Ti ng-Shan Chan, Chih-Huang\nLai, and Yuan-Chieh Tseng. Using magnetic structure of co40 pd60/cu for the sensing of hydro-\ngen. Applied Physics Letters , 111(2):023503, 2017.\n14Stuart Watt, Rong Cong, Chris Lueng, Manu Sushruth, Peter J. Metaxas, and Mikhail Kostylev.\nImpact of hydrogen gas on the inverse spin hall effect in pall adium/cobalt bilayer films. IEEE\nMagnetics Letters , 9:1–4, 2018.\n15Stuart Watt and Mikhail Kostylev. Manipulation of the inver se spin hall effect in palladium by\nabsorption of hydrogen gas. Phys. Rev. B , 101:174422, May 2020.\n16Ryo Iguchi and Eiji Saitoh. Measurement of spin pumping volt age separated from extrinsic\nmicrowave effects. Journal of the Physical Society of Japan , 86(1):011003, 2017.\n1117Y Kajiwara, K Harii, S Takahashi, Jun-ichiro Ohe, K Uchida, M Mizuguchi, H Umezawa,\nH Kawai, Kazuya Ando, K Takanashi, et al. Transmission of ele ctrical signals by spin-wave\ninterconversion in a magnetic insulator. Nature , 464(7286):262–266, 2010.\n18Ken-ichi Uchida, Hiroto Adachi, Takeru Ota, Hiroyasu Nakay ama, Sadamichi Maekawa, and\nEiji Saitoh. Observation of longitudinal spin-seebeck eff ect in magnetic insulators. Applied\nPhysics Letters , 97(17):172505, 2010.\n19Ken-ichi Uchida, Hiroto Adachi, Takashi Kikkawa, Akihiro K irihara, Masahiko Ishida, Shinichi\nYorozu, Sadamichi Maekawa, and Eiji Saitoh. Thermoelectri c generation based on spin seebeck\neffects. Proceedings of the IEEE , 104(10):1946–1973, 2016.\n20Min Young Kim, Sang J. Park, Gi-Yeop Kim, Si-Young Choi, and H yungyu Jin. Designing\nefficient spin seebeck-based thermoelectric devices via si multaneous optimization of bulk and\ninterface properties. Energy Environ. Sci. , 14:3480–3491, 2021.\n21T. Kikkawa, D. Reitz, H. Ito, T. Makiuchi, T. Sugimoto, K. Tsu nekawa, S. Daimon, K. Oyanagi,\nR. Ramos, S. Takahashi, Y . Shiomi, Y . Tserkovnyak, and E. Sai toh. Observation of nuclear-spin\nSeebeck effect. NATURE COMMUNICATIONS , 12(1):4356, JUL 16 2021.\n22Akihiro Kirihara, Koichi Kondo, Masahiko Ishida, Kazuki Ih ara, Yuma Iwasaki, Hiroko\nSomeya, Asuka Matsuba, Ken-ichi Uchida, Eiji Saitoh, Naoha ru Yamamoto, et al. Flexible\nheat-flow sensing sheets based on the longitudinal spin seeb eck effect using one-dimensional\nspin-current conducting films. Scientific reports , 6(1):1–7, 2016.\n23H. Nakayama, M. Althammer, Y .-T. Chen, K. Uchida, Y . Kajiwar a, D. Kikuchi, T. Ohtani,\nS. Geprägs, M. Opel, S. Takahashi, R. Gross, G. E. W. Bauer, S. T. B. Goennenwein, and\nE. Saitoh. Spin hall magnetoresistance induced by a nonequi librium proximity effect. Phys.\nRev. Lett. , 110:206601, May 2013.\n24D Lederman, Y Wang, EH Morales, RJ Matelon, GB Cabrera, UG V ol kmann, and AL Cabrera.\nMagnetooptic properties of fe/pd and co/pd bilayers under h ydrogen absorption. Applied physics\nletters , 85(4):615–617, 2004.\n25Takashi Harumoto, Yusuke Ohnishi, Keishi Nishio, Takashi I shiguro, Ji Shi, and Yoshio Naka-\nmura. In-situ x-ray diffraction study of hydrogen absorpti on and desorption processes in pd thin\nfilms: Hydrogen composition dependent anisotropic expansi on and its quantitative description.\nAIP Advances , 7(6):065108, 2017.\n26Po-Chun Chang, Tzu-Hung Chuang, Der-Hsin Wei, and Wen-Chin Lin. Thermally modulated\nhydrogenation in fexpd1-x alloy films: Temperature-driven peculiar variation of magnetism.\n12Applied Physics Letters , 116(10):102407, 2020.\n27Yan-Ting Chen, Saburo Takahashi, Hiroyasu Nakayama, Matth ias Althammer, Sebastian T. B.\nGoennenwein, Eiji Saitoh, and Gerrit E. W. Bauer. Theory of s pin hall magnetoresistance. Phys.\nRev. B , 87:144411, Apr 2013.\n28Li Ma, Lili Lang, Jeongwoo Kim, Zhe Yuan, Ruqian Wu, Shiming Z hou, and Xuepeng Qiu.\nSpin diffusion length and spin hall angle in pd1−xptx/YIG heterostructures: Examination of\nspin relaxation mechanism. Phys. Rev. B , 98:224424, Dec 2018.\n29Deepa Jose and Balaji R. Jagirdar. Nature of hydrogen atom tr apped inside palladium lattice.\nInternational Journal of Hydrogen Energy , 35(13):6804–6811, 2010. ISMF-09.\n30S. Kishore, J.A. Nelson, J.H. Adair, and P.C. Eklund. Hydrog en storage in spherical and platelet\npalladium nanoparticles. Journal of Alloys and Compounds , 389(1):234–242, 2005.\n31Ryo Iguchi, Ken-ichi Uchida, Shunsuke Daimon, and Eiji Sait oh. Concomitant enhancement\nof the longitudinal spin seebeck effect and the thermal cond uctivity in a pt/yig/pt system at low\ntemperatures. Phys. Rev. B , 95:174401, May 2017.\n32Er-Jia Guo, Joel Cramer, Andreas Kehlberger, Ciaran A. Ferg uson, Donald A. MacLaren, Ger-\nhard Jakob, and Mathias Kläui. Influence of thickness and int erface on the low-temperature\nenhancement of the spin seebeck effect in yig films. Phys. Rev. X , 6:031012, Jul 2016.\n33E. Milani and P. Paroli. Optical study of hydrogen diffusion in yttrium iron garnet. Journal of\nApplied Physics , 55(6):2173–2175, 1984.\n34E. Milani, P. Paroli, and P. DeGasperis. Hydrogen diffusion in yttrium iron garnet films. Thin\nSolid Films , 126(1):73–76, 1985.\n35A. Leiberich, E. Milani, P. Paroli, and R. Wolfe. Comment on “ hydrogen depth profiles in\nion-implanted magnetic bubble garnets”. Journal of Applied Physics , 60(2):836–837, 1986.\n13" }, { "title": "2009.04557v1.Effect_of_dipolar_interactions_on_cavity_magnon_polaritons.pdf", "content": "E\u000bect of dipolar interactions on cavity magnon-polaritons\nAntoine Morin, Christian Lacroix, and David M\u0013 enard\nDepartment of Engineering Physics, Polytechnique Montr\u0013 eal, Montr\u0013 eal, Qc\n(Dated: September 11, 2020)\nThe strong photon-magnon coupling between an electromagnetic cavity and two yttrium iron\ngarnet (YIG) spheres has been investigated in the context of a strong mutual dipolar interaction\nbetween the spheres. A decrease in the coupling strength between the YIG spheres and the elec-\ntromagnetic cavity is observed, along with an increase of the total magnetic losses, as the distance\nbetween the spheres is decreased. A model of inhomogeneous broadening of the ferromagnetic res-\nonance linewidth, partly mitigated by the dipolar narrowing e\u000bect, reproduces the reduction in the\ncoupling strength observed experimentally. These \fndings have important implications for the un-\nderstanding of strongly coupled photon-magnon system involving densely packed magnetic objects,\nsuch as ferromagnetic nanowires arrays, in which the total coupling strength with an electromagnetic\ncavity might become limited due to mutual dipolar interactions.\nI. INTRODUCTION\nFollowing recent works on the strong coupling between\na magnonic mode of a ferromagnetic sample and a pho-\ntonic mode of a microwave cavity1,2, also called cavity\nmagnon-polaritons3,4, much interest emerged in the sci-\nenti\fc community to exploit the phenomenon as a mean\nto develop novel information transfer technologies5{10.\nSome interesting propositions involve multiple yttrium\niron garnet (YIG) spheres placed inside an electromag-\nnetic cavity, such as the magnon gradient memory11, the\nlong distance modi\fcation of spin currents12, and the de-\nvelopment of ultrahigh sensitivity magnetometers13.\nAs these new ideas are being elaborated14, it is impor-\ntant to correctly predict the behavior of photon-magnon\nsystems consisting of several ferromagnetic elements cou-\npled to an electromagnetic resonator. In this context, the\ne\u000bect of dipolar interactions between the ferromagnetic\nobjects on the strong photon-magnon coupling is crucial\nand remains relatively unexplored.\nThe strong coupling of photon-magnon systems is well\nunderstood and has been recently reviewed15. Its ex-\ntension to multiple independent magnons is relatively\nstraightforward16. For an ensemble of Nindependent\nand identical ferromagnetic objects, the ideal coupling\nis expected to be enhanced by a factor ofp\nNas com-\npared to the coupling strength of a single object to the\ncavity. However, due to dipolar interactions between the\nmagnetic elements, some detuning along with inhomoge-\nneous broadening are expected for coupled magnon sys-\ntems. In this paper, we investigate the coupling strength\nof a simpli\fed system consisting of two YIG spheres cou-\npled to an adjustable microwave cavity. We show exper-\nimentally that the coupling constant gdecreases as the\nspheres are brought closer. The results are explained us-\ning a model based on the Landau-Lifshitz equation and\nthe Fourier expansion of the magnetization in order to\ninclude the coupling of the photons with the uniform fer-\nromagnetic mode as well as with the long wavelength\nspin wave modes, which are excited in presence of a non-\nuniform magnetic \feld.\nY I G s p h e r e s\nD e p t h c o n t r o l\nL e n g t h c o n t r o l\nM e t a l l i c r o d\nS h o r tH0hxYIG spheres Depth control\nLength control\nMetallic rodShortFIG. 1. Schematic representation of the tunable cavity used\nexperimentally. The metallic rod allows the tuning of the\nresonance frequency and the losses of the cavity. The direction\nof the \feld H0and the RF magnetic \feld hxare also shown.\nII. EXPERIMENTAL PROCEDURE\nA tunable waveguide cavity consisting of a shorted X-\nband waveguide in which a metallic rod of 1 :36 mm of\ndiameter is inserted in a slit located on one side of the\nwaveguide17was used, as shown in Fig. 1. Varying the\nposition of the rod along the slit and its length inside the\nwaveguide allowed the tuning of the volume, resonance\nfrequency and electromagnetic losses of the cavity. For\nthe experiments, the TE 109mode with !c=2\u0019= 11:69\nGHz (volume Vc= 32:37 cm3) was chosen18. The cavity\nand the YIG spheres were excited by a vector network\nanalyzer, which was also used as a detector to obtain the\nresonance spectra for di\u000berent applied \felds through the\nS11re\rection coe\u000ecient. In order to observe the strong\ncoupling regime, the spheres were placed on the shorted\nend of the waveguide resonator where the amplitude of\nthe RF magnetic \feld is maximum. The two spheres,\nwhich will be called YIG 1and YIG 2hereafter, have a\nradiusR1= 0:62\u00060:01 mm and R2= 0:61\u00060:01 mm,\nrespectively. They were placed so that the center line\n(or axis) generated by the two spheres was parallel to\nthe direction of the external DC magnetic \feld H0. The\ncenter-to-center distance dof the spheres was varied from\n1:41 mm to 3 :58 mm.\nThe strong coupling regime is observed when the cou-\npling constant gexceeds both the cavity losses \u0014cand\nthe magnetic losses \u0014m6. This is illustrated in Fig. 2arXiv:2009.04557v1 [cond-mat.mtrl-sci] 9 Sep 20202\nfor YIG 1, where the hybridization of the cavity photonic\nmode and the ferromagnetic uniform mode of resonance\nis observed. In this work, the rod insertion was adjusted\nto have\u0014ccomparable to \u0014min order to facilitate the\nobservation of the coupling. The coupling gis obtained\nby subtracting the resonance frequency of both modes\nfor the whole range of magnetic \felds, whereas the min-\nimum value is equal to 2 g. The value of the magnetic\n\feld corresponding to this minimum will be called Hc.\nIII. RESULTS\nA coupling constant of g1=2\u0019= 29:2 MHz and g2=2\u0019=\n28:5 MHz was independently extracted for YIG 1and\nYIG 2, respectively. This agrees well with the theoreti-\ncal value given by5\ng=\u0011r\nVs\nVc\u0010!M!c\n2\u00111=2\n; (1)\nwhereVsis the volume of the sphere, !M=\u00160j\rjMs\nwithMsthe saturation magnetization, \rthe gyromag-\nnetic ratio, and \u0011represents the spatial overlap between\nthe cavity photonic mode and the magnonic mode. The\nfactor\u0011is given by19\n\u0011=\f\f\f\f1\nhmaxmmaxVsZ\nsphere(h\u0001m)dV\f\f\f\f(2)\nwhere his the dynamic magnetic \feld of the cavity with\nhmaxbeing its maximum magnitude and mis the dy-\nnamic magnetization of the sphere with mmaxbeing its\nmaximum magnitude. The value of \u0011is usually equal to\n1 when handmare both uniform, which is the case for a\nsmall sample placed at the maximum of the cavity \feld.\nThe input-output formalism6was used to extract the\nlosses of each component. The losses of the cavity \u0014c=2\u0019\nwere\u00198:65 MHz, similar to the losses \u0014m1=2\u0019= 8:44\nMHz (YIG 1) and\u0014m2=2\u0019= 12:63 MHz (YIG 2) of the\nYIG spheres. The mean magnetic losses of both spheres,\nequal to 10:54 MHz, will be referred to as \u0016 \u0014m1hereafter.\nWith two spheres in the cavity, the hybridization of the\nmodes is still exhibited, but accompanied with a shift in\nthe value of Hcand a change in the coupling strength as\nthe spheres are brought closer. This is shown in Fig. 3\nfor two values of d. The \feld shift, due to the dipo-\nlar interaction, can be calculated by solving the coupled\nLandau-Lifshitz equations of motion of the two spheres\ntreated as macrospins:\n@\n@t\u0014\nM1\nM2\u0015\n=\u0000\u00160j\rj\u0014\nM1\nM2\u0015\n\u0002\u0012\nH+\u0016\u0016N\u0014\nM2\nM1\u0015\u0013\n(3)\nwhere\n\u0016\u0016N=1\n3\u0012R\nd\u001332\n4\u00001 0 0\n0\u00001 0\n0 0 23\n5; (4)\n0.41 0.415 0.4211.511.611.711.811.9\nMagnetic Field (T)Frequency (GHz)\n \nCavity\nFMR\ng/2π = 29.2 MHz\nHc = 0.4137 TFIG. 2. Strong coupling spectra obtained for the sphere\nYIG 1with the setup described in Sect. II. The extracted cou-\npling constant is g1=2\u0019= 29:2 MHz. The hybridization of\nthe modes occurs at a \feld Hc= 0:4137 T. When there is no\ncoupling, the resonance frequency of the cavity and the YIG\nsphere is represented by the red dashed line and the blue dot-\nted line, respectively.\n0.41 0.415 0.4211.511.611.711.811.9\nMagnetic Field (T)Frequency (GHz)g/2π = 40.5 MHz\nHc = 0.4133 T(a)\n0.395 0.4 0.40511.511.611.711.811.9\nMagnetic Field (T)Frequency (GHz)g/2π = 20 MHz\nHc = 0.3983 T(b)\nFIG. 3. Strong coupling between the microwave cavity and\ntwo YIG spheres placed at a mutual distance of (a) d= 3:58\nmm and (b) d= 1:41 mm. The dipolar interaction between\nthe spheres shifts the value of Hcand decreases the total\ncoupling constant g.\nH=H0^z+h,Ris the mean radius of the spheres,\nconsidered identical, and dis the distance between the\nmacrospins. Using a small signal approximation, the cou-\npled equations yield the resonance condition\n!res=!0+\u0012R\nd\u00133\n!M (5)\nwhere!0=\u00160j\rjH0. Because the hybridization of the\nmodes occurs for !res=!c, Eq. (5) shows that smaller\ndistancesdlead to smaller values of Hc. This shift of\nHcwas used to corroborate and correct the distances\nbetween the spheres, which were initially measured man-\nually with a digital micrometer. A good agreement has\nbeen found between the two methods.\nThe reduction of the coupling constant g, exhibited in\nFigure 3 as the spheres are brought closer, is reported in\ngreater details in Fig. 4 (closed circles). For large dis-\ntances between the spheres, one expects from the input-\noutput formalism20a total coupling strength of approxi-\nmatelyp\ng2\n1+g2\n2(dotted line), which is indeed observed.\nHowever, for smaller distances d, the coupling constant3\n11.522.533.5\nd/2R2025303540g (MHz)\nind. spin\ndip. narrowing(g12+g22)\nFIG. 4. E\u000bect of dipolar interactions on the total coupling\nstrengthgobtained experimentally (closed circles). Light\ngray curve: Expected decrease in the case of independent\nspins calculated with Eq. (8). Dark gray curve: Expected\ndecrease in the case of dipolar narrowing calculated with\nEq. (12). Dotted line: Coupling constant when d! 1 .\n11.5 22.5 3\nd/2R6810121416Mean losses (MHz)ind. spin\ndip. narrowingm\nFIG. 5. Magnetic losses \u0016 \u0014mobtained experimentally as the\nspheres get closer (closed circles). Light gray curve: Expected\nincrease in linewidth when considering independent spins ex-\ntracted from the susceptibility calculated with Eq. (8). Dark\ngray curve: \u0016 \u0014m1+\u0001!where \u0001!is calculated using Eq. (11).\nDotted line: Magnetic losses when d! 1 .\nis observed to decrease sharply from 40 :5 MHz down to\n20 MHz.\nConsidering the two YIG spheres as a whole, the usual\nexpression of the S 11re\rection coe\u000ecient, calculated\nfrom the input-output formalism, was used to extract\nthe magnetic losses of the two spheres as a function of\nthe distance between the spheres \u0016 \u0014m(d) (closed circles in\nFig. 5). In contrast with g, the magnetic losses increase\nsharply as the spheres get closer. For a distance d= 1:41\nmm (d=2R= 1:13), the magnetic losses are just above\n16 MHz, which is near the coupling strength of 20 MHz.\nFor shorter distances, the magnetic losses would continue\nto increase while the coupling constant would decrease,\ncausing the system to exit the strong coupling regime.IV. DISCUSSION\nIn order to explain the reduction of the coupling con-\nstant, let us consider the impact of the dipolar interaction\non\u0011. The dipolar \feld can be separated into two com-\nponents. A dominant non-uniform static component is\nadded to the applied static \feld and tend to spread the\nlocal \feld on the spheres. A weaker non-uniform dynamic\n\feld is further added to the cavity pumping \feld, which\ncould result in the excitation of non-uniform resonance\nmodes. Assuming that the RF magnetic \feld of the un-\nperturbed cavity is uniform, we can rewrite \u0011in terms\nof the uniform mode susceptibility using m=\u001fh. Since\nthe real part of the susceptibility \u001f0\u00190 near resonance,\nwe keep only the imaginary part and rewrite Eq. (2) as\n\u0011=1\n\u001f00maxVsZ\nsphere\u001f00dV=h\u001f00i\n\u001f00max; (6)\nwhere the brackets h\u0001irepresents the mean value over the\nvolume of the sphere.\nFurther insights are provided by examining two lim-\niting cases. Case 1 corresponds to the macrospin ap-\nproximation, in which all spins in a sphere are strongly\ncoupled and locked parallel to each other's, which was as-\nsumed earlier in Eq. (3). Our calculations indicate that\nthe dynamic part of both spheres will be in phase, re-\nsulting in a constant factor \u0011= 1 for any distance d. In\nFig. 4, the macrospin approximation corresponds to the\ndotted line and a value of g=p\ng2\n1+g2\n2. Likewise, the\nmacrospin approximation does not lead to an increase in\nthe linewidth observed in Fig. 5 but rather gives a con-\nstant linewidth of \u0016 \u0014m1(dotted line).\nIn contrast, Case 2 assumes fully independent spins,\nthat is, no long-range dynamic dipolar interaction and\neach spin constituent of the spheres is resonating at\nits own frequency depending on the value of its lo-\ncal static magnetic \feld. This non-uniform magnetic\n\feld, assumed to be along the ^ z-direction, is given by\nHz=H0+Hdip., where\nHdip.=R3(r2(3 cos2#\u00001) + 4drcos#+ 2d2)\n3(r2+ 2drcos#+d2)5=2Ms:(7)\nHere,Hdip.is the static dipolar magnetic \feld and the\nvariablesrand#determine the position in a spherical\ncoordinates system centered on a sphere placed at a dis-\ntancedfrom the source dipole. One can then numerically\ncompute the probability density function f(Hdip.) over\nthe volume of the sphere as a function of dto calculate\nthe value of the mean susceptibility of the independent\nspins ensemble at resonance ( !=!c). Assuming no\nmagnetic anisotropy, we have\nh\u001f00i=Z\nHz\u0016\u0014m1!M\n(\u00160j\rjHz\u0000!c)2+ \u0016\u00142m1f(Hz)dHz;(8)\nwhich can be substituted in (6) and then (1) to calcu-\nlate the coupling. In this limiting case, a strong decrease4\nin\u0011is predicted, even for spheres separated by a rela-\ntively large distance d, as shown by the light gray curve\nin Fig. 4. Furthermore, the inhomogeneously broad-\nened linewidth in the independent spins approximation\nis given by the light gray line in Fig. 5, which predicts a\nmuch broader linewidth than observed experimentally.\nIn our two spheres experiment, we thus fall somewhere\nbetween these two limits: macrospin and independent\nspins. A more rigorous approach should include long-\nrange dynamic dipolar interactions which are known to\nproduce a phenomenon called \\dipolar narrowing\" in the\nliterature21. We consider the original approach used by\nClogston22in which the Landau-Lifshitz equation of mo-\ntion is solved for a non-uniform magnetic \feld expanded\nin Fourier components as\nHz=X\nkHkeik\u0001r: (9)\nAssuming the \feld inhomogeneity is low with respect to\nthe sample dimensions, we can neglect the terms related\nto the exchange interaction in the equation of motion,\nbut consider the terms associated with dynamic dipolar\n\felds. Further expanding the magnetization in Fourier\nseries and by following a procedure similar to Ref. 22, we\ncan derive an analytical expression for the imaginary part\nof the susceptibility of the uniform mode of resonance, ac-\ncounting for the coupling between the uniform mode and\nthe long wavelength spin wave modes, a process called\ntwo-magnon scattering23. With some simpli\fcations, it\ncan be written in the form\nh\u001f00i=(\u0016\u0014m1+ \u0001!)!M\n(!\u0000!c)2+ (\u0016\u0014m1+ \u0001!)2(10)\nwhere\n\u0001!=\u0019\n2Var(!dip.)\n!M\u0014\n1 +1\n2\u0012!M\n3!c\u0000!M\u0013\u00152\n\u0002\n\u00142\n3\u0000\u0012!c\n3!c\u0000!M\u0013\u0015\u00001=2\n(11)\nis an additional loss term directly related to the variance\nof the static dipolar magnetic \feld through the quantity\n!dip.=\u00160j\rjHdip., which can be calculated analytically\n(Appendix A). In the expression of \u0001 !, the division by\n!Mrepresents the dipolar narrowing e\u000bect. This addi-\ntional loss term is added to \u0016 \u0014m1, which yields a total\nloss term that can be compared with the measured mean\nlosses of the magnetic system. As shown by the dark\ngray curve in Fig. 5, the general trend of the data is re-\nproduced relatively well.\nRegarding the coupling constant g, the de\fnition of \u0011\nin Eq. (6) is extended to account for the fact that spins,\nwhose resonance frequency \u00160j\rjHzis detuned from the\nresonance frequency of the cavity !c, can contribute to\nthe coupling with the cavity. This can be achieved by\nintroducing a weight function in the de\fnition of \u0011sothat the spins whose resonance frequency is contained in-\nside the coupling range ( \u0006garound!c), have a stronger\ncontribution (high energy exchange) to the total cou-\npling than those whose resonance frequency falls outside\nthe coupling range (low energy exchange). In contrast,\nin Eq. (6), only the spins resonating at frequency !c\ncontribute, whereas the remaining spins (detuned from\nthe cavity) do not contribute to the coupling. To in-\nclude this phenomenon, we use a weight function con-\nsisting in a Lorentz distribution L(!c;gmax) centered at\n!=!cand having a half-width at half maximum of\ngmax=p\ng2\n1+g2\n2. We thus have\n\u0011=Z1\n0(\u0016\u0014m1+ \u0001!)!ML(!c;gmax)\n(!\u0000!c)2+ (\u0016\u0014m1+ \u0001!)2d!\nZ1\n0\u0016\u0014m1!ML(!c;gmax)\n(!\u0000!c)2+ \u0016\u00142m1d!; (12)\nwhich equals unity if \u0001 != 0, in absence of dipolar\nbroadening. Equation (12) may be used with Eq. (1)\nto generate the dark gray curve in Fig. 4. The excellent\nagreement with the experimental data supports that the\nobserved decrease in the coupling rate between the sys-\ntem of magnetic spheres and the cavity, as the spheres are\nbrought closer together, originates from the increasingly\nnon-uniform dipolar static magnetic \feld on each sphere.\nIt also shows that the long-range dynamic dipolar inter-\naction within each sphere, which gives rise to the dipolar\nnarrowing e\u000bect, somewhat limits the adverse e\u000bect of\nthe non-uniform \feld distribution.\nSimilarly, the expression of \u0011given in (12) implies that\na larger coupling gmaxtends to smooth out the adverse\ne\u000bect of a given dipolar broadening \u0001 !in reducing the\ntotal coupling strength.\nV. CONCLUSION\nWe have demonstrated that the dipolar interaction be-\ntween two ferromagnetic objects can strongly a\u000bect their\ncoupling with a microwave cavity. As the distance be-\ntween the spheres is gradually reduced, dipolar interac-\ntions force the spins to resonate at increasingly di\u000berent\nfrequencies. This results in increased magnetic losses and\ndecreased coupling strength gof the system. A model\nbased on inhomogeneous broadening with dipolar nar-\nrowing reproduces the main features observed on a sys-\ntem consisting of two YIG spheres in a tunable microwave\ncavity. While the reduction in the coupling strength can\nbe linked with the variance of applied \feld caused by\nthe dipolar interaction, this e\u000bect is attenuated by dipo-\nlar narrowing and by strong coupling of each individual\nsphere with the cavity.\nOur results suggest that a number of Nindividual fer-\nromagnetic objects inserted in an electromagnetic cavity\nwill eventually exhibit a reduced coupling as compared\nto the expected g/p\nNbehavior as the density is in-\ncreased. Yet the dipolar broadening will be mitigated5\nby a compensating dipolar narrowing e\u000bect. A trade-o\u000b\nmust be found to determine the optimal density of fer-\nromagnetic objects to be placed in the cavity to reach a\nmaximum coupling strength while reducing the impact\nof dipolar interaction.Appendix A: Analytical expression for Var (!dip.).\nIntegrating by parts Eq. (7), we have\nh!dip.i=a3\n12!M (A1)\nwherea= 2R=d (0\u0014a\u00141). The integration by parts\nalso leads to an analytical expression for h!2\ndip.i. The\nde\fnition of the variance, Var( !dip.) =h!2\ndip.i\u0000h!dip.i2,\nthen gives\nVar(!dip.)\n!2\nM=a3\n4\u0014a\n3(4\u0000a2)3\u0012\n5 +a2\n2\u0012\n1 +a2\n8\u0013\u0013\n+tanh\u00001(a=2)\n24\u0000a\n4\u00123\n32+a2\n9\u0013\n\u00003(4\u0000a2)\n512ln\u00122 +a\n2\u0000a\u0013\u0015\n:(A2)\n1O. O. Soykal and M. Flatt\u0013 e, Physical review letters 104,\n077202 (2010).\n2H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifen-\nstein, A. Marx, R. Gross, and S. T. Goennenwein, Physical\nreview letters 111, 127003 (2013).\n3Y. Cao, P. Yan, H. Huebl, S. T. Goennenwein, and G. E.\nBauer, Physical Review B 91, 094423 (2015).\n4B. Yao, Y. Gui, Y. Xiao, H. Guo, X. Chen, W. Lu,\nC. Chien, and C.-M. Hu, Physical Review B 92, 184407\n(2015).\n5Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami,\nand Y. Nakamura, Physical review letters 113, 083603\n(2014).\n6X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Physical\nreview letters 113, 156401 (2014).\n7P. Hyde, L. Bai, M. Harder, C. Dyck, and C.-M. Hu,\nPhysical Review B 95, 094416 (2017).\n8M. Goryachev, W. G. Farr, D. L. Creedon, Y. Fan,\nM. Kostylev, and M. E. Tobar, Physical Review Applied\n2, 054002 (2014).\n9Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Science 349, 405\n(2015).\n10N. Lambert, J. Haigh, S. Langenfeld, A. Doherty, and\nA. Ferguson, Physical Review A 93, 021803 (2016).\n11X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang, andH. X. Tang, Nature communications 6(2015).\n12L. Bai, M. Harder, P. Hyde, Z. Zhang, C.-M. Hu, Y. Chen,\nand J. Q. Xiao, Physical Review Letters 118, 217201\n(2017).\n13Y. Cao and P. Yan, Phys. Rev. B 99, 214415 (2019).\n14J. T. Hou and L. Liu, Physical Review Letters 123, 107702\n(2019).\n15M. Harder and C.-M. Hu, in Solid State Physics , Vol. 69\n(Elsevier, 2018) pp. 47{121.\n16D. Zhang, X.-M. Wang, T.-F. Li, X.-Q. Luo, W. Wu,\nF. Nori, and J. You, npj Quantum Information 1, 1 (2015).\n17A. Morin, C. Lacroix, and D. M\u0013 enard, in 2016 17th Inter-\nnational Symposium on Antenna Technology and Applied\nElectromagnetics (ANTEM) (IEEE, 2016) pp. 1{2.\n18D. M. Pozar, Microwave engineering (John Wiley & Sons,\n2009).\n19N. Lambert, J. Haigh, and A. Ferguson, Journal of Applied\nPhysics 117, 053910 (2015).\n20D. Schuster, A. Sears, E. Ginossar, L. DiCarlo, L. Frunzio,\nJ. Morton, H. Wu, G. Briggs, B. Buckley, D. Awschalom,\net al. , Physical review letters 105, 140501 (2010).\n21S. M. Rezende and A. Azevedo, Physical Review B 44,\n7062 (1991).\n22A. Clogston, Journal of Applied Physics 29, 334 (1958).\n23R. D. McMichael, D. Twisselmann, and A. Kunz, Physical\nreview letters 90, 227601 (2003)." }, { "title": "1311.6305v1.Spin_wave_excitation_and_propagation_in_microstructured_waveguides_of_yttrium_iron_garnet__YIG__Pt_bilayers.pdf", "content": "arXiv:1311.6305v1 [cond-mat.mes-hall] 25 Nov 2013Spin-wave excitation and propagation in microstructured w aveguides of yttrium\niron garnet (YIG) /Pt bilayers\nP. Pirro,1T. Brächer,1, 2A. Chumak,1B. Lägel,1C. Dubs,3O. Surzhenko,3P. Görnet,3\nB. Leven,1and B. Hillebrands1\n1)Fachbereich Physik and Landesforschungszentrum OPTIMAS,\nTechnische Universität Kaiserslautern, D-67663 Kaisersl autern,\nGermany\n2)Graduate School Materials Science in Mainz, Gottlieb-Daim ler-Strasse 47,\nD-67663 Kaiserslautern, Germany\n3)Innovent e.V., Prüssingstraße 27B, 07745 Jena, Germany\n(Dated: 7 May 2018)\nWe present an experimental study of spin-wave excitation an d propagation in\nmicrostructured waveguides patterned from a 100 nm thick yt trium iron garnet\n(YIG)/platinum (Pt) bilayer. The life time of the spin waves is found to be more than\nan order of magnitude higher than in comparably sized metall ic structures despite\nthe fact that the Pt capping enhances the Gilbert damping. Ut ilizing microfocus\nBrillouin light scattering spectroscopy, we reveal the spi n-wave mode structure for\ndifferent excitation frequencies. An exponential spin-wav e amplitude decay length of\n31µm is observed which is a significant step towards low damping , insulator based\nmicro-magnonics.\n1The concept of magnon spintronics, i.e., the transport and m anipulation of pure spin\ncurrents in the form of spin-wave quanta, called magnons, ha s attracted growing interest\nin the recent years1–12. One of the key advantages of magnon spin currents is their la rge\ndecay length which can be several orders of magnitude higher than the spin diffusion length\nin conventional spintronic devices based on spin-polarize d electron currents. Considering\npossible applications, the miniaturization of magnonic ci rcuits is of paramount importance.\nUp to now, downscaling has been achieved using metallic ferr omagnets like NiFe or Heusler\ncompounds2–7. But even the best metallic ferromagnets exhibit a damping w hich is two or-\nders of magnitude larger than for Yttrium Iron Garnet (YIG), a ferrimagnetic insulator1,13,14.\nHowever, to the best of our knowledge, as high quality YIG film s could only be grown with\nthicknesses in the range of microns, no microstructured YIG devices have been fabricated so\nfar. A big step forward has been taken with the recent introdu ction of methods to produce\nhigh quality, low damping YIG films with thicknesses down to s everal nanometers9,15–17.\nIn this Letter, we show that microscaled waveguides (see Fig . 1) can be fabricated from\nliquid phase epitaxy (LPE) grown YIG films of 100nm thickness whose high quality has\nbeen confirmed by ferromagnetic resonance spectroscopy (FM R). Studying the excitation\nand propagation of spin-waves in these waveguides by microf ocus Brillouin light scattering,\nwe demonstrate that the damping of the unstructured film can b e preserved during the\nstructuring process.\nAnother key feature of magnon spintronics is its close relat ionship to a multitude of phys-\nical phenomena like spin-pumping, spin-transfer torque, s pin Seebeck effect, and (inverse)\nspin Hall effect, which allow for the amplification, generati on and transformation between\ncharge currents and magnonic currents7–12,15–24. Hetero-structures of YIG covered with a\nthin layer of platinum (Pt) have proven to show these effects w hich opens a way to a new class\nof insulator based spintronics. Therefore, we directly stu dy bilayers of YIG/Pt, providing a\nbasis for further studies utilizing the described effects.\nThe used YIG film is prepared by liquid phase epitaxy from a PbO -B2O3-FeO3flux\nmelt using a standard isothermal dipping technique with a gr owth rate of 20nm/min. The\nincorporation of Pb and Pt ions into the garnet lattice allow s for a low relative lattice\nmismatch of 3·10−4.\nWe determine the magnetic properties of the film using FMR and compare the results\nto measurements performed after the deposition of a 9nmPt film onto YIG using plasma\n2FIG. 1. Sample schematic: In a 5µm wide waveguide patterned from a bilayer of YIG/Pt\n(100nm /9nm), spin waves are excited using the dynamic Oersted fields of a microwave current\nflowing in a copper antenna. An external bias field Hextis applied along the short axis of the\nwaveguide. The spin-wave intensity is detected using micro focus Brillouin light scattering spec-\ntroscopy.\ncleaning and RF sputtering. From the resonance curve HFMR(fFMR), a saturation magne-\ntization of Ms= 144±2kA/mhas been determined for the pure YIG film. We find that\nthe deposition of Pt slightly reduces the resonance field µ0HFMR(for example by 1mT for\nfFMR= 7.0GHz ) compared to the pure YIG film. This shift agrees with the rece nt findings\nof Ref. 15, where a proximity induced ferromagnetic orderin g of Pt combined with a static\nexchange coupling to YIG has been proposed as possible expla nation.\nFigure 2 shows the ferromagnetic resonance linewidth (FWHM )µ0∆Hwith and with-\nout Pt and the corresponding fits to evaluate the effective Gil bert damping parameter α\naccording to22\nµ0∆H=µ0∆H0+2αfFMR\nγ(1)\nwith the gyromagnetic ratio γ= 28GHz /T. The Gilbert damping αincreases by almost a\nfactor of 5 due to the deposition of Pt: from (2.8±0.3)×10−4to(13.0±1.0)×10−4. The\ninhomogeneous linewidth µ0∆H0is unchanged within the accuracy of the fit ( 0.16±0.02mT\nand0.14±0.04mT , respectively). Please note that the increase of the dampin g cannot be\nexplained exclusively by spin pumping from YIG into Pt. Othe r interface effects, like the\nalready mentioned induced ferromagnetic ordering of Pt in c ombination with a dynamic\nexchange coupling may play a role15,23. Using the spin mixing conductance for YIG/Pt\n(g↑↓≈1.2×1018m−2from22,24), we find that the expected increase in Gilbert damping due\nto spin pumping11,20–22isαsp= 1.25×10−4,i.e., it is by a factor of 8 smaller than the\n3/s48 /s50 /s52 /s54 /s56 /s49/s48 /s49/s50 /s49/s52/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48\n/s89/s73/s71/s61/s50/s46/s56/s32/s120/s49/s48/s45/s52/s32/s89/s73/s71/s47/s80/s116/s32/s98/s105/s108/s97/s121/s101/s114\n/s32/s112/s117/s114/s101/s32/s89/s73/s71/s181\n/s48/s32 /s72 /s32/s40/s109/s84/s41\n/s32/s102\n/s70/s77/s82/s40/s71/s72/s122/s41/s89/s73/s71/s47/s80/s116/s61/s49/s51/s46/s48/s32/s120/s49/s48/s45/s52\nFIG. 2. Linewidth µ0∆Has a function of the ferromagnetic resonance frequency fFMRfor the\npure YIG film (blue circles) and the YIG/Pt bilayer (red squar es). The deviations from the linear\nincrease of µ0∆HwithfFMR(fit according to Eqn. 1) are mainly due to parasitic modes cau sing a\nsmall systematical error in the measurement of the linewidt h.\nmeasured increase. This clearly demonstrates the importan ce of additional effects15,23. As\nshown recently in Ref.15, this additional damping can be strongly reduced by the intr oduction\nof a thin copper (Cu) layer in between YIG and Pt, which does no t significant influence the\nspin-pumping efficiency.\nThe micro structuring of the YIG/Pt waveguide is achieved us ing a negative protective\nresist mask pattered by electron beam lithography and physi cal argon ion beam etching. As\nlast production step, a microwave antenna (width 3.5µm,510nm thickness) made of copper\nis deposited on top of the waveguide (see Fig. 1).\nTo experimentally detect the spin waves in the microstructu red waveguide, we employ\nmicrofocus Brillouin light scattering spectroscopy (BLS)3–8. This method allows us to study\nthe spin-wave intensity as a function of magnetic field and sp in-wave frequency. In addition,\nit provides a spatial resolution of 250nm , which is not available in experiments using spin\npumping and inverse spin Hall effect8–10as these methods integrate over the detection area\n(and also over the complete spin-wave spectrum12).\nTo achieve an efficient spin-wave excitation, we apply a stati c magnetic field of 70mT\nperpendicular to the long axis of the waveguide. The dynamic Oersted field of a microwave\ncurrent passing through the antenna exerts a torque on the st atic magnetization. This config-\nuration results in an efficient excitation of Damon-Eshbach l ike spin waves which propagate\n4/s51/s46/s52 /s51/s46/s53 /s51/s46/s54 /s51/s46/s55 /s51/s46/s56/s48/s46/s49/s49/s32/s99/s101/s110/s116/s101/s114/s32/s114/s101/s103/s105/s111/s110\n/s32/s101/s100/s103/s101/s32/s114/s101/s103/s105/s111/s110/s78/s111/s114/s109/s97/s108/s105/s122/s101/s100/s32/s66/s76/s83/s32/s105/s110/s116/s101/s110/s115/s105/s116/s121\n/s102\n/s77/s87/s32/s40/s71/s72/s122/s41\n/s49 /s49 /s32/s181 /s109\n/s97/s110/s116/s101/s110/s110/s97/s89/s73/s71/s47/s80/s116 \n/s72 \n/s101/s120/s116 \nFIG. 3. Normalized BLS intensity (log scale) as a function of t he applied microwave frequency fMW\n(external field µ0Hext= 70mT ). The blue line (circular dots) shows the spectrum measured at\nthe edges of the waveguide (see inset). The red line (rectang ular dots) is an average of the spectra\nrecorded in the center of the waveguide.\nperpendicular to the static magnetization. A microwave pow er of0dBm (pulsed, duration\n3µs, repetition 5µs) in the quasi-linear regime, where nonlinearities are not s ignificantly\ninfluencing the spin-wave propagation, has been chosen. To o btain a first characterization\nof the excitation spectrum, BLS spectra as a function of the a pplied microwave frequency\n(fMW) have been taken at different positions across the width of th e waveguide at a distance\nof11µm from the antenna. Figure 3 shows the spectrum of the edge re gions (blue circles)\nand of the center of the waveguide (red squares, see sketch in the inset). The main excitation\nin the center of the waveguide takes place at frequencies bet weenfMW= 3.49−3.66GHz\nand we will refer to these spin-wave modes as the waveguide modes . At the borders of the\nwaveguide, edge modes have their resonance around fMW= 3.44GHz . The reason for the\nappearance of these edge modes is the pronounced reduction o f the effective magnetic field\nHeffat the edges by the demagnetization field and the accompanyin g inhomogeneity of the\nz-component of the static magnetization. This situation has been analyzed experimentally\nand theoretically in detail for metallic systems25,26.\nTo get a better understanding of the nature of the involved sp in-wave modes, mode profiles\nat different excitation frequency measured at a distance of 6µm from the antenna are shown\nin Fig. 4. The evolution of the modes can be seen clearly: for f requencies below fMW=\n3.45GHz , the spin-wave intensity is completely confined to the edges of the waveguide. In\n5/s48 /s49 /s50 /s51 /s52 /s53/s51/s46/s52/s48/s51/s46/s52/s53/s51/s46/s53/s48/s51/s46/s53/s53/s51/s46/s54/s48/s51/s46/s54/s53\n/s80/s111/s115/s105/s116/s105/s111/s110/s32 /s122 /s32/s97/s108/s111/s110/s103/s32/s119/s105/s100/s116/s104/s32/s111/s102/s32/s119/s97/s118/s101/s103/s117/s105/s100/s101/s32/s40/s181/s109/s41/s102\n/s77/s87/s40/s71/s72/s122/s41\n/s48/s48/s46/s51/s48/s46/s53/s48/s46/s56/s49/s32\n/s66/s76/s83/s32/s105/s110/s116/s101/s110/s115/s105/s116/s121/s32/s40/s97/s114/s98/s46/s32/s117/s110/s105/s116/s41\nFIG. 4. BLS intensity (linear scale) as a function of the posit ion along the width of the waveguide for\ndifferent excitation frequencies fMW(µHext= 70mT ). Frequencies below 3.45GHz show strongly\nlocalized edge modes which start to extend into the center of the waveguide for frequencies between\n3.45−3.50GHz . For higher fMW, waveguide modes appear which have their local intensity ma xima\nin the center of the waveguide. The dashed lines indicate the calculated minimal frequencies of the\nwaveguide modes shown in Fig. 5.\nthe range fMW= 3.45−3.50GHz , the maximum of the intensity is also located near the\nedges, but two additional local maxima closer to the center o f the waveguide appear. For\nfrequencies in the range of 3.50−3.57GHz , three spin-wave intensity maxima symmetrically\ncentered around the center of the waveguide are observed. Th is mode is commonly labeled\nas the third waveguide mode n= 3(ndenotes the number of maxima across the width of\nthe waveguide). For higher fMW, only one intensity maximum is found in the center of the\nwaveguide (first waveguide mode, n= 1).\nFor the waveguide modes, we can compare the experimental res ults to theoretical consid-\nerations. The theory for spin waves in thin films27with the appropriate effective field from\nmicromagnetic simulations and a wave-vector quantization over the waveguide’s short axis\nprovides an accurate description of the spin-wave mode disp ersions4–6. Figure 5 shows the\ndispersion relations and the excitation efficiencies of the w aveguide modes n= 1,3,5. Only\nodd waveguide modes can be efficiently excited4,5(even modes have no net dynamic mag-\nnetic moment averaged over the width of the waveguide) using direct antenna excitation.\nThe minimal frequencies of these three modes are indicated a s dashed lines for comparison\nin Fig. 4. Comparing Fig. 4 and Fig. 5, we find a reasonable agre ement between theory and\nexperiment for the first and the third waveguide mode. The n= 5and higher waveguide\n6/s51/s46/s52/s51/s46/s53/s51/s46/s54/s51/s46/s55/s51/s46/s56/s51/s46/s57\n/s48/s46/s48 /s48/s46/s53 /s49/s46/s48 /s49/s46/s53 /s50/s46/s48/s48/s46/s49/s49/s102/s32/s40/s71/s72/s122/s41/s32/s49\n/s32/s51\n/s32/s53/s119/s97/s118/s101/s103/s117/s105/s100/s101/s32/s109/s111/s100/s101/s69/s120/s99/s46/s32/s101/s102/s102/s46/s32/s40/s97/s114/s98/s46/s117/s110/s105/s116/s41\n/s32/s87/s97/s118/s101/s32/s118/s101/s99/s116/s111/s114 /s32/s107\n/s120/s32/s40/s114/s97/s100/s47/s181/s109/s41/s48/s46/s48 /s48/s46/s53 /s49/s46/s48 /s49/s46/s53 /s50/s46/s48/s32\nFIG. 5. (color online) Dispersion relations and amplitude e xcitation efficiencies for the first three\nodd waveguide modes of a transversally magnetized YIG waveg uide and an antenna width of 3.5µm\n(external field µHext= 70mT , width of waveguide 5µm, further parameters see28).\nmodes are not visible in the experiment. Due to the fact that t he excitation efficiency and\nthe group velocity of the spin-wave modes decreases with inc reasingn(see Ref. 4 and 5 for\ndetails), this can be attributed to a small amplitude of thes e modes.\nTo visualize the influence of the spatial decay of the spin wav es on the mode composition,\nFig. 6 (a) shows 2D spin-wave intensity maps for two exemplar y excitation frequencies. For\nfMW= 3.45GHz , edge modes can be detected for distances larger than 20µm. Different\nhigher order waveguide modes are also excited, but they can o nly be detected within 5µm\nfrom the antenna. From this findings, we can conclude that the edge modes are dominating\nthe propagation in this frequency range because of their hig h group velocities (proportional\nto the decay length) compared to the available waveguide mod es (n≥5).\nThe situation is completely different for fMW= 3.60GHz . Here, the preferably excited\nn= 1waveguide mode is interfering with the weaker n= 3waveguide mode causing a\nperiodic beating effect3–5of the measured spin-wave intensity. In this frequency rang e, no\nsignificant contribution of modes confined to the edges is vis ible.\nAn important parameter for magnonic circuits and applicati ons is the exponential de-\ncay length δampof the spin-wave amplitude. To determine δexper\nampforfMW= 3.60GHz , we\nintegrate the spin-wave intensity over the width of the wave guide (Fig. 6 (b)) and obtain\nδexper\namp= 31µm which is substantially larger than the reported decay len gths in metallic mi-\ncrostructures made of Permalloy or Heusler compounds3,4. This value can be compared\n7FIG. 6. (a) BLS intensity maps (linear scale) for two different excitation frequencies ( µ0Hext=\n70mT ). (b) Integrated BLS intensity (logarithmic scale) over the width of the waveguide for fMW=\n3.60GHz including a fit to determine the exponential amplitude decay length (δamp= 31µm).\nto the expected theoretical value δtheo\namp=vgτwherevgis the group velocity and τis\nthe life time of the spin wave. The Gilbert damping of the unpa tterned YIG/Pt bilayer\nα= 1.3·10−3measured by FMR corresponds to a life time τ≈28ns for our experi-\nmental parameters. The group velocity vgcan be deduced from the dispersion relations\nin Fig. 5 or from dynamic micromagnetic simulations yieldin gvg≈1.0−1.1µm/ns, thus\nδtheo\namp= 28−31µm. The agreement with our experimental findings δexper\namp= 31µm is excel-\nlent, especially if one considers that the plain film values o fαandMs, which might have\nbeen changed during the patterning process, have been used f or the calculation. This indi-\ncates that possible changes of the material properties due t o the patterning have only an\nnegligible influence on the decay length of the waveguide mod es and that the damping of the\nspin waves due to the Pt capping is well described by the measu red increase of the Gilbert\ndamping.\nTo conclude, we presented the fabrication of micro-magnoni c waveguides based on high\nquality YIG thin films. Spin-wave excitation and propagatio n of different modes in a mi-\ncrostructured YIG/Pt waveguide was demonstrated. As expec ted, the enhancement of the\nGilbert damping due to the Pt deposition leads to a reduced li fe time of the spin waves com-\npared to the pure YIG case. However, the life time of the spin w aves in the YIG/Pt bilayer is\nstill more than an order of magnitude larger than in the usual ly used microstructured metallic\n8systems. This leads to a high decay length reaching δexper\namp= 31µm for the waveguide modes.\nOne can estimate that the achievable decay length for a simil ar microstructured YIG/Pt\nwaveguide is δamp= 100 µm if a Cu interlayer is introduced to suppress the damping eff ects\nwhich are not related to spin pumping15(α=αYIG+αsp). Going further, from YIG thin\nfilms having the same damping than high quality, micron thick LPE films ( α≈4×10−5,\nµ0∆H≈0.03mT , Ref. 13 and 14), the macroscopic decay length of δAmp= 1mm for\nmicro-magnonic waveguides of pure YIG might be achieved.\nOur studies show that downscaling of YIG preserving its high quality is possible. Thus,\nthe multitude of physical phenomena reported for macroscop ic YIG can be transferred to\nmicrostructures which is the initial step to insulator base d, microscaled spintronic circuits.\nREFERENCES\n1A.A. Serga, A.V. Chumak, and B. Hillebrands, J. Phys. D: Appl . Phys. 43, 264002 (2010).\n2B. Lenk, H. Ulrichs, F. Garbs, and M. Münzenberg, Physics Rep orts,507, 107-136 (2011).\n3T. Sebastian, Y. Ohdaira, T. Kubota, P. Pirro, T. Brächer, K. Vogt, A.A. Serga, H.\nNaganuma, M. Oogane, Y. Ando, and B. Hillebrands, Appl. Phys . Lett. 100, 112402\n(2012).\n4P. Pirro, T. Brächer, K. Vogt, B. Obry, H. Schultheiss, B. Lev en, and B. Hillebrands, Phys.\nStatus Solidi B, 248No.10, 2404-2408, (2011).\n5V.E. Demidov, M.P. Kostylev, K. Rott, P. Krzysteczko, G. Rei ss, and S.O. Demokritov,\nAppl. Phys. Lett. 95, 112509 (2009).\n6T. Brächer, P. Pirro, B. Obry, B. Leven, A.A. Serga, and B. Hil lebrands, Appl. Phys. Lett.\n99, 162501 (2011).\n7H. Ulrichs, V.E. Demidov, S.O. Demokritov, W.L. Lim, J. Mela nder, N. Ebrahim-Zadeh,\nand S. Urazhdin, Appl. Phys. Lett. 102, 132402 (2013).\n8M.B. Jungfleisch, A.V.Chumak, V.I. Vasyuchka, A.A. Serga, B . Obry, H. Schultheiss,\nP.A. Beck, A.D. Karenowska, E. Saitoh, and B. Hillebrands, A ppl. Phys. Lett. 99, 182512\n(2011).\n9O. d’Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef, C. Ha hn, A.H. Molpeceres,\nC. Carrétéro, E. Jacquet, C. Deranlot, P. Bortolotti, R. Leb ourgeois, J.C. Mage, G. de\nLoubens, O. Klein, V. Cros, and A. Fert, Appl. Phys. Lett. 103, 082408 (2013).\n910A.V. Chumak, A.A. Serga, M.B. Jungfleisch, R. Neb, D.A. Bozhk o, V.S. Tiberkevich, and\nB. Hillebrands, Appl. Phys. Lett. 100, 082405 (2012).\n11M.B. Jungfleisch, V. Lauer, R. Neb, A.V .Chumak, and B. Hilleb rands, Appl. Phys. Lett.\n103, 022411 (2013).\n12C.W. Sandweg, Y. Kajiwara, A.V. Chumak, A.A. Serga, V.I. Vas yuchka, M.B. Jungfleisch,\nE. Saitoh, and B. Hillebrands, Phys. Rev. Lett. 106(21), 216601 (2011).\n13V. Cherepanov, I. Kolokolov, and V. L’Vov, Physics Reports 229, 81-144 (1993).\n14H.L. Glass and M.T. Elliot, Journal of Crystal Growth, 34, 285-288 (1976).\n15Y. Sun, H. Chang, M. Kabatek, Y.-Y. Song, Z. Wang, M. Jantz, W. Schneider, M. Wu,\nE. Montoya, B. Kardasz, B. Heinrich, S.G.E. te Velthuis, H. S chultheiss, and A. Hoffmann,\nPhys. Rev. Lett. 111, 106601 (2013).\n16C. Hahn, G. de Loubens, O. Klein, , M. Viret, V. V. Naletov, and J. Ben Youssef, Phys.\nRev. B 87, 174417 (2013).\n17V. Castel, N. Vlietstra, J. Ben Youssef, and B.J. van Wees, ar Xiv: cond-mat.mtrl-sci,\n1304.2190 (2013)\n18Y. Tserkovnyak, A. Brataas, and G.E.W. Bauer, Phys. Rev. Let t.88, 117601 (2002).\n19M.V. Costache, M. Sladkov, S.M. Watts, C.H. van der Wal, and B .J. van Wees, Phys. Rev.\nLett.97, 216603 (2006).\n20H. Nakayama, K. Ando, K. Harii, T. Yoshino, R. Takahashi, Y. K ajiwara, K. Uchida, and\nY. Fujikawa, and E. Saitoh, Phys. Rev. B 85, 144408 (2012).\n21O. Mosendz, J.E. Pearson, F.Y. Fradin, G.E.W. Bauer, S.D. Ba der, and A. Hoffmann,\nPhys. Rev. Lett. 104, 046601 (2010).\n22B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Y. -Y. Song, Y. Sun, and M.\nWu, Phys. Rev. Lett. 107, 066604 (2011).\n23S.M.Rezende, R.L. Rodríguez-Suárez, M.M. Soares, L.H. Vil ela-Leão, D. Ley Domínguez,\nand A. Azevedo, Appl. Phys. Lett. 102, 012402 (2013).\n24Z. Qiu, K. Ando, K. Uchida, Y. Kajiwara, R. Takahashi, H. Naka yama, T. An, Y. Fujikawa,\nand E. Saitoh, Appl. Phys. Lett. 103, 092404 (2013).\n25G. Gubbiotti, M. Conti, G. Carlotti, P. Candeloro, E. D. Fabr izio, K.Y. Guslienko, A.\nAndré, C.Bayer, and A.N. Slavin, J. Phys.: Condens. Matter 16, 7709 (2004).\n26C. Bayer, J.P. Park, H. Wang, M. Yan, C.E. Campbell, and P.A. C rowell, Phys. Ref. B\n69, 134401 (2004).\n1027B.A. Kalinikos and A.N. Slavin, Journal of Physics C: Solid S tate Physics, 19pp. 7013,\n(1986).\n28Dispersion relations calculated according to Ref. 27 with p arameters Beff= 68mT and\neffective width = 4µm from a micromagnetic simulation29,Ms= 144kA /m,100nm thick-\nness, exchange constant A= 3.5pA/m.\n29M.J. Donahue and D.G. Porter, Interagency Report NISTIR 6376, National Institute of\nStandards and Technology, Gaithersburg, MD (Sept 1999).\n11" }, { "title": "2102.12181v1.Phase_controlled_pathway_interferences_and_switchable_fast_slow_light_in_a_cavity_magnon_polariton_system.pdf", "content": "arXiv:2102.12181v1 [quant-ph] 24 Feb 2021Phase-controlled pathway interferences and switchable fa st-slow light in a\ncavity-magnon polariton system\nJie Zhao,1, 2, 3, 4, ∗Longhao Wu,1, 2, 3,∗Tiefu Li,5, 6Yu-xi Liu,5\nFranco Nori,7, 8Yulong Liu,9, 10,†and Jiangfeng Du1, 2, 3,‡\n1Hefei National Laboratory for Physical Sciences at\nthe Microscale and Department of Modern Physics,\nUniversity of Science and Technology of China, Hefei 230026 , China\n2CAS Key Laboratory of Microscale Magnetic Resonance,\nUniversity of Science and Technology of China, Hefei 230026 , China\n3Synergetic Innovation Center of Quantum Information and Qu antum Physics,\nUniversity of Science and Technology of China, Hefei 230026 , China\n4National Laboratory of Solid State Microstructures,\nSchool of Physics, Nanjing University, Nanjing 210093, Chi na\n5Institute of Microelectronics, Tsinghua University, Beij ing 100084, China\n6Quantum states of matter, Beijing Academy of\nQuantum Information Sciences, Beijing 100193, China\n7Theoretical Quantum Physics Laboratory, RIKEN, Saitama, 3 51-0198, Japan\n8Department of Physics, The University of Michigan,\nAnn Arbor, Michigan 48109-1040, USA\n9Beijing Academy of Quantum Information Sciences, Beijing 1 00193, China\n10Department of Applied Physics, Aalto University,\nP .O. Box 15100, FI-00076 Aalto, Finland\n(Dated: February 25, 2021)\n1Abstract\nWe study the phase controlled transmission properties in a c ompound system consisting of a 3D copper\ncavity and an yttrium iron garnet (YIG) sphere. By tuning the relative phase of the magnon pumping and\ncavity probe tones, constructive and destructive interfer ences occur periodically, which strongly modify\nboth the cavity field transmission spectra and the group dela y of light. Moreover, the tunable amplitude ratio\nbetween pump-probe tones allows us to further improve the si gnal absorption or amplification, accompanied\nby either significantly enhanced optical advance or delay. B oth the phase and amplitude-ratio can be used\nto realize in-situ tunable and switchable fast-slow light. The tunable phase and amplitude-ratio lead to the\nzero reflection of the transmitted light and an abrupt fast-s low light transition. Our results confirm that\ndirect magnon pumping through the coupling loops provides a versatile route to achieve controllable signal\ntransmission, storage, and communication, which can be fur ther expanded to the quantum regime, realizing\ncoherent-state processing or quantum-limited precise mea surements.\nI. INTRODUCTION\nInterference, due to superposed waves, plays a considerabl e role in explaining many classical\nand quantum physical phenomena. Based on the phase-differe nce-induced interference patterns,\nultraprecise interferometers have been created, impactin g the development of modern physics and\nindustry [1]. In addition to the phases, waves or particles p ropagating through different path-\nways can also introduce interference patterns. Among vario us types of multiple-path-induced\ninterference, the Fano resonance [2] and its typical manife stations, the electromagnetically in-\nduced transparency (EIT) and electromagnetically induced absorption (EIABS) [3, 4], are the\nmost well-known ones. The Fano resonance and EIT-like (or EI ABS-like) line shapes are not only\nexperimentally observed in quantum systems but also in vari ous classical harmonic-resonator sys-\ntems. Quantum examples include quantum dots [5], quantum we lls [6], superconducting qubits [7–\n10], as well as Bose-Einstein condensates [11]. Classical e xamples [12] include coupled optical\ncavities [13–16], terahertz resonators [17, 18], microwav e resonators [19, 20], mechanical res-\nonators [21, 22], optomechanical systems [23]. However, wh ether in quantum or in classical\nsystems, the Fano resonance, EIT- or EIABS-like spectra are normally experimentally realized\n∗These authors contributed equally to this work\n†liuyl@baqis.ac.cn\n‡djf@ustc.edu.cn\n2separately. The switchable electromagnetically induced t ransparency and absorption, as well as\nfast and slow light, have been proposed using dressed superc onducting qubits [8], hybrid optome-\nchanical system [24, 25], dark-mode breaking [26–28], and s o on. Particularly, there appears\ngrowing interest to control the EIT and EIABS by introducing exceptional points [29–31]. Photon\nstops [32, 33], chiral EIT [34], and infinite slow light [33] h ave recently been realized around\nexceptional points. Motivated by their potential applicat ions in rapid transitions between fast\nand slow light, which facilitate coherent state storage and retrieval, it is highly desirable to have\nexperimental realizations of in situ tunable and switchable absorption, transparency, and even am-\nplification.\nMeanwhile, cavity magnon polaritons in an yttrium-iron-ga rnet (YIG) sphere-cavity coupled\nsystem has attracted much attention due to its strong [35–42 ] and even ultrastrong couplings [43–\n45]. The compatibility and scalability with microwave and o ptical light enable magnons to be a\nversatile interface for different quantum devices [46–51] . At low temperatures, strong coupling\nbetween magnons, superconducting resonators and qubits ha ve been demonstrated [52–56]. Sub-\nsequently, the EIT-like magnon-induced transparency (MIT ) or the EIABS-like magnon-induced\nabsorption (MIABS) of the transmitted cavity field were obse rved for different external coupling\nconditions [57]. The underlying mechanism is attributed to interferences between two transition\npathways, i.e., the direct cavity pathway and the cavity-ma gnon-cavity pathway, to transmit the\nprobe field.\nIn addition to the coupling strength [57] and frequency detu ning [58–60] between coupled\nmodes, phases play a vital role in wave interference control . We thus focus on the controllabil-\nity of pathway interferences through the phase difference b etween the cavity-probe tone and the\nmagnon-pump tone, which is introduced by the coupling loops ’ technology [61–64]. The direct\nmagnon pump is becoming useful in realizing the light-wave i nterface [46–48], enhancing the Kerr\nnonlinearity [65–67], and has also been adopted to observe t he magnetostriction-induced quantum\nentanglement [68–72], among other applications.\nTogether with the cavity-probe tone, a magnon-pump tone int roduces a controllable relative\nphase to the system, and thus the path interference can be rea l-time controlled. Changing the two-\ntone phase difference, we can switch the cavity-probe spectra from the original magnon-i nduced\ntransparency instantly to the magnon-induced absorption, or even the Fano line shape . Further-\nmore, the tunable pump-probe amplitude ratio allows us to fu rther improve the signal absorption,\ntransparency, or amplification, accompanied by a significan t enhancement by nearly 2 orders of\n3magnitude of the optical advance or delay time compared to the case with out magnon pump [57].\nIn particular, the tunable phase and amplitude ratio also le ad to the zero reflection of the trans-\nmitted light, which is accompanied by an abrupt transition o f delay time. Our results confirm\nthat direct magnon pumping provides a versatile route to con trol signal transmission, storage, and\ncommunication, and can be further expanded to coherent stat e processing in the quantum regime.\n-150 -75 0 75 150\np(MHz)-4-20S11(dB)\n-150 -75 0 75 150\np(MHz)-8-6-4-2S11(dB)Port 1 Port 2\n1\n32Q\nIL R\n, AB\nSplitter IQ Mixer\nCirculatorVNAAWG\nCavityMagnon\ngCavity\ngMagnon\nHigh\nLow(a)\n(b)\n(c)\n(d)xy\nz\nDestructive C t ti\n4FIG. 1. Measurement setup and phase-induced interference m echanism diagrams. (a) The system consisting\nof a three-dimensional (3D) copper cavity and a YIG sphere, w hich is coherently pumped by the coupling\nloops shown as a black coil surrounding the YIG sphere. The re d arrows and colors indicate the magnetic\nfield directions and amplitudes of the TE 101mode distribution, respectively. The YIG sphere is placed a t\nthe area with maximum magnetic field distribution inside a 3D copper cavity box to obtain a strong cavity-\nmagnon coupling. A small hole at the cavity sidewall is assem bled with a standard SubMiniature version A\nconnector (SMA connector), allowing us to do the reflection m easurement S11of the probe field, i.e., such a\nSMA connector works as both the signal input and readout port . A beam of coherent microwave comes out\nfrom port 1 of the vector network analyzer (VNA) and splits in to two beams, working as the magnon-pump\ntone and the cavity-probe tone. Here, we use an in-phase and q uadrature mixer (I-Q mixer) and an arbitrary\nwaveform generator (AWG) to control and tune the phase diffe renceϕand pump-probe amplitude ratio\nδ=εm/εcbetween the pump and probe tones. The interfering results ar e extracted by the circulator and\nfinally transferred to port 2 of the VNA. (b) Diagram showing t he relative phase between the magnon pump\nand cavity probe in the cavity-magnon coupled system. (c) Th e corresponding energy-level diagram. Two\ntransition pathways to the higher energy level: 1/circleco√yrtprobe-tone-induced direct excitation, and 2/circleco√yrtpump-tone\nexcites magnons and then coherently transfers there to cavi ty photons. (d) Measurements of the reflection\nspectraS11versus the detuning ∆p=ωc−ωp=ωm−ωp. The relative phase difference between pump\nand probe tones can be developed to realize an in situ switchable constructive and destructive interference,\npresented as MIABS with ϕ= 0.35π,δ= 1.2and MIT with ϕ= 1.35π,δ= 1.2.\nII. EXPERIMENTAL SETUP\nAs shown in Fig. 1(a), our system consists of a 3D copper (Cu) c avity with an inner dimension\nof40×20×8mm3and an YIG sphere with a 0.3 mm diameter. A static magnetic fiel dHstatic\napplied in the x-yplane tunes the magnon frequency. The simulated cavity-mod e magnetic field\ndistribution is shown at the bottom of Fig. 1(a), where the ar rows and colors indicate the cavity\nmode magnetic field directions and amplitudes. The YIG spher e is placed near the magnetic field\nantinode of the cavity TE101mode. The magnetic components (along the zaxis) of the microwave\nfield at this antinode is perpendicular to the static magneti c bias field.\nHere, we are only interested in the low excited states of the K ittel mode, in which all the spins\nprecess in phase. Under the Holstein-Primakoff transforma tion, such collective spin mode can be\n5simplified to a harmonic resonator, which introduces the mag non mode. In our setup, the cavity\nmode couples to the magnon mode with coupling strength g= 7.6 MHz , which is larger than the\nmagnon decay rate κm= 1.2MHz , but smaller than the cavity decay rate κc= 113.9MHz .\nIn our experiment, a beam of coherent microwave is emitted fr om port 1 of a VNA and then\ndivided through a splitter into two beams, one of which is use d to probe the cavity (probe tone)\nand another beam is used to pump the magnon (pump tone) by inco rporating the coupling loop\ntechnique, which is schematically shown in the dashed recta ngle of Fig. 1(a). The probe tone is\ninjected into the cavity through antenna 1, which induces th e cavity external decay rate κc1=\n21.8 MHz . The pump tone is injected through antenna 2, which introduc es the magnon external\ndecay rate κm1= 0.6 MHz . Note that the phase ϕc= 0 and amplitude εcof the probe tone are\nfixed (i.e., working as a reference), and the phase ϕand amplitude εmof the magnon-pump tone\nare tunable and controlled by an arbitrary wave generator wi th an in-phase and quadrature mixer\n(I-Q mixer).\nIII. MODEL\nBy considering the cavity-magnon coupling, as well as the pu mp and probe tones [model in\nFig. 1(b)], the system Hamiltonian becomes\nH=ωca†a+ωmm†m+g(a†m+m†a)\n+i/radicalbig\n2ηcκcεc/parenleftbig\na†e−iωpt−aeiωpt/parenrightbig\n+i/radicalbig\n2ηmκmεm/parenleftbig\nm†e−iωpt−iϕ−meiωpt+iϕ/parenrightbig\n. (1)\nHere,a†(a) andm†(m) are the creation (annihilation) operators for the microwa ve photon and\nthe magnon at frequencies ωcandωm, respectively, and we choose units with /planckover2pi1= 1. The magnon\nfrequency ωmlinearly depends on the static bias field Hstaticand is tunable within the range of a\nfew hundred MHz to about 45 GHz; εc(εm) is the microwave amplitude applied to drive the cavity\n(magnon). Here, we introduce the coupling parameter\nηc=κc1/κc, (2)\nηm=κm1/κm (3)\n6to classify the working regime of the cavity (the magnon). Th e parameter ηc(ηm) classifies three\nworking regimes for the cavity (magnon) into three types: ov ercoupling regime for ηc(ηm)>1/2;\ncritical-coupling regime for ηc(ηm) = 1/2; and undercoupling regime for ηc(ηm)<1/2. In our\nexperiment, the cavity works in the undercoupling regime ( ηc<1/2) and the magnon works in\nthe critical coupling regime ( ηm= 1/2).\nExperimentally, the reflection signal from the cavity is cir culated and then transferred to port\n2 of the VNA to carry out the spectroscopic measurement, whic h corresponds to the steady-state\nsolution of the Hamiltonian Eq. (1). The transmission coeffi cienttpof the probe field is defined as\nthe ratio of the output-field amplitude εoutto the input-field amplitude εcat the probe frequency\nωp:tp=εout/εc. With the input-output boundary condition,\nεout=εc−/radicalbig\n2ηcκc/angbracketlefta/angbracketright, (4)\nwe can solve the transmission coefficient tpof the probe field as [73]\ntp=tprobe+tpump, (5)\nwith\ntprobe= 1−2ηcκc(i∆p+κm)\n(i∆p+κc)(i∆p+κm)+g2, (6)\ntpump=ig√2ηcκc√2ηmκmδe−iϕ\n(i∆p+κc)(i∆p+κm)+g2. (7)\nHere∆pis the detuning between the probe frequency ωpand either the cavity resonant frequency\nωcor the magnon frequency ωm. In our experiment, the cavity is resonant with the cavity, i .e.,\n∆p=ωc−ωp=ωm−ωp; (8)\nand\nδ=εm/εc (9)\nis the pump-probe amplitude ratio. Equation (5) clearly sho ws that the transmission coefficient\ncan be divided into two parts:\n1.tprobe in Eq. (6), the contribution from the cavity-probe tone, rep resents the traditional\npathway-induced interference;\n2.tpump in Eq. (7), the contribution from the magnon-pump field, affe cts the interference and\nmodifies the transmission of the probe field.\n7As shown in Fig. 1(c), there exist two transition pathways fo r the cavity: the probe-tone-induced\ndirect excitation, and the photons transferred from magnon excitations. When the cavity decay\nrate (analog to broadband of states) is much larger than the m agnon decay rate (analog to a nar-\nrow discrete quantum state in other quantum systems), Fano i nterference happens and has been\nsuccessfully used to explain the MIT and MIABS phenomenon in cavity magnon-polariton sys-\ntems [57]. Besides pathway-induced interference, the stee red phase ϕof the wave provides another\nuseful way to generate and especially control the interfere nces, as shown in Fig. 1(d).\nWe emphasize that in this paper we focus on how the phase difference ϕand pump-probe ratio\nδ=εm/εcaffect the interference, and we explore its potential appli cations, such as controllable\nfield transmission and in situ switchable slow-fast light . TheS11spectrum and group-time delay\nmeasurement are carried out on the VNA and then fitted by\nT=|tp| (10)\nand\nτ=−∂[arg(tp)]\n∂∆p, (11)\nrespectively.\nIV . PHASE INDUCED INTERFERENCE AND CONTROLLABLE MICROWA VE FIELD TRANS-\nPORT\nWe first study how the phase of the magnon-pump tone affects th e transmission of the cavity-\nprobe field. In Fig. 2 (a), we present experimental results of the transmission, when the pump-\nprobe ratio is δ=εm/εc= 1.7. In this setup, the phase ϕis continuously increased from 0 to 2 π\nusing an I/Q mixer, and is shown in the xaxis of Fig. 2 (a). Then we conduct the S11measurements\nand the recorded spectra are plotted versus the detuning fre quencies ∆p. The colors represent the\nrelative steady-state output amplitude (in dB units) at dif ferent frequency and pump-probe ratios.\nFigure 2(a) shows that the interference mainly happens arou nd∆p= 0 and can be controlled in\nsituby changing the phase ϕ.\nAs shown in Fig. 2(b), where ϕis set to0.35π, destructive interference happens and an obvious\ndip appears around ∆p= 0. This behavior can be regarded as MIABS. However, if we set ϕ=\n8Theory Experiment\n-150 75 0 75 150\n(a)\n(b)\n0\n-6.5\n-130\n-4.5\n-9\n2\n-1.5\n-51\n-2.5\n-6\n-150 150-150-75 15075\nFIG. 2.S11spectrum versus relative phase difference ϕ. (a) Measured transmission spectrum S11versus\nphaseϕand detuning ∆p. The colors indicate the transmitted amplitudes in dB units . (b) Measured output\nspectrum S11with phases: 1/circleco√yrtϕ= 0.35π,2/circleco√yrtϕ= 0.85π,3/circleco√yrtϕ= 1.35π, and 4/circleco√yrtϕ= 1.85π. Here, the\npump-probe amplitude ratio is fixed at δ= 1.7. Red-solid lines are the corresponding theoretical result s.\n1.35π, constructive interference happens and an obvious amplific ation window appears around\n∆p= 0. This behavior can be described as magnon-induced amplifica tion (MIAMP). When ϕ\nis set to0.85πor1.85π, sharp and Fano-interference-like asymmetry spectra are o bserved even\nwhen the cavity and magnon are exactly resonant.\nAlthough the interference originates from the coherent cav ity-magnon coupling, Fig. 2 clearly\nshows that the phase ϕplays a key role in realizing an in situ tunable and controlla ble interfer-\nence (e.g., constructive or destructive interference) , which can be further engineered to control the\nprobe-field transmission. Note that in previous studies [57 ] MIABS was only observed in the cav-\n9ity overcoupling regime (i.e., ηa>1/2) and MIT was only observed in the cavity undercoupling\nregime (i.e., ηa<1/2). In contrast to this, here we realize a phase-dependent and switchable MI-\nABS and MIT, as well as MIAMP in a fixed undercoupling regime ( ηc= 0.19in our experiment).\nWe emphasize that the destructive interference-induced MI ABS is a unique result of phase mod-\nulation. The observed asymmetric Fano line shapes could be u seful to realize Fano-interference\nsensors or precise measurements, using the magnon-pump met hod realized in our work.\nV . AMPLITUDE RATIO OPTIMIZED MAGNON-INDUCED-ABSORPTION\nRecall the magnon-pump transmission coefficient tpump in Eq. (7). There, the phase ϕdeter-\nmines the type of interference, e.g., constructive or destr uctive. However, the pump-probe ratio\nδ=εm/εcalso affects the degree of interference, and thus can be used to control the probe-field\ntransmissions tp. As shown in Fig. 3(a), a color map is used to present the exper iment results.\nAlong the xaxis, the amplitude ratio δis continuously increased from 0 to 6.5, by changing the\noverall voltage amplitude applied to the I and Q ports of an I- Q mixer. Then we conduct the S11\nmeasurements and the steady-state output-field amplitudes are plotted versus the frequency de-\ntuning∆p. The colors in Fig. 3(a) represent the relative strength of t he steady-state output field\n(in dB units) at a different frequency. Here, the chosen phas eϕ= 0.35πresults in MITs when\nδ <0.32, while MIABSs dominate the output response in the regime δ >0.32. We then study\nhow the pump-probe ratio δaffects the central absorption window of the S11spectra.\nFigure 3(a) shows that interference occurs around ∆p= 0and is in situ controlled by changing\nthe pump-probe ratio δ. The center blue-colored area represents an ideal absorpti on (transmission\nT <0.01) of the probe field.\nFigure 3 (b) shows the extreme values of the transmission coe fficients around ∆p= 0 versus\nthe pump-probe ratio δ. In the yellow area, we find the local maximum values of the MIT s, and\nthe local minimum values are found for MIABSs in the blue area . An obvious dip appears around\nδ= 3 and the minimum transmission value is less than 1% (voltage a mplitude ratio), which\ncorresponds to an optimized and ideal probe-field absorptio n.\nFigure 3(c) shows the evolution process from MIT to MIABS by g radually increasing the\npump-probe ratio δ. Whenδ= 0, corresponding to case 1/circleco√yrtof Fig. 3(c), our scheme recovers\nthe traditional MIT case when no magnon pump is applied. When the magnon pump is introduced\nand its strength is continuously increased, the transparen cy window disappears and is replaced by\n10-150 -75 0 75 150Theory\n-150 -75 0 75 150Experiment(a)( \u0000 \u0001\nExtreme Amplitude (dB)\n-1.0\n-2.5\n-4.00\n-20\n-40\n-60\n0 2 4 6\n-1.0\n-2.5\n-4.0\n0\n-20\n-42\n-150-75 1501.0\n-4.0\n-9.0\n-150-75 150\nFIG. 3. Measured transmission spectrum S11versus pump-probe amplitude ratio δwith phase fixed at\nϕ= 0.35π. (a) Measured output spectrum versus amplitude ratio δand detuning ∆p. The colors indicate\ntransmitted power in dBs. (b) The extreme values of the S11transmission spectra of the output field versus\nthe amplitude ratio parameter δ. In the light-yellow (light-blue) regime, the extreme valu es represent the\nmaximum (minimum) transmission amplitudes of the peaks (di ps) around ∆p= 0. (c) Measured transmis-\nsion spectrum S11with amplitude ratio: 1/circleco√yrtδ= 0,2/circleco√yrtδ= 0.3,3/circleco√yrtδ= 3.0, and 4/circleco√yrtδ= 5.7. Red-solid lines\nare the corresponding theoretical results.\nan obvious absorption dip, as shown in cases 2/circleco√yrtand 3/circleco√yrtof Fig. 3(c). With an even larger pump-\nprobe ratio, the MIABS dips become asymmetry gradually, suc h as the spectrum in the case 4/circleco√yrtof\nFig. 3(c). Comparing with other results in Fig. 3(c), we can fi nd that the experimental data do no\nfit so well with the theory in case 4/circleco√yrtof Fig. 3(c). This is induced by the additional cavity-anten na\n112 coupling. Due to the existence of this tiny coupling, the ma gnon pump signal also pumps the\ncavity. With a modest magnon-pump strength, the additional cavity pump does not affect the sys-\ntem seriously, so that the theory fit the experiment data well . With a relatively strong magnon\npump, the side effects of the additional cavity pump become l arger, though it does not change the\nline shape. Therefore, the experiment data and theory do not fit so well when the magnon pump is\nrelatively strong [73]. Similar phenomena can also be obser ved in the case 4/circleco√yrtof Fig. 4(c).\nWe emphasize one main result of this paper: the absorption dips appear with an under-coupling\ncoefficient of ηa= 0.19in our experiment. However, absorptions only happen in the o vercoupling\nregime in traditional cases . Moreover, Figs. 3(a) and (c) show that δcan be used to switch the\ntransmission behavior from the magnon-induced transparen cy to the magnon-induced absorption .\nNote that the type of interference, destructive interferen ce or constructive interference, depends\non the value of the phase ϕ. However, the interference intensity is determined and opt imized by\nthe pump-probe ratio δ. As shown in Fig. 3(c), the dip of S11is 42 dB lower than the baseline.\nThe dip amplitude is quite close to zero, which indicates tha t a zero reflection is generated by the\ndestructive interference.\nVI. AMPLITUDE RATIO OPTIMIZED MAGNON-INDUCED-AMPLIFICAT ION\nWe now study how the amplitude ratio of δ=εm/εcaffects the MIAMP. In this case, the phase\nis fixed at ϕ= 1.35π, where constructive interference dominates the transmiss ion of the output\nfield. As shown in Fig. 4(a), a color map is used to present the m easurement results. Along the\nxaxis, the pump-probe ratio δis continuously increased from 0 to 6.5. Then we conduct the S11\nmeasurement, and the steady-state transmission spectra ar e plotted versus the frequency detuning\nparameter ∆p. The colors in Fig. 4(a) represent the transmission amplitu des of the steady-state\noutput field (in dB units) at different frequencies. We then s tudy how the amplitude δaffects the\ncenter amplification window of the S11spectra.\nFigure 4(a) clearly shows that constructive interference h appens around ∆p= 0and are in situ\ncontrolled by changing the pump-probe ratio δ. Magnon-pump-induced constructive interference\nhappens when the probe field is nearly resonant with the cavit y (also the magnon), and amplifi-\ncation windows appear. Around ∆p= 0, the color changes from light blue to orange when the\npump-probe ratio δincreases from 0 to 6.5. This indicates that the higher ampli fication can be\nobtained with a larger pump-probe ratio δ.\n120 1 2 3 4 5 6-30369Extreme Amplitude (dB)\nTheory Experiment (c)Experiment\nTheory\n(b)(a)\n-150 -75 0 75 150-4.0-2.00\n-150 -75 0 75 150-4.004.0-150 -50 0 75 150-4-2.5-1\n-150 -75 0 75 150-4.0-2.00\nFIG. 4. Measured transmission spectrum S11versus pump-probe amplitude ratio δ=εm/εcwith phase\nfixed atϕ= 1.35π. (a) Measured output spectra S11versus amplitude ratio δand frequency detuning\n∆p. The colors indicate the transmitted amplitude in dB units. (b) The extreme values of the S11trans-\nmission spectra of the output field versus the amplitude-rat io parameter δ. The extreme values represent\nthe maximum transmission amplitude of the peaks around ∆p= 0. (c) Measured transmission spectra S11\nwith amplitude ratios: 1/circleco√yrtδ= 0,2/circleco√yrtδ= 0.9,3/circleco√yrtδ= 1.2, and 4/circleco√yrtδ= 4.5. The red-solid lines are the\ncorresponding theoretical results.\nFigure 4(b) shows how the peak values in the amplification win dow change versus the ampli-\ntude ratio δ. The amplification coefficient is monotonously dependent on the increment of the\npump-probe ratio δ. Although the maximum pump-probe ratio is δ= 6.5in our experiment, we\nemphasize that a higher transmission gain can be obtained us ing a larger pump power.\n13Figure 4(c) clearly shows the evolution of the transmission spectrum from MIT to MIAMP\nwhen we gradually increase the pump-probe ratio δ. Whenδ <1.2, an obvious transparency\nwindow appears. When δ= 1.2, the peak value of the transparency window equals the value o f\nthe baseline, showing the ideal MIT phenomenon. Further inc reasing the pump strength, we can\nobserve MIAMP. When δ= 4.5, an obvious amplification window appears, producing MIAMP.\nNote that the phase is fixed at ϕ= 1.35πto produce constructive interference. When the\namplitude ratio is set to δ= 0, i.e., no magnon pump, our scheme also recovers the traditio nal\ncase without a magnon pump and only MIT is observed. This resu lt is, of course, the same as\ncase 1/circleco√yrtin Fig. 3(c). We point out another main result that the pump-probe ratio δcan be used\nto realize and control the magnon-induced amplifications . Figures 4(a) and 4(c) show that δcan\nbe used to switch the system response from MIT to MIAMP. Note t hat the interference type, such\nas constructive interference discussed here, depends on th e value of the phase ϕ; however, the\ninterference intensity is determined and optimized by the p ump-probe ratio δ.\nVII. SWITCHABLE FAST- AND SLOW-LIGHT BASED ON THE PHASE AND A MPLITUDE\nRATIO\nThe group delay or advance of light always accompanies EIT or EIABS. In this experiment,\nwe show that the group delay (slow light) and group advance (f ast light) can also be realized in\nour cavity magnon-polariton system. Similar to the discuss ions above, the phase ϕis the key\nparameter that determines the interference type, e.g., des tructive or constructive. Therefore, the\nphaseϕprovides a tunable and in situ switched group advance or delay of the probe field. The\nextreme values of the delay time are measured and presented i n Fig. 5, choosing the same phases\nϕ= 0.35πandϕ= 1.35π, which are also used in Figs. 3 and 4, respectively.\nIn Fig. 5(a), the phase is set to ϕ= 0.35π. When we increase the pump-probe ratio δ, a longer\nadvance time is achieved, but immediately changes to time de lay when δ >3.0. Further increasing\nδreduces the delay time. In Fig. 5(c), we present the phase of t ransmission signals at different\nprobe frequencies with δ= 2.7(case 1/circleco√yrt) andδ= 3.3(case 2/circleco√yrt). The phase changes drastically\naround∆p= 0with opposite directions. The drastic changes of the phase r esult in a long advance\nor delay time, while the phase-change direction reversal re sults in the sharp transition from time\nadvance to time delay. Accompanying the sharp transition in Fig. 5(a), we observe the longest\neither delay or advance times. Therefore, the pump-probe ra tioδallows to optimize and switch the\n140 2 4 6-1000-50005001000\n0 2 4 6205080Extreme Delay Time (ns)\nPhase(c)\n-50 500 -50 50 0-101\nPhase (rad) -44\n0\nFIG. 5. Measured time delay versus pump-probe ratio δfor the phase ϕ= 0.35π(a); andϕ= 1.35π(b).\nLight-yellow area indicates the group-delay regime, and th e light-blue area indicates the group-advance\nregime. (c) Measured unwrapped phase versus frequency detu ning∆pwithδ= 2.7[point 1/circleco√yrtin (a)] and\nδ= 3.3[point 2/circleco√yrtin (a)] for ϕ= 0.35π.\nprobe microwave from fast to slow light, or inversely . Comparing the abrupt transition in Fig. 5(a)\nwith the zero reflection discussed in Sec. V , we find that the de lay time abrupt transition and the\nzero reflection occur at the same parameter setup. It is notab le that the discontinuity and abrupt\ntransition are always accompanied by the zero reflection in c oupled resonator systems. In Fig. 5(b),\nwe set the phase to ϕ= 1.35πand mainly observe constructive interference. In this case , the time\ndelay monotonously increases with the pump-probe ratio δ. Note that the pump-probe ratio used\nin Fig. 5(b) is not its limitation, therefore longer delay ti mes can be achieved by further increasing\nδ.\nFigure 5 also shows that when the amplitude ratio δ≤3.0, the delay time is a negative number\nwhich corresponds to fast light with ϕ= 0.35π, and the positive delay time corresponds to slow\n15TABLE I. Summary of MIT, MIABS, MIAMP and Fano resonance obse rved experimentally for different\nvalues in parameter space.\nAmplitude Ratio δ\n0 - 0.3 0.3 0.3 - 1.2 1.2 1.2 - 3.0 >3.0\nPhaseϕ0.35πMIT NULL MIABS MIABS MIABS Fano\n1.35πMIT MIT MIT MIT (perfect) MIAMP MIAMP\nlight with ϕ= 1.35π. Thus the phase parameter ϕcan also be used to switch fast and slow\nlight. When δ= 0, i.e., no magnon pump, our scheme recovers the traditional M IT and only a\n16-ns delay time is achieved. By applying the magnon pump and optimizing ϕandδ,the time\ndelay, as well as advance, can be enhanced by nearly 2 orders o f magnitude compared with the\ncase without magnon pump . For our scheme, the pump-probe amplitude ratio and phase di fference\nmediated path interference can result in the zero reflection , which is accompanied with a delay time\nabrupt transition. In our experiment, Fig. 5(a) clearly sho ws such an abrupt transition and greatly\nenhanced fast-slow light around this point. We can find that t he experimental data deviates from\nthe theoretical result around the abrupt transition. This i s mainly induced by the imperfect system\nsetups, such as limited output precision of AWG, imperfectn ess of the I-Q mixer and unstable\nmagnon frequency [73].\nVIII. CONCLUSION\nWe experimentally study how the magnon pump affects the prob e-field transmission, and the\nobserved results are summarized in Table. I. Two parameters , the relative phase ϕand the pump-\nprobe ratio δbetween pump and probe tones, are studied in detail. The main results of this work\nare as follows:\n• the unconventional MIABS of the transmitted microwave fiel d is observed with the cavity\nin the undercoupling condition;\n• MIAMP phenomena is realized in our experiment;\n• asymmetric Fano-resonance-like spectra are observed eve n when the cavity is resonant with\nthe magnon;\n16• by tuning the phase of the magnon pump, we can easily switch b etween MIT, MIABS and\nMIAMP;\n• by tuning the pump and probe ratio, the MIABS and MIAMP can be further optimized,\naccompanied by greatly enhanced advanced or slow light by ne arly 2 orders of magnitude;\n• the tunable phase and amplitude ratio can lead to the zero re flection of the transmitted light\nand abrupt fast-slow light transitions.;\n• both the ϕandδcan be used to carry out the in situ switch of fast and slow light.\nOur results confirm that direct magnon pumping through the co upling loops provides a versa-\ntile route to achieve controllable signal transmission, st orage, and communication, which can be\nfurther expanded to coherent state processing in the quantu m regime. Furthermore, by exploiting\nmulti-YIG spheres or multimagnon modes systems, the amplifi cation or absorption bandwidth can\nbe increased, resulting in a broadband coherent signal stor e device. The sharp peak and asymmet-\nric Fano line shape indicate that our platform has great pote ntial in the application of high-precision\nmeasurement of weak microwave fields [74, 75]. Our two-tone p ump scheme and phase-tunable\ninterference can also be accomplished in other coupled-res onator systems, such as optomechanical\nresonators, which explores effects of mechanical pump on li ght transmission [76–84], and even\nin circuit-QED systems, in which photon transmission can be controlled through a circuit-QED\nsystem [85–88].\nACKNOWLEDGMENTS\nThis work is supported by the National Key R&D Program of Chin a (Grant No. 2018YFA0306600),\nthe CAS (Grants No. GJJSTD20170001 and No. QYZDY-SSW-SLH00 4), Anhui Initiative in\nQuantum Information Technologies (Grant No. AHY050000), a nd the Natural Science Foun-\ndation of China (NSFC) (Grant No. 12004044). F.N. is support ed in part by: NTT Research,\nArmy Research Office (ARO) (Grant No. W911NF-18-1-0358), Ja pan Science and Technol-\nogy Agency (JST) (via the CREST Grant No. JPMJCR1676), Japan Society for the Promotion\nof Science (JSPS) (via the KAKENHI Grant No. JP20H00134 and t he JSPS-RFBR Grant No.\nJPJSBP120194828), the Asian Office of Aerospace Research an d Development (AOARD), and\nthe Foundational Questions Institute Fund (FQXi) via Grant No. FQXi-IAF19-06.\n17Note added – Recently, we become aware of a study presenting a n infinite group delay and\nabrupt transition in a magnonic non-Hermitian system [33].\n[1] M. Born, E. Wolf, A. B. Bhatia, P. C. Clemmow, D. Gabor,\nA. R. Stokes, A. M. Taylor, P. A. Wayman, and W. L. Wilcock,\nPrinciples of Optics: Electromagnetic Theory of Propagati on, Interference and Diffraction of Light ,\n7th ed. (Cambridge University Press, 1999).\n[2] A. E. Miroshnichenko, S. Flach, and Y . S. Kivshar, Fano re sonances in nanoscale structures, Reviews\nof Modern Physics 82, 2257 (2010).\n[3] M. Fleischhauer, A. Imamoglu, and J. P. Marangos, Electr omagnetically induced transparency: Optics\nin coherent media, Reviews of Modern Physics 77, 633 (2005).\n[4] P. M. Anisimov, J. P. Dowling, and B. C. Sanders, Objectiv ely discerning Autler-Townes splitting from\nelectromagnetically induced transparency, Physical Revi ew Letters 107, 163604 (2011).\n[5] M. Kroner, A. O. Govorov, S. Remi, B. Biedermann, S. Seidl , A. Badolato, P. M. Petroff, W. Zhang,\nR. Barbour, B. Gerardot, et al. , The nonlinear Fano effect, Nature 451, 311 (2008).\n[6] D. Golde, M. Wagner, D. Stehr, H. Schneider, M. Helm, A. An drews, T. Roch, G. Strasser, M. Kira,\nand S. W. Koch, Fano signatures in the intersubband terahert z response of optically excited semicon-\nductor quantum wells, Physical Review Letters 102, 127403 (2009).\n[7] L. Zhou, Z. Gong, Y .-x. Liu, C. P. Sun, and F. Nori, Control lable scattering of a single photon inside a\none-dimensional resonator waveguide, Physical Review Let ters101, 100501 (2008).\n[8] H. Ian, Y .-x. Liu, and F. Nori, Tunable electromagnetica lly induced transparency and absorption with\ndressed superconducting qubits, Physical Review A 81, 063823 (2010).\n[9] Q. C. Liu, T. F. Li, X. Q. Luo, H. Zhao, W. Xiong, Y . S. Zhang, Z. Chen, J. S. Liu, W. Chen, and\nF. Nori, Method for identifying electromagnetically induc ed transparency in a tunable circuit quantum\nelectrodynamics system, Physical Review A 93, 053838 (2016).\n[10] J. Long, H. S. Ku, X. Wu, X. Gu, R. E. Lake, M. Bal, Y .-x. Liu , and D. P. Pappas, Electromagneti-\ncally induced transparency in circuit quantum electrodyna mics with nested polariton states, Physical\nReview Letters 120, 083602 (2018).\n[11] M. J. Akram, F. Ghafoor, M. M. Khan, and F. Saif, Control o f Fano resonances and slow light using\nBose-Einstein condensates in a nanocavity, Physical Revie w A 95, 023810 (2017).\n18[12] C. Garrido Alzar, M. Martinez, and P. Nussenzveig, Clas sical analog of electromagnetically induced\ntransparency, American Journal of Physics 70, 37 (2002).\n[13] M. F. Limonov, M. V . Rybin, A. N. Poddubny, and Y . S. Kivsh ar, Fano resonances in photonics, Nature\nPhotonics 11, 543 (2017).\n[14] Y .-C. Liu, B.-B. Li, and Y .-F. Xiao, Electromagnetical ly induced transparency in optical microcavities,\nNanophotonics 6, 789 (2017).\n[15] B. Peng, S ¸. K. ¨Ozdemir, W. Chen, F. Nori, and L. Yang, What is and what is not e lectromagnetically\ninduced transparency in whispering-gallery microcavitie s, Nature Communications 5, 1 (2014).\n[16] S ¸. ¨Ozdemir, S. Rotter, F. Nori, and L. Yang, Parity–time symmet ry and exceptional points in photonics,\nNature Materials 18, 783 (2019).\n[17] Z. Zhao, X. Zheng, W. Peng, J. Zhang, H. Zhao, and W. Shi, T erahertz electromagnetically-induced\ntransparency of self-complementary meta-molecules on Cro atian checkerboard, Scientific Reports 9,\n1 (2019).\n[18] R. Yahiaoui, J. A. Burrow, S. M. Mekonen, A. Sarangan, J. Mathews, I. Agha, and T. A. Searles,\nElectromagnetically induced transparency control in tera hertz metasurfaces based on bright-bright\nmode coupling, Physical Review B 97, 155403 (2018).\n[19] J. Johansson, G. Johansson, and F. Nori, Optomechanica l-like coupling between superconducting res-\nonators, Physical Review A 90, 053833 (2014).\n[20] C. Eichler and J. R. Petta, Realizing a circuit analog of an optomechanical system with longitudinally\ncoupled superconducting resonators, Physical Review Lett ers120, 227702 (2018).\n[21] H. Xu, D. Mason, L. Jiang, and J. Harris, Topological ene rgy transfer in an optomechanical system\nwith exceptional points, Nature 537, 80 (2016).\n[22] F. Liu, M. Ke, A. Zhang, W. Wen, J. Shi, Z. Liu, and P. Sheng , Acoustic analog of electromagnetically\ninduced transparency in periodic arrays of square rods, Phy sical Review E 82, 026601 (2010).\n[23] M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt, Cavi ty optomechanics, Reviews of Modern\nPhysics 86, 1391 (2014).\n[24] M. J. Akram, M. M. Khan, and F. Saif, Tunable fast and slow light in a hybrid optomechanical system,\nPhysical Review A 92, 023846 (2015).\n[25] L. Li, W. Nie, and A. Chen, Transparency and tunable slow and fast light in a nonlinear optomechanical\ncavity, Scientific Reports 6, 35090 (2016).\n[26] D.-G. Lai, X. Wang, W. Qin, B.-P. Hou, F. Nori, and J.-Q. L iao, Tunable optomechanically induced\n19transparency by controlling the dark-mode effect, Physica l Review A 102, 023707 (2020).\n[27] D. P. Lake, M. Mitchell, B. C. Sanders, and P. E. Barclay, Two-colour interferometry and switching\nthrough optomechanical dark mode excitation, Nature Commu nications 11, 1 (2020).\n[28] M. C. Kuzyk and H. Wang, Controlling multimode optomech anical interactions via interference, Phys-\nical Review A 96, 023860 (2017).\n[29] Y .-L. Liu, R. Wu, J. Zhang, S ¸. K. ¨Ozdemir, L. Yang, F. Nori, and Y .-x. Liu, Controllable optic al\nresponse by modifying the gain and loss of a mechanical reson ator and cavity mode in an optome-\nchanical system, Physical Review A 95, 013843 (2017).\n[30] B. Wang, Z.-X. Liu, C. Kong, H. Xiong, and Y . Wu, Mechanic al exceptional-point-induced trans-\nparency and slow light, Optics Express 27, 8069 (2019).\n[31] H. L¨ u, C. Wang, L. Yang, and H. Jing, Optomechanically i nduced transparency at exceptional points,\nPhysical Review Applied 10, 014006 (2018).\n[32] T. Goldzak, A. A. Mailybaev, and N. Moiseyev, Light stop s at exceptional points, Physical Review\nLetters 120, 013901 (2018).\n[33] Y . Yang, Y .-P. Wang, J. Rao, Y . Gui, B. Yao, W. Lu, and C.-M . Hu, Unconventional singularity in\nanti-parity-time symmetric cavity magnonics, Physical Re view Letters 125, 147202 (2020).\n[34] C. Wang, X. Jiang, G. Zhao, M. Zhang, C. W. Hsu, B. Peng, A. D. Stone, L. Jiang, and L. Yang,\nElectromagnetically induced transparency at a chiral exce ptional point, Nature Physics 16, 334 (2020).\n[35] M. Goryachev, W. G. Farr, D. L. Creedon, Y . Fan, M. Kostyl ev, and M. E. Tobar, High-cooperativity\ncavity QED with magnons at microwave frequencies, Physical Review Applied 2, 054002 (2014).\n[36] Y . Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usam i, and Y . Nakamura, Hybridizing ferromag-\nnetic magnons and microwave photons in the quantum limit, Ph ysical Review Letters 113, 083603\n(2014).\n[37] D. Zhang, X.-M. Wang, T.-F. Li, X.-Q. Luo, W. Wu, F. Nori, and J. Q. You, Cavity quantum electrody-\nnamics with ferromagnetic magnons in a small yttrium-iron- garnet sphere, npj Quantum Information\n1, 1 (2015).\n[38] D. Zhang, X.-Q. Luo, Y .-P. Wang, T.-F. Li, and J. Q. You, O bservation of the exceptional point in\ncavity magnon-polaritons, Nature Communications 8, 1 (2017).\n[39] B. Yao, Y . Gui, J. Rao, S. Kaur, X. Chen, W. Lu, Y . Xiao, H. G uo, K.-P. Marzlin, and C.-M. Hu,\nCooperative polariton dynamics in feedback-coupled cavit ies, Nature Communications 8, 1 (2017).\n[40] L. Bai, M. Harder, P. Hyde, Z. Zhang, C.-M. Hu, Y . Chen, an d J. Q. Xiao, Cavity mediated manipu-\n20lation of distant spin currents using a cavity-magnon-pola riton, Physical Review Letters 118, 217201\n(2017).\n[41] S. Kaur, B. Yao, J. Rao, Y . Gui, and C.-M. Hu, V oltage cont rol of cavity magnon polariton, Applied\nPhysics Letters 109, 032404 (2016).\n[42] I. Boventer, C. D¨ orflinger, T. Wolz, R. Macˆ edo, R. Lebr un, M. Kl¨ aui, and M. Weides, Control of the\ncoupling strength and linewidth of a cavity magnon-polarit on, Physical Review Research 2, 013154\n(2020).\n[43] N. Kostylev, M. Goryachev, and M. E. Tobar, Superstrong coupling of a microwave cavity to yttrium\niron garnet magnons, Applied Physics Letters 108, 062402 (2016).\n[44] G. Flower, M. Goryachev, J. Bourhill, and M. E. Tobar, Ex perimental implementations of cavity-\nmagnon systems: from ultra strong coupling to applications in precision measurement, New Journal\nof Physics 21, 095004 (2019).\n[45] J. Bourhill, N. Kostylev, M. Goryachev, D. Creedon, and M. Tobar, Ultrahigh cooperativity interac-\ntions between magnons and resonant photons in a YIG sphere, P hysical Review B 93, 144420 (2016).\n[46] D. Lachance-Quirion, Y . Tabuchi, A. Gloppe, K. Usami, a nd Y . Nakamura, Hybrid quantum systems\nbased on magnonics, Applied Physics Express 12, 070101 (2019).\n[47] R. Hisatomi, A. Osada, Y . Tabuchi, T. Ishikawa, A. Noguc hi, R. Yamazaki, K. Usami, and Y . Naka-\nmura, Bidirectional conversion between microwave and ligh t via ferromagnetic magnons, Physical\nReview B 93, 174427 (2016).\n[48] X. Zhang, N. Zhu, C.-L. Zou, and H. X. Tang, Optomagnonic whispering gallery microresonators,\nPhysical Review Letters 117, 123605 (2016).\n[49] S. V . Kusminskiy, H. X. Tang, and F. Marquardt, Coupled s pin-light dynamics in cavity optomagnon-\nics, Physical Review A 94, 033821 (2016).\n[50] S. V . Kusminskiy, Cavity optomagnonics, preprint arXi v:1911.11104 (2019).\n[51] Z.-X. Liu, C. You, B. Wang, H. Xiong, and Y . Wu, Phase-med iated magnon chaos-order transition in\ncavity optomagnonics, Optics Letters 44, 507 (2019).\n[52] Y . Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamaz aki, K. Usami, and Y . Nakamura, Coherent\ncoupling between a ferromagnetic magnon and a superconduct ing qubit, Science 349, 405 (2015).\n[53] Y . Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamaz aki, K. Usami, and Y . Nakamura, Quantum\nmagnonics: The magnon meets the superconducting qubit, Com ptes Rendus Physique 17, 729 (2016).\n[54] R. Morris, A. Van Loo, S. Kosen, and A. Karenowska, Stron g coupling of magnons in a YIG sphere\n21to photons in a planar superconducting resonator in the quan tum limit, Scientific Reports 7, 1 (2017).\n[55] Y . Li, T. Polakovic, Y .-L. Wang, J. Xu, S. Lendinez, Z. Zh ang, J. Ding, T. Khaire, H. Saglam,\nR. Divan, et al. , Strong coupling between magnons and microwave photons in o n-chip ferromagnet-\nsuperconductor thin-film devices, Physical Review Letters 123, 107701 (2019).\n[56] J. T. Hou and L. Liu, Strong coupling between microwave p hotons and nanomagnet magnons, Physical\nReview Letters 123, 107702 (2019).\n[57] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Strongly co upled magnons and cavity microwave\nphotons, Physical Review Letters 113, 156401 (2014).\n[58] P.-C. Xu, J. Rao, Y . Gui, X. Jin, and C.-M. Hu, Cavity-med iated dissipative coupling of distant mag-\nnetic moments: Theory and experiment, Physical Review B 100, 094415 (2019).\n[59] Y . Yang, J. Rao, Y . Gui, B. Yao, W. Lu, and C.-M. Hu, Contro l of the magnon-photon level attraction\nin a planar cavity, Physical Review Applied 11, 054023 (2019).\n[60] M. Harder, Y . Yang, B. Yao, C. Yu, J. Rao, Y . Gui, R. Stamps , and C.-M. Hu, Level attraction due to\ndissipative magnon-photon coupling, Physical Review Lett ers121, 137203 (2018).\n[61] Y .-P. Wang, G.-Q. Zhang, D. Zhang, X.-Q. Luo, W. Xiong, S .-P. Wang, T.-F. Li, C.-M. Hu, and J. Q.\nYou, Magnon Kerr effect in a strongly coupled cavity-magnon system, Physical Review B 94, 224410\n(2016).\n[62] Y .-P. Wang, G.-Q. Zhang, D. Zhang, T.-F. Li, C.-M. Hu, an d J. Q. You, Bistability of cavity magnon\npolaritons, Physical Review Letters 120, 057202 (2018).\n[63] J. Zhao, Y . Liu, L. Wu, C.-K. Duan, Y .-x. Liu, and J. Du, Ob servation of anti- PT-symmetry phase\ntransition in the magnon-cavity-magnon coupled system, Ph ysical Review Applied 13, 014053 (2020).\n[64] I. Boventer, M. Kl¨ aui, R. Macˆ edo, and M. Weides, Steer ing between level repulsion and attraction:\nbroad tunability of two-port driven cavity magnon-polarit ons, New Journal of Physics 21, 125001\n(2019).\n[65] C. Kong, H. Xiong, and Y . Wu, Magnon-induced nonrecipro city based on the magnon Kerr effect,\nPhysical Review Applied 12, 034001 (2019).\n[66] G. Zhang, Y . Wang, and J. Q. You, Theory of the magnon Kerr effect in cavity magnonics, Science\nChina Physics, Mechanics & Astronomy 62, 987511 (2019).\n[67] S.-N. Huai, Y .-L. Liu, J. Zhang, L. Yang, and Y .-x. Liu, E nhanced sideband responses in a PT-\nsymmetric-like cavity magnomechanical system, Physical R eview A 99, 043803 (2019).\n[68] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Cavity magn omechanics, Science Advances 2,\n22e1501286 (2016).\n[69] J. Li, S.-Y . Zhu, and G. Agarwal, Magnon-photon-phonon entanglement in cavity magnomechanics,\nPhysical Review Letters 121, 203601 (2018).\n[70] J. Li and S.-Y . Zhu, Entangling two magnon modes via magn etostrictive interaction, New Journal of\nPhysics 21, 085001 (2019).\n[71] J. Li, S.-Y . Zhu, and G. Agarwal, Squeezed states of magn ons and phonons in cavity magnomechanics,\nPhysical Review A 99, 021801 (2019).\n[72] M. Yu, H. Shen, and J. Li, Magnetostrictively induced st ationary entanglement between two mi-\ncrowave fields, Physical Review Letters 124, 213604 (2020).\n[73] See supplemental material at [url will be inserted by pu blisher] for A. the derivation of the transmission\nspectra, B. the side-effect of the antenna 2, C. Error analys is.\n[74] J. A. Sedlacek, A. Schwettmann, H. K¨ ubler, R. L¨ ow, T. P fau, and J. P. Shaffer, Microwave electrometry\nwith Rydberg atoms in a vapour cell using bright atomic reson ances, Nature Physics 8, 819 (2012).\n[75] K.-Y . Liao, H.-T. Tu, S.-Z. Yang, C.-J. Chen, X.-H. Liu, J. Liang, X.-D. Zhang, H. Yan, and S.-L. Zhu,\nMicrowave electrometry via electromagnetically induced a bsorption in cold Rydberg atoms, arXiv\npreprint arXiv:2002.00855 (2020).\n[76] Y . Chang, T. Shi, Y .-x. Liu, C. Sun, and F. Nori, Multista bility of electromagnetically induced trans-\nparency in atom-assisted optomechanical cavities, Physic al Review A 83, 063826 (2011).\n[77] H. Suzuki, E. Brown, and R. Sterling, Nonlinear dynamic s of an optomechanical system with a coher-\nent mechanical pump: Second-order sideband generation, Ph ysical Review A 92, 033823 (2015).\n[78] S.-C. Wu, L.-G. Qin, J. Jing, T.-M. Yan, J. Lu, and Z.-Y . W ang, Microwave-controlled optical dou-\nble optomechanically induced transparency in a hybrid piez o-optomechanical cavity system, Physical\nReview A 98, 013807 (2018).\n[79] W. Jia, L. Wei, Y . Li, and Y .-x. Liu, Phase-dependent opt ical response properties in an optomechanical\nsystem by coherently driving the mechanical resonator, Phy sical Review A 91, 043843 (2015).\n[80] X.-W. Xu and Y . Li, Controllable optical output fields fr om an optomechanical system with mechanical\ndriving, Physical Review A 92, 023855 (2015).\n[81] C. Jiang, Y . Cui, Z. Zhai, H. Yu, X. Li, and G. Chen, Phase- controlled amplification and slow light in\na hybrid optomechanical system, Optics Express 27, 30473 (2019).\n[82] T.-X. Lu, Y .-F. Jiao, H.-L. Zhang, F. Saif, and H. Jing, S elective and switchable optical amplification\nwith mechanical driven oscillators, Physical Review A 100, 013813 (2019).\n23[83] H. Jing, S ¸. K. ¨Ozdemir, Z. Geng, J. Zhang, X.-Y . L¨ u, B. Peng, L. Yang, and F. Nori, Optomechanically-\ninduced transparency in parity-time-symmetric microreso nators, Scientific Reports 5, 9663 (2015).\n[84] H. Wang, X. Gu, Y .-x. Liu, A. Miranowicz, and F. Nori, Opt omechanical analog of two-color elec-\ntromagnetically induced transparency: Photon transmissi on through an optomechanical device with a\ntwo-level system, Physical Review A 90, 023817 (2014).\n[85] Y .-x. Liu, X.-W. Xu, A. Miranowicz, and F. Nori, From blo ckade to transparency: Controllable photon\ntransmission through a circuit-QED system, Physical Revie w A 89, 043818 (2014).\n[86] X. Gu, S.-N. Huai, F. Nori, and Y .-x. Liu, Polariton stat es in circuit QED for electromagnetically\ninduced transparency, Physical Review A 93, 063827 (2016).\n[87] X. Wang, A. Miranowicz, H.-R. Li, F.-L. Li, and F. Nori, T wo-color electromagnetically induced\ntransparency via modulated coupling between a mechanical r esonator and a qubit, Physical Review A\n98, 023821 (2018).\n[88] H.-C. Sun, Y .-x. Liu, H. Ian, J. Q. You, E. Il’Ichev, and F . Nori, Electromagnetically induced trans-\nparency and Autler-Townes splitting in superconducting flu x quantum circuits, Physical Review A 89,\n063822 (2014).\n24" }, { "title": "1606.03469v1.Indirect_Coupling_between_Two_Cavity_Photon_Systems_via_Ferromagnetic_Resonance.pdf", "content": "arXiv:1606.03469v1 [cond-mat.mes-hall] 10 Jun 2016Indirect Coupling between Two Cavity Photon Systems via Fer romagnetic\nResonance\nPaul Hyde,a)Lihui Bai,b)Michael Harder, Christophe Match, and Can-Ming Hu\nDepartment of Physics and Astronomy, University of Manitob a, Winnipeg, Canada R3T 2N2\n(Dated: 14 September 2018)\nWe experimentally realize indirect coupling between two cavity modes v ia strong coupling with the ferromag-\nnetic resonance in Yttrium Iron Garnet (YIG). We find that some ind irectly coupled modes of our system\ncan have a higher microwave transmission than the individual uncoup led modes. Using a coupled harmonic\noscillator model, the influence of the oscillation phase difference betw een the two cavity modes on the nature\nof the indirect coupling is revealed. These indirectly coupled microwav e modes can be controlled using an\nexternal magnetic field or by tuning the cavity height. This work has potential for use in controllable optical\ndevices and information processing technologies.\nThe indirect coupling of cavity modes via a waveguide\nhas been studied theoretically and experimentally for use\ninopticalinformationprocessing1. Thisindirectcoupling\ndramatically modifies the transmission spectra, and is\nwidely used for optical filtering, buffering, switching, and\nsensinginphotoniccrystalstructures2–5. Formicro/nano\ndisk optical cavities, coupling properties are determined\nby the spatial distance between the disk and the waveg-\nuide during the fabrication process. Therefore, a tunable\ncoupling between indirectly coupled cavity modes is re-\nquired for potential applications.\nRecently, strong coupling between a microwave cavity\nmode and ferromagnetic resonance (FMR) has been re-\nalized at room temperature6–17. Exchange interactions\nlock the high density of spins in YIG into a macro-spin\nstate, leading to strong coupling with a cavity mode\nwhich can be adjusted using an external magnetic field.\nPotential applications of this form of strong coupling are\ncurrently being explored. For example, indirect coupling\nbetween the FMR in two YIG spheres has produced dark\nmagnon modes with potential uses in information stor-\nage technologies18, and the FMR of YIG has been indi-\nrectly coupled with a qubit through a microwave cavity\nmode19. Instead of using a microwave cavity mode to\nbuild a bridge between two oscillators, we have used the\nFMR in YIG to produce indirect coupling between two\ncavity modes.\nIn this work, we present two cavity modes which in-\ndirectly couple via their strong coupling with the FMR\nin YIG at room temperature. The two cavity modes are\nlabelled hω1(ω) andhω2(ω) respectively, and are inde-\npendent of each other when there is no direct coupling\nbetween them. Here ω1andω2are the uncoupled reso-\nnance frequencies of each cavity mode and ωis the in-\nput microwave frequency. The two cavity modes can be\nindirectly coupled with each other when they both in-\ndividually interact with the FMR in YIG and this indi-\nrect coupling can be controlled using an external mag-\nnetic field. We found that the microwave transmission\na)Electronic mail: umhydep@myumanitoba.ca\nb)Electronic mail: bai@physics.umanitoba.ca\nFIG.1. (Colour online) (a)Inanuncoupledsystemindividual\nelements do not interact with each other. In our experimenta l\nsystem a YIG sphere simultaneously couples to two separate\ncavity modes, indirectly coupling the modes together. (b)\nThe frequencies of the two cavity modes are functions of the\nheight of the cylindrical microwave cavity and cross near a\nheight of 36 mm. The inset shows a sketch of the microwave\ncavity.(c)Transmission spectrum S21of our indirectly cou-\npled system, as a function of the external magnetic field at a\nmicrowave cavity height of 36.5 mm [dashed line in (b)]. (d)\nTransmission spectrum S21ofourcavitysystem, withaheight\nof 36.5 mm at an external field µ0H= 0.412 T, in an uncou-\npled state (NO YIG in cavity) and (e)an indirectly coupled\nstate (YIG in cavity), showing the influence of coupling on\nthe resonant modes.\nproperties change dramatically for the coupled modes as\nthe external field is tuned. Our experimental results,2\ntogether with an extended coupled harmonic oscillator\nmodel, demonstrate the nature of indirect coupling and\ncoherent information transfer. This tunable interaction\nbetween orthogonal cavity modes could potentially be\nused to build controllable optical and microwave devices.\nThe microwave cavity used in our experiment was\nmade of oxygen-free copper with a height tunable cylin-\ndrical structure. The diameter of the cavity is 25 mm\nand the height is tunable in a range between 24 mm\nand 45 mm. Although multiple modes can exist inside\nof the cavity, the TM 012mode (with a cavity frequency\nofω1) and the TE 211mode (with a cavity frequency of\nω2) were chosen to demonstrate indirect coupling in this\nwork. With no YIG inside of the cavity, the microwave\ntransmission, S21, was measured using a Vector Network\nAnalyser (VNA) as a function of frequency. The out-\nput microwave power of the VNA is 1 mW. The am-\nplitude of the transmission is proportional to the res-\nonance amplitudes of both cavity modes at a given mi-\ncrowavefrequency, |S21(ω)|2∝ |hω1(ω)+hω2(ω)|2. Here,\nhω1=Γ1ω2\nω2−ω2\n1+2iβ1ω1ωh0andhω2=Γ2ω2\nω2−ω2\n2+2iβ2ω2ωh0are\nthe response functions of each cavity mode near the res-\nonance conditions. ω1,ω2,β1, andβ2are the cavity\nmode resonance frequencies and damping. Γ 1and Γ2de-\nnote the impedance matching parameters for each cavity\nmode.h0(ω) is the microwave field used to drive reso-\nnance in the cavity and is eliminated by normalization\nin the microwave transmission. The microwave trans-\nmission spectra with no YIG in the cavity allows the\nindividual cavity mode frequencies and damping to be\nevaluated. Fig. 1(b) plots the resonant frequencies of\nω1andω2as a function of the height of the microwave\ncavity, both agree well with the solutions for Maxwell’s\nequations (solid lines) in a cylindrical microwave cavity.\nThatthetwocavitymodescrosseachotherindicatesthat\nthere is no direct coupling between them. The different\nmicrowave magnetic field distributions of the two modes\ninside the cavity leads to them having different coupling\nstrengthswiththe FMRinYIG.Foragivencavityheight\nof 36.5 mm, the parameters of the two cavity modes were\ndetermined to be: ω1/2π= 12.357GHz, β1= 1.9×10−4,\nΓ1= 6.1×10−5,ω2/2π= 12.382 GHz, β2= 0.91×10−4,\nand Γ2= 3.7×10−5.\nA YIG sphere20placed inside the cavity allows for in-\ndirect coupling between the two cavity modes. The YIG\nsphere has a diameter of 1 mm, saturation magnetiza-\ntionµM0= 0.178 T, gyromagnetic ratio γ= 28×2πµ0\nGHz/T, and Gilbert damping α= 1.15×10−4. The YIG\nsphere was placed at the bottom of the cavity near the\nwall as shown in the inset of Fig. 1(b). An external mag-\nnetic field, H, was applied to the YIG as shown in the\ninset. This magnetic field allows us to tune the FMR fre-\nquency of the YIG, ωFMR, following an ω-H dispersion\nωFMR=γ(H+HAni). Here, the anisotropy field of the\nsphere is µ0HAni= 0.0294 T.\nTransmission measurements of our coupled system are\nplotted in Fig. 1(c), which shows the amplitude |S21|2\nas a function of the input microwave frequency ( ω) and\nFIG. 2. (Colour online) (a)and(b)display the ω-H disper-\nsion and damping evolution (symbols) of each of the Normal\nModes in our system. They are compared to calculations\nfrom Eq. 1 (solid curves). (c)The amplitudes of the Nor-\nmal Modes, |S21|2, are dramatically enhanced or suppressed\nduring coupling. (d)The relative phase between the two cav-\nity modes, φ1−φ2, was calculated during indirect coupling.\nThe in-phase point of Mode B corresponds to its maximum\namplitude in (c).\nthe external magnetic field H. By increasing the Hfield,\nthe FMR frequency ( ωFMR) first increases to the lower\ncavity mode frequency ω1, then reaches the higher cavity\nmode frequency ω2as indicated by the dashed lines. By\ndoing this, the two cavity modes are indirectly coupled\ntogether via their direct coupling with the FMR in YIG,\nproducing three coupled modes. We observed a maxi-\nmum in the microwave transmission amplitude when the\nmiddle mode (later labelled Mode B) crosses the disper-\nsion of the YIG FMR (dashed line) due to the resonances\nofthe twocavitymodesbeing in-phase. Fig. 1(d) and (e)\nshow how the addition of the YIG sphere into the cavity\naffects the observed resonant modes at an external field\nof 0.412 T; with both the number and position of the ob-\nserved modes changing once the sphere is placed in the\ncavity.\nTo further understand the nature of this indirect cou-\npling between the two cavity modes, an expanded cou-\npled harmonic oscillator system is used to calculate cou-\nplingfeaturesincludingthe ω-Hdispersion,dampingevo-\nlution, and amplitudes. Coupled harmonic oscillators\nhave previously been used to accurately model strong\ncoupling between a cavity mode and FMR in YIG21.\nThe coupling strengths between each cavity mode and\nthe FMR in YIG, κ1= 0.070 and κ2= 0.043, were eval-\nuated using the two coupled harmonic oscillator model\nwhen the two cavity mode frequencies were well sepa-\nrated (not shown here). The local microwave magnetic\nfield distribution of each mode, with respect to the exter-\nnal field orientation, lead to different coupling strengths\nbetween each cavity mode and the FMR in YIG22. A3\nslight change of the cavity height does not change the\ncoupling strength of each mode. However, the coupled\nsystem observed in this work can no longer be modelled\nby the two coupled harmonic oscillator model. To take\ninto account the second cavity mode, a three oscillatorsystemis consideredratherthan the twoin Ref.[21]. Two\nof the oscillators describe the cavity modes with ampli-\ntudes of hω1andhω2, each separately coupled with the\nthird representing the FMR in YIG with amplitude m.\nTherefore, the indirect coupling model can be written in\nthe form;\n\nω2−ω2\n1+i2β1ω1ω 0 −κ2\n1ω2\n1\n0 ω2−ω2\n2+i2β2ω2ω κ2\n2ω2\n2\n−κ2\n1ω2\n1 κ2\n2ω2\n2 ω2−ω2\nFMR+i2αωFMRω\n\nhω1\nhω2\nm\n=ω2\nΓ1\nΓ2\n0\nh0(1)\nHere the diagonal terms are the uncoupled resonance\nconditions of the two cavity modes and the FMR in YIG.\nThe off-diagonal terms are the coupling strengths. The\ntwo zeros indicate that there is no direct coupling be-\ntween the two cavity modes. To explain our experimen-\ntalobservationswemustinclude a π-phasedelaybetween\nthe resonance frequencies of neighbouring cavity modes,\nalthough the physical source of this phase shift is still an\nopen question. This is the source of the additional minus\nsign in the κ1terms. Eq. 1 allows us to predict the char-\nacteristics of indirect coupling between cavity modes via\nFMR in YIG.\nBy finding the complex eigen-frequencies ωn(n = A,\nB, C, denoting the Modes labelled in Figure 2) of the\ncoupling matrix at a given Hfield, we can plot the cal-\nculated resonance frequency Re(ωn), and the normalized\nline width |Im(ωn)|/Re(ωn) in Fig. 2(a) and (b) using\nsolid curves. This matches the observed ω-H dispersion\nand damping evolution seen in the measurements (sym-\nbols).\nFurthermore, we are able to calculate the amplitude\nand relative phase of the microwave transmission hω1,\nFIG. 3. (Colour online) (a),(b), and(c)show the transmis-\nsion spectrum of the three Normal Modes for different ω2−ω1\nvalues.(d)Amplitude of the in-phase point of Mode B as a\nfunction of ω2−ω1.hω2, andmusing Eq. 1. The calculated transmission\namplitude |S21|2was plotted in Fig. 2(c) (solid curves)\nand compared with that from our experimental results\n(symbols). An amplitude peak is seen in both the experi-\nmental results and the theoretical calculation. The phase\ndifference( φ1−φ2)between hω1andhω2iscalculatedand\nplotted in Fig. 2(d). The applied field strength at the\nmaximum amplitude corresponds to an in-phase point,\nhighlighted in Fig. 2(d), where the phases of the two\ncavitymodes hω1andhω2areequal ( φ1−φ2= 0). Mean-\nwhile the amplitude decrease of the other Normal Modes\nis due to the relative phase difference between the two\ncavity modes approaching π. Hence, coherent phase con-\ntrol between two indirectly coupled cavity modes is de-\ntected through amplitude enhancement of the microwave\ntransmissionand explainedby our three oscillatormodel.\nThe in-phase point observed occurs when Mode B\ncrosses the uncoupled dispersion of the YIG FMR. The\namplitude of this in-phase point also depends on the dif-\nference between the resonant frequencies of the two cav-\nity modes ( ω2−ω1). By tuning the cavity height, the in-\nphasepointcanbemeasuredfordifferentvaluesof ω2−ω1\nas shown in Fig. 3(a), (b), and (c). The amplitude of\nthese in-phase points, highlighted in red, increases when\nthe two cavity mode frequencies are near to each other.\nAs summarized in Fig. 3(d), the transmission amplitude\n|S21|2decreases as the two cavity mode frequencies are\nseparated. Therefore, the microwave transmission of the\nin-phasepointcanalsobecontrolledbythe cavityheight.\nIn summary, we experimentally demonstrate control-\nlable indirect coupling between two microwave cavity\nmodes through a YIG sphere. The coupling features are\nanalysed and explained using a three coupled harmonic\noscillator model. Microwave modes produced due to in-\ndirect coupling were observed to have a higher transmis-\nsion rate than the two uncoupled cavity modes. We also\ndemonstrated that these indirectly coupled modes can\nbe controlled with an external field and by changing the\ncavity’s height. Therefore, due to the controllable nature\nof our findings, our work can be useful for designing new\noptical devices for information processing.\nThe authors would like to thank B. Yao for useful\ndiscussions. P.H. is supported by the UMGF program.\nM.H. is supported by an NSERC CGSD Scholarship.4\nThis work has been funded by NSERC, CFI, and NSFC\n(No. 11429401) grants (C.-M. Hu).\n1S. Fan, ’Sharp asymmetric line shapes in side-coupled waveguide-\ncavity systems ’, Appl. Phys. Lett. 80, 908 (2002)\n2P. Chak, S. Pereira, and J.E. Sipe, ’ Coupled-mode theory for pe-\nriodic side-coupled microcavity and photonic crystal stru ctures’,\nPhys. Rev. B 73, 035105 (2006)\n3A.M. Armani, R.P. Kulkarni, S.E. Fraser, R.C. Flagan, and K. J.\nVahala, ’ Label-free, single-molecule detection with optical micro -\ncavities’, Science 317, 783 (2007)\n4J. Zhu, S.K. Ozdemir, Y.F. Xiao, L. Li, L. He, D.R. Chen, and L.\nYang, ’On-chip single nanoparticle detection and sizing by mode\nsplitting in an ultrahigh-Q microresonator ’, Nat. Photon. 4, 46\n(2010)\n5J. Li, R. Yu, C. Ding, and Y. Wu, ’ PT-symmetry-induced evo-\nlution of sharp asymmetric line shapes and high-sensitivit y re-\nfractive index sensors in a three-cavity array ’, Phys. Rev. A 93,\n023814 (2016)\n6H. Huebl, C.W. Zollitsch, J. Lotze, F. Hocke, M. Greifenstei n,\nA. Marx, R. Gross, and S.T.B. Goennenwein, ’ High Coopera-\ntivity in Coupled Microwave Resonator Ferrimagnetic Insul ator\nHybrids’, Phys. Rev. Lett. 111, 127003 (2013)\n7Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami,\nand Y. Nakamura, ’ Hybridizing Ferromagnetic Magnons and Mi-\ncrowave Photons in the Quantum Limit ’, Phys. Rev. Lett. 113,\n083603 (2014)\n8X. Zhang, C.-L. Zou, L. Jiang, and H.X. Tang, ’ Strongly coupled\nmagnons and cavity microwave photons ’, Phys. Rev. Lett. 113,\n156401 (2014)\n9M. Goryachev, W.G. Farr, D.L. Creedon, Y. Fan, M. Kostylev,\nand M.E. Tobar, ’ High-Cooperativity Cavity QED with Magnons\nat Microwave Frequencies ’, Phys. Rev. Applied 2, 054002 (2014)\n10B. Bhoi, T. Cliff, I.S. Maksymov, M. Kostylev, R. Aiyar, N.\nVenkataramani, S. Prasad, and R.L. Stamps, ’ Study of photon-\nmagnon coupling in a YIG-film split-ring resonant system ’, J.\nAppl. Phys. 116, 243906 (2014)\n11N.J. Lambert, J.A. Haigh, and A.J. Ferguson, ’ Identification ofspin wave modes in yttrium iron garnet strongly coupled to a\nco-axial cavity ’, J. Appl. Phys. 117, 053910 (2015)\n12J.A. Haigh, N.J. Lambert, A.C. Doherty, and A.J. Ferguson,\n’Dispersive readout of ferromagnetic resonance for strongl y cou-\npled magnons and microwave photons ’, Phys. Rev. B 91, 104410\n(2015)\n13B.M. Yao, Y.S. Gui, M. Worden, T. Hegmann, M. Xing, X.S.\nChen, W. Lu, Y. Wroczynskyj, J. van Lierop, and C.-M. Hu,\n’Quantifying the complex permittivity and permeability of m ag-\nnetic nanoparticles ’, Appl. Phys. Lett. 106, 142406 (2015)\n14L. Bai, M. Harder, Y.P. Chen, X. Fan, J.Q. Xiao, and C.-M. Hu,\n’Spin Pumping in Electrodynamically Coupled Magnon-Photon\nSystems ’, Phys. Rev. Lett. 114, 227201 (2015)\n15L.V. Abdurakhimov, Y.M. Bunkov, and D. Konstantinov,\n’Normal-Mode Splitting in the Coupled System of of Hybridize d\nNuclear Magnons and Microwave Photons ’, Phys. Rev. Lett.\n114, 226402 (2015)\n16B.M. Yao, Y.S. Gui, Y. Xiao, H. Guo, X.S. Chen, W. Lu, C.L.\nChien, and C.-M. Hu, ’ Theory and experiment on cavity magnon\npolariton in the 1D configuration ’, Phys. Rev. B 92, 184407\n(2015)\n17A Osada, R. Hisatomi, A. Noguchi, Y. Tabuchi, R. Yamazaki,\nK. Usami, M. Sadgrove, R. Yalla, M. Nomura, and Y. Naka-\nmura, ’Cavity optomagnonics with spin orbit coupled photons ’,\narXiv:1510.01837 (2015)\n18X. Zhang, C.-L. Zou, N. Zhu, F. Marquardt, L. Jiang, and\nH.X. Tang, ’ Magnon dark modes and gradient memory ’, Nature\nComm.6, 8914 (2015)\n19Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki, K.\nUsami, and Y. Nakamura, ’ Coherent coupling between a ferro-\nmagnetic magnon and a superconducting qubit ’, Science 24, 405\n(2015)\n20http://www.ferrisphere.com\n21M. Harder, L. Bai, C. Match, and C.-M. Hu, ’ Study of the cavity-\nmagnon-polariton transmission line shape ’, arXiv:1601.06049\n22L. Bai, K. Blanchette, M. Harder, Y. Chen, X. Fan, J. Xiao,\nand C.-M. Hu, Control of the Magnon-Photon Coupling ’, IEEE\nTransactions on Magnetics, PP, 2527691 (2016)" }, { "title": "1910.04304v1.Thermally_controlled_confinement_of_spin_wave_field_in_a_magnonic_YIG_waveguide.pdf", "content": "Thermally controlled con\fnement of spin wave \feld in a\nmagnonic YIG waveguide\nPablo Borysa,\u0003, O. Kolokoltseva, Iv\u0013 an G\u0013 omez-Aristab, V. Zavislyakc, G. A.\nMelkovc, N. Qureshia, Csar L. Ordez-Romerod\naInstituto de Ciencias Aplicadas y Tecnologa, Universidad Nacional Autnoma de Mxico\n(UNAM), Ciudad Universitaria, 04510, Mxico\nbCtedras Conacyt Instituto Nacional de Astrofsica, ptica y Electrnica, 72840, Mxico\ncFaculty of Radiophysics, Electronics and Computer Systems, Taras Shevchenko National\nUniversity of Kiev, Ukraine\ndInstituto de Fsica, Universidad Nacional Autnoma de Mxico, Ciudad Universitaria, 04510,\nMxico.\nAbstract\nMethods for detecting spin waves rely on electrodynamical coupling between the\nspin wave dipolar \feld and an inductive probe. While this coupling is usually\ntreated as constant, in this work, we experimentally and theoretically show that\nit is indeed temperature dependent. By measuring the spin wave magnetic \feld\nas a function of temperature of, and distance to the sample, we demonstrate that\nthere is both a longitudinal and transversal con\fnement of the \feld near the\nYIG-Air interface. Our results are relevant for spin wave detection, in particular\nin the \feld of spin wave caloritronics.\nKeywords: YIG, spin waves, thermal con\fnement, spin wave- waveguide,\nelectrodynamic coupling, inductive probe, dipolar \feld.\n1. Introduction\nIt is expected that the emergence of thin \flm logic elements based on spin\nwaves in thin-\flm ferromagnetic solids can lead to a new generation of Boolean\nand analogue processors [1, 2, 3]. One of the important points here is the tech-\nnique of spin wave excitation and modulation of their parameters. Traditionally, 5\nspin waves have been excited and detected using the inductive coupling of micro-\nelectrodes to the dipolar magnetic \feld of the spin wave system. Usually, this\nelectrodynamical coupling is considered to be constant, however, as shown in\nthis work, it can su\u000ber signi\fcant variations, depending on the temperature of\nthe ferromagnetic material. The temperature of the sample can change due to 10\nspin wave dissipation, from 1 to 10oC [4, 5] or up to 100-300oC because of\n\u0003Corresponding author\nEmail address: pabloborys@ciencias.unam.mx (Pablo Borys)\nPreprint submitted to Journal of Magnetism and Magnetic Materials April 30, 2022arXiv:1910.04304v1 [cond-mat.mes-hall] 9 Oct 2019Figure 1: A schematic view of experimental set-up. The inductive probe is attached to YX\nmotorized translation stages\nexternal heating used to control the spin wave propagation [6, 7]. Recently,\nthe typical electrodynamical and magneto-optical methods for spin wave detec-\ntion/excitation were enriched with the spin transfer torque (STT) [8, 9, 10] in\nPt/magnet thin \flm structures caused by electrical or thermal spin currents 15\n[11, 12, 13, 14, 15]. STT has been recognized to be a much promising tool to\ndetect exchange SW, to control dipole SW, and to generate thermo-electricity\non the basis of spin Seebeck e\u000bect. The discovery of the later has stimulated\na number of ideas involving magnetocaloritronics [16]. For example, thermally\nassisted STT has been used for enhancement of spin oscillations in resonators, 20\nspin wave ampli\fcation and spin auto-oscillations [17, 18, 19, 20]. The aim\nof this work is to reveal lateral e\u000bects of sample heating in experimental con-\n\fgurations on the inductive coupling between micro-antennas and the dipolar\nmagnetic \feld of a spin wave system [21, 22, 23, 24, 25, 26, 27, 28, 29].\n2. Experiment 25\nA schematic diagram of the experimental set-up, designed to investigate\nthe coupling between spin waves propagating on a YIG/GGG sample and an\n2inductive micro-transducer, is shown in Fig. 1. The sample is 1 mm wide in the\nZdirection and 28 mm long in the Ydirection. The thickness of the YIG \flm\nis 7\u0016m. The sample was biased by a tangential magnetic \feld ( H0) applied 30\nalong theZaxis to provide the propagation of Magneto-Static Surface Waves\n(MSSW) in the Ydirection. MSSW were excited at one end of the sample (at\nY=Y0), in a pulse regime, by dc electric current pulse \rowing through a 0.25\nmm-wide microstrip line terminated to a 50 Ohm resistive load. This method\nprovides very short spin wave packets, with duration of \u001910 ns. In the time 35\ndomain the shortest period of the magnetization precession in the wave packet\nis limited by the rise time of the electric current pulse, and in the k-space the\nlargest wavenumber (k) is limited by the microstrip line width [3]. The MSSW\npulse propagation characteristics were registered by an inductive frame-shaped\nprobe [30] (Fig. 1) sensitive to the Ymagnetic component of microwave \feld 40\n(hy) induced by the spin wave in the vicinity of the YIG \flm. The probe was\nscanned over the sample plane along the Ycoordinate (Fig. 1) by a motorized\ntranslation stage. The distance between the probe and the sample surface was\nalso controlled by a motorized translation stage. It should be noted that we\nused a frame probe with reduced X-dimension to have high spatial resolution 45\nof hy along the \flm normal, as the probe is displaced in the X direction. The\nprobe electrode was fabricated with a 50 \u0016m micro-wire. The sample was heated\nwith a solid state green laser with variable output power ( Popt), from 40 to 300\nmW. The laser spot on YIG was of 0.5 mm in diameter and was located at the\ndistance of YLfrom the excitation port. 50\nFig 2 compares the time-space evolution of the amplitude of the hy pulse at\nroom temperature (RT sample) in 2(a), with a sample heated at optical power\nPopt= 180 mW in 2(b). The pulse waveform was recorded by a real time\nTektronix oscilloscope with 6 GHz- bandwidth, at di\u000berent Y- positions of the\nprobe, and at \fxed distance \u0001 X= 50\u0016m between the probe and the YIG \flm 55\nplane. The measurements were done with a uniform bias \feld H0= 120 Oe, and\nthe laser spot at the position YL= 15 mm. As seen in Fig.2, the wave packet\nin the optically heated sample acquires an additional group delay, compared to\nthe sample at room temperature. This phenomenon has been discussed in ref.\n[31], and is caused by a reduction on the saturation magnetization Msthat in 60\nturn decreases the slope in the MSSW dispersion relation.\nFig. 3 shows the signal detected by the probe, as the probe moves along\nthe Y axis, at di\u000berent Popt. The value of each point in the curves in Fig. 3\nrepresents the energy of the pulse envelope. As clearly seen in the \fgure, the\nsignal induced in the probe increases in the vicinity of the laser spot, and this 65\nincrement is proportional to temperature of the hot zone. On the other hand,\nin the sample at room temperature (Curve 1) the MSSW pulse propagates and\nattenuates exponentially, in the usual way. The data presented in Fig.3 are\nproportional to the overlap integral between a small e\u000bective area of the probe\nframe and an evanescent function hy(x) [30]. Hence, displacing the probe along 70\nthe \flm normal one can obtain the pro\fle jhy(x)j2, shown in Fig.4. In this\nexperiment the probe was located in the center of the hot zone Y=YL\nFig.4 presents the principal result of this study: the density of hynear\n3Figure 2: Propagation of MSSW pulse along the magnonic waveguide YIG/GGG. The inset in\nFig. 2a) shows details the pulse waveform, with a duration of 8 ns, at three adjacent positions\nalong the Y axis. The data represent the pulse waveform (amplitude) a) in the sample at\nroom temperature, and b) in the sample heated at 380 K in its center.\n4Figure 3: Fig. 3 The energy of the MSSW pulse at di\u000berent distances from excitation port.\nThe curves were recorded at di\u000berent Popt, which induce di\u000berent temperatures in the region\nY=YL: 1) T = T ROOM ; 2) T = T ROOM + 50 K ; 3) T = T ROOM + 70 K ; 4) T =\nTROOM + 90 K.\n5Figure 4: Energy of hycomponent as a function of the distance between the probe and YIG\n\flm surface, at the \fxed Y-position of the probe Y=YL. Red and black experimental points\nshow the energy density in evanescent MSSW \feld in the heated sample (at T = 380 K) and\nthe RT sample, respectively.\n6the \flm interface increases as the sample temperature increases, i.e. the heat\nmodi\fes the \feld con\fnement. 75\n3. Theoretical Background\nThe e\u000bect of the thermally dependent \feld con\fnement is caused by the\ndecrease ofMsin the ferrite \flm, as its temperature increases. It can be analyzed\nanalytically by a full set of Maxwell equations. In our case, considering that the\nsample is in\fnite in YZ plane, the solutions for the magnetic and electric \felds 80\nof MSSW are h= (hx;hy;0) and e= (0;0;ez), respectively. Let us compare\ntransversal pro\fles of monochromatic magnetic \feld components hx; hyin hot\nand RT samples, taking into account that the \felds have to be normalized to\ntransmit a given power \row Pthrough the sample. It is clear that in both hot\nand RT samples a value of Pshould be the same, supposing equal excitation 85\ne\u000eciency of MSSW. It can be shown that the Pointing vector for MSSW is\ncalculated as:\nP=c\n8\u0019\u0002\n\u0000ezh\u0003\nyi+ezh\u0003\nxj\u0003\n(1)\nor\nP2=c\n8\u0019k0\u0016?\u0014\nke2\nz+\u0016a\n\u0016ez@e\u0003\nz\n@x\u0015\nj (2)\nin the YIG \flm, and\nP1;3=c\n8\u0019k0ke2\nzj (3)\nin air and substrate. 90\nHere:kis the MSSW wavenumber, k0=!=c,cis the speed of light in the\nvacuum,!is the MSSW frequency, \u0016= (!2\u0000!2\n1)=(!2\u0000!2\nH),\u0016a=!!M=(!2\u0000\n!2\nH),!H=\rH0, and!M= 4\u0019MS,!1=!H(!+!M), and\ris the electron\ngyromagnetic ratio. 95\nThen, taking into account that h,ein Eq. 1 are proportional to a certain\nconstant,A, the value of Afor both hot and RT sample can be calculated using\nthe conditionP\ni=1;2;3Pi(Hot sample ) =P\ni=1;2;3Pi(RT sample ) =Const .\nThe explicit expressions for MSSW \feld components in Eq. 1 are given in\nAppendix A. The calculated magnetic \feld pro\fles are shown in Fig. 5. 100\nThe results were obtained by using the experimental approximation for\ntemperature dependence of the saturation magnetization in YIG: Ms= 140\u0000\n\u000b\u0001T(G),\u000b\u00190:3 G/K [31]. The \feld pro\fles in Fig.5 correlate well with the\nexperimental pro\fles in Fig.4.\n4. Discussion and Conclusions 105\nThe peculiarity of the results for the pulse group delay shown in Fig. 2 is that\nthe local heating increases the pulse delay, however, it does not change the group\nvelocity dispersion. As seen in Fig.2, the pulse width (the pulse duration) in the\n7Figure 5: Fig.5. The values of the tangential (hy) an the normal (hx) \feld components\ncalculated for hot (red curves) and RT (blue curves) samples.\n8hot region remains unchanged, with respect to the pulse width in the RT sample.\nThis means that spatial width of the pulse along the Y coordinate decreases, 110\ni.e. there is spatial, longitudinal compression of the pulse along the propagation\ndirection. This leads to the increase of a peak and average amplitude of the\npulse envelope for pulse power to be conserved. The e\u000bect has been analyzed\nin [32], where we used a large diameter loop antenna that was not sensitive to\nthe e\u000bect of the transversal con\fnement of the evanescent \feld shown in Fig.4, 115\nand 5. On the other hand, the results presented in Fig.3, 4, and 5 indicate that\nincreasing the sample temperature increases the coupling between MSSW \feld\nand the micro-antenna. The experimental, Fig.4, and theoretical, Fig.5, data\ndemonstrate that this e\u000bect takes place due to an increasing concentration of\nmagnetic \felds near YIG-Air interface, the so-called transversal con\fnement. 120\nIn conclusion, it is shown that the increase of the sample temperature leads\nto the increase of both longitudinal and transversal con\fnement of MSSW in\nthe vecinity of YIG \flm. This e\u000bect, in turn, is revealed as the increase of the\nsignal induced in a micro-antenna, that has to be taken into account in the\nexperiments on spin-wave caloritronics. 125\n5. Appendix A\nFull system of Maxwell equations for electromagnetic waves in the sample\nsaturated in the Z direction describes two kind of waves. The subsystem\n@hz\n@y=i\"k0ex\n@hz\n@y=\u0000i\"k0ey\n@ey\n@x\u0000@ex\n@y=\u0000ik0hz(4)\ndescribes fast waves, which neglects magnetism, and the subsystem\n@hy\n@x\u0000@hx\n@y=i\"k0ez\n\u0000ik0(\u0016hx\u0000i\u0016ahy) =@ez\n@y\nik0(i\u0016ahx+\u0016hy) =@ez\n@y(5)\nthat is used to describe MSSW. It can be reduced to 130\n@2ez\n@x2+@2ez\n@y2+\"\u0016?k2\n0ez= 0 (6)\nMSSW \felds, where \u0016?= (\u00162\u0000\u00162\na)=\u0016, which satisfy Eq. 2b, and Eq.3 are\nez=Ae\fax+i(!t\u0000ky);hx=k\nk0Ae\fax+i(!t\u0000ky);hy=\u0000i\fa\nk0Ae\fax+i(!t\u0000ky)\n| {z }\nAir(7)\n9ez= (Bcosh (\fmx) +Csinh (\fmx))ei(!t\u0000ky)\nhx=1\nk0(\u00162\u0000\u00162a)[\u0016k(Bcosh (\fmx) +Csinh (\fmx)) +\u0016a\fm(Bsinh (\fmx) +Ccosh (\fmx))]ei(!t\u0000ky)\nhy=1\nk0(\u00162\u0000\u00162a)[\u0016ak(Bcosh (\fmx) +Csinh (\fmx)) +\u0016\fm(Bsinh (\fmx) +Ccosh (\fmx))]ei(!t\u0000ky)\n| {z }\nYIG\n(8)\nez=De\u0000\fax+i(!t\u0000ky); hx=k\nk0De\u0000\fax+i(!t\u0000ky); hy=i\fa\nk0De\u0000\fax+i(!t\u0000ky);\n| {z }\nSubstrate\n(9)\nwith\fa=p\nk2\u0000k2\n0, and\fm=p\nk2\u0000\u000f\u0016?k2\n0. The standard electrody-\nnamic boundary conditions at the structure interfaces determine the following\nrelations between the coe\u000ecients A,B,C,D\nA=B; De\u0000\fas=Bcosh (\fms) +Ccosh (\fms); \fa\u0000\n\u00162\u0000\u00162\na\u0001\nA=\u0016akB+\u0016\fmC;\n\u0000\fa\u0000\n\u00162\u0000\u00162\na\u0001\ne\u0000\fasD=\u0016ak(Bcosh (\fms) +Csinh (\fms)) +\u0016\fm(Bsinh (\fms) +Ccosh (\fms))\n(10)\nThen, the constant A is calculated from the condition 135\nX\ni=1;2;3Pi(Hotsample ) =X\ni=1;2;3Pi(RT sample ) =Const: (11)\n6. Acknowledgements\nThis work was supported by the UNAM-DGAPA research grant IG100517,\nand by fellowship BECA UNAM Posdoctoral. Dr. O. Kolokoltsev is thankful\nto UNAM-DGAPA for sabbatical scholarship.\nReferences 140\n[1] A. Khitun, M. Bao, K. L. Wang, Spin wave magnetic nanofabric: A new\napproach to spin-based logic circuitry, IEEE Transactions on Magnetics\n44 (9) (2008) 2141{2152. doi:10.1109/TMAG.2008.2000812 .\n[2] A. Khitun, M. Bao, K. L. Wang, Magnonic logic circuits, Journal of Physics\nD: Applied Physics 43 (26) (2010) 264005. 145\n[3] O. V. Kolokoltsev, C. L. Ord\u0013 o~ nez-Romero, N. Qureshi, Synthesis and pro-\ncessing of pseudo noise signals by spin precession in y3 fe5o12 \flms, Journal\nof Applied Physics 110 (2) (2011) 024504.\n10[4] O. Kolokoltsev, C. Ordonez-Romero, N. Qureshi, R. Ortega-Martinez,\nV. Grimalsky, Optical characterization of thermal-stress induced by spin 150\nwaves in thin-\flm ferrimagnetic structures, Solid State Communications\n142 (3) (2007) 137{142.\n[5] Y. K. Fetisov, C. E. Patton, Thermal microwave foldover and bistability\nin ferromagnetic resonance, IEEE transactions on magnetics 40 (2) (2004)\n473{482. 155\n[6] O. Rousseau, B. Rana, R. Anami, M. Yamada, K. Miura, S. Ogawa,\nY. Otani, Realization of a micrometre-scale spin-wave interferometer, Sci-\nenti\fc reports 5 (2015) 9873.\n[7] O. Kolokoltsev, N. Qureshi, E. Mej\u0013 \u0010a-Uriarte, C. L. Ord\u0013 o~ nez-Romero, Hot\nspin-wave resonators and scatterers, Journal of Applied Physics 112 (1) 160\n(2012) 013902.\n[8] J. C. Slonczewski, Current-driven excitation of magnetic multilayers, Jour-\nnal of Magnetism and Magnetic Materials 159 (1-2) (1996) L1{L7.\n[9] E. Saitoh, M. Ueda, H. Miyajima, G. Tatara, Conversion of spin current\ninto charge current at room temperature: Inverse spin-hall e\u000bect, Applied 165\nphysics letters 88 (18) (2006) 182509.\n[10] Y. Kajiwara, K. Harii, S. Takahashi, J.-i. Ohe, K. Uchida, M. Mizuguchi,\nH. Umezawa, H. Kawai, K. Ando, K. Takanashi, et al., Transmission of\nelectrical signals by spin-wave interconversion in a magnetic insulator, Na-\nture 464 (7286) (2010) 262. 170\n[11] Z. Wang, Y. Sun, Y.-Y. Song, M. Wu, H. Schulthei\u0019, J. E. Pearson, A. Ho\u000b-\nmann, Electric control of magnetization relaxation in thin \flm magnetic\ninsulators, Applied Physics Letters 99 (16) (2011) 162511.\n[12] Y. Kajiwara, K. Uchida, D. Kikuchi, T. An, Y. Fujikawa, E. Saitoh, Spin-\nrelaxation modulation and spin-pumping control by transverse spin-wave 175\nspin current in y3fe5o12, Applied Physics Letters 103 (5) (2013) 052404.\n[13] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando,\nS. Maekawa, E. Saitoh, Observation of the spin seebeck e\u000bect, Nature\n455 (7214) (2008) 778.\n[14] K.-i. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, E. Saitoh, Ob- 180\nservation of longitudinal spin-seebeck e\u000bect in magnetic insulators, Applied\nPhysics Letters 97 (17) (2010) 172505.\n[15] K. Uchida, J. Xiao, H. Adachi, J.-i. Ohe, S. Takahashi, J. Ieda, T. Ota,\nY. Kajiwara, H. Umezawa, H. Kawai, et al., Spin seebeck insulator, Nature\nmaterials 9 (11) (2010) 894. 185\n11[16] G. E. Bauer, E. Saitoh, B. J. Van Wees, Spin caloritronics, Nature materials\n11 (5) (2012) 391.\n[17] C. Safranski, I. Barsukov, H. K. Lee, T. Schneider, A. Jara, A. Smith,\nH. Chang, K. Lenz, J. Lindner, Y. Tserkovnyak, et al., Spin caloritronic\nnano-oscillator, Nature communications 8 (1) (2017) 117. 190\n[18] H. Chang, P. P. Janantha, J. Ding, T. Liu, K. Cline, J. N. Gelfand, W. Li,\nM. C. Marconi, M. Wu, Role of damping in spin seebeck e\u000bect in yttrium\niron garnet thin \flms, Science advances 3 (4) (2017) e1601614.\n[19] V. Demidov, S. Urazhdin, E. Edwards, S. Demokritov, Wide-range con-\ntrol of ferromagnetic resonance by spin hall e\u000bect, Applied Physics Letters 195\n99 (17) (2011) 172501.\n[20] L. Lu, Y. Sun, M. Jantz, M. Wu, Control of ferromagnetic relaxation in\nmagnetic thin \flms through thermally induced interfacial spin transfer,\nPhysical review letters 108 (25) (2012) 257202.\n[21] T. An, V. Vasyuchka, K. Uchida, A. Chumak, K. Yamaguchi, K. Harii, 200\nJ. Ohe, M. Jung\reisch, Y. Kajiwara, H. Adachi, et al., Unidirectional spin-\nwave heat conveyer, Nature materials 12 (6) (2013) 549.\n[22] M. Jung\reisch, T. An, K. Ando, Y. Kajiwara, K. Uchida, V. Vasyuchka,\nA. Chumak, A. Serga, E. Saitoh, B. Hillebrands, Heat-induced damping\nmodi\fcation in yttrium iron garnet/platinum hetero-structures, Applied 205\nPhysics Letters 102 (6) (2013) 062417.\n[23] B. Obry, V. I. Vasyuchka, A. V. Chumak, A. A. Serga, B. Hillebrands,\nSpin-wave propagation and transformation in a thermal gradient, Applied\nPhysics Letters 101 (19) (2012) 192406.\n[24] K. An, D. R. Birt, C.-F. Pai, K. Olsson, D. C. Ralph, R. A. Buhrman, 210\nX. Li, Control of propagating spin waves via spin transfer torque in a\nmetallic bilayer waveguide, Physical Review B 89 (14) (2014) 140405.\n[25] E. Padr\u0013 on-Hern\u0013 andez, A. Azevedo, S. Rezende, Ampli\fcation of spin waves\nby the spin seebeck e\u000bect, Journal of Applied Physics 111 (7) (2012)\n07D504. 215\n[26] M. Evelt, V. Demidov, V. Bessonov, S. Demokritov, J. Prieto, M. Mu~ noz,\nJ. Ben Youssef, V. Naletov, G. De Loubens, O. Klein, et al., High-e\u000eciency\ncontrol of spin-wave propagation in ultra-thin yttrium iron garnet by the\nspin-orbit torque, Applied Physics Letters 108 (17) (2016) 172406.\n[27] O. Gladii, M. Collet, K. Garcia-Hernandez, C. Cheng, S. Xavier, P. Bor- 220\ntolotti, V. Cros, Y. Henry, J.-V. Kim, A. Anane, et al., Spin wave ampli-\n\fcation using the spin hall e\u000bect in permalloy/platinum bilayers, Applied\nPhysics Letters 108 (20) (2016) 202407.\n12[28] M. Jung\reisch, A. Chumak, A. Kehlberger, V. Lauer, D. Kim, M. Onbasli,\nC. Ross, M. Kl aui, B. Hillebrands, Thickness and power dependence of the 225\nspin-pumping e\u000bect in y 3 fe 5 o 12/pt heterostructures measured by the\ninverse spin hall e\u000bect, Physical Review B 91 (13) (2015) 134407.\n[29] T. Langner, D. A. Bozhko, S. A. Bunyaev, G. N. Kakazei, A. V. Chu-\nmak, A. A. Serga, B. Hillebrands, V. I. Vasyuchka, Spin-wave propagation\nthrough a magnonic crystal in a thermal gradient, Journal of Physics D: 230\nApplied Physics 51 (34) (2018) 344002.\n[30] N. P. Vlannes, Optical probing of magnetostatic forward volume waves\nin thin \flm yttrium-iron-garnet, Journal of applied physics 62 (3) (1987)\n972{989.\n[31] H. Algra, P. Hansen, Temperature dependence of the saturation magneti- 235\nzation of ion-implanted yig \flms, Applied Physics A 29 (2) (1982) 83{86.\n[32] O. Kolokoltsev, I. G\u0013 omez-Arista, N. Qureshi, A. Acevedo, C. L. Ord\u0013 o~ nez-\nRomero, A. Grishin, Compression gain of spin wave signals in a magnonic\nyig waveguide with thermal non-uniformity, Journal of Magnetism and\nMagnetic Materials 377 (2015) 1{5. 240\n13" }, { "title": "1903.01605v1.All_optical_cryogenic_thermometry_based_on_NV_centers_in_nanodiamonds.pdf", "content": "All-optical cryogenic thermometry based on NV centers in nanodiamon ds \n \nM. Fukami1, C. G. Yale1,†, P. Andrich1,‡, X. Liu1, F. J. Heremans1,2, P. F. Nealey1,2, D. D. Awschalom1,2,* \n \n1. Institute for Molecular Engineering, University of Chicago, Chicago, IL 60637 \n2. Institute for Molecular Engineering and Materials Science Division, Argonne National Lab, Argonne, IL \n60439 \n \n†Present address: Sandia National Laboratories, Albuquerque, NM, 87185 \n‡Present address: University of Cambridge, Cavendish Laboratory, JJ Thomson Ave, Camb ridge CB3 0HE \n*Email: awsch@uchicago.edu \n \n \nABSTRACT \nThe nitrogen -vacancy (NV) center in diamond has been recognized as a high -sensitivity nanometer -scale \nmetrology platform . Thermometry has been a recent focus, with attention largely confined to room temperature \napplications. Temperature sensing at low temperatures , however, remains challenging as the sensitivity decreases for \nmany commonly used technique s, which rely on a temperature dependent frequency shift of NV center’s spin \nresonance and its control with microwaves . Here w e use an alternative approach that does not require microwaves , \nratiometric all -optical thermometry , and demonstrate that it may be utilized to liquid nitrogen temperatures without \ndeterioration of the sensitivity . The use of an array of nanodiamonds embedded within a portable \npolydimethylsiloxane (PDMS) sheet provides a versatile temperature sensing platform that can probe a wide variety \nof systems without the configurational restrictions needed for applying microwaves . With this device, w e observe a \ntemperature gradient over tens of microns in a ferromagnetic -insulator substrate (yttrium iron garnet, YIG) under \nlocal heating by a resistive heater . This thermometry technique provides a cryogenically compatible, microwave -\nfree, minimally invasive approach capable of probing local temperatures with few restriction s on the substrate \nmaterials . \n \n \nI. INTRODUCTION \nLocal temperature variation plays a central role in many -body physics governed by hydrodynamic \ndescription s [1,2] , in biomolecular science [3], as well as in thermal engineering of integrated circuit s. Among the \nexisting high -sensitivity nanometer -scale thermometers, nitrogen vacancy (NV) centers in nanodiamond s (NDs) \nhave emerged as promising temperature -sensitive fluorescent probes . The negatively -charged NV -center (NV-) \nconsists of a ground state spin triplet manifold with a zero-field splitting 𝒟⋍2.87 GHz that sensitively responds to \ntemperature s, where the shift can be measured by reading out the spin optically [3–6]. By vi rtue of diamond’s high \nthermal conductivity an d NV- centers’ long spin coherence time, ND-based thermometry has been demonstrated in a \nvariety of systems , such as within a living cell at room temperature [3]. The temperature response of 𝒟 is \nsignificantly smaller at low temperatures, however, which reduces sensitivity and hinders the conventional \nthermometry technique [7,8] . \n \nRatiometric all -optical thermometry has been proposed as an alternative to the convent ional microwave \nspin-resonance thermometry technique with compatible sensitivity at room temperature [9–12]. It also enables \ntemperature sensing without the application of microwave s, which removes concern s of microwave heating . \nInterestingly, the temperature sensitivity of the all -optical thermometer is estimated to improve at lower \ntemperatures (see Supplementary Material, Sec. A [13]), and indicates that this tech nique can offer a path forward \ntowards ND-based cryogenic thermometry . The use of an array of NDs on a polydimethylsiloxane ( PDMS ) sheet \n[13] combined with all -optical thermometry completely removes configurational restrictions needed for microwave \napplication s, offering a versatile device capable of probing a wide variety of solid -state systems over tens of microns \nwith an adjustable spatial resolution on the order of a few microns. This makes all-optical thermome try suitable for \nprobing and imaging a variety of condensed matter systems , and may have advantages over conventional NV-center \nthermometry technique s depending on the required thermal or spatial resolutions as well as the potential microwave \nresponse of the target system . \n \nHere we extend the all -optical thermometry technique based on the NV- centers in NDs from room \ntemperature to liquid nitrogen temperatures , 85 K\nT 300 K , and demonstrate its application on a ferromagnetic insulato r (yttrium iron garnet, YIG) substrate . In particular, we focus on YIG as a platform to demonstrate our \nsensing approach both because the microwave s used to manipulate NV centers in conventional thermometry would \nimpact the magnetic spins in the YIG [14–19], and the low temperature thermal response of YIG is of interest in the \nstudy of the spin -Seebec k effect [20–24]. We initially demonstrate that a laser -pulse sequence to control the NV \ncenters’ charge states improve s the sensitivity of the all -optical thermometer by approximately a factor of \n3 . \nNext , we systematically study the temperatu re dependence of the sensitivity , demonstrating that it improves at \ncryogenic temperatures . Finally , we apply this all-optical cryogenic thermometry technique at \nT 170 K to measure \nthe surface temperature profile of a YIG slab in contact with a resistive heater, with the array of NDs embedded on \nthe surface of a flexible PDMS sheet . The observed temperature gradient over a range of tens of micrometers \nconfirms the applicability of the technique on the YIG substrate , indicating that it provides a tool for study ing local \nthermal properties of a wide variety of substrates over a broad range of temperatures. \n \n \nII. DEMONSTRATION OF CRYOGENIC ALL -OPTICAL THERMOMETRY \nWe focus on the temperature dependence of the NV- centers’ zero phonon line (ZPL) amplitude ratio (\nA), \nwhich is defined as the ratio of the ZPL intensity with respect to an average photoluminescence (PL) intensity in a \nspectral range around the ZPL. The ratio \nA strongly responds to temperature change due to the presence of a \ncoupling between the orbital state of NV- and vibrational modes in diamond [25] (see Supplementary Material, Sec. \nB [13]), which leads to a high temperature sensitivity . The experiment was conducted on an array of NDs containing \nensemble s of NV- centers measured with a confocal microscope using a high numerical aperture objective (NA =0.9) \nas shown in Fig. 1(a). An array of NDs embedded into the flexible PDMS sheet was placed on the surface of a 3.05-\nm-thick YIG film grown on a 500-m-thick gadolinium gallium garnet ( GGG ) substrate (MTI Corp.) . A Ti/Au \n(thickness: 8nm/200nm) resistive heater , for local heating , was patterned on the YIG film using a lithographic \nprocess . The bottom of the GGG substrate was affixed to a copper thermal sink within a flow cryostat . Both \ncharacterization (section II) and application (section III) of the thermometry were conducted on the same device \nwith a YIG substrate for consistency (for data without a PDMS sheet on a quartz substrate, see Supplementary \nMaterial, Sec. I [13]). \n \nFigure 1(b) shows a two-dimensional PL scan of a n individual spot in the array of NDs under continuous \n594-nm excitation measured by an avalanche photodiode (APD). The 594 -nm light does not excite the neutrally -\ncharged NV -center (NV0) [26,27] and removes the noisy NV0 phonon -sideband spectral emission from the NV-‘s \nZPL spectrum . The diameter of the spot is 1000 nm which is defined by our microfabrication technique [28], and \ncontains tens of NDs, where each ND contains hundreds of NV centers [28]. Figure 1(c) shows a horizontal cut \nthrough the maximum of Fig. 1(b) . Interestingly, when we applied pulse sequence s of the 594-nm and 532-nm laser s \nas shown in F ig. 1(d) , which is in contrast to the previous studies with a continuous -wave excitation [11,12] , the PL \ncount rate was enhanced by approxi mately a factor of three (see Supplementary Material, Sec. D [13]). The \nenhancement is due to the charge -state conversion between NV- and NV0 [13,29 –31]. While charge -state \nconversions of NV centers in NDs have not been comprehensively studied to our knowledge, we simply assume the \nresults reported in bulk diamonds are applicable and attribute the PL enhancement to the charge -state convers ion. \nSince the sensitivity of the all-optical thermometer is limited by shot noise , improving the PL count rate by a factor \nof \n 3 increases sensitivity by a factor of \n3 (see Supplementary Material, Sec. E [13]). In the following spectral \nmeasurements , we send the PL to a spectrometer and gate the intensifier of a single -photon sensitive CCD camera in \nthe spectrometer (iStar 334T, Andor) triggered by the pulse sequence s. Every spectra l measurement was followed by \na background measurement taken off the ND and the background counts were subtracted . (see Supplemental \nMaterial, Sec. F [13]). \n \nFigure 2(a) shows the PL spectra \n()Lh of NV- centers in the temperature range 85 K\nT 100 K . \nMonotonic change in the spectra is observed except near \nT ≃230 K and \nT ≃150 K , which are due to the melting \npoint and the glass transition point of the PDMS , respectively . We note that the presence of the PDMS sheet does \nnot change the thermometry property of NV centers except PL count rate s, which is verified by the measurements \ndone on NDs without a PDMS sheet (See Supplementary Material, Sec. I [13]). To maximize the PL count rat e, we \nwidely opened the slit in the spectrometer, which results in a wavelength resolution \n =3.5 nm. For the temperature \nsensing, we focus on the ZPL emission peak at \nh≃1.94 eV (637 nm) . Importantly, the ZPL becomes sharper and \nmore prominent at lower temperatures . In this experiment , we focused on the PL in the wavelength ranging from \n605 nm to 660 nm , which we define as the spectral range (ℛ) (for the choice of this range, see Supplemental Materia l, Sec. G [13]). As shown in the inset of F ig. 2(b), we fit the relative spectrum \nLLR by a sum of a \nsquared -Lorentzian function and an exponential function \n \nZPL\n2 2 2\nB ZPL() 1( ) exp[ ( ) ]hL h L A Bk w h h R (1) \nwhere \nBk is the Boltzmann constant , ℎ is the Plank constant, \nLR is the average PL intensity in the spectral \nwindow ℛ and \nZPL { , , , , }A B w are fitting parameters. A squared -Lorentzian function instead of a Lorentzian \nfunction is used as suggested in Ref. [32] for better fit s at cryogenic temperatures. Temperature dependence of the \nratio \nA is shown as solid marker s in Fig. 2(b) , where the solid and dot ted curves are derived from the two fits of the \nreduced Debye -Waller factor and the ZPL linewidth shown in Figs. 2(c) and 2(d). We note that the reduced Debye -\nWaller factor is defined in this work as the ratio of the integra ted ZPL emission , which corresponds to the area under \nthe squared -Lorentzian fit , to the total PL in the range ℛ. Importantly , we find a maximum in the slope of the ratio 𝐴 \naround \nT\n 150 K, which coincidentally corresponds to the glass transition temperature of the PDMS , though does \nnot appear to be related to it (See Supplementary Material, Sec. H and Sec. I [13]). \n \nWhile the stronger temperature response \ndA dT at lower temperatures observed in this study is desirable \nfor the improved temperature sensing, t he presence of the maximum cannot be explained by a currently existing \nmodel , since it predicts a monotonic increase of the temperature response at lower temperatures . This can be \nresolved by taking into account a constant term (\na) in the linewidth \n2w a bT , modifying t he analytical \nexpression of the ZPL amplitude ratio to be (see Supplemental Material, Sec. J [13]) \n \n2\n22 exp( )\n()TAa bT\nR (2) \nwhere \n and \n are fitting parameters of the reduced Debye -Waller factor and \nR is the size of the spectral \nwindow ℛ. The constant contribution is due both to a resolution \n of the spectrometer and an inhomogeneous \nbroadening. W avelength resolution \n can be improved by narrowing down the slit in the spectrometer with a \ntrade -off of the PL count rate. The inhomogeneous broadening is not negligible at lower temperatures due to crystal \nstrain variations both between different NDs and within the individual commercial NDs used in this study . These \nlimitations could be overcome by introducing engineered nanoparticles [33,34] , leading to an enhanced temperature \nresponse at cryogenic temperatures . \n \nThe temperature sensitivity \n of a thermometer, which is sometimes referred to as the noise floor, is not \nonly quantified by the temperature response \ndA dT but also by the uncertainty \nA in the measurement of \nA . \nThey are related by \n1\nAt dA dT , where \nt is the measurement time . While the temperature response \nincreases at lower temperatures , \nA grow s along with the temperature response. To fully characterize the sensitivity \nof the thermometry technique, we studied the uncertainty \nA as a function of temperature \nT . At each temperature, \nPL spectrum measurements with an integration time of \nt 2.5 s were repeated one hundred times (F ig. 3(a)). We \nthen calculate the standard deviation \nA for each data set and show its temperature dependence in F ig. 3(b). Note \nthat the standard deviation \nA is rescaled by a factor \nZPLCt to quantitatively compare the results at different \ntemperatures, where \nZPLC is the ZPL count rate shown in the inse t of F ig. 3(c) that corresponds to the area under the \nsquared -Lorentzian fit (see Supplemental Material, Sec. L [13]). The dashed curve shows the lower bound when the \nnoise is coming only from photon shot noise, while the dotted curve shows the lower bound when the CCD camera’s \ndark-current shot noise also contributes to the noise in the measurement of the ratio \nA (see Supplementary Material, \nSec. M [13]). The experimental observation is well explained by the dotted curve, demonstrating that the standard \ndeviation \nA is limited both by the ZPL photon shot noise and the CCD’s dark current shot noise. \n \nComb ining the temperature dependencies of \nA and \ndA dT as shown in F igs. 3(c) and 2(b), we plot the \ntemperature dependence of the sensitivity \n in Fig. 3(d) . The lower bounds shown are derived from the same \nmodel s as in F ig. 3(c). Importantly, the sensitivity improves at cryogenic temperatures in contrast to the conventional thermometry technique based on the temperature dependent shift in the zero -field splitting . We note \nthat the sensitivity calculated in this study at \nT 300 K does not reach the level of the sensitivity provided in the \nprevious report on all -optical thermometry at room temperature [11]; however, taking into account detection \nefficiency differences, our result is found to be fully consistent with the one in Ref. [11]. This can be confirmed by \nintroducing a projected sensitivity \nproj as shown in F ig. 3(d), wh ich assumes as high ZPL counts rate s as in \nRef. [11] and shows an anticipated sensitivity compatible with their result (for detail, see Supplemental Material, \nSec. O [13]). The highest temperature sensitivity is achieved near \nT\n 200 K, which can be understood through the \nsimplified analytical model that only considers the temperature evolution of the DWF (for detail on the necessary \nassumptions , see Supplemental Material, Sec. P [13]) \n \n12\ntot 011exp2 2 (DWF)TTT\nC\n\n\n\n (3) \nresulting in a minimum at \n1T\n =218 K, where \ntotC is the total PL counts rate of NV- and \n0(DWF)T is the \n(non-reduced) Debye -Waller factor at absolute zero (For the discussion of the effect of the PDMS sheet, see \nSupplemental Material, Sec. Q [13]). While there is a quantitative mismatch due to oversimplification in the model , \nthis model captures the existence of the minimum well. To further improve the sensitivity at low temperature s, one \ncould, for instance, increase the ZPL count rate by improving the detection efficiency and utilize brighter NDs that \ncontain more NV- centers . \n \nIII. SURFACE TEMPERATURE IMAGING OF A YIG FILM \nTo demonstrate the applicability of the all -optical thermometer , we apply an 80-mA current to the resistive \nheater to generate a temperature gradient in the YIG and measure the spatial temperature variation of the YIG \nsurface using an array of NDs, as illustrated in F ig. 1(a) . Since the YIG has spin -wave resonances at microwave \nfrequencies near 𝒟 [14–19], this measurement confirms that the all -optical thermometry technique can be used \nindependently of substrate materials where microwave control is problematic . In the se experiments , the base \ntemperature of the copper heat sink is stabilized at \nT =170 K (see Supplemental Material , Sec. R [13]). Figure 4(a) \nshows a two -dimensional spatial scan of the PL from the array of NDs used in this study. To construct the \ntemperature profile, we repeat temperature measurements at multiple spots in the array . The accuracy of the \nmeasured temperature is ensured by calibrating NDs individually (see Supplemental Material , Sec. S [13]) and the \ntemperature dependencies of \nZPL { , , , }Bw in addition to \nA are utilized for calculating the local temperature (see \nSupplemental Material , Sec. T [13]). For each measurement, the PL is collected in total for 500 s. \n \nFigure 4(b) shows the resulting temperature profile of the YIG surface, where we observe a temperature \ndecay on the order of tens -of-microns from the heat source. The temperature of each spot as a function of the \ndistance from the heater is shown in F ig. 4(c), where the error bars include both the uncertainty of the sensing and \nthe err or in the calibration. The data is fit well by the Green’s function to the two -dimensional Poisson equation, \nshowing that the temperature field in the YIG approximately follows the steady state diffusion equation with a single \nheat carrier. We note that the Poisson equation is not accurate in YIG because there are two kinds of heat carriers, \nphonons and magnons. A deviation from the Poisson equation is expected near the heat source within a length scale \nof a magnon -phonon thermalization, which is much small er than a few micrometers [35]. In our experiment, NDs \ndirectly measure temperatures of the YIG lattice, or phonon s, and we do not observe any perturbation to the \nqualitative feature of the steady -state phononic temperature profile by the presence of magnons in YIG , which is \nexpected due to our thermal and spatial resolutions . (see Supplementary Material, Sec. U [13]) [20,24] . \n \n \nIV. CONCLUSION \nWe demonstrate and characterize an all-optical thermometry technique based on NV- center ensembles in \nND that can be deployed from room temperature to liquid nitrogen temperatures , with a sensitivity that increases \nwith decreasing temperature . Furthermore , the PL intensity of NV- centers is enhanced by implem enting pulse \nsequences to convert NV0 into NV-, leading to a higher temperature sensitivity by approximately a factor of \n3 . \nSystematic noise analysis reveal s that the sensitivity is limited by the shot noise and the inhomogeneous broadening \nof the ZPL linewidth , suggesting a pathway for further sensitivity improvements by optimizing the spectral \nresolution, improving the PL detection efficiency , and introducing engineered NDs with high brightness and \nhomogeneous crystal strain s. Taking advantage of an array of NDs embedded i n a flexible PDMS sheet, w e show the utility of the all -optical thermometer at \nT =170 K by measuring the surface temperature profile of a YIG slab \nthermally driven by a resistive heater . This all-optical thermome try technique along with the versatility of the ND \nmembrane array provides a microwave -free, minimally invasive , and cryogenic ally compatible way of measuring \nlocal temperatures within a variety of substrate materials . \n \nACKNOWLEDGMENTS \nThis work was supported by the Air Force Office of Scientific Research and the Army Research Office \nthrough the MURI program, grant no. W911NF -14-1-0016. The fabrication of the diamond nanoparticle arrays was \nsupported by the US Department of Energy, Office of Science, Basic Energy Sciences, Materials Sciences and \nEngineering Division. FJH, PFN , and DDA were supported by the US Department of Energy, Office of Science, \nBasic Energy Sciences, Materials Sciences and Engineering Division. This work made use of shared facilities \nsupported by the NSF MRSEC Program under grant no. DMR -0820054. The autho rs thank P. C. Jerger, B. B. Zhou, \nC. M. Anderson and J. C. Karsch for useful discussions. \n \nReferences : \n[1] S. A. Hartnoll, P. K. Kovtun, M. Müller, and S. Sachdev, Phys. Rev. B - Condens. Matter Mater. Phys. 76, 1 \n(2007). \n[2] B. I. Halperin and P. C. Hohenberg, Phys. Rev. 188, 898 (1969). \n[3] G. Kucsko, P. C. Maurer, N. Y. Yao, M. Kubo, H. J. Noh, P. K. Lo, H. Park, and M. D. Lukin, Nature 500, \n54 (2013). \n[4] J. Wang, F. Feng, J. Zhang, J. Chen, Z. Zheng, L. Guo , W. Zhang, X. Song, G. Guo, L. Fan, C. Zou, L. Lou, \nW. Zhu, and G. Wang, Phys. Rev. B - Condens. Matter Mater. Phys. 91, 1 (2015). \n[5] P. Neumann, I. Jakobi, F. Dolde, C. Burk, R. Reuter, G. Waldherr, J. Honert, T. Wolf, A. Brunner, J. H. \nShim, D. Suter, H. Sumiya, J. Isoya, and J. Wrachtrup, Nano Lett. 13, 2738 (2013). \n[6] D. M. Toyli, C. F. de las Casas, D. J. Christle, V. V. Dobrovitski, and D. D. Awschalom, Proc. Natl. Acad. \nSci. 110, 8417 (2013). \n[7] D. M. Toyli, D. J. Christle, A. Alkauskas, B. B. Bu ckley, C. G. Van de Walle, and D. D. Awschalom, Phys. \nRev. X 2, 1 (2012). \n[8] M. W. Doherty, V. M. Acosta, A. Jarmola, M. S. J. Barson, N. B. Manson, D. Budker, and L. C. L. \nHollenberg, Phys. Rev. B - Condens. Matter Mater. Phys. 90, 1 (2014). \n[9] P. C. Ts ai, C. P. Epperla, J. S. Huang, O. Y. Chen, C. C. Wu, and H. C. Chang, Angew. Chemie - Int. Ed. \n56, 3025 (2017). \n[10] T. Plakhotnik, Curr. Opin. Solid State Mater. Sci. 21, 25 (2017). \n[11] T. Plakhotnik, H. Aman, and H. C. Chang, Nanotechnology 26, (2015). \n[12] T. Plakhotnik, M. W. Doherty, J. H. Cole, R. Chapman, and N. B. Manson, Nano Lett. 14, 4989 (2014). \n[13] See Supplementary Information (n.d.). \n[14] P. Andrich, C. F. de las Casas, X. Liu, H. L. Bretscher, J. R. Berman, F. J. Heremans, P. F. Nealey, a nd D. \nD. Awschalom, Npj Quantum Inf. 1 (2017). \n[15] C. Du, T. van der Sar, T. X. Zhou, P. Upadhyaya, F. Casola, H. Zhang, M. C. Onbasli, C. A. Ross, R. L. \nWalsworth, Y. Tserkovnyak, and A. Yacoby, Science (80 -. ). 357, 195 (2017). \n[16] T. Van Der Sar, F. C asola, R. Walsworth, and A. Yacoby, Nat. Commun. 6, 1 (2015). \n[17] C. S. Wolfe, V. P. Bhallamudi, H. L. Wang, C. H. Du, S. Manuilov, R. M. Teeling -Smith, A. J. Berger, R. \nAdur, F. Y. Yang, and P. C. Hammel, Phys. Rev. B - Condens. Matter Mater. Phys. 89, 1 (2014). \n[18] M. R. Page, F. Guo, C. M. Purser, J. G. Schulze, T. M. Nakatani, C. S. Wolfe, J. R. Childress, P. C. \nHammel, G. D. Fuchs, and V. P. Bhallamudi, ArXiv (2016). \n[19] C. S. Wolfe, S. A. Manuilov, C. M. Purser, R. Teeling -Smith, C. Dubs, P. C. Ham mel, and V. P. \nBhallamudi, Appl. Phys. Lett. 108, 2 (2016). \n[20] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W. Bauer, R. Gross, and S. T. B. Goennenwein, Phys. \nRev. B - Condens. Matter Mater. Phys. 88, 1 (2013). \n[21] M. Hayashi, L. Thomas, and C. Rettner, Appl. Phys. … 92, 112510 (2008). \n[22] B. L. Giles, Z. Yang, J. S. Jamison, J. M. Gomez -Perez, S. Vélez, L. E. Hueso, F. Casanova, and R. C. \nMyers, Phys. Rev. B 96, 1 (2017). \n[23] C. M. Jaworski, J. Yang, S. MacK, D. D . Awschalom, R. C. Myers, and J. P. Heremans, Phys. Rev. Lett. \n106, (2011). \n[24] K. An, K. S. Olsson, A. Weathers, S. Sullivan, X. Chen, X. Li, L. G. Marshall, X. Ma, N. Klimovich, J. \nZhou, L. Shi, and X. Li, Phys. Rev. Lett. 117, 1 (2016). [25] M. F. H. G . Davies, Proc. R. Soc. London A 348, 285 (1976). \n[26] A. Gali, Phys. Rev. B 1 (2009). \n[27] S. Felton, A. M. Edmonds, and M. E. Newton, Phys. Rev. B 1 (2008). \n[28] P. Andrich, J. Li, X. Liu, F. J. Heremans, P. F. Nealey, and D. Awschalom, Nano Lett. 18, 4684 (2018). \n[29] X. D. Chen, S. Li, A. Shen, Y. Dong, C. H. Dong, G. C. Guo, and F. W. Sun, Phys. Rev. Appl. 7, 1 (2017). \n[30] X. D. Chen, L. M. Zhou, C. L. Zou, C. C. Li, Y. Dong, F. W. Sun, and G. C. Guo, Phys. Rev. B - Condens. \nMatter Mater. Phys. 92, 1 (2015). \n[31] N. Aslam, G. Waldherr, P. Neumann, F. Jelezko, and J. Wrachtrup, New J. Phys. 15, (2013). \n[32] G. Davies, Reports Prog. Phys. 44, (1981). \n[33] P. Maletinsky, S. Hong, M. S. Grinolds, B. Hausmann, M. D. Lukin, R. L. Walsworth, M. Loncar, and A. \nYacoby, Nat. Nanotechnol. 7, 320 (2012). \n[34] and F. J. H. S. O. Hruszkewycz, W. Cha, P. Andrich, C. P. Anderson, A. Ulvestad, R. Harder, P. H. Fuoss, \nD. D. Awschalom, 026105 , (2017). \n[35] A. Prakash, B. Flebus, J. Brangham, F. Yang, Y. Tserkovnyak, and J. P. Heremans, Phys. Rev. B 020408 , 1 \n(2018). \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 1. (a) Schematic of an array of nanodiamonds (NDs) on a 3.05 -m YIG film grown on a GGG substrate. NDs \nare embedded on the surface of a flexible PDMS sheet and the YIG film was patterned with a resistive heater \n(central wire has a width of 5 m and a length of 200 m). (b) Two -dimensional photoluminescence (PL) image of \nNV centers in NDs collected under continuous 594 -nm excitation. PL intensity is measured by an ava lanche \nphotodiode (APD). The measurement was conducted at \nT =170 K. Scale bar, 0.5 m. (c) Line cuts of PL intensity \nprofiles of NV centers under two different excitation pulse sequences. (d) Schematic of the pulse sequences of a \n532-nm laser ( NV- charge state initialization), a 594 -nm laser ( NV- detection) and a detector (APD/CCD camera). \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 2. (a) Evolution of NV centers’ PL spectrum \n()Lh between temperatures \nT =85 K and \nT =300 K. The areas \nunder the spectra are normalized to one. Discontinuities at \nT\n 230 K and \nT\n 150 K are associated with the \nPDMS’s phase transitions and not related to NV centers. Top (bottom) graph shows the spectrum at 300 K (85 K). \n(b) Temperature dependence of the ZPL amplitude ratio \nA (left axis) and its temperature respo nse \ndA dT (right \naxis). The solid blue curve is calculated from two fits: (i) temperature dependence of the reduced Debye -Waller \nfactor (DWF) (shown in (c)), and (ii) temperature dependence of the ZPL linewidth (shown in (d)). The dotted red \ncurve is the derivative of the solid (blue) curve with respect to temperature \nT . Inset shows the fit of the ZPL at \nT\n=170 K with a sum of an exponential function and a squared -Lorentzian function (black curve). The exponential -\nfunction part only is shown with a gray curve. \nLR is the mean PL intensity in the range ℛ from 605 nm to 660 \nnm. (c) Reduced DWF as a function of temperature \nT . A Gaussian -functional fit is shown. (d) ZPL linew idth as a \nfunction of temperature \nT . The solid blue fit is the second -order polynomial \n2a bT and the dotted orange curve \nshows \n2bT . \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 3. (a) ZPL amplitude ratio scanned over 100 times. A single scan consists of a total PL accumulation time of \n2.5 s. The measurements were conducted at temperature \nT =170 K. (b) Histogram built from the measurements in \n(a). The standard deviation \nA is depicted. (c) Rescaled standard deviation \nZPL AA Ct\n as a function of \ntemperature \nT , where \nZPLC is the PL counts rate under the squared -Lorentzian fit of ZPL and \nt is the total PL \naccumulation time. The dashed red curve shows the lower bound determined by photon shot noise and the dotted \nblue curve shows the lower bound dete rmined by photon and dark current shot noise. Inset shows ZPL counts rat e \nZPLC\n as a function of temperature \nT . Solid black curve shows a one -parameter (\n1a ) fit of the ZPL counts rate \n()\nZPL 1( ) DWFTC T aR\n, where \n()DWFT\nR is the curve shown in F ig. 2 (c). (d) Temperature sensitivity \n as a \nfunction of temperature \nT . The dashed red and the dotted blue curves identify the lower bounds for the sensitivity \nas defined in (c). The spike near 160 K arises from the dip in the experimental data of \nZPLC as shown in the inset of \n(c). Right axis shows a projected sensitivity \nproj under the assumption of a higher detection rate of the PL as \nexplained in the main text. \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG. 4. (a) Spatial PL scan of NV centers in NDs in the array. (b) Two -dimensional temperature imaging of the YIG \nsurface using NV centers in the array of NDs embedded on the surface of the PDMS sheet measured by the all -\noptical thermometry technique. An 8 0-mA current is applied to the resistive heater. The base temperature was set to \nT\n=170 K. (c) YIG surface temperature as a function of the distance from the resistive heater. Fit with a logarithmic \nfunction is shown. \n \nSupplemental Information for \nAll-optical cryogenic thermometry based on NV centers in nanodiamonds \n \nM. Fukami1, C. G. Yale1,†, P. Andrich1,‡, X. Liu1, F. J. Heremans1,2, P. F. Nealey1,2, D. D. Awschalom1,2,* \n \n1. Institute for Molecular Engineering, University of Chicago, Chicago, IL 60637 \n2. Institute for Molecular Engineering and Materials Science Division, Argonne National Lab, Argonne, IL \n60439 \n \n†Present address: Sandia National Laboratories, Albuquerque, NM, 87185 \n‡Present address: University of Cam bridge, Cavendish Laboratory, JJ Thomson Ave, Cambridge CB3 0HE \n*Email: awsch@uchicago.edu \n \nA. Temperature Dependence of the Sensitivity in a Range 𝟑𝟎𝟎 𝐊≲𝑻≲𝟒𝟎𝟎 𝐊 \nReference [S1] provides a model that explains the temperature dependence of the zero-phonon line ( ZPL) \namplitude ratio 𝐴 under temperature 𝑇 in the range 300 K≲𝑇≲400 K. The authors fit the ZPL with a sum of a \nLorentzian function and an exponential function, with the coefficient s, 𝐴 and 𝐵, respectively . In the model, t he ratio \n𝐴 is proportional to the Debye -Waller factor (DWF) divided by a ZPL linewidth 𝑤. Then t he temperature \ndependence of the ratio 𝐴 is given by \n𝐴=𝛼𝑇−2exp(−𝛾𝑇2), (𝑆1) \nresulting in the temperature response \n|𝑑𝐴\n𝑑𝑇|=2𝑇(𝑇−2+𝛾)𝐴, (𝑆2) \nwhere 𝛼 and 𝛾 are temperature independent con stants which are related to the electron -phonon coupling 𝑆, the \nDebye temperature 𝑇𝐷 and reference values . We n ote that the DWF is defined as the ratio of the integral ZPL \nintensity to the total PL. From this expression, the temperature response is expected to be larger at lower \ntemperatures, which potentially give s rise to a higher temperature sensitivity at lower temperatures though it also \ndepends on the uncertainty of the measurement of the ratio 𝐴. The uncertainty 𝜎𝐴 is given by [S2] \n𝜎𝐴=𝑓(𝑟)𝐴\n√𝐶ZPL 𝛥𝑡(𝑆3) \n𝑓(𝑟)=√𝑐1+𝑐2𝑟+𝑐3√𝑟2+𝑟, 𝑤𝑖𝑡ℎ [𝑐1,𝑐2,𝑐3]=[3,3,1], (𝑆4) \nwhere 𝑟=𝐵/𝐴, 𝐶ZPL is the ZPL counts rate and 𝛥𝑡 is the measurement time. From the equation (S3) , the \ntemperature sensitivity, or the noise floor, can be written as \n𝜂≡𝜎𝐴√𝛥𝑡|𝑑𝑇 𝑑𝐴⁄ |=𝑇𝑓(𝑟)\n2(1+𝛾𝑇2 )√𝐶ZPL. (𝑆5)\nAssuming , for simplicity , that the temperature dependence of the total PL is negligible, we can write \n𝐶𝑍𝑃𝐿=𝐶𝑡𝑜𝑡(DWF )|𝑇=0exp(−𝛾𝑇2), (𝑆6) \nwhere 𝐶tot is the total PL counts rate and (DWF )|𝑇=0 is the DWF at absolute zero . From equations (S5) and (S6), we \nget \n𝜂=𝑇𝑓(𝑟)\n2(1+𝛾𝑇2 )√𝐶tot(DWF )|𝑇=0exp (1\n2𝛾𝑇2). (𝑆7)\nAs the temperature decreases , the factor 𝑟=𝐵/𝐴 decreases, which is not shown in the Ref. [S1] but is confirmed in \na regime 85 K≤𝑇≤300 K as shown in the F ig. S6(b). Then we get 𝑑𝜂/𝑑𝑇>0, demonstrating a higher sensitivity \nat lower temperatures , at least in a regime 300 K≲𝑇≲400 K where the model is confirmed . \nB. Temperature response of the ratio 𝑨 \nThe model described in Sec. A assumes that the temperature response of the ratio 𝐴 is dominated by that of \nthe DWF and the ZPL linewidth. Another possible contribution is the temperature response of the amount of \nphonon -sideband emission in the range of i nterest (in our case, the spectral range ℛ) with regard to the total PL , \nthough its temperature dependence is negligible as shown in Sec . I. \n \nC. Temperature stability of the flow cryostat In the experiment, the base temperature of the sample was stabilized with PID control. Temperature \ndeviation was within ±0.3 K for all measurements. Though the thermocouple was positioned a few centimeters \naway from the sample position, temperature accuracy within ±0.5 K was ensured in a calibration of the setup which \nhas a thermocouple right next to the sample position. \n \nD. Enhancement of the PL at Different Spot s in the Array \nFigure. 1(c) in the main text shows the enhancement of the PL at 𝑇=170 K with the pulse sequences \nshown in F ig. 1(d) in the main text. In the Fig. S1, we show the enhancement of the PL at different spots in the \narray. The figure S1(a) is identical to the Figure. 1(c) in the main text , while figures S1(b) and S1(c) show the PL \nscans at other spots. Each PL peak was fit by a sum of a Gaussian function and a constant, where the amplitude s of \nthe Gaussian function s were extracted from the fits. The enhancement in the amplitudes due to the pulse sequences \nwas observed, where the enhancement factors were approximately 3.1, 2.4, and 3.4 for F igs. S1(a), S1(b) and S1(c), \nrespectively . Though the factor depends on the spots, enhancement s by approximately a factor of three were \nobserved. \nThe enhancement is due to the charge -state conversion between NV− and NV0. While the 594 -nm \nexcitation preferentially converts NV− into NV0, the 532 -nm excitation preferentially converts NV0 back into \nNV− [S3–S5]. The time scale of the char ge-state conversion depends on the laser power [S4]. To m inimize the \nheating while keeping the PL counts high enough in our experiments, the powers of the two lasers were both set to \n200 𝜇W, leading to an estimate that the relaxation time of the charge -state conversion is larger than 1 𝜇s. \n \n \nFIG. S1. Enhancement of the PL due to the pulse sequences as shown F ig. 1(d) in the main text under three different \nspots in the array. (a) shows the same figure as the F ig. 1(c) in the main text. \n \nE. Discussion of the practical sensitivity under the pulse sequence \nSince the sensitivity of the all -optical thermometer is limited by a shot noise, a higher PL count rate by \nroughly a factor of three results in a higher sensitivity of temperatures by approximately a factor of √3. Note that the \npulse sequences also reduce the fraction of the measurement time in the total scanning time. While it improves the \nphysical sensitivity 𝜂 of temperatures, it may result in worse practical sensitivity 𝜂practical if the enhancement factor \nis less than two. We observed, however , improved sensitivity with the pulse sequences not only because the \nenhancement is larger than two but also because it reduces the noise due to the CCD dark counts and the background \ncounts . Here we note that physical sensitivity 𝜂 is defined as the minimum temperature difference that can be \nresolved by a given amount of NV -center -measurement time, while the practical sensitivity 𝜂practical is the \nminimum temperature difference that can be resolved by a given total time including the time necessary for charge \nstate preparation, background measurement, control of the equipment, and feedback control to focus on the target \nspot. \n \nF. Background Measurement \nEach spectral measurement was followed by an off -spot background measurement with the same \nmeasurement duration. This not only deteriorates the practical sensitivity 𝜂practical , but also adds additional noise to \nthe physical sensitivity 𝜂. The factor is considered in the calculation of the noise model where CCD camera’s dark -\ncurrent s hot noise also contributes in addition to the ZPL photon shot noise, as explained in Sec. L . \n \nG. Choice of the Range 𝓡={𝒉𝝂| 𝒉𝒄(𝟔𝟔𝟎 𝐧𝐦)−𝟏≤𝒉𝝂≤𝒉𝒄(𝟔𝟎𝟓 𝐧𝐦)−𝟏 } \nThe spectral range ℛ is chosen such that it is consistent with previous report s [S1,S6,S7]. With a choice of \nthe grating in our spectrometer (Acton SP -2750, Princeton Instrument , 300 gr/mm with 750 nm blaze ; iStar 334T, \nAndor ), the range can be measured in the CCD with a single scan . This allowed us to take measurement s without \nstitching different spectral scans under different angles of the grating in the spectrometer. In contrast, the spectra \nshown in the F ig. 2(a) in the main text are stitched over multiple scans under different angles of the grating. \n \nH. Fitting the reduced Debye -Waller factor and the ZPL linewidth \nTo get a curve for the ratio 𝐴 in the main text , we fit temperature dependencies of a reduced Debye -Waller \nfactor (DWF )ℛ and a ZPL linewidth 𝑤. We fit the temperature dependence of (DWF )ℛ by a Gaussian function \n(DWF )ℛ=𝛼exp(−𝛾𝑇2), where 𝛼 and 𝛾 are fitting parameters but 𝛾 is related to the electron -phonon coupling 𝑆 \nand the Debye temperature 𝑇D by a relation 𝛾=2𝜋2𝑆3𝑇D2⁄ [S8]. In our experiment, we measured 𝛾=(218 K)−2 \nwhich corresponds to 𝑇D/√𝑆=560 K. The value of 𝛾 was consistent with a measurement conducted on NDs \nwithout the PDMS sheet as shown in Sec. I. The temperature dependence of the ZPL linewidth 𝑤 is fit by a second -\norder polynomial 𝑤=𝑎+𝑏𝑇2. As shown in Figure 2(d) in the main text , the constant contribution 𝑎 is not \nnegligible at lower temperatures in our experiment due to the inhomogeneous broadening. Based on the two fits, we \nobtained the curve in Fig. 2(b). Based on the model under a simplifying assumption 𝑎≫𝑏𝑇2, one can easily find \nthat the temperature response |𝑑𝐴 𝑑𝑇⁄ | takes maximum at 𝑇≃1√2𝛾 ⁄ =154 K, which is consistent with the \nexper imental observation. \n \nI. Temperature Dependencies of the Parameters without the PDMS Sheet \nThe dependency of the ratio 𝐴 on the temperature shown in F ig. 2(b) in the main text is not largely affected \nby the presence of the PDMS sheet. To support this statement, we show the PL spectra of NV centers without the \nPDMS sheet in the F ig. S2 where the spectra were measured at 𝑇=85 K,110 K,150 K,200 K,250 K and 300 K. \nThe measurement was conducted on NDs scattered on a quartz substrate, where hundreds of NDs existed under a \nlaser -focused spot. The same analysis as in the main text is conducted. From the fit of the (DWF )ℛ as shown in F ig. \nS3(a) we got 𝛾=(283 K)−2, which stays within 25% from the value 𝛾=(218 𝐾)−2 of the NDs embedded in the \nPDMS array, showing that the presence of the PDMS sheet does not change the main result in this report. \nWhen we fit the reduced Debye -Waller factor with a Gaussian function in the main text , there was an \nimplicit assumption that the temperature dependence of (DWF )ℛ is approximately that of (DWF ) since the \ntemperature dependence of the DWF is known to be \n(DWF )=exp (−𝑆(1+2𝜋2𝑇2\n3𝑇D2)). (𝑆8) \nFigure S3 (a) compares (DWF ) and (DWF )ℛ scanned on NDs without the PDMS sheet. Figure S3(b) shows almost \nconstant ratio (DWF )/(DWF )ℛ over the temperature range 85 K≤𝑇≤300 K, confirming the assumption . \n \n \nFIG. S2. PL spectra and ZPL amplitude ratio of NV centers without PDMS sheet scanned under multiple \ntemperatures 𝑇=85 K,110 K,150 K,200 K,250 K and 300 K. (b) inset shows the spectrum at 𝑇=150 K. \n \n \nFIG. S3. (a) Temperature dependencies of the Debye -Waller factor (DWF ) (left axis) and the reduced Debye -Waller \nfactor (DWF )ℛ (right axis) measured on NDs without the PDMS sheet. (b) Temperature dependence of the ratio \n(DWF )(DWF )ℛ ⁄ , which equals to the fraction of the integrated PL in the range ℛ to the total PL. \n \nJ. Temperature Dependence of the ZPL Amplitude Ratio \nIn the fit of the spectrum as shown in the inset of the F ig. 2(b) in the main text, the PL intensity 𝐿(ℎ𝜈) was \nfirstly divided by the mean PL intensity in the range ℛ={ℎ𝜈| ℎ𝑐(660 nm)−1≤ℎ𝜈≤ℎ𝑐(605 nm)−1 }. The mean \nPL intensity ⟨𝐿⟩ℛ can be explicitly written as \n⟨𝐿⟩ℛ=1\n𝛥ℛ∫𝐿(𝑛𝜈)ℎ𝑑𝜈𝜈f\n𝜈i, (𝑆9) \nwhere 𝜈i=𝑐(660 nm)−1, 𝜈f=𝑐(605 nm)−1 and 𝛥ℛ=ℎ(𝜈f−𝜈i). Therefore, the reduced Debye -Waller factor \n(DWF )ℛ, the ZPL amplitude ratio 𝐴, and the linewidth 𝑤 are related by \n(DWF )ℛ=𝜋\n2𝐴𝑤\n𝛥ℛ. (𝑆10) \nWe note that the reduced Debye -Waller factor is defined as the ratio of the integra ted ZPL emission intensity to the \ntotal PL in the range ℛ. With the use of the coefficients from the fits of (DWF )ℛ and 𝑤, the ZPL amplitude ratio 𝐴 \ncan be written as \n𝐴=2𝛼exp(−𝛾𝑇2)𝛥ℛ\n𝜋(𝑎+𝑏𝑇2). (S11) \nFrom the equation (S11), we get \n|𝑑𝐴\n𝑑𝑇|=2𝑇(𝑏\n𝑎+𝑏𝑇2+𝛾)𝐴. (𝑆12) \n \nK. Discussion of the value 𝑻𝐃/√𝑺 \nFrom the fit of the reduced Debye -Waller factor in the main text, we obtained 𝑇𝐷/√𝑆=560 K. Though \nthis is relatively small considering the bulk Debye temperature 𝑇Dbulk≃2200 K of diamond, Debye temperatures in \nnanodiamonds are know n to be around 30% smaller [S6]. Mismatch from the literature value of nanodiamonds \n𝑇D/√𝑆|literature=1.0(1)×103 K given in Ref. [S1] would be due to the different ensemble of NVs used in our \nexperiment. While tens of commercial NDs with 100 -nm diameter were used in this study, Ref. [S1] reports \nmeas urements on a single ND with diameter smaller than 50 nm prepared from synthetic sub -micron diamond \npowder. In the measurement without the PDMS sheet shown in F ig. S3(a), we got similar value 𝛾=(283 K)−2 \nwhich corresponds to 𝑇D/√𝑆=725 K. This supports that the smaller value of 𝑇D/√𝑆 measured in our experiment \ncompared to the value in Ref. [S1] is due to the different ensembles of NVs . \n \nL. Temperature Dependence of the ZPL Counts Rate \nThere is a subtlety in modeling the temperature dependence of the ZPL counts rate 𝐶ZPL because it is not \nonly determined by the temperature dependence of the NV center’s optical lifetime, but also affected by the \ntemperature dependencies of the steady sta te population of NV− and the PDMS sheet’s optical transparency in our \nexperiment. For simplicity, we conducted a one -parameter ( 𝑎1) fit of the ZPL counts rate 𝐶ZPL(𝑇)=𝑎1(DWF )ℛ(𝑇) \nwhere (DWF )ℛ(𝑇) is the curve we got in F ig. 2(c) in the main text. The underlying assumption is that the temperature \ndependence of 𝐶ZPL is dominated by that of the reduced DWF, which is valid when the integra ted PL intensity in the \nrange ℛ is not significantly temperature dependent compared to th e temperature dependence of (DWF )ℛ. A detailed \nstudy of the temperature dependence of the ZPL counts rate is beyond the scope of this report. \n \nM. Two Models for the Rescaled Standard Deviation \nThe dotted and dashed curves in the F ig. 3(c) in the main text show lower bounds for the rescaled standard \ndeviation under different models. The dashed curve is the lower bound when the noise is coming only from the \nphoton shot noise of the ZPL and the phonon sideband under the ZPL, while the dotted curve shows the lower bound \nwhen the CCD camera’s dark -current shot noise also contributes to the uncertainty 𝜎𝐴. In a model where photon \ncounts under the ZPL peak add noise to the fit of the ZPL, the rescaled standard deviation 𝜎𝐴√𝐶ZPL𝛥𝑡 is given by \n𝜎𝐴√𝐶ZPL𝛥𝑡=𝑔(𝑦)𝐴 (𝑆13) \n𝑔(𝑦)=√𝑐1+𝑐2𝑦+𝑐3√𝑦2+𝑦, with [𝑐1,𝑐2,𝑐3]≃[2.00,1.98,0.763 ]. (𝑆14) \nThe function 𝑔(𝑦) differs from the case when u sing a Lorentzian function [S2]. The dashed curve is drawn by \nsetting 𝑦=𝐵/𝐴, while the dotted curve is drawn by setting 𝑦=𝐵/𝐴+2𝑐dark/⟨𝐿⟩ℛ̅̅̅̅̅̅𝐴ℎ𝛿𝜈, where the temperature \ndependence of 𝐵/𝐴 was fit by an exponential function as shown in F ig. S5, 𝛿𝜈 is the frequency range corresponds to \none line of vertically binned pixel s in the CCD camera, ⟨𝐿⟩ℛ ̅̅̅̅̅̅≡(1𝑁⁄)∑ ⟨𝐿⟩ℛ(𝑇𝑖) 𝑁\n𝑖=1 represents the average of \n⟨𝐿⟩ℛ over temperatures 𝑇𝑖={85,90,⋯,300 K},, and 𝑐dark is the counts due to the CCD’s dark current whose \naverage value is cancelled by the background measurement while it adds noise to the spectrum. The dotted curve \nexplains the experimentally observed standard deviation 𝜎𝐴 and the residual would be associa ted with the \nbackground counts from the surroundings of NDs such as the PDMS sheet. The noise due to 𝑐dark is non -negligible \nbecause the PL is spread over thousands of pixels in the CCD camera in the spectrometer. \n \nN. Derivation of the Function 𝒈(𝒚) \nApplicatio n of the theory given in Ref. [S2] to the case with squared -Lorentzian function gives \n𝑔(𝑦)=√𝑓2(𝑦)\n𝑓1(𝑦)𝑓2(𝑦)−(𝑓3(𝑦))2√𝜋𝛤(𝛽−1\n2)\n𝛤(𝛽)(𝑆15) \n𝑓1(𝑦)=∫𝑑𝑥∞\n−∞((𝑥2+1)𝛽\n𝑦(𝑥2+1)𝛽+1)(1\n(𝑥2+1)𝛽)2\n(𝑆16) \n𝑓2(𝑦)=∫𝑑𝑥∞\n−∞((𝑥2+1)𝛽\n𝑦(𝑥2+1)𝛽+1)(𝑥2\n(𝑥2+1)𝛽+1)2\n(𝑆17) \n𝑓3(𝑦)=∫𝑑𝑥∞\n−∞((𝑥2+1)𝛽\n𝑦(𝑥2+1)𝛽+1)(𝑥2\n(𝑥2+1)𝛽+1), (𝑆18) \nwhere 𝛽=2 and 𝛤(𝑥) is the Gamma function. Instead of evaluating them analytically, we computed them \nnumerically and fit the function 𝑔(𝑦) by a form √𝑐1+𝑐2𝑦+𝑐3√𝑦2+𝑦 as shown in the F ig. S4, where {𝑐1,𝑐2,𝑐3} \nare fitting parameters. The function was well fit by [𝑐1,𝑐2,𝑐3]≃[2.00,1.98,0.763 ]. \n \n \nFIG. S4. Numerical evaluation of the function 𝑔(𝑦) and the fit. Inset shows the residuals. \n \nO. Calculation of the projected sensitivity \nIn our experiment, the ZPL counts rates were orders of magnitude smaller than those measured in the \nformer study, where the ZPL counts rate from a single ND was observed to be 𝐶ZPL ,1(295 K)=900 kcps at 𝑇=\n295 K [S1], in contrast to our measurement of 𝐶ZPL ,2(295 K)=760 kcps at 𝑇=295 K. High sensitivity all -optical \nthermometry with 𝜂=300 mK Hz−1/2 was demonstrated with this high ZPL detection rate 𝐶ZPL ,1(295 K). To compare \nour result with the previous study, we define a projected sensitivity \n𝜂proj =√𝐶ZPL ,2(295 K)𝐶ZPL ,1(295 K)⁄ 𝜂 (𝑆19) \nand it is shown in the right axis of the F ig. 3(d) in the main text. Though the projected sensitivity only gives a rough \nestimate of a sensitivity given a higher detection efficiency of the PL, it shows our result is consistent with the \nprevious report. \n \nP. Temperature Dependence of Sensitivity \nFrom the equations (S12 ) and (S13), we get the rescaled sensitivity \n𝜂√𝐶ZPL≡𝜎𝐴√𝐶ZPL𝛥𝑡|𝑑𝑇\n𝑑𝐴|=𝑔(𝑦)\n2𝑇(𝑏\n𝑎+𝑏𝑇2+𝛾). (𝑆19) \nThe rescaled sensitivity represents the minimum temperature difference that can be resolved by a single ZPL photon \ndetection. We show the temperature dependence of the rescaled sensitivity in F ig. S5. Two lower bounds due to the \nmodels ex plained in the previous section are shown. The equation (S19) gives a low temperature behavior \n𝜂√𝐶ZPL∼1/𝑇, which is consistent with the experimental data shown in F ig. S5. \n \n \nFIG. S5. Temperature dependence of the rescaled sensitivity 𝜂√𝐶ZPL. Two curves showing the lower bound due to \nthe two limitations as explained in the F ig. 3 in the main text are shown. The rescaled sensitivity represents the \nminimum possible temperature difference that can be measured by a single ZPL photon detection. \n \nTemperature dependence of the sensitivity can be derived from the equations (S4) and (S19), resulting in \n𝜂=𝑔(𝑦)\n2𝑇(𝑏\n𝑎+𝑏𝑇2+𝛾)√𝐶tot(DWF )|𝑇=0exp (1\n2𝛾𝑇2). (𝑆20) \nUnder simplifying approximations 𝑎≫𝑇𝑏2, 𝛾≫𝑏/𝑎 and 𝑔(𝑦)≃𝑔(0)≃√2, we get \n𝜂≃1\n𝑇𝛾√2𝐶tot(DWF )|𝑇=0exp (1\n2𝛾𝑇2), (𝑆21) \nwhich gives a minimum at 𝑇=1√𝛾⁄. \n \nQ. Discussion of the Effect of the PDMS Sheet on the Sensitivity Measurement \nFigure. 3(d) in the main text shows non -negligible effects of the PDMS sheet. This is mainly due to the \ntemperature dependence of the absolute ZPL counts rate shown in the inset of F ig.3(c) , which is largely affected by \nthe optical transparency of the PDMS sheet that modifies the PL collection efficiency of our setup. Temperature \ndependence of the rescaled sensitivity shown in F ig. S5 support s this statement, since there are no observable \ndips/peaks in the figure. While the inset of F ig. 3(c) and F ig. 3(d) are affected by the existence of the PDMS sheet, \nthe general tendency of these figures are expected to be due to the NV-center’s intrinsic pr operties , since the \ntemperature dependence of the total PL of NV centers below room temperatures are reported to be negligible [S9–\nS11], leading to the decrease of the ZPL counts rate with temperature increase, due to the Debye -Waller factor . \n \nR. Choice of the Base Temperature 𝑻=𝟏𝟕𝟎 𝐊 for the Temperature Imaging of YIG \nWe chose the base temperature of 𝑇=170 K in the measurement of F ig. 4 in the main text . This is because \nthere is a glass transition of PDMS at 𝑇≃150 K. Below the glass transition of PDMS, the proximity of the NDs on \nthe YIG surface is not ensured and the local temperature measurement s become untrustworthy. Above the transition \ntemperature, the NDs are in goo d contact with the YIG surface and they measure the local temperatures of the YIG. \nNote that the glass transitio n does not affect the main results in other parts of this report since the temperature \ngradient was not applied. \n \nS. Calibration of the temperature sensor \nFor the calibration of the temperature sensors, we conducted multiple scans of the spectrum at 𝑇=170 K \nand 𝑇=180 K by changing the base temperatures of the copper thermal sink. The average value and the variance of \nthe fitting coefficients {𝐴,𝐵,𝛩,𝑤,𝜈𝑍𝑃𝐿} were extracted. Then we calculated the linear dependence to convert the \nvalue of {𝐴,𝐵,𝛩,𝑤,𝜈𝑍𝑃𝐿} into temperatures. The calibration was conducted for each spot in the array. \n \nT. Temperature Imaging of YIG \nAs mentioned in the main text, the temperature dependencies of the parameters {𝐵,𝛩,𝑤,𝜈𝑍𝑃𝐿} in addition \nto 𝐴 were used for temperature sensing by taking the weighted average of the temperatures measured by fitting \ncoefficients . In the Figure S 6, the temperature dependencies of 𝛩,𝐵/𝐴 and 𝜈ZPL are shown, where 𝐵/𝐴 was fit by an \nexponential function which is empirical but the specific functional form does not matter in this report . The \nparameter 𝛩 represents the slope of the exponential function in the fit of the phonon sideband. The value is different \nfrom the true temperature by a factor of order one, which is called Urbach’s rule and similar dependencies are \nobserv ed in many other materials [S12]. We note that t he temperature dependence of this exponential tail can \npotentially be used as a temperature sensor below the liquid nitrogen temperatures for future applications. \n \n \nFIG. S 6. Temperature dependencies of (a) 𝛩, (b) 𝐵/𝐴 and (c) 𝜈ZPL. The ratio 𝐵/𝐴 was fit by an exponential function \nin (b) with a solid (red) curve. \n \nBefore the temperature measurements, YIG was magnetized to one direction by applying a DC magnetic \nfield. After taking temper ature measurements on multiple spots in the ND array , the temperatures around the \nscanned spots are smoothly interpolated or extrapo lated and shown in the F ig. 4(b) in the main text . The spots used \nin the temperature measurement is shown in Fig. S7, where the white circles represent the spots that were used . \n \n \nFIG. S7. Two -dimensional scan of PL and temperatures as shown in the F ig. 4 in the main text with circles \nrepresenting the spots in the array that were used for the temperature measurement. Temperatures around the \nscanned spots were smoothly interpolated or extrapolated in (b). \n \nU. Discussion of the temperature profile \nIn the F ig. 4(c) in the main text, the YIG surface temperature 𝑇(𝑥) was fit by a logarithmic function \n𝑇(𝑥)=−𝜉log(𝑥−𝜁), (𝑆19) \nwhere 𝑥 is the distance from the resistive heater and {𝜉,𝜁} are fitting parameters. A logarithmic function is used \nbecause the Green’s function to the steady state two -dimensional diffusion equat ion with a single hear carrier is \nlogarithmic. Since the w ire has the length of 200 𝜇𝑚 and the center of the PDMS sheet was displaced to the left by \napproximately 45 𝜇m due to experimental imperfection , there would be a deviation from the logarithm ic function \ndue to the imperfection of the two -dimensionality , i.e., the resistive heater is not infinitely long . A finite YIG \nthickness and the existence of an interface between YIG and GGG can also be a potential cause of the deviation \nfrom the logarithmic function. The deviation is, however, not clearly observed. \nIn addition, both phonons and magnons are the heat carriers in YIG . According to the coupled magnon -\nphonon heat transport theory [S13], the steady state phonon temperature profile 𝑇𝑝(𝐱) does not obey a simple \nPoisson equation, but it obeys \n(𝜅𝑚𝜅𝑝𝛻4−𝑔(𝜅𝑚+𝜅𝑝)𝛻2)𝑇𝑝=−(𝜅𝑚𝛻2−𝑔)𝑄𝑝+𝑔𝑄𝑚. (𝑆20) \nHere we ignored , for simplicity, the spatial derivatives of the thermal conductivities, 𝜅𝑚 and 𝜅𝑝. Parameters 𝑄𝑝 and \n𝑄𝑚 are the power densities of external heating absorbed by phonons and magnons, respectively [S14]. It is shown in \nthe reference [S14], however, that the equation (S20) can be approximated to the Poisson equation in a regime \nwhere the phononic temperature gradient is dominant over the gradient of the magnon -phonon temperature \ndifference . The observed logarithmic behavior of the phononic temperature profile supports this approximation and \nthat the steady -state phononic temperature profile is not largely disturbed by magnons in YIG . For further study of \nthe temperature profile of the YIG film, higher temperature sensitivity is required . \n \nReferences: \n[S1] T. Plakhotnik, H. Aman, and H. C. Chang, Nanotechnology 26, (2015). \n[S2] E. A. Donley and T. Plakhotnik, Single Mol. 2, 23 (2001). \n[S3] X. D. Chen, S. Li, A. Shen, Y. Dong, C. H. Dong, G. C. Guo, and F. W. Sun, Phys. Rev. Appl. 7, 1 (2017). \n[S4] X. D. Chen, L. M. Zhou, C. L. Zou, C. C. Li, Y. Dong, F. W. Sun, and G. C. Guo, Phys. Rev. B - Condens. \nMatter Mater. Phys. 92, 1 (2015). \n[S5] N. Aslam, G. Waldherr, P. Neumann, F. Jelezko, and J. Wrachtrup, New J. Phys. 15, (2013). \n[S6] T. Plakhotnik, M. W. Doherty, J. H. Cole, R. Chapman, and N. B. Manson, Nano Lett. 14, 4989 (2014). \n[S7] P. C. Tsai, C. P. Epperla, J. S. Huang, O. Y. Chen, C. C. Wu, and H. C. Chang, Angew. Chemie - Int. Ed. \n56, 3025 (2017). \n[S8] D. B. Fitchen, R. H. Silsbee, T. A. Fulton, and E. L. Wolf, Phys. Rev. Lett. 11, 275 (1963). \n[S9] A. T. Collins, M. F. Thomaz, M. I. B. Jorge, A. T. Collins, A. T. Collins, A. T. Collins, P. M. Spear, A. T. \nCollins, A. T. Collins, M. Stanley, A. T. Collins, S. C. Lawson, and J. Walker, 2177 , (1983) . \n[S10] T. Plakhotnik and D. Gruber, Phys. Chem. Chem. Phys. 12, 9751 (2010). \n[S11] D. M. Toyli, D. J. Christle, A. Alkauskas, B. B. Buckley, C. G. Van de Walle, and D. D. Awschalom, Phys. \nRev. X 2, 1 (2012). \n[S12] J. D. Dow and D. Redfield, Phys. Rev. B 5, 594 (1972). \n[S13] M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W. Bauer, R. Gross, and S. T. B. Goennenwein, Phys. \nRev. B - Condens. Matter Mater. Phys. 88, 1 (2013). \n[S14] K. An, K. S. Olsson, A. Weathers, S. Sullivan, X. Chen, X. Li, L. G. Marshall , X. Ma, N. Klimovich, J. \nZhou, L. Shi, and X. Li, Phys. Rev. Lett. 117, 1 (2016). \n " }, { "title": "2107.05591v1.Origin_of_Perpendicular_Magnetic_Anisotropy_in_Yttrium_Iron_Garnet_Thin_Films_Grown_on_Si__100_.pdf", "content": "0018-9464 (c) 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TMAG.2020.3021646, IEEE\nTransactions on Magnetics\n \n 1 \nOrigin of Perpendicular Magnetic Anisotropy \nin Yttrium Iron Garnet Thin Films Grown on Si (100) \n \nZurbiye Capku,1,2 Caner Deger,3 Perihan Aksu,4 Fikret Yildiz 1 \n \n1Department of Physics, Gebze Technical University, Gebze, Kocaeli, 41400, Turkey \n2Department of Physics, Bo ğaziçi University, Beşiktaş, Istanbul, 34342, Turkey \n3Department of Physics, Marmara University, Kadikoy, Istanbul, 34722, Turkey \n4Institute of Nanotechnology, Gebze Technical University, Gebze, Kocaeli, 41400, Turkey \n \n We report the magnetic properties of yttrium iron garnet (YIG) thin films grown by pulsed laser deposition technique. The films \nwere deposited on Si (100) substrates in the range of 15-50 nm thickness. Magnetic characterizations were investigated by \nferromagnetic resonance spectra. Perpendicular magnetic easy axis was achieved up to 50 nm thickness. We observed that the \nperpendicular anisotropy values decreased by increasing the film thickness. The origin of the perpendicular magnetic anisotropy \n(PMA) was attributed to the texture and the lattice distortion in the YIG thin films. We anticipate that perpendicularly magnetized \nYIG thin films on Si substrates pave the way for a cheaper and compatible fabrication process. \n \nIndex Terms —Ferromagnetic Resonance (FMR); Perpendicular Magnetic Anisotropy (PMA); Yttrium Iron Garnet (YIG). \n \nI. INTRODUCTION \nMagnetic garnet films have recently begun to take the place \nof conducting ferromagnetic materials in spintronic \napplications. The insulating features of the garnets eliminates \nthe disadvantages of Eddy currents, which causes loss of \ninformation in relevant applications [1]. They have attracted \ngreat attention for high frequency and fast switching of \nmagnetic properties [2]. In particular, perpendicular \nmagnetization in garnet films is very crucial for the field of \nspintronics i.e. spin-orbit switching, spin transfer torque and, a \nreliable and rapid response [3, 4]. Yttrium iron garnet (YIG) is \nconsidered to be one of the most important magnetic \ninsulators. Static and dynamic magnetic properties of bulk \ncrystal or YIG films in the micrometer thickness range have \nbeen investigated in great detail and widely used in microwave \napplications (filtering, tunabling, isolators, phase shifters, etc.) \n[5, 6]. However, the process of thin/ultrathin YIG films plays \na key role for spintronic [7-11] and magneto-optical \napplications [12- 14]. \n \nMany spintronic applications require a fine tuning of the \norientation and magnitude of the magnetic anisotropy [15, 16] . \nPerpendicular magnetic anisotropy (PMA) has led to a \nrevolutionary breakthrough in the technology such as the \ninvention of high-density Magnetoresistive Random-Access \nMemory devices (MRAM). The effective control over the \nmagnetic anisotropy leads to highly remarkable features such \nas increased data storage capacity in the magnetic recording \nmedia, magnon transistor [17] , and advancement in the logic \ndevices [16]. Despite the fact that enhancement of PMA in \nmetal thin films is a well-established phenomenon [18, 19] , \ngenerating PMA in insulating materials such as YIG remains a \nchallenge. For such reasons, ferromagnetic insulators with \nPMA have been of particular importance for both fundamental \nscientific research and technological applications. Recent \ndevelopments in the magnonic field have attracted great \nattention to ultrathin/thin YIG films perpendicularly magnetized. For example; YIG with the possess of PMA, has \na unique feature in spin-orbit torque (SOT) applications [20] . \nThe typical anisotropy in YIG films is in-plane anisotropy \n(IPA) which mainly originates from the strong shape \nanisotropy. When the magnetocrystalline anisotropy \novercomes the shape anisotropy, the direction of the magnetic \neasy axis switches to the out of the film plane, resulting in \nPMA. In the literature, the control on magnetic anisotropy in \nYIG thin films has been studied by various substrate, \ntemperature, and thicknesses [21, 22]. PMA in YIG films were \nachieved by using a buffer layer [23] and/or doping with rare \nearth elements [1, 24, 25]. In these studies, garnet substrates \nsuch as Yttrium aluminium garnet (YAG) [26] and \nGadolinium gallium garnet (GGG) were used to grow \nepitaxial YIG thin films due to their similar crystalline \nstructure [22, 27]. Lattice constants of YIG film and GGG \nsubstrate are aYIG= 12.376 Å and aGGG= 12.383 Å, \nrespectively [28]. This lattice match between YIG and GGG \nprovides high quality crystallized YIG films [29]. However, \nthe use of the GGG substrate in certain areas is limited and \nalso costs much for large area applications. The use of Silicon \n(Si) as a substrate has many advantages; cost-effectiveness \nand widespread use in electronic devices and integrated \ncircuits. Si has an fcc diamond cubic crystal structure with a \nlattice constant of 5.43 Å. The nearest neighbor distance \nbetween two Si atoms is 2.35 Å [30]. On the other hand, YIG \nhas a cubic structure consisting of Y3+ ions in dodecahedral \n(c) sites, Fe3+ ions in tetrahedral (d) and octahedral (a) sites in \npolyhedron of oxygen ions [31]. The nearest interionic \ndistance in YIG is reported as (Y3+ - O2-) at 2.37 Å [31]. The \natomic distances are comparable; thus, one can achieve \ncrystalline / texture YIG on Si (100). \n \nIn this study, we report the PMA enhancement in YIG films \ngrown on Si substrates by pulsed laser deposition (PLD) \ntechnique. Several parameters such as oxygen pressure, \nsubstrate temperature, post-annealing treatment, and laser \npower play an important role in the stoichiometry and \nAuthorized licensed use limited to: University of Edinburgh. Downloaded on September 05,2020 at 02:30:35 UTC from IEEE Xplore. Restrictions apply. 0018-9464 (c) 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TMAG.2020.3021646, IEEE\nTransactions on Magnetics\n \n 2 \ncrystallinity of the YIG films fabricated by PLD. In this \nreport, YIG films with different thicknesses were grown on Si \n(100) substrates. A post-annealing process was carried out for \nall films to improve the crystallization and substrate-film \nlattice mismatch. The effect of the thickness on the magnetic \nanisotropy values was studied. In some reports, PMA in YIG \nfilms was obtained in the thickness range of 10-20 nm [22, 23, \n32]. However, in this study, the lattice distortion/texture in \nYIG films gave rise to PMA in 15-50 nm thickness. We \nanticipate that our study not only offers a basis for \nfundamental understanding but also will inspire the integration \nof perpendicularly magnetized YIG thin films with \ntechnological applications. \nII. EXPERIMENTAL STUDIES \nBefore the thin film deposition, the oxide layer was first \netched from the surface of Si (100) substrates with diluted \nHydrofluoric (HF) acid for a few minutes. The substrates were \nfurther cleaned in acetone, methanol and Isopropyl alcohol for \n15 minutes by using an ultrasonic bath. Subsequently, the \nsurfaces were spray dried with Nitrogen gas. Following the \nchemical cleaning, the substrates were introduced into high \nvacuum chamber and annealed at 500 oC for an hour. PLD \nwith a KrF excimer laser, a Coherent COMPex Pro 205F \noperating at λ = 248 nm (20 ns pulse duration) was used to \nobtain the desired YIG film stoichiometry by adjusting the \noxygen pressure and deposition temperature. The base \npressure of the deposition chamber was 1.0 x 10-9 mbar. The \ncommercial polycrystalline sintered YIG was used as the \ndeposition target. The distance between the target and \nsubstrate was about 60 mm. The films were fabricated using \nlaser energy of 220 mJ at a pulse repetition rate of 10 Hz in an \noxygen atmosphere of 1.0 x 10-5 mbar. The substrate \ntemperature was 400 °C during growth. The deposition rate \nwas 0.96 nm/min. The films were cooled within a rate of 9.6 \noC/min inside the chamber. Thereafter, the films were \nannealed at 850 °C for 2 hours in an air atmosphere and \ncooled down to room temperature by a ratio of 1.2 oC/min. \nThe thicknesses of the annealed films were defined as 15 nm, \n20 nm, 35 nm and 50 nm using X-ray reflectivity (XRR) \nmethod. \nⅢ. RESULTS \n Atomic Force Microscopy (AFM) was performed for the \nsurface morphology and roughness of the films. A \nrepresentative AFM image of the annealed YIG film at 850 o \nC with a root mean square (RMS) roughness value of 0.8 nm \nwas given at the inset of Fig.1. Structural properties of the \nfilms were characterized by X-ray Diffraction (XRD) \nmeasurement using a Rigaku 2000 DMAX diffractometer with \na Cu (alpha) wavelength of 1.54 nm. The θ -2θ scan XRD \npattern was demonstrated in Fig.1. A typical (420) peak of \nYIG was observed for the annealed films. At each \nmeasurement, a signal from the sample plate was detected at \n44o. The additional peaks around the (400) plane of the Si \nsubstrate correspond to the Kβ, Lα1, Lα2, Kα1 and Kα2 li nes \nof the incident x-ray. \n \n \nFig. 1. XRD pattern of YIG thin film on a Si substrate. θ -2θ scan \nwhich shows the (420) characteristic peak of 20 nm YIG. (Inset: \nAFM image of a 20 nm YIG film.) \n \nThe chemical analysis was performed by X-ray \nphotoelectron spectroscopy (XPS) measurement. The survey \nscan XPS spectrum is represented in Fig. 2(a). The spectrum \nconfirmed the presence of Y, Fe and O elements on the \nsurface of YIG film grown on Si. The fittings of the spectral \nranges related to the elements which are used to determine the \ncomposition ratio are given in Figs. 2(b)-2(d). XPS spectrum \nof the Fe 2p region in Fig. 2(c) shows the valance state of the \nFe ions. Y/Fe compositional ratio was found to be 0.59 and \nFe/O ratio was 0.44. These values are very close to the bulk \nYIG compositional ratios within the experimental error (Y/Fe \n= 0.6 and Fe/O = 0.42 in bulk YIG) [11]. \n \n \n \nFig. 2. XPS spectrum of YIG thin film grown on Si substrate. (a) \nXPS survey scan. Fitted XPS spectrum of (b) O 1s. (c) Y 3p. (d) Fe \n2p. \n \n \n \nAuthorized licensed use limited to: University of Edinburgh. Downloaded on September 05,2020 at 02:30:35 UTC from IEEE Xplore. Restrictions apply. 0018-9464 (c) 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TMAG.2020.3021646, IEEE\nTransactions on Magnetics\n \n 3 \nFerromagnetic resonance (FMR) measurements were \nperformed to the annealed YIG films by an X-Band (9.1 GHz) \nJEOL series ESR spectrometer at room temperature. FMR is a \npowerful technique, which the analysis of the spectra also \nprovides the values of anisotropy constants [33- 35]. The \nresonance profıle is determined by the field (H) derivative of \nthe absorbed RF power (P) dP/dH curve as a function of the \napplied magnetic field. The sample dimension for the FMR \nmeasurement was around 3 mm × 3 mm. The FMR spectra \nwere registered by sweeping the applied field angle around the \nsample plane (SP) and sample normal (SN). In SP \nmeasurement, the magnetic component of the microwave field \nis al ways in the film plane, whereas the external magnetic \nfield is rotated from the film plane towards the film normal. In \nSN measurement, the magnetic component of the microwave \nfield is perpendicular to the film plane and the external \nmagnetic field is rotat ed in the film plane for each spectrum. \nRepresentative FMR spectra of the YIG films in SP \nconfiguration were given in Fig. 3(c) for the applied field \ndirection along with the film normal and in the film plane \n(Figs. 3(a) and 3(b)). \n \nWhen the applied field was parallel to the film normal, the \nspectrum was at low field (red spectra), whereas it shifted to \nhigher field (black spectra) when the applied field was parallel \nto the film plane, for all samples. This behavior refers that the \neasy axis of the magnetic anisotropy is perpendicular to the \nfilm plane. In SN geometry measurements, there was no any \nanisotropic behavior, which is not surprising. Thin films \nhaving PMA do not represent any anisotropic behavior in the \nfilm plane [18, 23, 36] . \n \nFurther analysis on intrinsic magnetic properties of the \nsystem is performed by angular FMR measurements and \nnumerical calculations. To reveal the micromagnetic \nparameters of YIG/Si (100) structure, the energy Hamiltonian \npresented in Eq.1 is employed and numerically solved. \n \n \n(1) \n \nThe Hamiltonian consists of two energy terms used to \nrepresent the magnetic behavior of the systems [33, 37]. Here, \n(θ, θH) and (φ, φH) are, respectively, the polar and azimuth \nangles for magnetization vector M and external DC magnetic \nfield vector H with respect to the film plane. External DC \nmagnetic field is represented by the first term of the \nHamiltonian, i.e., Zeeman energy. Effective magnetic \nanisotropy energy consists of the demagnetization energy, the \ninterface energy and the first-order term of magnetocrystalline \nenergy of the system. And, the last term represents the second-\norder magnetocrystalline energy. In Eq. (1), Meff, Keff, and \nKeff_q are the effective magnetization, effective magnetic \nanisotropy energy density, second order term of \nmagnetocrystalline energy density, respectively. We scan the DC magnetic field from 0 to 1 T to determine the field \ncorresponding to the maximum value of the dynamic \nsusceptibility, which is called as the resonance field (Hres). \nDynamic susceptibility spectra are recorded by using the \nSoohoo formulation for ferromagnetic resonance in multilayer \nthin films [38- 40]. \n \n \n \n \n \n \nFig. 3. FMR spectra of the YIG films in SP measurement geometry. \n(a) The applied field direction is along the film normal (H // [001] of \nSi substrate) and (b) along the film plane (H // [100] of Si substrate). \n(c) The black and red lines indicate the FMR spectrum when the \nmagnetic field is parallel (H // [100]) and perpendicular (H // [001]) \nto the film plane. \n \nThe resonance fields are extracted from the recorded \nspectrum for SP geometry. By performing the aforementione d \nprocedure for different angles of the magnetic field with \nrespect to the film plane, we are able to reproduce the \nexperimental data. All calculations were performed at room \ntemperature. Meff, Keff, and Keff_q were obtained by the \nsimulation model for all samples. Here, the total energy was \nminimized with the values of the magnetic parameters given in \nTable Ⅰ. The angular dependence of the resonance field for \ndifferent thicknesses in SP geometry is shown in Fig. 4. \n \nTable Ⅰ represents the result of the nu merical calculations. \nThe positive effective anisotropy energy density confirms that \nthe easy axis is perpendicular to the film plane. The effective \nmagnetization is lower than the bulk YIG value, which may be \nAuthorized licensed use limited to: University of Edinburgh. Downloaded on September 05,2020 at 02:30:35 UTC from IEEE Xplore. Restrictions apply. 0018-9464 (c) 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TMAG.2020.3021646, IEEE\nTransactions on Magnetics\n \n 4 \ncaused by possible crystal vacancies / deficiencies, inter \ndiffusion between the substrate and the film, and Fe ion \nvariation in the film [10]. In general, shape anisotropy is in the \nfilm plane . The increase in thickness strengthens the \ncontribution of shape anisotropy to in -plane magnetic \nanisotropy, while the strain between th e substrate -film tends to \nrelax and, therefore, t he effective perpendicular magnetic \nanisotropy reduces by increasing thickness as seen in Table Ⅰ. \n \n \nFig. 4. A plot of angular variation of FMR resonance fields. Symbols \nand solid lines indicate the experimental and theoretical results; \nrespectively. \n \n \nTable Ⅰ. Magnetic parameters obtained from the simulation for YIG \nthin films wit h PMA. \n \nThickness(nm) Keff (J/m3) Keff_q(J/m3) Meff (kA/m) \n15 nm 1773 320 105 \n20 nm 1456 250 105 \n35 nm 1260 150 105 \n50 nm 1180 120 105 \n \nⅣ. DISCUSSIONS \nIn this section, the structural and magnetic characterization \nof YIG films grown on Si (100) will be discussed. The \ncrystallization of the films was analyzed by XRD \nmeasurements. Since we did not get the characteristic XRD \npeaks in as-grown films, an annealing process was required to \ngenerate the YIG phase [28]. After annealing at the \ntemperature of 850 °C for 2 hours, we were able to observe \n(420) peak of the YIG from θ -2θ scan of the XRD \nmeasurement as seen in Fig. 1, which indicates the formation \nof the YIG phase. Some studies report the polycrystalline YIG \nfilm grown on quartz with three characteristic peaks [27, 32] . \nHowever, it seems that there is a preferentia l crystalline \nordering or texturing in our films. When the film was annealed, the lattice of YIG locates on Si by making an angle \nof 26.6o between (400) plane of Si and (420) plane. There are \ntwo different crystallographic orientations / domain of the film \nlattice repeating each other on the substrate with respect to the \nsymmetry axis of c, as shown in Fig. 5. The lattice mismatch \nis \n , where “a” is the \nlattice constant. Since the lattice constant of YIG is larger than \nthat of the substrate, a small compressive strain occurred at the \ninterface, which can lead to a tetragonal distortion of the \ncrystalline structure of the film. \n \n \nFig. 5. A two-dimensional configuration of the lattice orientation of \nthe film on Si substrate. Two preferential crystalline \norderings/texturing were present in YIG after the annealing \nprocedure. \n \n \nThe composition and electronic state of the Y- Fe-O \nelements on the surface of the YIG film were investigated by \nXPS analysis. The stoichiometry of the YIG film was \ndetermined by the percentage of O 1s, Fe 2p and Y 3p XPS \npeak areas shown in Figs. 2(b)-2(d) using relative sensitivity \nfactors in CasaXPS software. The stoichiometry of our \nsamples was found to be Y: 3.06, Fe: 5.17, O: 11.7 which is \nclose to the expected one for Y:Fe:O of 3:5:12. The Fe \npercentage in our YIG film stoichiometry is 20.6 % which is \nsimilar to the ratio of 20% in bulk YIG as known from the \nliterature [11]. Fig. 2d shows the core level Fe 2p spectra. \nBoth Fe3+ and Fe2+ are present in the films [41]. 711.1 eV and \n724.4 eV are the binding energy values for the 2p3/2 and 2p1/2 \npeaks of Fe3+and Fe2+. Two peaks at 710.9 eV and 725.8 eV \ncorrespond to Fe3+ 2p3/2 and Fe3+ 2p1/2, and the binding \nenergies at 708.86 eV and 724.16 eV refer to Fe2+ 2p3/2 and \nFe2+ 2p1/2, respectively. The satellite structure of Fe 2p 3/2 was \nlocated at 718.8 eV, binding energy higher than 710.9 eV. \nThis shows that the Fe ions are in +3 valance states in the \nspectrum and located at tetrahedral sites of YIG lattice [28, 42, \n43]. \n \nRepresentative FMR spectra of the samples are given in \nFig. 3 for the external magnetic field parallel to the film \nnormal and film plane. Resonance field is determined by \ntaking the minimum value when applied along the easy axis. \nAuthorized licensed use limited to: University of Edinburgh. Downloaded on September 05,2020 at 02:30:35 UTC from IEEE Xplore. Restrictions apply. 0018-9464 (c) 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TMAG.2020.3021646, IEEE\nTransactions on Magnetics\n \n 5 \nThe spectra clearly show PMA for all thicknesses. The FMR \nspectrum for H // [001] orientation of Si shifts towards higher \nfield values as the thickness increases. In contrast, for H // \n[100] orientation of Si, the FMR spectrum shifts to lower \nvalues while increasing the thickness. This behavior indicates \nthat the uniaxial perpendicular magnetic anisotropy decreases \nas the thickness increases. Magnetic properties of the films \ncan be affected by many factors such as thickness, substrate, \ninterfacial energy, and strain. The strain is compressive by \n1.9% and tensile by 0.65% when the film is grown on Si (100) \nand GGG, respectively. In our case, the strain in the YIG films \ngrown on the Si substrate is much greater than that grown on \nGGG. Due to the lattice mismatch between Si and YIG, \navailable thickness with PMA, the value of magnetic \nanisotropies and FMR linewidth were different from studies \nusing lattice-compatible substrates in the YIG thin film \nfabrication process. In this study, all the YIG thin films had a \nsingle uniform ferromagnetic resonance peak. In Fig.3, t he \nshape and intensity of the FMR spectra vary depending on the \nthickness, crystalline quality, and magnetic homogeneity of \nfilms. Inhomogeneous broadening of the linewidth of the FMR \nspectra is due to the imperfections in film such as defects, \nroughness, symmetry breaking in surface and interface, \noxygen vacancies, and inter ion diffusion between the layers \n[27]. The thinnest film has a wider and lower intensity FMR \nprofile while the spectra gets clearer with the increase in \nthickness. Meanwhile, surface and interfacial strain effects \nshow tendency to decrease and the increase in the amount of \nspins which interact with microwave field increases the FMR \nintensity. The reason for the FMR shape and intensity which \ndo not vary in a systematic manner might be due to some \nuncontrollable parameters during deposition. However, it is \nobserved that the out -of-plane magnetic anisotropy behavior \nof the films still exist in the pronounced thicknesses. The FMR \nlinewidth was determined as the distance between the \nminimum and maximum point of the dP/dH curve, so called \npeak to peak lin ewidth. 20 nm YIG film has a linewidth of 230 \nOe when the applied field is parallel to the sample plane and \nlinewidth of 160 Oe when the applied field is perpendicular to \nthe sample plane. The linewidths of the spectra are relatively \nlarger compared to the reported value on GGG substrate [44]. \nHowever, there are similar linewidth values of that grown on \nquartz in the literature, as well [22, 27] . For example, 12 nm \nYIG film was reported to have an FMR linewidth of 250 Oe. \nFor the film thickness range between 100 nm and 290 nm, \nlinewidth values were between 340 Oe and 70 Oe. It is \nthought that defects due to the surface roughness and Fe iron \ndeficiency may lead to magnon scatterings and increase of the \nFMR linewidth [11]. \n \nIt is known that the magnetic easy axis in most of thin films \nare in the film plane due to the shape/dipolar anisotropy. \nAdditional factor is necessary to overcome the shape \nanisotropy and switch the orientation of the easy axis from the \nfilm plane to the film normal. The crystalline or surface \nanisotropy or textured structure can trigger a perpendicular \nmagnetic anisotropy [36]. Here, the lattice mismatch between Si and YIG thin film induced a compressive strain at the \ninterface which led to a distortion of the lattice structure [4] . \nThe compressive strain in the film plane results in an \nexpansion along the c-axis, which switches the easy axis from \nthe film plane to the film normal [36, 45]. In previous studies, \nPMA was realized in YIG films grown on different substrates \nin the thickness range of 10-20 nm [23, 32]. However, we \nachieved PMA up to 50 nm thickness as a result of texture and \nthe lattice distortion of YIG. \n \nIn the literature, PMA in YIG films was observed in those \ngrown on the buffer layer except GGG [23, 46]. This study \nindicates that PMA was attained successfully in YIG films on \na non-garnet substrate without using any additional buffer \nlayer or doping. \nⅤ. CONCLUSION \nYIG thin films with perpendicular magnetic anisotropy can \npave the way for cutting-edge magnonic and spin-related \ntechnologies, i.e. for the fast response in microwave devices, \nlogic devices, spin-transfer torque and magneto-optical device \napplications. Existence of the PMA in an insulator material is \na rare magnetic phenomenon. In this work, we have achieved \nperpendicular magnetization in YIG thin films grown on Si \nsubstrate which is a common and base material of the present-\nday electronic industry. The effect of post annealing-\ntemperature on the crystal structure and magnetic anisotropy \nwas explored. XRD analysis revealed that the crystallization \nof YIG films improved after annealing. The compressive \nstrain due to the lattice mismatch between Si and YIG led to a \ndistortion in the YIG films, resulting in PMA in the thickness \nrange of 15-50 nm. As far as our best knowledge, we report \nPMA in pure YIG thin films grown on Si substrate for the first \ntime. We anticipate that perpendicular magnetized YIG thin \nfilms will allow the YIG magnetic insulator to be widely used \nin many areas. \nACKNOWLEDGEMENTS \nThe authors are grateful to Dr. Ilhan Yavuz for his fruitful \ndiscussions on the results. \n \nREFERENCES \n \n[1] H. Wang, C. Du, P. C. Ham mel, and F. Yang, “Strain -tunable \nmagnetocrystalline anisotropy in epitaxial Y 3 Fe 5 O 12 thin films,” Physical \nReview B, vol. 89, no. 13, pp. 134404, 2014. \n[2] A. Quindeau, C. O. Avci, W. Liu, C. Sun, M. Mann, A. S. Tang, \nM. C. Onbasli, D. Bono, P. M. Vo yles, and Y. Xu, “Tm3Fe5O12/Pt \nheterostructures with perpendicular magnetic anisotropy for spintronic \napplications,” Advanced Electronic Materials, vol. 3, no. 1, pp. 1600376, \n2017. \n[3] K. Garello, C. O. Avci, I. M. Miron, M. Baumgartner, A. Ghosh, \nS. Auff ret, O. Boulle, G. Gaudin, and P. Gambardella, “Ultrafast \nmagnetization switching by spin- orbit torques,” Applied Physics Letters, vol. \n105, no. 21, pp. 212402, 2014. \n[4] S. M. Zanjani, and M. C. Onbasli, “Thin film rare earth iron \ngarnets with perpendicul ar magnetic anisotropy for spintronic applications,” \nAIP Advances, vol. 9, no. 3, pp. 035024, 2019. \n[5] R. Linares, R. McGraw, and J. Schroeder, “Growth and Properties \nof Yttriu m Iron Garnet Single‐Crystal Films,” Journal o f Applied Physics, vol. \n36, no. 9, pp. 2884-2886, 1965. \n[6] J. Robertson, “Liquid phase epitaxy of garnets,” Journal of Crystal \nGrowth, vol. 45, pp. 233-242, 1978. \nAuthorized licensed use limited to: University of Edinburgh. Downloaded on September 05,2020 at 02:30:35 UTC from IEEE Xplore. Restrictions apply. 0018-9464 (c) 2020 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TMAG.2020.3021646, IEEE\nTransactions on Magnetics\n \n 6 \n[7] L. Jin, D. Zhang, H. Zhang, X. Tang, F. Bai, Z. Zhong, X. Fan, and \nJ. Q. Xiao, “Spin valve effect of the interfacial spin accumulation in yttrium \niron garnet/platinum bilayers,” Applied Physics Letters, vol. 105, no. 13, pp. \n132411, 2014. \n[8] M. Jungfleisch, V. Lauer, R. Neb, A. Chumak, and B. Hillebrands, \n“Improvement of the yttrium iron garnet/platinum interface for spin pumping -\nbased applications,” Applied Physics Letters, vol. 103, no. 2, pp. 022411, \n2013. \n[9] A. Kehlberger, K. Richter, M. C. Onbasli, G. Jakob, D. H. Kim, T. \nGoto, C. A. Ross, G . Götz, G. Reiss, and T. Kuschel, “Enhanced magneto -\noptic Kerr effect and magnetic properties of CeY 2 Fe 5 O 12 epitaxial thin \nfilms,” Physical Review Applied, vol. 4, no. 1, pp. 014008, 2015. \n[10] M. Onbasli, A. Kehlberger, D. Kim, G. Jakob, M. Kläui, A. \nChumak, B. Hillebrands, and C. Ross, “Pulsed laser deposition of epitaxial \nyttrium iron garnet films with low Gilbert damping and bulk-like \nmagnetization,” Apl Materials, vol. 2, no. 10, pp. 106102, 2014. \n[11] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek, M. Jantz, W. \nSchneider, M. Wu, H. Schultheiss, and A. Hoffmann, “Growth and \nferromagnetic resonance properties of nanometer-thick yttrium iron garnet \nfilms,” Applied Physics Letters, vol. 101, no. 15, pp. 152405, 2012. \n[12] O. Galstyan, H. Lee, A. Babajanyan, A. Hakhoumian, B. \nFriedman, and K. Lee, “Magneto -optical visualization by Bi: YIG thin films \nprepared at low temperatures,” Journal of Applied Physics, vol. 117, no. 16, \npp. 163914, 2015. \n[13] M. Nur-E-Alam, M. Vasiliev, V. A. Kotov, and K. Alameh, \n“Highl y bismuth-substituted, record-performance magneto-optic garnet \nmaterials with low coercivity for applications in integrated optics, photonic \ncrystals, imaging and sensing,” Optical Materials Express, vol. 1, no. 3, pp. \n413-427, 2011. \n[14] M. Shone, “The technology of YIG film growth,” Circuits, \nSystems and Signal Processing, vol. 4, no. 1-2, pp. 89-103, 1985. \n[15] D. Sander, “The magnetic anisotropy and spin reorientation of \nnanostructures and nanoscale films,” Journal of Physics: Condensed Matter, \nvol. 16, no. 20, pp. R603, 2004. \n[16] B. Tudu, and A. Tiwari, “Recent developments in perpendicular \nmagnetic anisotropy thin films for data storage applications,” Vacuum, vol. \n146, pp. 329-341, 2017. \n[17] A. V. Chumak, A. A. Serga, and B. Hillebrands, “Magnon \ntransistor for all- magnon data processing,” Nature communications, vol. 5, pp. \n4700, 2014. \n[18] F. Yildiz, M. Przybylski, and J. Kirschner, “Perpendicular \nanisotropy and oscillatory interlayer coupling in Fe 0.5 Co 0.5/Rh/Fe 0.5 Co \n0.5 bilayers on Rh (001),” J ournal of Applied Physics, vol. 105, no. 7, pp. \n07C312, 2009. \n[19] F. Yildiz, M. Przybylski, and J. Kirschner, “Direct Evidence of a \nNonorthogonal Magnetization Configuration in Single Crystalline Fe 1− x Co \nx/Rh/Fe/Rh (001) System,” Physical review letter s, vol. 103, no. 14, pp. \n147203, 2009. \n[20] C. Guo, C. Wan, M. Zhao, H. Wu, C. Fang, Z. Yan, J. Feng, H. \nLiu, and X. Han, “Spin -orbit torque switching in perpendicular Y3Fe5O12/Pt \nbilayer,” Applied Physics Letters, vol. 114, no. 19, pp. 192409, 2019. \n[21] H. Maier- Flaig, S. Geprägs, Z. Qiu, E. Saitoh, R. Gross, M. \nWeiler, H. Huebl, and S. Goennenwein, “Perpendicular magnetic anisotropy \nin insulating ferrimagnetic gadolinium iron garnet thin films,” arXiv preprint \narXiv:1706.08488, 2017. \n[22] E. Popova, N. Keller, F. Gendron, L. Thomas, M.-C. Brianso, M. \nGuyot, M. Tessier, and S. Parkin, “Perpendicular magnetic anisotropy in \nultrathin yttrium iron garnet films prepared by pulsed laser deposition \ntechnique,” Journal of Vacuum Science & Technology A: Vacuum, Su rfaces, \nand Films, vol. 19, no. 5, pp. 2567-2570, 2001. \n[23] J. Fu, M. Hua, X. Wen, M. Xue, S. Ding, M. Wang, P. Yu, S. Liu, \nJ. Han, and C. Wang, “Epitaxial growth of Y3Fe5O12 thin films with \nperpendicular magnetic anisotropy,” Applied Physics Letters, vol . 110, no. 20, \npp. 202403, 2017. \n[24] B. Calhoun, J. Overmeyer, and W. Smith, “Ferrimagnetic \nresonance in gadolinium iron garnet,” Physical Review, vol. 107, no. 4, pp. \n993, 1957. \n[25] Y. Kigami, T. Namikawa, and Y. Yamazaki, “Stress Induced \nMagnetic Anisotropy of YBi 2 Fe 5- x Ga x O 12 Sputtered Films,” IEEE \nTranslation Journal on Magnetics in Japan, vol. 5, no. 4, pp. 319-324, 1990. \n[26] M. Kubota, A. Tsukazaki, F. Kagawa, K. Shibuya, Y. Tokunaga, \nM. Kawasaki, and Y. Tokura, “Stress -induced perpendicular magnetization in \nepitaxial iron garnet thin films,” Applied Physics Express, vol. 5, no. 10, pp. \n103002, 2012. \n[27] B. Bhoi, N. Venkataramani, R. Aiyar, and S. Prasad, “FMR and magnetic studies on polycrystalline YIG thin films deposited using pulsed \nlaser,” IEEE Transactions on Magnetics, vol. 49, no. 3, pp. 990 -994, 2013. \n[28] Y. Zhang, J. Xie, L. Deng, and L. Bi, “Growth of phase pure \nyttrium iron garnet thin films on silicon: the effect of substrate and \npostdeposition annealing temperatures,” IEEE Trans actions on Magnetics, \nvol. 51, no. 11, pp. 1-4, 2015. \n[29] C. Tang, M. Aldosary, Z. Jiang, H. Chang, B. Madon, K. Chan, M. \nWu, J. E. Garay, and J. Shi, “Exquisite growth control and magnetic \nproperties of yttrium iron garnet thin films,” Applied Physics Le tters, vol. \n108, no. 10, pp. 102403, 2016. \n[30] B. Schultrich, Tetrahedrally Bonded Amorphous Carbon Films I: \nBasics, Structure and Preparation: Springer, 2018. \n[31] S. Geller, and M. Gilleo, “The crystal structure and ferrimagnetism \nof yttrium-iron garnet , Y3Fe2 (FeO4) 3,” Journal of Physics and Chemistry of \nsolids, vol. 3, no. 1-2, pp. 30-36, 1957. \n[32] E. Popova, N. Keller, F. Gendron, M. Guyot, M.-C. Brianso, Y. \nDumond, and M. Tessier, “Structure and magnetic properties of yttrium– iron–\ngarnet thin films prepared by laser deposition,” Journal of Applied Physics, \nvol. 90, no. 3, pp. 1422-1428, 2001. \n[33] C. Deger, P. Aksu, and F. Yildiz, “Effect of Interdot Distance on \nMagnetic Behavior of 2- D Ni Dot Arrays,” IEEE Transactions on Magnetics, \nvol. 52, no. 12, pp. 1-4, 2016. \n[34] M. Farle, “Ferromagnetic resonance of ultrathin metallic layers,” \nReports on progress in physics, vol. 61, no. 7, pp. 755, 1998. \n[35] F. Yildiz, S. Kazan, B. Aktas, S. Tarapov, L. Tagirov, and B. \nGranovsky, “Ferromagnetic resonance studies on (Co40Fe40B20) x (SiO2) 1− \nx granular magnetic films,” Journal of magnetism and magnetic materials, vol. \n305, no. 1, pp. 24-27, 2006. \n[36] F. Yildiz, M. Przybylski, X.- D. Ma, and J. Kirschner, “Strong \nperpendicular anisotropy in Fe 1− x Co x alloy films epitaxially grown on \nmismatching Pd (001), Ir (001), and Rh (001) substrates,” Physical Review B, \nvol. 80, no. 6, pp. 064415, 2009. \n[37] T. Kalaycı, C. Deger, S. Akbulut, and F. Yildiz, “Tuning magnetic \nproperties of non-collinear magnetization configuration in pt/[pt/co] 6/pt/co/pt \nmultilayer structure,” Journal of Magnetism and Magnetic Materials, vol. 436, \npp. 11-16, 2017. \n[38] R. Soohoo, “General spin -wave dispersion relations,” Physical \nReview, vol. 120, no. 6, pp. 1978, 1960. \n[39] R. Soohoo, “Ex citation and boundary effects in spin-wave \nresonance,” Journal of Applied Physics, vol. 32, no. 3, pp. S148 -S150, 1961. \n[40] R. Soohoo, “Ferromagnetic and Spin -Wave Resonance in \nMultilayer Films,” Journal of Applied Physics, vol. 63, no. 8, pp. 3829 -3829, \n1988. \n[41] G. Siegel, M. C. Prestgard, S. Teng, and A. Tiwari, “Robust \nlongitudinal spin-Seebeck effect in Bi- YIG thin films,” Scientific reports, vol. \n4, pp. 4429, 2014. \n[42] A. Abidov, B. Allabergenov, J. Lee, H.-W. Jeon, S.-W. Jeong, and \nS. Kim, “X-ray photoelectron spectroscopy characterization of Fe doped TiO2 \nphotocatalyst,” Int. J. Mater. Mech. Manuf, vol. 1, no. 3, pp. 294, 2013. \n[43] L. Jin, K. Jia, Y. He, G. Wang, Z. Zhong, and H. Zhang, “Pulsed \nlaser deposition grown yttrium-iron-garnet thin films: Effect of composition \nand iron ion valences on microstructure and magnetic properties,” Applied \nSurface Science, vol. 483, pp. 947-952, 2019. \n[44] C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt, M. Qaid, \nH. Deniz, D. Hesse, M. Sawicki, S. G. Ebbing haus, and G. Schmidt, “Yttrium \niron garnet thin films with very low damping obtained by recrystallization of \namorphous material,” Scientific reports, vol. 6, pp. 20827, 2016. \n[45] S. M. Zanjani, and M. C. Onbaşlı, “Predicting new iron garnet thin \nfilms with perpendicular magnetic anisotropy,” Journal of Magnetism and \nMagnetic Materials, vol. 499, pp. 166108, 2020. \n[46] P. Sellappan, C. Tang, J. Shi, and J. E. Garay, “An integra ted \napproach to doped thin films with strain-tunable magnetic anisotropy: powder \nsynthesis, target preparation and pulsed laser deposition of Bi: YIG,” \nMaterials Research Letters, vol. 5, no. 1, pp. 41-47, 2017. \n \n \n \n \nAuthorized licensed use limited to: University of Edinburgh. Downloaded on September 05,2020 at 02:30:35 UTC from IEEE Xplore. Restrictions apply. " }, { "title": "2004.02156v1.Spin_wave_based_tunable_switch_between_superconducting_flux_qubits.pdf", "content": "Spin wave based tunable switch between superconducting flux qubits Shaojie Yuan1*, Chuanpu Liu2*, Jilei Chen2*,Song Liu1, Jin Lan5, Haiming Yu2†, Jiansheng Wu†1, Fei Yan1, Man-Hong Yung1, Jiang Xiao3†, Liang Jiang4†, Dapeng Yu1† 1Institute for Quantum Science and Engineering, and Department of Physics, Southern University of Science and Technology, Shenzhen 518055, China 2Fert Beijing Research Institute, School of Electronic and Information Engineering, BDBC, Beihang University, 100191 Beijing, China 3Department of Physics and State Key Laboratory of Surface Physics, Fudan University, Shanghai 200433, China 4Pritzker School of Molecular Engineering, The University of Chicago, Chicago, Illinois 60637, USA 5Center of Joint Quantum Studies and Department of Physics, School of Science, Tianjin University, Tianjin 300350, China * Equally contributed authors. † Corresponding authors Quantum computing hardware has received world-wide attention and made considerable progress recently. YIG thin film have spin wave (magnon) modes with low dissipation and reliable control for quantum information processing. However, the coherent coupling between a quantum device and YIG thin film has yet been demonstrated. Here, we propose a scheme to achieve strong coupling between superconducting (SC) flux qubits and magnon modes in YIG thin film. Unlike the direct √𝑵\tenhancement factor in coupling to the Kittel mode or other spin ensembles, with N the total number of spins, an additional spatial-dependent phase factor needs to be considered when the qubits are magnetically coupled with the magnon modes of finite-wavelength. To avoid undesirable cancelation of coupling caused by the symmetrical boundary condition, a CoFeB thin layer is added to one side of the YIG thin film to break the symmetry. Our numerical simulation demonstrates avoided crossing and coherent transfer of quantum information between the flux qubit and the standing spin waves in YIG thin films. We show that the YIG thin film can be used as a tunable switch between two flux qubits, which have modified shape with small direct inductive coupling between them. Our results manifest that it is possible to couple flux qubits while suppressing undesirable cross-talk. Quantum computing and simulation based on superconducting qubits have achieved significant progress in recent years (1-3). Many efforts were devoted to hybridizing the solid-state qubits with other physical systems, such as mechanical or magnetic systems (4-9). For instance, the Kittel mode of a macroscopic YIG sphere was coherently coupled to a transmon qubit in a 3D cavity with the microwave photons manipulated inside the cavity (8). Besides, the superconducting flux qubit was successfully hybridized with spin ensembles, i.e., nitrogen-vacancy (NV) centers in diamond via magnetic interaction (4-6). On the other hand, because of the zero Joule heating, the wave nature with microwave working frequency, spin wave (whose quanta is called magnon) has become a promising candidate for conventional information transmission and processing and acquired the potential to establish a spin-wave based computing technology, far beyond its CMOS counterpart (10-16). Due to its favorably low damping, ferrimagnetic insulator yttrium iron garnet (YIG) is particularly promising for these applications (17-19). In this work, we propose a novel hybrid system, consisting of superconducting flux qubits and the standing spin waves (20) in ferrimagnetic YIG thin film. The latter system has been widely used in spintronics and magnonics (17-19), while, its magnetic coupling to superconducting qubits and the corresponding application in quantum information processing has not been extensively investigated. As shown in the following, unlike the coupling to spin ensembles or Kittel mode of spin waves (4, 5, 7), the enhancement factor for the coupling strength does not follow the √𝑁 law, but carries a modulation associated with the finite spin-wave wavelength. In our proposal, an additional thin pinning layer of CoFeB is deposited on one side of the YIG thin film to break the symmetry at the boundary conditions (21-30). Avoided crossing of the energy spectrum can be numerically simulated by solving Heisenberg equation based on the full Hamiltonian of the flux qubit, the spin waves in the YIG thin film and their coupling. We find that it is possible to transfer quantum information coherently between the flux qubit and the spin wave mode in the YIG thin film. Moreover, we propose an experimentally feasible design to switch “on” and “off” the coupling between two shape-modified flux qubits or to entangle them via the perpendicular standing spin waves (PSSWS) of the YIG thin film. Hybridizing one flux qubit with and further “tuning” the inductive coupling, which causes cross-talk (31), between multiple flux qubits or entangling them through PSSWs highlights the application of spin wave bus in quantum computing, further expanding the application of spin wave-based computation technology (32-35). A superconducting (SC) loop with three Josephson junctions compose of a flux qubit with the superposition of the clockwise and counter clockwise persistent currents state as the qubit ground state: |g>=|↺>−|↻> and first excited state, |e>=|↺>+|↻> (36, 37), respectively. The net currents and the resulting the magnetic field threading the loop for the |g> and |e> states are distinct. Consequently, the Rabi oscillation between the two states of the flux qubit generates an alternating magnetic field perpendicular to the SC loop, which can be used to excite spin waves in YIG system. The basic setup of the hybrid system is shown schematically in Fig. 1, which consists of a 5x 5 µm! superconducting loop and a 3x 0.08x 3 µm\" YIG thin film above. A much thinner CoFeB capping layer ~ 10 nm in thickness is deposited on the top side of the YIG thin film to pin the magnetization in YIG at the interface. The magnetization follows Dirichlet boundary condition at the pinned surface, and Neumann boundary condition at the other free surface (21-27). The resonant frequencies of the perpendicular standing spin wave (PSSW) modes are (20), 𝑓#$$%=&'!!(23𝐻)*++!,\"#'!-$5.(/6!73𝐻)*++!,\"#'!-$5.(/6!+𝑀07 (1) with gyromagnetic ratio &!(=28\tGHz/T, vacuum permeability \t𝜇1=1.256\t∗1023\t𝑁/𝐴!, saturation magnetization 𝑀$=192\tkA/m for YIG, thicknessδ=80\tnm, the exchange constant 𝐴)*=3.1\tpJ/m, external field 𝐻456\t and mode number 𝑛=1,2,3,…, . Values of 𝑀$ and 𝐴45 are obtained by fitting the resonance of a 295 nm YIG thin film from Ref (19) using equation (1) with mode number n=1,2,3,4,5,6. Experiment has measured the resonance value for the PSSW mode of 80 nm YIG thin film at near zero external field to be 4.57 GHz, which is different from theoretical prediction 3.39 GHz. The discrepancy may be due to choosing of order parameter to be integer for unsymmetrical pinning in the fitting process, as actually there are ¾ wavelength in thickness direction for n=1\tas illustrated in Fig. 1 b. For our quantum control schemes, we will use the experimental resonance values and design a flux qubit with transition frequency close to 𝑓#$$%(.89) and sufficiently detuned from the CoFeB resonance. Using the geometric confinement, the proper boundary conditions and the suitable coupling strength (see Eq. 4 with later discussion), the PSSW of wavelength of λ=;\"δ=;*\t=1\" nm can be excited. An external field of 10 Gauss is applied to align spins in YIG and the field created by the YIG thin film and the CoFeB capping layer on the flux qubit is of the same order (see Fig 1. c), assuming the spin density 𝑛>?@4A=1.61x\t10!B\t𝑚2\" for CoFeB and 𝑛CDE=2.14x10!=\t𝑚2\"\tfor YIG. The distance between the flux qubit and the YIG thin film is chosen to be around 1-1.5 µm for later simulation in Fig.3. At these distances, the total magnetic field on the qubit is between 21.5 to 37 Gauss, which is less than the critical field of the aluminum superconductor (around 100 Gauss) and guarantees superconductivity of the flux qubit. In addition, other superconducting material such as Niobium can be used to fabricate the loop and junctions of the flux qubit, which has a much higher critical magnetic field for superconductivity, i.e., above 1000 Gauss. From Ref (19), the decay rates for YIG thin film and CoFeB pinning layer are estimated as ΓFGH,J8!~40\tMHz and ΓKLM)N~300\tMHz, where n is the PSSW mode number. Since the decay rate is proportional to the frequency and the frequency is approximately proportional to the square of mode number, intrinsic decay rate for n=1 PSSW mode is ~ 10 MHz. The resonance frequency for n=1 PSSW mode in YIG thin film and CoFeB pinning layer are 𝑓CDE~4.6\tGHz and𝑓>?@4A~1.35\tGHz and the exchange coupling strength is 𝑔KLM)N,FGH~500\tMHz, which makes converted decay rate of CoFeB on n=1 PSSW mode as Γ>?@4A→CDE,.89=(P%&'()*+,-Q+,-2Q%&'())!∗300~7\tMHz and total decay rate for n=1 PSSW mode being 17 to 20 MHz. In our proposal, we replace the microwave antenna in Ref (19) a flux qubit loop, which has a lower decay rate and a much smaller inductive coupling with the sample, and we expect the magnon decay rates will be further reduced. Therefore, it is reasonable to assume that the decay rate for n=1 PSSW mode is about 20-30 MHz. Fig. 1 Hybrid structure coupling a flux qubit and a YIG thin film (spin wave, or a magnon). (a) A YIG thin film with the dimension of 3 x 0.08 x 3 µm\" is placed in the center of the 5 x 5 µm! flux qubit loop separated by a distance d. An external field of 10 Gauss is applied along the x axis to align the spins in the YIG thin film. The thickness of YIG thin film is δFGH=80 nm. A perpendicular standing spin wave (n = 1) with wavelength λ=;\"δ\tis excited. The frequency of the flux qubit is chosen to match that of the spin wave, which is experimentally around 4.7 GHz. The alternating magnetic field in the flux qubit loop excites the spin wave in the YIG thin film. (b) A cartoon depicts the PSSW of mode number n=0,1,2,3 with bottom spins being pinned and top spins unpinned. In our proposal, n=1 mode is selected. (c) The magnetic field on the flux qubit created by the YIG thin film and CoFeB thin layer. The\tspins\tin\tboth\tYIG\tand\tCoFeB\tare\tfully\taligned\talong\tx. In the following, we consider the coupling strength between the flux qubit and YIG thin film. Hamiltonian for a ferromagnetic or ferrimagnetic material in a magnetic field takes the following general form (38) 𝐻o=−∑𝑆r𝐦𝐦,𝐧.𝐽𝐦,𝐧.𝑆r𝐧+\t∑𝑆r𝐦𝐦.𝐵\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t\t (2) \nwith coupling matrix J𝐦,𝐧 between the spins, with the assumptions that deviations from the group state are small, we can perform the Holstein–Primakoff approximation and transforming into the momentum space, we obtain 𝐻o=−𝐽𝑁𝑆!+𝑁𝑆𝜇N𝐵T+∑∑(ℏ𝜔U.\"V89+𝜇N.𝐵T)𝑎𝐤†𝑎𝐤A.Y.𝐤+∑𝑎𝐤†A.Y.𝐤∑(2𝑆)/0𝜇Nx9√[𝑒\\𝐤.𝐦5A#]\\A1!6z+𝑎𝐤∑(2𝑆)/0𝜇Nx9√[𝑒2\\𝐤.𝐦5A#2\\A1!6z𝐦𝐦 (3) Where i=1,2,3, ℏωU.=2JS(1−coskV)=4JSsin!5U.!6, 𝑆 is total spin at each lattice site, 𝑘⃗ is the wavevector of the spin wave and 𝑁 is the number of lattice sites in each direction. From Equation (3), by replacing the summation over each site with integration over space and insert the spin density, the integral form of the coupling strength between the flux qubit and YIG thin film is obtained as following: 𝑔4QQ^_⃗~(!a)/0∫c').42344⃗.744⃗d)892):0ef;g(∫cf;g)/0 (4) where B(x,y,z) is the microwave excitation field created by the flux qubit and 𝜌 is the spin density in YIG. Unlike the simple √𝑁\tenhancement associated with coupling to Kittel mode, there is an extra spatial-dependent phase factor 𝑒\\^_⃗.g⃗ in Eq. (4). For long wavelength spin wave, |𝒌|≪1\t𝜇𝑚29 and 𝑒\\𝐤.𝐫\t~1and if 𝐵* or 𝐵i only vary slowly compared to 9|𝒌| in real space, 𝑔4QQ𝐤 will be proportional to √𝑁. However, for the short wavelength spin wave, 𝑔4QQ𝐤 is not necessarily proportional to √𝑁 and can even be zero if the integration region covers exactly integer times of the wavelength along wavevector direction. This is also the reason, to excite PSSW mode in YIG thin film by an almost homogenous field, an asymmetric boundary condition is required to avoid zero coupling strength caused by the phase factor. Given the dimension of the flux qubit square loop 5x\t5\tµm! and the persistent current I\t~\t500\tnA\t(39, 40), the magnetic field produced by the flux qubit can be evaluated using Ampere’s law 𝐵(r)='!;(∮𝐼fl____⃗×gn___⃗|gn___⃗|; and 𝐵o dominates while, 𝐵5, 𝐵p is close to zero in Fig .1. Given a net spin density 𝜌=2.14x\t10!=\t𝑚2\" in YIG, we obtain the absolute value 𝑔4QQ^ as a function of the separation distance d between of the coupling strength between the flux qubits and the YIG thin film as in Fig. 2: \n Fig. 2, Coupling strength |𝒈𝐞𝐟𝐟𝐤| as a function of the separating spacing d. For small distance (d<2 µm), |𝒈𝒆𝒇𝒇𝒌| for 𝑘⃗o=!(u , where y is the direction in Fig. 1, decreases slowly with the distance and is above 30 MHz, which is larger than decay rate of magnon in YIG thin film. For large d, |𝒈𝐞𝐟𝐟𝐤| decreases as 𝑑2\" indicated by the red curve. With the coupling strength estimated above, the full Hamiltonian with the flux qubit and YIG thin film can be written as 𝐻o=−𝐽𝑁𝑆!+𝑁𝑆𝜇N𝐵T+∑(ℏ𝜔𝐤+𝜇A.𝐵)𝑎𝐤]𝑎𝐤A.Y.𝐤+v!(∆𝜎5+𝜀𝜎p)−ℎ𝑔4QQU𝑎𝐤†+𝑔4QQ^∗𝑎𝐤𝜎p+ℎ𝜆cos𝜔𝑡.𝜎p (5) where the first and second terms are the ferromagnetic and Zeeman terms, the third term describes the spin wave excitation, the fourth term is the flux qubit with ∆ the tunneling energy splitting and 𝜀 being the energy bias between the two qubit states, the fifth term characterizes interaction between the two devices, and the last term is the external driving \nof the flux qubit. Here, 𝜎5,p are the Pauli matrices. The first two terms can be neglected for the reason that spin wave energy is a small perturbation compared to these two energies. By changing the basis of the flux-qubit, neglecting the Zeeman splitting and performing the rotating wave approximation, the Hamiltonian becomes, 𝐻o=v!(√∆!+𝜀!−𝜔)𝜎p+(ℏ𝜔^+𝜇A.𝐵)𝑎^]𝑎^+ℎ∆√∆0]y0𝑔4QQ^𝑎^]𝜎2+𝑔4QQ^∗𝑎^𝜎]+ℎ∆√∆0]y0u!(𝜎]+𝜎2) (6) Where 𝜎],𝜎2are rasing and lowering operator. 𝜎p=2∗(𝜎]𝜎2−9!)\t. Approximating the flux qubit as a harmonic oscillator and let 𝜎]→𝑐̂] and 𝜎2→𝑐̂, the Hamiltonian can be written in a different form. Employing the Heisenberg relation fẑf6=[𝑐̂,𝐻], sloving in Fourier space and transforming back to the lab frame, we obtain simulation of the energy spectrum 𝜎2,|~ 9|2√∆0]y0]\\}<=2~P(<<3∆?∆09@0~0/(|2|AB]\\}AB) (7) with 𝜔 being the driving pulse frequency and 𝜔$% being the resonance frequency of the standing spin wave of the YIG thin film. The expression of Eq. (7) describes the spectroscopic measurement of the flux qubit hybridized with spin waves in YIG thin film. Chossing parematers as ∆!(=4.52 GHz, }'C!(=2\tMHz, |AB!(=4.57 GHz and }AB!(=20\tMHz, which is a rsonable number since the decay rate for Kittel spin wave in a perfect sphere is around 1 MHz (7) and for finite wavelength spin wave in the YIG thin film is 6.8 MHz at 20 mk with GGG substrate and 1.4 MHz without substrate (41), let |P(<<3|!(=0\tMHz and\t30\tMHz, we obtain a simulated spectrum for a bare qubit and a hybridized qubit-spin wave system, as shown in Fig.3. The avoided cross or gap shows the strong coupling between flux qubit and standing spin wave of YIG thin film with vacuum Rabi splitting 2g = 60 MHz, which supports coherent energy or information exchange between them. Before preceding further, let us have a brief discussion about the influence of the CoFeB thin layer on the flux qubit. Using Eq. 4, with long wavelength approximation (𝑘~0) and spin density of CoFeB being 1.61∗10!B\t𝑚2\" (Co), and 𝑑=1.2\t𝜇𝑚 as the parameter chosen in Fig. 3, a rough coupling strength between flux qubit and Co thin layer is 200 MHz. Decay rate for CoFeB is Γ>?@4A\t~\t300\tMHz and the converted influence on the flux qubit from Co electrons\twould be Γ>?\t*$\"∆%$~ 1.2 MHz, where 𝑔 is the coupling strength and ∆ is the off resonance between the flux qubit and CoFeB. We may introduce the the damping constant 𝛼=}Q , where Γ is the decay rate and 𝑓 is the resonace frequency. For YIG, 𝛼 is on the order of 102 to 102;, which makes decay rate as small as 3.3 MHz at a resonace of 4.57 GHz, most possibly by improving the thin film growing quality. In addition, a low ferromagntic alloy Co25Fe75 with damping constant as low as 5∗102; is reoprted. This material could substitute the CoFeB capping layer, which would have the decay rate ΓKL!M)<1\tMHz instead of ΓKLM)𝐁~300\tMHz and decrease the total decay rate of YIG-pinning layer to below 5 MHz. These further ensure the possibilities to implement thicknees mode of YIG thin film in quantum information processing. \n Fig. 3 Simulation of the energy spectrum of a flux qubit coupled to standing spin waves in the YIG thin film. (a) Spectrum of a bare flux qubit with ∆=4.52 GHz, Γ@=2\tMHz and 𝑔4QQ^=0 in Eq. (7). (b) Spectrum of a flux qubit coupled to the standing spin wave of the flux qubit with\t|P(<<3|!(=30\tMHz, |AB!(=4.57 GHz, }AB!(=20\tMHz. Next, we propose a scheme to entangle and further switch the coupling “on” and “off” between two shape-modified flux qubits through PSSW mode in YIG thin film. Fig. 4 shows the schematic: two modified flux qubits with center-to-center distance of 20√2\t𝜇𝑚, \nare placed on top of a YIG thin film with a vertical separation d. The left/right arc of a flux qubit is a quarter of a 10 𝜇𝑚 radius circle and the top/down arc is a quarter of a 13.2 𝜇𝑚 radius circle. Mutual inductance of the two loops is given by the Neumann formula 𝐿,.='!;(∮∮f𝑿Df𝑿E|𝑿D2𝑿E|. The designed orientations of those arcs are to decrease the mutual inductance between the two flux qubit loops from several tens MHz for comparable size square loops to 3.97 MHz for the current design with circulating current as much as 500 nA. YIG thin film is ~ 80 nm in thickness with left/right sides being a quarter of a 10 𝜇𝑚 circle and top/down sides having the length of 10√2\t𝜇𝑚, which is also deposited with 10 𝑛𝑚 CoFeB on one side. As oscillation occurs between the two states of a flux qubit, alternating magnetic fields are created outside the loop and Fig. 4 (d) shows the coupling strength between each flux qubit and the YIG thin film as a function of the distance d in between. As shown in Fig. 4, stray magnetic field created by the YIG-CoFeB thin film is below the superconducting critical field of material of Niobium, i.e., 1000 Gauss, that is used to fabricate the flux qubit. Readout of a flux qubit can be realized via another shaped-modified squid loop as in Fig. 4 c. Mutual inductance between the squid loop and flux qubit is 3.8∗10299\t𝐻, while the one between the squid loop and the neighboring flux qubit is 5.6∗1029;\t𝐻. This guarantees that reading-out flux qubit will not be influenced much by the state of neighboring qubit, even operating simultaneously. Microwave line which is not shown, can quickly tune flux quit resonance frequency to the frequency of the (PSSW) spin wave mode of 4.57 GHz. At distance 𝑑=0.5\t𝜇m, the absolute value of coupling strength is about 50 MHz. If both flux qubits are detuned simultaneously to 630 MHz below 4.57 GHz, effective coupling strength J between the two flux qubits can be J~\"!\"\"%!∆!&!∆\"'$≈−3.97 MHz (8) This will cancel the mutual inductive coupling between (+ 3.97 MHz) the two flux qubits loops, thus switching off the coupling. On the other hand, if detuning both flux qubit to 400 MHz above 4.57 GHz, J would be 6.25 MHz, and plus additional mutual inductive 3.97 MHz, the total coupling strength would be about 10 MHz. Since the intrinsic life time for flux qubit can be about 1 µs, coupling strength of 10 MHz is strong enough to entangle the two qubits. In this way the coupling between two flux qubits is switched “on” and “off‘. In addition, the intrinsic decay rate of thickness mode spin wave in YIG thin film is about ΓCDE\t=10 MHz, which will introduce an extra broadening of 10*51;116!=0.15 MHz on the flux qubit. Similarly, the CoFeB thin layer\tgives rise to another 300*5!1\"\"116!~0.01 MHz broadening on flux qubit. \n Fig. 4 Proposed setup for a tunable switch between two shape-modified flux qubits utilizing (with) YIG thin film. (a) two shape modified flux qubits are placed at a distance d above the 80 nm thick YIG thin film, which is capped with 10 nm CoFeB layer on one side. Special geometry of flux qubits is to decrease mutual inductance and detail dimensions of both flux qubits and YIG thin film are given in the context. (a) the sideview (b) the top view. (c) a special designed squid loop used for reading out the state of flux qubit. Mutual inductance between flux qubit and squid loop is given in the context and \nreading out one flux qubit will not be influenced much by the neighboring qubit. (d) the absolute value of effective coupling strength (left axis) between one flux qubit and YIG thin film and the total magnetic field (right axis) at point p as in (a) created by YIG thin film as a distance of d. As demonstrated above, different from coupling to spin ensembles or Kittel mode of spin waves, the coupling of the flux qubit with finite-wavelength (fundamental) spin wave mode has an extra phase term, which enables us to obtain the coupling strength and proposed a scheme to hybridize flux qubit with a perpendicularly standing spin wave in the YIG thin film. We further show the PSSW spin wave mode in an YIG thin film can switch “on” and “off” the coupling between two flux qubits and generate entanglement. Our results manifest that it is possible to couple flux qubits while suppressing cross-talk. This opens a possibility of utilizing YIG thin film for quantum information processing. The authors thank Huaiyang Yuan, Peihao Huang, Xiuhao Deng for fruitful discussions. This work is supported by Key-Area Research and Development Program of GuangDong Province (No. 2018B030326001), the National Key Research and Development Program of China (2016YFA0300802), the National Natural Science Foundation of China (Grants No. 11704022, No. U1801661), the Guangdong Innovative and Entrepreneurial Research Team Program (Grant No. 2016ZT06D348), the Science, Technology and Innovation Commission of Shenzhen Municipality (Grant No. KYTDPT20181011104202253). 1. A. D. Córcoles et al., Nature Communications 6, 6979 (2015). 2. R. Barends et al., Nature 508, 500 (2014). 3. M. Mirrahimi et al., New Journal of Physics 16, 045014 (2014). 4. D. Marcos et al.,Physical Review Letters 105, 210501 (2010). 5. X. Zhu et al., Nature 478, 221 (2011). 6. X. Zhu et al., Nature Communications 5, 3424 (2014). 7. Y. Tabuchi et al., Physical Review Letters 113, 083603 (2014). 8. Y. Tabuchi et al., Science 349, 405 (2015). 9. D. Lachance-Quirion et al., Science Advances 3, e1603150 (2017). 10. I. L. Markov, Nature 512, 147 (2014). 11. Y. Kajiwara et al., Nature 464, 262 (2010). 12. V. V. Kruglyak, S. O. Demokritov, D. Grundler, Journal of Physics D: Applied Physics 43, 264001 (2010). 13. B. Lenk, H. Ulrichs, F. Garbs, M. Münzenberg, Physics Reports 507, 107-136 (2011). 14. A. V. Chumak, V. I. Vasyuchka, A. A. Serga, B. Hillebrands, Nature Physics 11, 453 (2015). 15. S. Dutta et al., Scientific Reports 5, 9861 (2015). 16. W. Yu, J. Lan, J. Xiao, Physical Review Applied 13, 024055 (2020). 17. T. Schneider et al., Applied Physics Letters 92, 022505 (2008). 18. A. V. Chumak, A. A. Serga, B. Hillebrands, Nature Communications 5, 4700 (2014). 19. C. S. Davies et al., IEEE Transactions on Magnetics 51, 1-4 (2015). 20. H. Qin, S. J. Hämäläinen, S. van Dijken, Scientific Reports 8, 5755 (2018). 21. C. Kittel, Physical Review 110, 1295-1297 (1958). 22. P. E. Wigen, C. F. Kooi, M. R. Shanabarger, T. D. Rossing, Physical Review Letters 9, 206-208 (1962). 23. R. F. Soohoo, Physical Review 131, 594-601 (1963). 24. Y. S. Gui, N. Mecking, C. M. Hu, Physical Review Letters 98, 217603 (2007). 25. R. Magaraggia et al., Physical Review B 83, 054405 (2011). 26. S. Klingler et al., Journal of Physics D: Applied Physics 48, 015001 (2014). 27. M. A. W. Schoen, J. M. Shaw, H. T. Nembach, M. Weiler, T. J. Silva, Physical Review B 92, 184417 (2015). 28. S. Klingler et al., Physical Review Letters 120, 127201 (2018). 29. J. Chen et al., Physical Review Letters 120, 217202 (2018). 30. S. J. H. H. Qin, and S. van Dijken, Sci. Rep. 8, (2018). 31. D. Abraham et al., Quantum Computing Architecture. 27003 (2013). 32. A. Khitun, M. Bao, K. L. Wang, Journal of Physics D: Applied Physics 43, 264005 (2010). 33. A. V. Chumak, V. I. Vasyuchka, A. A. Serga, B. Hillebrands, Nature Physics 11, 453-461 (2015). 34. G. Csaba, Á. Papp, W. Porod, Physics Letters A 381, 1471-1476 (2017). 35. J. Lan, W. Yu, R. Wu, J. Xiao, Physical Review X 5, 041049 (2015). 36. T. P. Orlando et al., Physical Review B 60, 15398-15413 (1999). 37. J. E. Mooij et al., Science 285, 1036-1039 (1999). 38. A. Altland, Condensed Matter Field Theory 2nd Edition. (Cambridge University Press, ed. 2 2010). 39. J. Bylander et al., Nature Physics 7, 565 (2011). 40. F. Yan et al., Nature Communications 7, 12964 (2016). 41. S. Kosen, A. F. van Loo, D. A. Bozhko, L. Mihalceanu, A. D. Karenowska, APL Materials 7, 101120 (2019). " }, { "title": "2309.16514v1.Engineering_Entangled_Coherent_States_of_Magnons_and_Phonons_via_a_Transmon_Qubit.pdf", "content": "Engineering Entangled Coherent States of Magnons and Phonons via a Transmon\nQubit\nMarios Kounalakis,1, 2,∗Silvia Viola Kusminskiy,2and Yaroslav M. Blanter1\n1Kavli Institute of Nanoscience, Delft University of Technology, 2628 CJ Delft, The Netherlands\n2Institute for Theoretical Solid State Physics, RWTH Aachen University, 52074 Aachen, Germany\n(Dated: September 29, 2023)\nWe propose a scheme for generating and controlling entangled coherent states (ECS) of magnons,\ni.e. the quanta of the collective spin excitations in magnetic systems, or phonons in mechani-\ncal resonators. The proposed hybrid circuit architecture comprises a superconducting transmon\nqubit coupled to a pair of magnonic Yttrium Iron Garnet (YIG) spherical resonators or mechanical\nbeam resonators via flux-mediated interactions. Specifically, the coupling results from the mag-\nnetic/mechanical quantum fluctuations modulating the qubit inductor, formed by a superconducting\nquantum interference device (SQUID). We show that the resulting radiation-pressure interaction of\nthe qubit with each mode, can be employed to generate maximally-entangled states of magnons or\nphonons. In addition, we numerically demonstrate a protocol for the preparation of magnonic and\nmechanical Bell states with high fidelity including realistic dissipation mechanisms. Furthermore,\nwe have devised a scheme for reading out the prepared states using standard qubit control and res-\nonator field displacements. Our work demonstrates an alternative platform for quantum information\nusing ECS in hybrid magnonic and mechanical quantum networks.\nI. INTRODUCTION\nThe development of quantum technologies aims to-\nwards disruptive practical applications in several fields\nsuch as computing, communication and sensing by ex-\nploiting the effects of quantum mechanics [1, 2]. The\nsuccess of this venture largely relies on the evolution of\nhybrid quantum systems that incorporate the advantages\nof different physical platforms in a constructive way [3, 4].\nFor example, circuit quantum electrodynamics (QED),\nwhere light-matter interactions in superconducting cir-\ncuits are used to manipulate quantum information, is one\nof the leading platforms in quantum computing, combin-\ning strong nonlinearities with advanced quantum control\nand readout as well as high coherence times relative to\nqubit operations [5, 6]. However, superconducting cir-\ncuits do not directly couple to optical photons, hindering\ntheir integration with optical networks [3]. In this direc-\ntion, the development of hybrid circuit QED platforms\nbased on mechanical and magnetic systems is an essen-\ntial requirement towards networked quantum computa-\ntion [4]. In addition, the evolution of high-quality me-\nchanical systems operating in the quantum regime pro-\nvides unique opportunities not only in transduction but\nalso in building quantum memories and sensors [3, 4, 7].\nMoreover, hybrid quantum systems based on magnons,\ni.e., the quanta of the collective spin excitations in mag-\nnetic materials, offer distinctive advantages, such as uni-\ndirectional propagation and chiral coupling to phonons\nand photons [8, 9], making them prime candidates for\ntechnological applications in quantum information sci-\nences [10, 11].\nThe ability to generate entanglement is at the heart\nof most protocols in quantum information. For macro-\nscopic mechanical and magnonic resonators, which carry\nbosonic degrees of freedom and typically operate in thelinear regime, the special class of entangled coherent\nstates (ECS) [12, 13] is of particular interest. Such\nstates exhibit continuous-variable entanglement between\ndifferent bosonic modes and provide a valuable resource\nfor quantum teleportation [14, 15], quantum computa-\ntion [16–18] and communication [19, 20]. In addition,\nECS are useful for fundamental studies of quantum me-\nchanics with applications in quantum metrology [21, 22]\nand tests of collapse models [23, 24].\nMacroscopic entanglement between mechanical modes\nhas recently been achieved on aluminum drum res-\nonators [25, 26] and micromechanical photonic/phononic\ncrystal cavities [27, 28], however, an experimental demon-\nstration of entanglement between metallic nanobeams\nsuch as the ones studied in Refs. [29–31] is currently lack-\ning. Furthermore, while entanglement between atomic\nensembles has been experimentally realised in an optical\nsetup [32], entangling magnons in two distant magnets\nstill remains a challenge. Recent theoretical proposals\nhave investigated the possibility of entangling magnons\nin two Yttrium Iron Garnet (YIG) spheres interacting\nvia photons in a microwave cavity. More specifically,\nin Ref. [33] the emerging Kerr nonlinearity in strongly\ndriven magnons is used, relying on driving the magnon\nmodes far from equilibrium in order to create entangle-\nment. In Ref. [34] the nonlinearity stemming from the\nparametric magnetorestrictive interaction is employed to\ncreate magnon-magnon entanglement, although requir-\ning a much larger magnetorestrictive coupling strength\nthan experimentally attainable [35]. Alternatively, in\nRefs. [36, 37] it is shown that two YIG spheres can be en-\ntangled by driving the magnon-cavity system with strong\nsqueezing fields. However, while the above schemes show\npromise for creating magnon-magnon entanglement in\ndistant YIG spheres, the absence of a highly controllable\nnonlinear element, such as a qubit, hinders the gener-\nation and control of more complex states and ECS, inarXiv:2309.16514v1 [quant-ph] 28 Sep 20232\nparticular.\nHere we propose a scheme for generating ECS of\nmagnons/phonons in a hybrid circuit QED architecture\ncomprising a superconducting transmon qubit and two\nmagnonic/mechanical modes. Concerning magnonic sys-\ntems, without loss of generality, we consider two YIG\nsphere modes in a hybrid qubit-magnon setup similar\nto Ref. [38], where the qubit-magnon coupling is me-\ndiated via a superconducting quantum interference de-\nvice (SQUID). We showcase a protocol for generating\nmaximally-entangled states such as Bell and NOON\nstates with high fidelity, by exploiting the parametric na-\nture of the qubit-magnon radiation-pressure interaction\nand the transmon quantum control toolbox. Further-\nmore, we analyze a readout scheme for verifying the en-\ntanglement in the system based on qubit measurements\nand displacements of the magnon field. Contrary to pre-\nvious proposals for generating magnon-magnon entangle-\nment, there is no need for placing the YIG spheres inside\na cavity, therefore, increasing scalability and modularity.\nFurthermore, we numerically demonstrate the validity of\nour proposal for entangling SQUID-embedded mechan-\nical beam resonators [29–31, 39, 40], thereby extending\nthe possibilities for quantum control using mechanical\nECS.\nII. HYBRID SYSTEM DESCRIPTION\nThe fundamental element in the proposed circuit ar-\nchitecture is a dc SQUID, i.e., a superconducting loop\ninterrupted by two Josephson junctions, as schematically\ndepicted in Fig. 1. When shunted by a capacitance C,\nwith charging energy EC= 2e2/C, this nonlinear induc-\ntor can realize a flux-tunable transmon qubit described\nby the Hamiltonian,\nˆHT= 4ECˆN2−EJcosˆδ, (1)\nwhere ˆN,ˆδare conjugate operators describing the tunnel-\ning Cooper-pairs and the superconducting phase across\nthe SQUID, respectively [41, 42]. In the case where\nthe two junctions are the same (symmetric SQUID),\nan external flux bias Φ btunes the Josephson energy\nEJ=Emax\nJ|cosϕb|, where ϕb˙ =πΦb/Φ0and Φ 0is the flux\nquantum.\nFor magnetic systems, without loss of generality we\nfocus our description on micro-sized YIG spheres sim-\nilar to Refs. [38, 43]. Upon application of an in-plane\nmagnetic field Bz, a YIG sphere acquires a magne-\ntization Msand its excitations can be approximated\nas a set of independent quantum harmonic oscillators\nwith Hamiltonian ˆHM=ℏP\nmωmˆa†\nmˆam, where a(†)\nm\nare bosonic operators describing the annihilation (cre-\nation) of single magnons [44, 45]. Note that this de-\nscription is valid in the limit ⟨ˆm†ˆm⟩ ≪ NS, where\nNSis the total number of spins in the sphere [44, 45].\nThe fundamental excitation, or Kittel mode, is a uni-\nEJC\n1\nEJ2Φb\nδm^\nδm^(a)\nBz\n(b)\nBzδx\nδx^\n^EJ1\nEJ2C\nΦbFIG. 1. Proposed hybrid circuit architecture. A flux-\ntunable transmon qubit, formed by a C-shunted SQUID loop,\nis coupled to (a) two nearby YIG spheres or (b) two SQUID-\nembedded mechanical beams. The magnetization of both\nspheres in (a) is oriented by an in-plane field Bz. The mag-\nnetic quantum fluctuations ˆδmmodulate the SQUID flux as\nwell as the transmon inductive energy, thereby giving rise to\na qubit-magnon coupling. In (b) the coupling stems from the\nmechanical quantum fluctuations ˆδxinducing a modulating\nflux in the SQUID in the presence of the in-plane field Bz.\nAn additional flux bias Φ bcan be externally applied to tune\nthe qubit frequency and modulate the coupling.\nformly polarized state of all the spins acting as a sin-\ngle “macrospin” precessing around z, with ferromagnetic\nresonance (FMR) frequency ω0=γ0(Bz+Bani), where\nBaniis the anisotropy field [46]. Higher mode frequencies\nare given by ωm=ω0+γ0Msl−1\n3(2l+1)depending on the\nmagnon angular momentum quantum number l[47].\nThe mechanical systems of interest in this work consist\nof SQUID-embedded aluminum beams [29, 30, 39, 48].\nSuch mechanical beams are realised by suspending part\nof the SQUID loop such that it can freely oscillate out\nof plane [29, 30]. Similar to the YIG sphere, its ex-\ncitations can also be described by a set of indepen-\ndent quantum harmonic oscillators, with Hamiltonian\nˆHX=ℏP\nxωxˆa†\nxˆax, where a(†)\nxare bosonic operators\nthat annihilate (create) a phonon. The fundamental\nmode, which is the one considered in this work, oscil-\nlates with frequency ω0=ℏ/(2mx2\nzpf), where mis the\nbeam mass and xzpfthe magnitude of its zero-point mo-\ntion [29].\nUpon application of an in-plane magnetic field Bz,\nthe quantum fluctuations in the out-of-plane displace-\nment of the beam ˆδx=xzpf(ˆax+ ˆa†\nx) induce a flux\nΦ(ˆδx) =β0Bzlˆδxthrough the loop, where lis the beam\nlength and β0is a geometric factor that depends on\nthe mode shape [29]. Similarly, quantum fluctuations\nof the magnetic moment in the magnetized YIG sphere,\nˆδm=µzpf(ˆam+ ˆa†\nm), result in an additional flux Φ( ˆδm)\nthrough the SQUID loop. Let us assume that the sphere\nis placed at an in-plane and out-of-plane distance dfrom\nthe closest point in the loop. Then in the far-field limit\nΦ(ˆδm) =µ0ˆδm/(4√\n2πd) [38].\nThe additional flux from each source of quantum fluc-3\ntuation, Φ( ˆδj), modulates the SQUID flux and conse-\nquently its Josephson energy,\nE′\nJ(ϕb,ˆδj)≃EJ\n1−tanϕbX\njϕ(ˆδj)\n, (2)\nwhere we assume ϕ(ˆδj) ˙ =πΦ(ˆδj)/Φ0≪1 and a\nsymmetric SQUID; for a full treatment including fi-\nnite junction asymmetry see Refs. [38, 39]. Re-\nplacing EJwith E′\nJin Eq. (1) and expressing the\ntransmon operators in terms of annihilation (cre-\nation) operators ˆ c(†), i.e., ˆN=i[EJ/(32EC)]1/4(ˆc†−ˆc),\nˆδ= [2EC/EJ]1/4(ˆc+ ˆc†) [42], yields the total system\nHamiltonian\nˆH=ˆHq+ℏX\njh\nωjˆa†\njˆaj−gjˆc†ˆc(ˆaj+ ˆa†\nj)i\n,(3)\nwhere ˆHq=ℏωqˆc†ˆc−EC\n2ˆc†ˆc†ˆcˆc, is the bare transmon\nHamiltonian (valid for EJ≫EC), with qubit frequency\nωq= (√8EJEC−EC)/ℏ[41].\nThe last term in Eq. (3) describes the radiation-\npressure interaction between the qubit and each bosonic\nmode, with coupling strength\ngj=∂ωq\n∂ϕjϕzpf\nj, (4)\nwhere ϕzpf\njis the magnitude of the flux fluctuations\ninduced by either the beam or the magnet, given by\nϕzpf\nx=πβ0Bzlxzpf/Φ0andϕzpf\nm=µ0µzpf/(4√\n2dΦ0), re-\nspectively. In the case of a symmetric SQUID, the trans-\nmon frequency sensitivity to flux changes is\n∂ωq\n∂ϕj=ωp\n2sinϕb√cosϕb, (5)\nwhere ωp=p8Emax\nJEC/ℏis the Josephson plasma fre-\nquency at ϕb= 2πk(k∈Z). The behavior of the cou-\npling strength as a function of the SQUID asymmetry\nandϕbis studied in detail in Ref. [38].\nIII. ENTANGLED COHERENT STATE\nGENERATION\nThe system Hamiltonian in Eq. (3) describes a qubit\ninteracting with a set of bosonic modes via bipartite\nradiation-pressure interactions. However, in the ab-\nsence of additional driving, these radiation-pressure cou-\nplings lead to interesting dynamics only in the ultra-\nstrong coupling regime, gj≳ωj[39, 49, 50]. Typi-\ncally, mechanical beam resonators have frequencies of a\nfew MHz [29, 30] and operating magnon frequencies lie\nabove 100 MHz [44, 45], whereas gj≲10 MHz [38, 39].\nTherefore, while the ultrastrong coupling condition seems\npromising for optomechanical setups [39], it is far fromrealistic for magnonic devices. On the other hand,\nwhen external driving is introduced to the system, the\nradiation-pressure interaction can be “activated” even for\ngj< ωj, e.g., by a stroboscopic application of short π\nqubit pulses [51] or by modulating the coupling [38, 52].\nHere, without loss of generality, we consider the case\ngj≪ωjand assume that the radiation-pressure inter-\naction is activated by applying a weak flux modula-\ntion through the SQUID loop as in Ref. [38]. In\nthis scheme the qubit operates around the transmon\n“sweetspot”, i.e., ϕb≃0, and an applied ac flux with\namplitude ϕacat frequency ωac, modulates the flux,\nϕb=ϕaccos (ωact−θ)≪1, resulting in a modulated\ncoupling strength gj(t) =ωp\n2ϕzpf\njcos (ωact−θ), where θ\nis a constant phase. In the frame rotating at ωacthe\ntransformed Hamiltonian reads,\nˆeH=ˆHq+ℏX\njh\n∆jˆa†\njˆaj−egjˆc†ˆc(ˆajeiθ+ ˆa†\nje−iθ)i\n,(6)\nwhere egj=ωp\n4ϕzpf\nj, ∆j=ωj−ωacand we have omit-\nted fast-rotating terms ˆ c†ˆcˆa(†)\nje±i(ωj+ωac)twhich do not\ncontribute to the dynamics since egi≪(ωj+ωac).\nWe now describe a simple protocol for generating ECS\nthat are maximally entangled using the Hamiltonian in\nEq. (6). Let us assume there are Nbosonic modes, in-\nteracting with the qubit via bipartite radiation-pressure\ncouplings. First, a microwave pulse, prepares the qubit\nin a superposition state |χ⟩q˙ =(|0q⟩+eiχ|1q⟩)/√\n2. The\nnext step is to activate the bipartite interaction of the\nqubit with each mode. In the simple case where all the\nmodes we want to entangle have the same frequency,\nωj, then by turning on the flux modulation, i.e., setting\nωac=ωj, for a variable duration, τj, the system evolves\ninto a hybrid generalized Greenberger–Horne–Zeilinger\nstate\n|ψ⟩GHZ=1\nN\u0000\n|0q01···0N⟩+eiχ|1qα1···αN⟩\u0001\n,(7)\nwhere |αj⟩denotes a coherent state with complex phase\nspace amplitude αj=−iegjτj. For |αj|≳4 the normal-\nization factor is N ≃√\n2 [53]. Note that if there are M\nmodes with different frequencies, then the flux modula-\ntion should be activated Mtimes in order to prepare the\nstate in Eq. (7).\nApplying a qubit pulse Rˆy,π\n2followed by a strong pro-\njective measurement collapses the qubit in its ground\nor excited state and projects the bosonic system into\n1\nN±\u0000\n|0102···0N⟩ ±eiχ|α1α2···αN⟩\u0001\n, where the “+” or\n“−” state results from measuring the qubit in |0q⟩or\n|1q⟩, respectively. For the case of two bosonic modes with\neg1,2, τ1,2chosen such that α1=α2=αandχ= 0 the\nprepared state corresponds to the maximally-entangled\nBell state,\n|±ΨBell⟩=1\nN±(|00⟩ ± |αα⟩), (8)4\nwhere N±=p\n2(1±e−|α|2)≃√\n2 for |α|≳4 [54].\nAlternatively, in the case of different frequency modes,\nω1̸=ω2, a maximally-entangled NOON state of the form\n|±ΦNOON⟩=1\nN±(|0α⟩ ± |α0⟩), (9)\ncan be obtained by performing a πpulse to flip the qubit\nstate right after turning on the first interaction and be-\nfore the second one. The protocol would then require the\nfollowing steps: (a) start modulating at ωac=ω1, (b)\nturn off the interaction after time τ1, (c) apply πqubit\npulse, and (d) switch on the second flux modulation with\nωac=ω2for time τ2=τ1eg1/eg2.\nAdditionally, more general ECS of the form,\n|Ψ⟩ij=c00|0i0j⟩+c1α|0iαj⟩+cα0|αi0j⟩+cαα|αiαj⟩,\n(10)\nwith cα0, c0α̸= 0, may also be generated using appro-\npriately adjusted protocols. For example, starting from\n|ψ⟩qij=|(0q+ 1q)0i0j⟩, then turning on the interaction\nwith mode ifor time τisuch that |α| ≡ |egiτi|≳4,\nand applying a Rˆyπ\n2qubit pulse, results in the state\n|ψ⟩qij=1\n2[|0q0i0j⟩+|0qαi0j⟩+|1q0i0j⟩ − |1qαi0j⟩]. If\nwe subsequently turn on the interaction with mode j(for\ntime τj=α/egj) and apply another Rˆyπ\n2qubit pulse, the\nresulting state is, |ψ⟩qij=1√\n2\u0010\n|0⟩q|Ψ⟩+\nij+|1⟩q|Ψ⟩−\nij\u0011\n,\nwhere\n|Ψ⟩±\nij=1\n2(|0i0j⟩+|0iαj⟩ ± |αi0j⟩ ∓ |αiαj⟩).(11)\nFinally, a strong measurement collapses the qubit in |0⟩q\nor|1⟩q, projecting the system in the maximally-entangled\ntwo-mode state |Ψ⟩+\nijor|Ψ⟩−\nij, respectively.\nIV. NUMERICAL MODELING &\nBENCHMARKING\nWe benchmark the protocol described above for gener-\nating the Bell state |+ΨBell⟩against realistic experimen-\ntal conditions including dissipation using the quantum\nstatistical Lindblad master equation [55]\n˙ρ=i\nℏ[ρ,ˆeH] +X\njωj\nQj\u0010\nnth\njL[ˆa†\nj]ρ+ (nth+ 1)L[ˆaj]ρ\u0011\n+1\nT1L[ˆc]ρ+1\nT2L[ˆc†ˆc]ρ, (12)\nwhere Qjis the quality factor of each resonator,\nL[ˆo]ρ= (2ˆ oρˆo†−ˆo†ˆoρ−ρˆo†ˆo)/2 are superoper-\nators describing each bare dissipation channel and\nnth\nj= 1/[exp(ℏωj/(kBT))−1] is the number of thermally\nexcited magnons/phonons at temperature T.T1and\nT2are the qubit relaxation and dephasing times, re-\nspectively, for which we pick a realistic value of 50 µs\nthroughout our simulations [6]. Of note, the in-plane\n(a) (b)\n(c) (d)FIG. 2. Bell state benchmarking for the case of two Kittel\nmodes in two identical YIG spheres, as schematically shown\nin Fig. 1(a). (a) Magnon number in each magnonic mode,\nas a function of time during the protocol, shown for different\nresonator quality factors. (b) Wigner function of the individ-\nual magnonic state in one mode, after tracing out the other\nmode, at the end of the protocol for Qm= 105. The fidelity of\nthe prepared state to the ideal Bell state |+ΨBell⟩is shown as\na function of time in (c) and as a function of the magnon\nnumber in (d). System parameters: ω1,2/(2π) = 1 GHz,\neg1,2/(2π) = 2 MHz, T1=T2= 50 µs,T= 10 mK.\nmagnetic field that is required to enable the qubit cou-\npling to the magnonic or the mechanical resonator,\nBz∼10−50 mT [38, 39], is not expected to limit the\nqubit performance [56]. In addition, while the transmon\nis effectively a qubit, it is more accurately described as a\nthree-level system with negative anharmonicity given by\n∼ −EC. We therefore model it as such choosing a typical\nvalue of EC/h= 300 MHz [6, 41].\nWe first study the case, schematically depicted in\nFig. 1(a), of two YIG spheres placed diametrically op-\nposite with respect to the center of the SQUID. For\nsimplicity, we assume two identical spheres and Kittel\nmodes with the same frequency, ω1,2/(2π) = 1 GHz,\nas well as coupling to the qubit, eg1,2/(2π) = 2 MHz,\nand study the performance of the protocol proposed\nabove as a function of the resonator quality factor,\nQm, at T= 10 mK ( nth\n1,2≃0.01). For typical val-\nues of the Gilbert damping constant αGwe expect\nQm= 1/αG∼103−105[45, 57, 58].\nIn Fig. 2(a) we plot the evolution of the magnon num-\nber in either mode jand compare it to the ideal case, i.e.,\nwithout dissipation, where ⟨ˆa†\njˆaj⟩(t) =|egmt|2/2. In ad-\ndition, in Fig. 2(b) we plot the Wigner quasi-probability5\n(a) (b)\nFIG. 3. (a) Logarithmic negativity and (b) conditional quan-\ntum entropy as a function of the magnon number for the\nmagnon-magnon system described in Fig. 2. In the absence\nof dissipation (dashed-dotted curves) an ideal Bell state is\ncreated for magnon numbers ⟨ˆa†\njˆaj⟩>2 with EN→1 and\nS(m1|m2)→ − log 2.\ndistribution at t= 0.24µs for Qm= 105, which is de-\nfined as W(αj) = 2 /πTrn\nD†(αj)ρjD(αj)eiπˆa†\njˆajo\n, where\nρj≡Tri[ρij] is the reduced density matrix of mode j\nandD(αj) =eαˆa†\nj−α∗ˆajis the displacement operator act-\ning on this mode. The two-mode density matrix, ρij, is\nobtained after projecting on |+q⟩, and tracing out the\nqubit, i.e., ρij≡Trq[ρ|+q⟩⟨+q|]. We note that since\nwe have two identical modes, the magnon number evo-\nlution as well as the reduced-state Wigner functions are\nexactly the same for both. Furthermore, Figs. 2(c) and\n2(d) show the fidelity F=p\n⟨+ΨBell|ρ12|+ΨBell⟩[55, 59]\nof the prepared two-mode state to the ideal Bell state,\nas a function of time and magnon number, respectively.\nEvidently, for realistic values of the magnonic quality fac-\ntorsQm≳104[40, 57, 58], the desired Bell state can be\nprepared with high fidelity F≲90%.\nTo showcase the evolution of the bipartite entangle-\nment during the protocol, in Fig. 3(a) we plot the loga-\nrithmic negativity EN= log2(2N(ρ12)+1), where N(ρ12)\nis the sum of negative eigenvalues of the partial transpose\nof the two-mode density matrix ρ12[60]. The dashed-\ndotted curve shows the logarithmic negativity evolution\nin the ideal case, EN(t) = log2h\n2/(e−|egjt|2+ 1)i\n[61]. For\n|α| ≡ |egjt|≳2 it approaches the ideal value of Emax\nN= 1,\nwhere the two modes are maximally entangled, before\nmagnon dissipation eventually takes over and the entan-\nglement gets lost.\nFurthermore, in Fig. 3(b) we plot the conditional\nquantum entropy S(m1|m2) =S(ρ12)−S(ρ2) [62, 63],\nwhere S(ρij) and S(ρj) are the Von Neumann en-\ntropies of the joint and reduced state, respectively, with\nS(ρ) =−Tr[ρlnρ]. Negative conditional quantum en-\ntropy serves as a sufficient criterion for the quantum state\nto be entangled and provides a measure of the degree of\ncoherent quantum communication between the two en-\ntangled modes [62, 63]. For maximally-entangled Bell\n(a) (b) (c)FIG. 4. (a) Bell state fidelity, (b) logarithmic negativity and\n(c) conditional quantum entropy as a function of the phonon\nnumber for the case of two SQUID-embedded mechanical\nnanobeams interacting via the transmon. System parameters:\nω1,2/(2π) = 10 MHz, eg1,2/(2π) = 100 kHz, T1=T2= 50 µs,\nT= 10 mK, initial nth\n1,2= 0.1.\nstates we have S(ρij) = 0 and S(ρj) = ln 2. There-\nfore, in the limit of large magnon numbers, we ex-\npect S(m1|m2)→ − ln 2, as illustrated by the dashed-\ndotted curve plotting the ideal (dissipationless) case.\nHowever, as the entanglement starts decreasing due to\nmagnon dissipation, the joint entropy of the system be-\ncomes positive and both S(ρij) and S(ρi) start increas-\ning. Therefore, as expected, the positive value threshold\nforS(m1|m2) is surpassed faster and at lower magnon\nnumbers as the quality factors get smaller. Note that\ninitially S(m1|m2)>0 due to the fact that the modes\nstart in a thermal state with nth≃0.01.\nThe protocol described above can also be applied to\nentangle mechanical beam resonators embedded in the\nSQUID loop, as depicted in Fig. 1(b). These can be\nrealized using carbon nanotubes [40] or aluminum-based\nmechanical beams [29–31, 39] interacting via radiation-\npressure couplings with the transmon. The former have\noperating frequencies and quality factors similar to the\nmagnonic case studied above, therefore, the results in\nFigs. 2 and 3 are applicable as well. On the other hand,\nmechanical beam resonators made of aluminum typically\noperate in the range 1 −10 MHz, with quality factors\nQx≳105[29–31].\nTherefore, in conjunction with the magnonic case,\nwe numerically test the same protocol for creating me-\nchanical Bell states between two SQUID-embedded alu-\nminum beam resonator modes [30], with the same fre-\nquency ω1,2/(2π) = 10 MHz and coupling to the\nqubiteg1,2/(2π) = 100 kHz. Typical temperatures of\nT∼10 mK, correspond to high thermal population at\nthese frequencies, however, cooling schemes can reduce\nthe number of thermal phonons to ≲0.1 [39, 40]. We\ntherefore assume an attainable initial thermal population\nnth\n1,2= 0.1 and an operating temperature of T= 10 mK.\nIn Figs. 4(a) and 4(b) we plot the Bell-state fidelity and\nthe logarithmic negativity, respectively, as a function of6\nthe phonon number during the protocol for quality fac-\ntors in the range Qx= 105−107. Note that initially the\nfidelity is less than 1, due to the finite thermal popula-\ntion in both resonators, however, as the protocol evolves\nit starts increasing before phonon dissipation takes over.\nWe find that, for realistic quality factors Qx≳106, high\nphonon number Bell-states can be prepared with high fi-\ndelity and sufficiently high entanglement as quantified by\nEN. However, as shown in Fig. 4(c), the effects of the\ninitial thermal population seem to be detrimental to the\nconditional quantum entropy S(x1|x2) which remains far\nfrom the ideal limit during the whole protocol and only\nreaches negative values for Qj∼106.\nExperimental verification of the prepared states can be\nobtained by performing state tomography. For example,\nin the case of mechanical resonators, by sideband driving\non the qubit one may engineer beam-splitter and two-\nmode squeezing interactions that can be used to detect\ncorrelations of the entangled state similar to Ref. [26].\nThis method may also be applied to the magnonic res-\nonators, for which independent state tomography tech-\nniques exist as well [64]. However, strong driving may\nseverely impact the qubit state [65] limiting the suc-\ncess of such protocols. For this reason we have also\nanalyzed an alternative scheme for reading out the en-\ntangled states, presented in the Appendix, which relies\nsolely on switching on/off the interaction and performing\nmagnon/phonon displacements and qubit measurements.\nV. CONCLUSION\nIn summary, we have proposed a scheme for generat-\ning ECS of magnons/phonons in a hybrid circuit QED\narchitecture comprising a superconducting transmon\nqubit coupled to different magnonic/mechanical modes\nvia bipartite flux-mediated interactions. In particu-\nlar, we have highlighted several schemes for creating\nmaximally-entangled states and, as a proof-of-principle\ndemonstration, we have numerically tested a simple\nprotocol for generating magnonic and mechanical Bell\nstates under realistic experimental conditions. We\nshow that high-fidelity Bell states can be prepared\nin the presence of typical dissipation mechanisms in\nthe system. Furthermore, in the Appendix we have\nanalyzed a readout scheme, using standard circuit\noperations, that can be used as an alternative to existing\ntomography methods for verifying the prepared states.\nOur results pave the way towards creating controllable\nquantum networks of entangled magnons in a flexible\nand scalable platform without relying on microwave\n3D cavities or strong driving. Although for simplicitywe have considered identical YIG spheres, our results\nare also applicable to nonidentical modes and other\ngeometries such as micro-disk resonators [66]. Finally,\nas we demonstrate numerically, the proposed scheme\nfor creating and controlling ECS is also applicable to\nSQUID-embedded mechanical beam resonators, opening\nup new opportunities for quantum information tasks\nin this platform and potentially giving rise to novel\nmagnonic-mechanical hybrid devices.\nACKNOWLEDGMENTS\nWe thank Sanchar Sharma and Victor Bittencourt\nfor helpful discussions. This research was supported by\nthe Dutch Foundation for Scientific Research (NWO).\nM.K. and S.V.K. would like to acknowledge financial\nsupport by the German Federal Ministry of Education\nand Research (BMBF) project QECHQS (Grant No.\n16KIS1590K).\nAPPENDIX: READOUT SCHEME\nWe now describe a method for reading out the two-\nmode ECS discussed in the main text, using only\nqubit measurements and displacement operations on the\nbosonic modes. We start with the assumption that the\nmost general state one can prepare with the system\nHamiltonian in Eq. (6) is of the following form,\n|Ψ⟩ij=c0eiθ0|0i0j⟩+c1eiθ1|0iαj⟩\n+c2eiθ2|αi0j⟩+c3eiθ3|αiαj⟩, (A13)\nwhere cjare real positive numbers andP3\nj=0c2\nj= 1.\nOur assumption is based on the fact that the engineered\nradiation-pressure interaction in Eq. (6) can only lead\nto magnon/phonon displacements when the qubit is in\nthe excited state, therefore, for the protocols described\nin the main text, where the interaction is activated at\nleast once for each bosonic mode, Eq. (A13) describes\nthe mode general state one can prepare. In addition,\nsingle-photon losses acting on coherent states result in a\ncoherent state of smaller amplitude, therefore this decay\nchannel does not alter the form of the state described in\nEq. (A13).\nAssuming the state in Eq. (A13) has been prepared,\nwe start the readout protocol by preparing the qubit in\na general superposition state |ϕ⟩q= (|0⟩q+eiϕ|1⟩q)/√\n2.\nAfter switching on both interactions, the system wave-\nfunction evolves as7\nU(i)\nintU(j)\nint|ϕ⟩q|Ψ⟩ij=1√\n2h\n|0⟩q\u0000\nc0eiθ0|0i0j⟩+c1eiθ1|0iαj⟩+c2eiθ2|αi0j⟩+c3eiθ3|αiαj⟩\u0001\n+\n|1⟩qei(ϕ+¯ϕ)\u0000\nc0eiθ0|βiβj⟩+c1eiθ1+γj|βi(α+β)j⟩+c2eiθ2+γi|(α+β)iβj⟩+c3eiθ3+γi+γj|(α+β)i(α+β)j⟩\u0001i\n,\n(A14)\nwhere U(j)\nint= expn\niegjˆc†ˆc(ˆajeiθ+ ˆa†\nje−iθ)to\n.\nThe displacement amplitudes and corresponding ge-\nometric phases, which arise from the radiation pres-\nsure interactions, are given by βi,j˙ =β(ti,j) =\n(gi,j/ωi,j) (eiωi,jti,j− 1) and ¯ϕ˙ =¯ϕ(ti,j) =\n(gi,j/ωi,j)2(ωi,jti,j−sin (ωi,jti,j)) [38, 67]. For simpli-\nfication purposes we have assumed that the latter are\nequal and, since ϕis arbitrarily determined at the qubit\npreparation stage, they can be absorbed into a redefini-\ntion of ϕ→¯ϕ+ϕ. The phases γi,j= Im( α∗βi,j) arise fromthe fact that in general two consecutive displacements do\nnot commute.\nThe above state can also be written as\n|ψ⟩qij=1\n2\u0010\n|+⟩q\f\fΨ+\u000b\nij+|−⟩q\f\fΨ−\u000b\nij\u0011\n, (A15)\nwhere |±⟩= (|0⟩ ± |1⟩)/√\n2 are the eigenstates of the\nPauli ˆ σxoperator and\n\f\fΨ±\u000b\nij=c0eiθ0|0i0j⟩+c1eiθ1|0iαj⟩+c2eiθ2|αi0j⟩+c3eiθ3|αiαj⟩\n±\u0010\nc0eiθ0+ϕ|βiβj⟩+c1eiθ1+ϕ+γ|βi(α+β)j⟩+c2eiθ2+ϕ+γ|(α+β)iβj⟩+c3eiθ3+ϕ+2γ|(α+β)i(α+β)j⟩\u0011\n.\n(A16)\nThe expectation value of the qubit in the |±⟩basis is then given by\n⟨ˆσx⟩β,β=1\n4\u0000\n|⟨Ψ+\nij|Ψ+\nij⟩|2− |⟨Ψ−\nij|Ψ−\nij⟩|2\u0001\n.(A17)\nWe now consider several cases for each displacement:\n(I) First, assuming the coupling strength and inter-\naction times for both resonators are chosen such that\nβi,j=αi,j, we have ( γi,j= 0):\n\f\fΨ±\u000b\nij=c0eiθ0|0i0j⟩+c1eiθ1|0iαj⟩+c2eiθ2|αi0j⟩+(c3eiθ3±c0eiθ0+ϕ)|αiαj⟩ ±c1eiθ1+ϕ|αi(2α)j⟩\n±c2eiθ2+ϕ|(2α)iαj⟩ ±c3eiθ3+ϕ|(2α)i(2α)j⟩ (A18)\nFrom Eq. (A17) we obtain\n⟨ˆσx⟩α,α=|c3eiθ3+c0eiθ0+ϕ|2− |c3eiθ3−c0eiθ0+ϕ|2\n=c0c3cos (ϕ+θ0−θ3). (A19)\nAdditionally, for βi,j=−αi,jit can be shown that\n⟨ˆσx⟩−α,−α=c0c3cos (ϕ+θ3−θ0). (A20)\n(II) For the case βi=αi,βj=−αj, using Eq. (A16)and Eq. (A17), it follows that\n⟨ˆσx⟩α,−α=c1c2cos (ϕ+θ1−θ2). (A21)\nSimilarly for βi=−αi,βj=αjwe obtain\n⟨ˆσx⟩−α,α=c1c2cos (ϕ+θ2−θ1) (A22)\n(III) For the cases βi=αi,βj= 0 and βi=−αi,\nβj= 0 we have\n⟨ˆσx⟩α,0=c0c2cos (ϕ+θ0−θ2) +c1c3cos (ϕ+θ1−θ3)\n(A23)8\nand\n⟨ˆσx⟩−α,0=c0c2cos (ϕ+θ2−θ0)+c1c3cos (ϕ+θ3−θ1)\n(A24)\nrespectively.\n(IV) For βi= 0,βj=αiandβi= 0,βj=−αiwe find\ntwo more equations,\n⟨ˆσx⟩0,α=c0c1cos (ϕ+θ0−θ1) +c2c3cos (ϕ+θ2−θ3).\n(A25)\nand\n⟨ˆσx⟩0,−α=c0c1cos (ϕ+θ1−θ0)+c2c3cos (ϕ+θ3−θ2)\n(A26)\nFinally for βi,j= 0 we obtain the following relation\n⟨ˆσx⟩0,0=\u0000\nc2\n0+c2\n1+c2\n2+c2\n3\u0001\ncosϕ, (A27)\nwhich is equivalent to the normalisation condition for\n|Ψ⟩ijwith the additional degree of freedom ϕ.\nThe above equations are not yet in a form where they\ncan be used to obtain all pairs of ci, θistraightforwardly.\nHowever, they can be combined and further simplified\nusing basic trigonometric relations as shown below:\n(i) First, adding and subtracting equations (A19) and\n(A20) we obtain\n⟨ˆσx⟩α,α+⟨ˆσx⟩−α,−α= 2c0c3cosϕcos (θ3−θ0),(A28)\nand\n⟨ˆσx⟩α,α− ⟨ˆσx⟩−α,−α= 2c0c3sinϕsin (θ3−θ0).(A29)\nIf the qubit is prepared such that ϕ=π/4 then by com-\nbining the above two equations we obtain a relation for\nc0, c3that does not depend on θ0, θ3:\nc0c3=q\n|⟨ˆσx⟩α,α|2+|⟨ˆσx⟩−α,−α|2. (A30)Ifc0c3̸= 0 we can also determine the phases. First, eiθ0\nin Eq. (A13) can be absorbed into a global phase factor\nmultiplying |Ψ⟩ijfollowed by a redefinition of θ1,2,3→\nθ1,2,3/θ0(equivalent to defining θ0= 0 or 2 π). Then for\nϕ=π/4 we have\nθ3= arctan\u0012⟨ˆσx⟩α,α− ⟨ˆσx⟩−α,−α\n⟨ˆσx⟩α,α+⟨ˆσx⟩−α,−α\u0013\n. (A31)\n(ii) Following the same recipe we can obtain similar\nrelations for c1, c2andθ1, θ2. In this case, by combining\nequations (A21) and (A22) for ϕ=π/4 we obtain the\nfollowing equations\nc1c2=q\n|⟨ˆσx⟩α,−α|2+|⟨ˆσx⟩−α,α|2, (A32)\nand (assuming c1c2̸= 0)\nθ2−θ1= arctan\u0012⟨ˆσx⟩α,−α− ⟨ˆσx⟩−α,α\n⟨ˆσx⟩α,−α+⟨ˆσx⟩−α,α\u0013\n. (A33)\n(iii) Furthermore, from equations (A23) and (A24) we\nobtain (for ϕ=π/4)\n(⟨ˆσx⟩α,0+⟨ˆσx⟩−α,0)2±(⟨ˆσx⟩α,0− ⟨ˆσx⟩−α,0)2\n= 2\u0002\n(c0c2)2+ (c1c3)2+ 2c0c1c2c3cos (θ2±θ1∓θ3)\u0003\n.\n(A34)\nUsing equations (A30), (A31), (A32) and (A33) we can\nobtain a relation for c0, c1, c2, c3with no dependence on\nthe phases:\n(c0c2)2+ (c1c3)2=f(⟨ˆσx⟩α,0,⟨ˆσx⟩−α,0,⟨ˆσx⟩α,α,⟨ˆσx⟩−α,−α,⟨ˆσx⟩α,−α,⟨ˆσx⟩−α,α)\n= 2⟨ˆσx⟩α,0⟨ˆσx⟩−α,0−2\"q\n(|⟨ˆσx⟩α,α|2+|⟨ˆσx⟩−α,−α|2) (|⟨ˆσx⟩α,−α|2+|⟨ˆσx⟩−α,α|2)\n×cos\u0012\narctan\u0012⟨ˆσx⟩α,α− ⟨ˆσx⟩−α,−α\n⟨ˆσx⟩α,α+⟨ˆσx⟩−α,−α\u0013\n+ arctan\u0012⟨ˆσx⟩α,−α− ⟨ˆσx⟩−α,α\n⟨ˆσx⟩α,−α+⟨ˆσx⟩−α,α\u0013\u0013#\n. (A35)\n(iv) Similarly, from equations (A25) and (A26) we ob- tain (for ϕ=π/4)\n(⟨ˆσx⟩0,α+⟨ˆσx⟩0,−α)2±(⟨ˆσx⟩0,α− ⟨ˆσx⟩0,−α)2\n= 2\u0002\n(c0c1)2+ (c2c3)2+ 2c0c1c2c3cos (θ1±θ2∓θ3)\u0003\n.\n(A36)\nAgain, using equations (A30), (A31), (A32) and (A33)\nwe can obtain another relation for c0, c1, c2, c3with no\ndependence on the phases:9\n(c0c1)2+ (c2c3)2=g(⟨ˆσx⟩0,α,⟨ˆσx⟩0,−α,⟨ˆσx⟩α,α,⟨ˆσx⟩−α,−α,⟨ˆσx⟩α,−α,⟨ˆσx⟩−α,α)\n= 2⟨ˆσx⟩0,α⟨ˆσx⟩0,−α−2\"q\n(|⟨ˆσx⟩α,α|2+|⟨ˆσx⟩−α,−α|2) (|⟨ˆσx⟩α,−α|2+|⟨ˆσx⟩−α,α|2)\n×cos\u0012\narctan\u0012⟨ˆσx⟩α,α− ⟨ˆσx⟩−α,−α\n⟨ˆσx⟩α,α+⟨ˆσx⟩−α,−α\u0013\n−arctan\u0012⟨ˆσx⟩α,−α− ⟨ˆσx⟩−α,α\n⟨ˆσx⟩α,−α+⟨ˆσx⟩−α,α\u0013\u0013#\n. (A37)\nIn our case we are interested in reading out the Bell\nstate\n|Ψ⟩ij=1√\nN\u0000\n|0i0j⟩+eiθ|αiαj⟩\u0001\n, (A38)\ni.e. the state in Eq. (A13) with θ3=θ,c0=c3=1√\nNand\nc1=c2= 0. Let us assume that we have prepared the\ngeneral state in Eq. (A13). First, we can measure ⟨ˆσx⟩α,α\nand⟨ˆσx⟩−α,−αand from Eq. (A30) determine c0c3. If we\nhave indeed prepared the target state shown in Eq. (A38)\nthen this product should be nonzero. Then we proceedby measuring ⟨ˆσx⟩α,−αand⟨ˆσx⟩−α,αwhich should both\nbe zero indicating that either c1= 0 or c2= 0 according\nto Eq. (A32). Additionally equations (A35) and (A37)\nshould also equate to zero indicating c1=c2= 0. Finally,\ncombining equations (A27) and (A30) we have\n(c0−c3)2=√\n2⟨ˆσx⟩0,0−2q\n|⟨ˆσx⟩α,α|2+|⟨ˆσx⟩−α,−α|2.\n(A39)\nIf indeed the state in Eq. (A38) is\nprepared then we should find that\n⟨ˆσx⟩0,0=p\n2 (|⟨ˆσx⟩α,α|2+|⟨ˆσx⟩−α,−α|2), and therefore\nc0=c3=\u0000\n|⟨ˆσx⟩α,α|2+|⟨ˆσx⟩−α,−α|2\u00011/4= 2−1/4⟨ˆσx⟩0,0.\n∗marios.kounalakis@gmail.com\n1A. G. J. MacFarlane, J. P. Dowling, and G. J. Milburn,\nPhilosophical Transactions of the Royal Society of London.\nSeries A: Mathematical, Physical and Engineering Sciences\n361, 1655 (2003).\n2A. Ac´ ın, I. Bloch, H. Buhrman, T. Calarco, C. Eichler,\nJ. Eisert, D. Esteve, N. Gisin, S. J. Glaser, F. Jelezko,\nS. Kuhr, M. Lewenstein, M. F. Riedel, P. O. Schmidt,\nR. Thew, A. Wallraff, I. Walmsley, and F. K. Wilhelm,\nNew Journal of Physics 20, 080201 (2018).\n3G. Kurizki, P. Bertet, Y. Kubo, K. Mølmer, D. Petrosyan,\nP. Rabl, and J. Schmiedmayer, Proceedings of the Na-\ntional Academy of Sciences 112, 3866 (2015).\n4A. Clerk, K. Lehnert, P. Bertet, J. Petta, and Y. Naka-\nmura, Nature Physics 16, 257 (2020).\n5M. Devoret and R. Schoelkopf, Science 339, 1169 (2013).\n6M. Kjaergaard, M. E. Schwartz, J. Braum¨ uller, P. Krantz,\nJ. I.-J. Wang, S. Gustavsson, and W. D. Oliver, Annual\nReview of Condensed Matter Physics 11, 369 (2020).\n7M. Aspelmeyer, T. J. Kippenberg, and F. Marquardt, Rev.\nMod. Phys. 86, 1391 (2014).\n8T. Yu, Y.-X. Zhang, S. Sharma, X. Zhang, Y. M. Blanter,\nand G. E. W. Bauer, Phys. Rev. Lett. 124, 107202 (2020).\n9I. Bertelli, J. J. Carmiggelt, T. Yu, B. G. Simon, C. C.\nPothoven, G. E. W. Bauer, Y. M. Blanter, J. Aarts, and\nT. van der Sar, Science Advances 6, eabd3556 (2020).\n10D. Lachance-Quirion, Y. Tabuchi, A. Gloppe, K. Usami,\nand Y. Nakamura, Applied Physics Express 12, 070101\n(2019).\n11H. Yuan, Y. Cao, A. Kamra, R. A. Duine, and P. Yan,\nPhysics Reports 965, 1 (2022), quantum magnonics: When\nmagnon spintronics meets quantum information science.\n12B. Yurke and D. Stoler, Phys. Rev. Lett. 57, 13 (1986).13B. C. Sanders, Journal of Physics A: Mathematical and\nTheoretical 45, 244002 (2012).\n14S. J. van Enk and O. Hirota, Phys. Rev. A 64, 022313\n(2001).\n15X. Wang, Phys. Rev. A 64, 022302 (2001).\n16P. T. Cochrane, G. J. Milburn, and W. J. Munro, Phys.\nRev. A 59, 2631 (1999).\n17M. C. de Oliveira and W. J. Munro, Phys. Rev. A 61,\n042309 (2000).\n18H. Jeong and M. S. Kim, Phys. Rev. A 65, 042305 (2002).\n19P. van Loock, W. J. Munro, K. Nemoto, T. P. Spiller, T. D.\nLadd, S. L. Braunstein, and G. J. Milburn, Phys. Rev. A\n78, 022303 (2008).\n20A. E. Allati, Y. Hassouni, and N. Metwally, Physica\nScripta 83, 065002 (2011).\n21W. J. Munro, K. Nemoto, G. J. Milburn, and S. L. Braun-\nstein, Phys. Rev. A 66, 023819 (2002).\n22J. Joo, W. J. Munro, and T. P. Spiller, Phys. Rev. Lett.\n107, 083601 (2011).\n23L. Di´ osi, Journal of Physics: Conference Series 306, 012006\n(2011).\n24D. Kafri, J. M. Taylor, and G. J. Milburn, New Journal\nof Physics 16, 065020 (2014).\n25C. Ockeloen-Korppi, E. Damsk¨ agg, J.-M. Pirkkalainen,\nM. Asjad, A. Clerk, F. Massel, M. Woolley, and M. Sil-\nlanp¨ a¨ a, Nature 556, 478 (2018).\n26S. Kotler, G. A. Peterson, E. Shojaee, F. Lecocq, K. Ci-\ncak, A. Kwiatkowski, S. Geller, S. Glancy, E. Knill, R. W.\nSimmonds, J. Aumentado, and J. D. Teufel, Science 372,\n622 (2021).\n27R. Riedinger, A. Wallucks, I. Marinkovi´ c, C. L¨ oschnauer,\nM. Aspelmeyer, S. Hong, and S. Gr¨ oblacher, Nature 556,\n473 (2018).10\n28E. A. Wollack, A. Y. Cleland, R. G. Gruenke, Z. Wang,\nP. Arrangoiz-Arriola, and A. H. Safavi-Naeini, Nature\n604, 463 (2022).\n29I. Rodrigues, D. Bothner, and G. Steele, Nature commu-\nnications 10, 5359 (2019).\n30P. Schmidt, M. T Amawi, S. Pogorzalek, F. Deppe,\nA. Marx, R. Gross, and H. Huebl, Communications\nPhysics 3, 1 (2020).\n31T. Bera, S. Majumder, S. K. Sahu, and V. Singh, Com-\nmunications Physics 4, 1 (2021).\n32J. Simon, H. Tanji, S. Ghosh, and V. Vuleti´ c, Nature\nPhysics 3, 765 (2007).\n33Z. Zhang, M. O. Scully, and G. S. Agarwal, Phys. Rev.\nRes.1, 023021 (2019).\n34J. Li and S.-Y. Zhu, New Journal of Physics 21, 085001\n(2019).\n35X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Science\nAdvances 2, e1501286 (2016).\n36J. M. P. Nair and G. S. Agarwal, Applied Physics Letters\n117, 084001 (2020).\n37M. Yu, S.-Y. Zhu, and J. Li, Journal of Physics B: Atomic,\nMolecular and Optical Physics 53, 065402 (2020).\n38M. Kounalakis, G. E. W. Bauer, and Y. M. Blanter, Phys.\nRev. Lett. 129, 037205 (2022).\n39M. Kounalakis, Y. M. Blanter, and G. A. Steele, Phys.\nRev. Research 2, 023335 (2020).\n40K. E. Khosla, M. R. Vanner, N. Ares, and E. A. Laird,\nPhys. Rev. X 8, 021052 (2018).\n41J. Koch, T. M. Yu, J. Gambetta, A. A. Houck, D. I. Schus-\nter, J. Majer, A. Blais, M. H. Devoret, S. M. Girvin, and\nR. J. Schoelkopf, Phys. Rev. A 76, 042319 (2007).\n42U. Vool and M. Devoret, International Journal of Circuit\nTheory and Applications 45, 897 (2017).\n43H. Yuan, J. Xie, and R. A. Duine, arXiv preprint\narXiv:2301.09095 (2023).\n44Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Comptes Rendus\nPhysique 17, 729 (2016).\n45B. Zare Rameshti, S. Viola Kusminskiy, J. A. Haigh, K. Us-\nami, D. Lachance-Quirion, Y. Nakamura, C.-M. Hu, H. X.\nTang, G. E. Bauer, and Y. M. Blanter, Physics Reports\n979, 1 (2022).\n46D. D. Stancil and A. Prabhakar, Spin waves , Vol. 5\n(Springer, 2009).\n47S. Sharma, Cavity optomagnonics: Manipulating mag-\nnetism by light , Ph.D. thesis, Delft University of Technol-\nogy (2019).48M. Kounalakis, Y. M. Blanter, and G. A. Steele, npj Quan-\ntum Information 5, 100 (2019).\n49A. Nunnenkamp, K. Børkje, and S. M. Girvin, Phys. Rev.\nLett.107, 063602 (2011).\n50P. D. Nation, J. Suh, and M. P. Blencowe, Phys. Rev. A\n93, 022510 (2016).\n51L. Tian, Phys. Rev. B 72, 195411 (2005).\n52D. Kielpinski, D. Kafri, M. J. Woolley, G. J. Milburn, and\nJ. M. Taylor, Phys. Rev. Lett. 108, 130504 (2012).\n53M. Mirrahimi, Z. Leghtas, V. V. Albert, S. Touzard, R. J.\nSchoelkopf, L. Jiang, and M. H. Devoret, New Journal of\nPhysics 16, 045014 (2014).\n54T. C. Ralph, A. Gilchrist, G. J. Milburn, W. J. Munro,\nand S. Glancy, Phys. Rev. A 68, 042319 (2003).\n55J. Johansson, P. Nation, and F. Nori, Computer Physics\nCommunications 183, 1760 (2012).\n56J. Krause, C. Dickel, E. Vaal, M. Vielmetter, J. Feng,\nR. Bounds, G. Catelani, J. M. Fink, and Y. Ando, Phys.\nRev. Applied 17, 034032 (2022).\n57Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Science 349, 405\n(2015).\n58S. Klingler, H. Maier-Flaig, C. Dubs, O. Surzhenko,\nR. Gross, H. Huebl, S. T. B. Goennenwein, and M. Weiler,\nApplied Physics Letters 110, 092409 (2017).\n59M. A. Nielsen and I. L. Chuang, Quantum computation and\nquantum information (Cambridge university press, 2010).\n60G. Vidal and R. F. Werner, Phys. Rev. A 65, 032314\n(2002).\n61P. Liu, X.-M. Feng, and G.-R. Jin, Chinese Physics B 23,\n030310 (2014).\n62N. J. Cerf and C. Adami, Phys. Rev. Lett. 79, 5194 (1997).\n63M. Horodecki, J. Oppenheim, and A. Winter, Nature 436,\n673 (2005).\n64T. Hioki, H. Shimizu, T. Makiuchi, and E. Saitoh, Phys.\nRev. B 104, L100419 (2021).\n65R. Lescanne, L. Verney, Q. Ficheux, M. H. Devoret,\nB. Huard, M. Mirrahimi, and Z. Leghtas, Phys. Rev. Ap-\nplied11, 014030 (2019).\n66T. Srivastava, H. Merbouche, I. Ngouagnia Yemeli,\nN. Beaulieu, J. Ben Youssef, M. Mu˜ noz, P. Che, P. Bor-\ntolotti, V. Cros, O. Klein, S. Sangiao, J. De Teresa,\nS. Demokritov, V. Demidov, A. Anane, C. Serpico,\nM. d’Aquino, and G. de Loubens, Phys. Rev. Appl. 19,\n064078 (2023).\n67A. Asadian, C. Brukner, and P. Rabl, Phys. Rev. Lett.\n112, 190402 (2014)." }, { "title": "1407.4957v2.Microwave_induced_spin_currents_in_ferromagnetic_insulator_normal_metal_bilayer_system.pdf", "content": "arXiv:1407.4957v2 [cond-mat.mes-hall] 22 Jul 2014Microwave-induced spin currents in ferromagnetic-insula tor|normal-metal\nbilayer system\nMilan Agrawal,1,2,a)Alexander A. Serga,1Viktor Lauer,1Evangelos Th. Papaioannou,1Burkard Hillebrands,1\nand Vitaliy I. Vasyuchka1\n1)Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universit¨ at Kaiserslautern,\n67663 Kaiserslautern, Germany\n2)Graduate School Materials Science in Mainz, Gottlieb-Daim ler-Strasse 47, 67663 Kaiserslautern,\nGermany\n(Dated: 1 September 2018)\nA microwave technique is employed to simultaneously examine the spin p umping and the spin Seebeck effect\nprocesses in a YIG |Pt bilayer system. The experimental results show that for these t wo processes, the spin\ncurrent flows in opposite directions. The temporal dynamics of the longitudinal spin Seebeck effect exhibits\nthat the effect depends on the diffusion ofbulk thermal-magnonsin t he thermal gradientin the ferromagnetic-\ninsulator |normal-metal system.\nSince its discovery in 2008, the spin Seebeck effect1—a\nroute to generate a spin current by applying a heat cur-\nrent to ferromagnets—has given a new dimension to the\nfield of spin-caloritronics2. In particular, the longitudi-\nnal spin Seebeck effect (LSSE)3, where the spin current\nflows along the thermal gradient in the magnetic mate-\nrial, drives the field due to its technologically promising\napplications in energy harvesting4, and in temperature,\ntemperature gradient, and position sensing5.\nHaving conceptual understanding and future applica-\ntions in the centre of attention, a comparative study\nof the spin current direction and its temporal evolution\nfor different spin-current-generation processes like spin\npumping (SP) and spin Seebeck effect (SSE) is very im-\nportant. In previous experiments6,7, these issues have\nnot been explicitly addressed. In this letter, we demon-\nstrate microwaves as a simple-and-controlled tool to in-\nvestigate both, SP and SSE, processes simultaneously in\na single experiment. Such investigations are not pos-\nsible with other techniques including laser heating8or\ndirect-current heating9employed to study the SSE. For\nexample, in Ref. 10, the direction of the spin current\nin the SP and SSE processes has been determined by\ncombining the FMR technique with additional Peltier or\ndc/ac based heating techniques. Our results reveal that\ninaferromagnet |normalmetal(paramagnet)system, the\nspin current flows from the ferromagnet (FM) to the nor-\nmal metal (NM) in the case of SP process, while the\nflow reverses for the LSSE provided that the NM is hot-\nter than the FM. The time-resolved measurements show\nthat the spin current dynamics of the LSSE is on sub-\nmicrosecond timescale compared to nanosecond fast spin\npumping process11.\nThe experiment was realized using a bilayer of a mag-\nnetic insulator, Yttrium Iron Garnet (YIG), and a nor-\nmal metal, Pt. The sample structure consists a 6.7- µm-\nthick YIG film of dimensions 14 mm ×3 mm, grown by\na)Electronic mail: magrawal@physik.uni-kl.deYIG\nMicrowave\nGenerator\nHF-Diode\nPt12\n3\nOscilloscopeLow-pass\nFilterY\nCirculatorAmplifier50 Ω\nload\nVoltage\nAmplifierzxy\nTemperature (°C)\n16.5 19.0 21.5\nFIG. 1. A schematic sketch of the experimental setup. Mi-\ncrowaves were employed to heat a 10-nm-thick Pt strip grown\non a 6.7- µm-thick YIG film placed on top of the micro-\nstripline. The reflected microwaves were monitored on an\noscilloscope. The inverse spin Hall voltage generated in th e\nPt strip was amplified and measured by the oscilloscope. The\ninset is an infrared thermal image of the sample obtained by\ncontinuous microwave heating of the Pt strip situated in the\nmiddle of the film.\nliquid phase epitaxy on a 500- µm-thickGallium Gadolin-\nium Garnet (GGG) substrate, and a10-nm-thick Ptstrip\n(3 mm×100µm), structured by photolithography and\ndeposited by molecular beam epitaxy at a growth rate of\n0.05˚A/s under a pressure of 5 ×10−11mbar. A 0.6-mm-\nwide and 17- µm-thick copper (Cu) microstrip antenna\nwasdesignedona dieletricsubstratetoapplymicrowaves\nto the sample. In order to obtain a maximum microwave\nheating efficiency by eddy currents in the metal (Pt), the\nPt-covered surface of the sample was placed on top of\nthe micro-stripline. An insulating layer was inserted in\nbetween the sample and the micro-stripline to avoid any\ndirect electric contact. Gold wires were glued to the Pt\nstrip with silver paste to connect with the external cir-\ncuit.\nA schematic diagram of the experimental setup is\nshown in Fig. 1. Microwaves from an Anritsu MG3692 C\ngenerator were amplified (+30 dB) by an amplifier and\nguided to the sample structure. The microwaves are2\nabsorbed by the thin Pt metal strip and start to heat\nit up. As a result, a thermal gradient along the + z-\ndirection was established. The reflected microwavesfrom\nthe micro-stripline were received by connecting a Y-\ncirculator to the microwave circuit-line. The reflected\nmicrowaves were rectified using a high-frequency (HF)\ndiode and monitored on an oscilloscope. This signal pro-\nvides the information about the shape of the microwave\nsignal envelope and its duration. The YIG film was mag-\nnetized in plane by an applied magnetic field µ0Halong\nthex-axis.\nThe perpendicular thermal gradient in the YIG |Pt bi-\nlayer generates a spin current in the system due to the\nLSSE. The generated spin current flows along the ther-\nmal gradient ( z-axis). In the normal metal Pt, the spin\ncurrent converts into a charge current by the inverse spin\nHall effect (ISHE)12asJISHE∝Js×σ,whereJsis the\nspin current, and σthe spin polarization. The gener-\nated charge current along the y-axis in the Pt strip was\npassed through a low-pass filter to block alternating cur-\nrents generated directly by the electric field components\nof the microwaves. The filtered signal was amplified and\nobserved on the oscilloscope.\nIn the first experiment, continuous microwave mea-\nsurements at a fixed frequency of 6 .8 GHz were carried\nout by varying the magnetic field. In Fig. 2, the in-\nverse spin Hall voltage VISHEis plotted versus the ap-\nplied magnetic field µ0H. Clearly, three features can be\nnoticed here: (i) two peaks with opposite polarities at\nthe magnetic fields of +168 .6 mT and −168.6 mT, (ii)\ntheir unequal magnitude, and (iii) an offset for all non-\nresonancemagnetic fields, which hasan opposite polarity\nto the peaks. The first feature originates from the spin\npumping processby spin wavesexcited close to ferromag-\nnetic resonance (FMR) in the YIG film13–15. The FMR\nconditions were achieved for both positive and negative\nmagnetic fields. Corresponding to these fields, a spin\ncurrent is injected into Pt by the spin pumping process.\nThe inverse spin Hall voltage generated in Pt is given by\nVISHE∝θSHE(Js×σ), where θSHEdenotes the spin Hall\nangle. The direction of σdepends on the direction of\nthe magnetic field. Therefore, on inverting the magnetic\nfield direction, the polarity of VISHEreverses.\nIn order to understand the second feature of the spec-\ntrum that the signals have unequal amplitude, it is im-\nportant to discuss the spin-wave modes excited in the\nYIG film for our experimental geometry. It is clear from\nthe sample orientation, shown in Fig. 1 and inset to\nFig. 2, that the spin waves excited by the Oersted field of\nthe micro-stripline propagate along the y-axis, perpen-\ndicular to the magnetic field applied along the x-axis.\nThese kinds of spin waves with wave vector k⊥Hare\nknown as magnetostatic surface spin waves (MSSW) or\nDamon-Eshbach (DE) spin-waves16. The DE spin-waves\nare nonreciprocal spin waves and travel along a direction\ngiven by k=H×n, wherenis the normal to the film\nsurface. Therefore, the propagation of these spin waves\non the surface of a film can be reversed by inverting the-150 -100 -50 0 50 100 150-6-4-20246\nVISHV(µV)\nMagnetic field (mT) µ H0Spin pumping by\nDE spin-waves Longitudinal\nSSE signal\nH\nkn\nPtMW stripline\nσE\nJSPt-H-k\nnMW stripline\n-σ\n-EJS\nzxy\nFIG. 2. The inverse spin Hall voltage ( VISHE) generated in the\nPt strip as a function of the applied magnetic field µ0H. An\nasymmetry in the amplitude of VISHEat FMR-magnetic field\nappears due to unequal efficiency of Damon-Eshbach spin-\nwaves excitation (shaded area) for two opposite directions of\nthe applied magnet field, shown in the insets.\ndirection of the magnetic field.\nIn our experiment, when a magnetic field is applied\nalong the + x-direction, The DE-spin waves, in the YIG\nfilm surface close to the micro-stripline17, can only be ex-\ncited along the −y-direction ( ˆk=x×z) with respect to\nthe micro-stripline as shown in the inset to Fig 2. On the\nother hand, when the magnetic field is applied along the\n−x-direction, the spin waves can propagate only along\nthe +y-axis. If the YIG film is not positioned symmet-\nrically around the micro-stripline, as in our case, the ef-\nfective YIG film area, where spin waves can be excited,\nwill be unequal for two opposite fields as shown in the\ninset to Fig 2. Since the strength of VISHEsignal is pro-\nportional to the spin-wave intensity in the system7, we\nobserved an unequal amplitude of VISHEin our experi-\nment. We performed alike measurements with displacing\nthe YIG film and find that the amplitude of the spin\npumping signals can be altered by varying the relative\npositions of the film with respect to the micro-stripline.\nTherefore, we conclude that the unidirectional nature of\nthe DE spin-waves regulates the asymmetry of the ISHE\nsignal18.\nThe third feature seen in Fig. 2, i.e., an offset for non-\nresonant magnetic fields; is attributed to the LSSE. A\nsimilar signal could also be produced by the anomalous\nNernst effect in Pt, magnetized due to the proximity ef-\nfect. However, recent observations19,20discard any such\npossibility in YIG |Pt systems. The polarity of the LSSE\nsignal changes with the direction of the magnetic field;\nhowever, it is important to notice that the LSSE signal\nhas an opposite polarity than that of the signal at FMR\nfor a same direction of the magnetic field. This evidence\nexcludes the possibility of non-resonant spin pumping in\nthe system. When the Pt strip is heated by microwave\nabsorption, a thermal gradient ( ∇Tz) from YIG to Pt\ndevelops normal to the interface. The thermal gradient\ngenerates a spin current flowing along the z-axis. Since\nthe Pt strip is hot, the spin currentgeneratedvia the lon-\ngitudinal SSE ( Js∝ −∇T) flows from Pt to YIG21,22, in3\n(a)\nVLSSE(µV)\n0 0.4 0.8 1.204812\nMicrowave power (W) μ0H(mT)(b)\nVLSSE(µV)\n-20 -10 0 10 20-40418.6 mW\n117.5 mW\n295.1 mW\n468 mW\n741 mW\n933 mW\n1175 mW8\nFIG. 3. (a) Plotted is VLSSEas a function of the applied\nmagnetic field for various applied microwave powers. (b) The\npeak-to-peak amplitude of VLSSEis plotted versus the ap-\nplied microwave power. The peak-to-peak amplitude of VLSSE\nscales linearly with the microwave power.\ncontrast to spin pumping where the spin current flows\nfrom YIG to Pt23. This argument explains the oppo-\nsite polarities of the resonant (spin pumping) and the\nnon-resonant (longitudinal SSE) inverse-spin-Hall volt-\nages (VISHE) observed in Fig. 2. These results are con-\nsistent with previous experimental studies6,7,10. As dis-\ncussed above, the non-resonant VISHEis attributed to the\nlongitudinalSSE;henceforth,wedenotethenon-resonant\nVISHEvalues as VLSSE.\nMagnetic field scans for various microwave input pow-\ners were carried out. In Fig. 3, the VLSSEversusthe mag-\nnetic field data is plotted for various applied microwave\npowers. With increasing microwave power, the tempera-\nture increases in the Pt strip which enlarges the thermal\ngradient close to the YIG |Pt interface and, hence, in-\njects a larger spin current ( Js∝ −∇T) into the YIG\nfilm3,21,22. Impact of the large spin current appears as a\nhigherVLSSEsignal highlighted in Fig. 3(b). The peak-\nto-peak amplitude of VLSSEscales linearly with the ap-\nplied microwave power. The signature that the VLSSE\nsignal scales linearly with the microwave power verifies\nthat the signal originates from the heating produced in\nPt shown in the inset to Fig. 1.\nThe above experiment demonstrates that microwaves\ncan be utilized to create a thermal gradient in\nferromagnetic-insulator |normal-metal system, thereby,\nto study the LSSE along with the SP. The experimental\nsetup shown in Fig. 1 can also be employed to investi-\ngate the temporal dynamics of the longitudinal SSE and\nto compare it with the SP dynamics11. In the second ex-\nperiment, instead of continuous microwaves, 10- µs-long\nmicrowave pulses with rise-fall times of less than 10 ns\nwere used to perform the time-resolved measurements of\nthe longitudinal SSE. The frequency of the microwave\npulses (6 .8 GHz) was chosen such that the magnetic sys-\ntem stayed at non-resonance condition of the magnetic\nfield in the range of interest ( ±25 mT). The experiment\nwas executed at various microwave powers. The mea-\nsurementswere recordedfor both positive (+25mT) and\nnegative (-25 mT) magnetic fields, and an average valueof the non-resonant VISHE, i.e.,VLSSEwas considered.\nIn Fig. 4, VLSSEis plotted versus time. The longitu-\ndinal SSE signal takes around 1 µs to reach to the sat-\nuration level. The 10% −90% rise time of the signal is\nfound to be around 530 ns. The longitudinal SSE sig-\nnal (VLSSE) shows similar features as reported in Ref. 8,\nwhere a pulsed laser is employed to create the vertical\nthermal gradient in the YIG |Pt system. The main dif-\nference observed here is that the VLSSEsignal appears\nas soon as the microwave current runs; contrarily, in the\nlaser heating experiment8a time lag (200 ns) exists due\nto the laser switching time.\nThe model of thermal magnon diffusion8,22,24is em-\nployed here to understand the timescale of the longitudi-\nnal SSE. The model states that the spin current from a\nFM injected into a NM depends on the diffusion of ther-\nmalmagnonsinthe FM. Thedensityofthermalmagnons\nis proportional to the local phonon temperature25,26.\nDue to the thermal gradient in the FM, magnons diffuse\nfrom hotter regions (higher population) to colder regions\n(lower population) of the FM and create a magnon den-\nsity inequilibrium at the FM |NM interface which leads\nto the injection of a spin current into the NM21. The\ntimescale of the effect depends on the temporal devel-\nopment of the magnon density inequilibrium, i.e., the\nthermal gradient in the system. According to the model,\nVLSSEis given by8\nVLSSE(t)∝l/integraldisplay\ninterface∇Tz(z,t)exp(−|z|\nL)dz,(1)\nwhere∇Tzis the phonon thermal gradient in the FM,\nperpendicular to the interface, lis the magnetic film\nthickness, and Lis the effective magnon diffusion length.\nWefitted ourexperimentaldatashownin Fig4(a)with\nEq. 1 using ∇Tz(z,t) calculated numerically by solving\nthe heat equation for our system in accordance with a\nmodel described in Ref. 8. In Fig. 4(b), the normalized\nexperimental VLSSE-signal was plotted together with the\nFIG. 4. (a) Plotted is the temporal evolution of VLSSEon\nthe application of a 10 µs long microwave pulse which creates\na vertical temperature gradient in the YIG |Pt structure by\nheatingthePtstrip. (b)Acomparison ofexperimentallymea -\nsuredVLSSEdata with calculated values using Eq. (1) for vari-\nous effective magnon diffusion lengths L= 300,500,700 nm.4\ncalculated ones for various magnon diffusion lengths of\n300 nm, 500 nm, and 700 nm. The model resembles the\nexperimental data well. The fitting shows that a typi-\ncal magnon diffusion length for thermal magnons in the\nYIG|Pt system is around 500 nm. An identical value for\nthe magnon diffusion length was obtained in the laser\nheating experimental performed on the same sample, re-\nported in Ref. 8.\nIn summary, we presented microwavesas a perspective\nheating technique to generate a thermal gradient in fer-\nromagnetic insulator |normal metal systems to study the\nstatic and temporal dynamics of the longitudinal spin\nSeebeck effect. The static measurements provide cru-\ncial information about the direction of the spin current\nflow in the spin pumping and longitudinal SSE processes.\nThe experiment demonstrates that in the longitudinal\nSSE a spin current flows from the normal metal (hot)\ntowards the ferromagnet (cold) while in the spin pump-\ning case, the flow is opposite. The temporal dynamics\nof the longitudinal SSE experiment manifests the sub-\nmicrosecond timescale of the effect which is slower than\nthe spin pumpingprocess. Thethermal magnondiffusion\nmodel can explain the outcomes of the experiment and\nleadstoconcludethatthetimescaleoftheeffect reliesthe\nevolution of the vertical thermal gradient in the vicinity\nof the ferromagnet |normal metal interface. From our ex-\nperiment, a typical magnon diffusion length of 500 nm is\nestimated for the YIG |Pt system.\nThe authors thank A. V. Chumak, M. B. Jungfleisch,\nand P. Pirro for valuable discussions. M.A. was sup-\nported by a fellowship of the Graduate School Material\nSciences in Mainz (MAINZ) through DFG funding of\nthe Excellence Initiative (GSC-266). We acknowledge\nfinancial support by Deutsche Forschungsgemeinschaft\n(SE 1771/4) within Priority Program 1538 “Spin Caloric\nTransport”, and technical support from the Nano Struc-\nturing Center, TU Kaiserslautern.\n1K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature 455, 778 (2008).\n2G. E. W. Bauer, E. Saitoh, and B. J. van Wees, Nature Materials\n11, 391 (2012).\n3K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and\nE. Saitoh, Applied Physics Letters 97, 172505 (2010).\n4A. Kirihara, K. Uchida, Y. Kajiwara, M. Ishida, Y. Nakamura,\nT. Manako, E. Saitoh, and S. Yorozu, Nature Materials 11, 686\n(2012).5K. Uchida, A. Kirihara, M. Ishida, R. Takahashi, and E. Saito h,\nJapanese Journal of Applied Physics 50, 120211 (2011).\n6M. B. Jungfleisch, T. An, K. Ando, Y. Kajiwara, K. Uchida,\nV. I. Vasyuchka, A. V. Chumak, A. A. Serga, E. Saitoh, and\nB. Hillebrands, Applied Physics Letters 102, 062417 (2013).\n7C. Sandweg, Y. Kajiwara, A. V. Chumak, A. A. Serga,\nV. Vasyuchka, M. Jungfleisch, E. Saitoh, and B. Hillebrands,\nPhysical Review Letters 106, 216601 (2011).\n8M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. Kirihara, P. Pirr o,\nT. Langner, M. B. Jungfleisch, A. V. Chumak, E. T. Papaioan-\nnou, and B. Hillebrands, Physical Review B 89, 224414 (2014).\n9M. Schreier, N. Roschewsky, E. Dobler, S. Meyer, H. Huebl,\nR. Gross, and S. T. B. Goennenwein, Applied Physics Letters\n103, 242404 (2013).\n10M. Schreier, G. E. W. Bauer, V. Vasyuchka, J. Flipse, K.-i.\nUchida, J. Lotze, V. Lauer, A. Chumak, A. Serga, S. Daimon,\nT. Kikkawa, E. Saitoh, B. J. van Wees, B. Hillebrands, R. Gros s,\nand S. T. B. Goennenwein, arXiv:1404.3490.\n11M. B. Jungfleisch, A. V. Chumak, V. I. Vasyuchka, A. A. Serga,\nB.Obry, H.Schultheiss, P.A.Beck, A.D.Karenowska, E.Sait oh,\nand B. Hillebrands, Applied Physics Letters 99, 182512 (2011).\n12E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Applied\nPhysics Letters 88, 182509 (2006).\n13Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando, K. Takanashi,\nS. Maekawa, and E. Saitoh, Nature 464, 262 (2010).\n14M. Costache, M. Sladkov, S. Watts, C. van der Wal, and B. van\nWees, Physical Review Letters 97, 1 (2006).\n15K. Ando, J. Ieda, K. Sasage, S. Takahashi, S. Maekawa, and\nE. Saitoh, Applied Physics Letters 94, 262505 (2009).\n16R. Damon and J. Eshbach, Journal of Physics and Chemistry of\nSolids19, 308 (1961).\n17The efficiency of spin wave excitation at the back surface of th e\nYIG film is very poor.\n18R. Iguchi, K. Ando, Z. Qiu, T. An, E. Saitoh, and T. Sato,\nApplied Physics Letters 102, 022406 (2013).\n19S. Gepraegs, S. Meyer, S. Altmannshofer, M. Opel, F. Wilhelm ,\nA. Rogalev, R. Gross, and S. T. B. Goennenwein, Applied\nPhysics Letters 101, 262407 (2012).\n20T. Kikkawa, K. Uchida, Y. Shiomi, Z. Qiu, D. Hou, D. Tian,\nH. Nakayama, X.-F. Jin, and E. Saitoh, Physical Review Lette rs\n110, 067207 (2013).\n21J. Xiao, G. E. W. Bauer, K. Uchida, E. Saitoh, and S. Maekawa,\nPhysical Review B 81, 214418 (2010).\n22S. M. Rezende, R. L. Rodr´ ıguez-Su´ arez, R. O. Cunha, A. R.\nRodrigues, F. L. A. Machado, G. A. Fonseca Guerra, J. C. Lopez\nOrtiz, and A. Azevedo, Physical Review B 89, 014416 (2014).\n23Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Physical\nReview B 66, 1 (2002).\n24U. Ritzmann, D. Hinzke, and U. Nowak, Physical Review B 89,\n024409 (2014).\n25M. Agrawal, V. I. Vasyuchka, A. A. Serga, A. D. Karenowska,\nG. A. Melkov, and B. Hillebrands, Physical Review Letters 111,\n107204 (2013).\n26M. Schreier, A. Kamra, M. Weiler, J. Xiao, G. E. W. Bauer,\nR. Gross, and S. T. B. Goennenwein, Physical Review B 88,\n094410 (2013)." }, { "title": "2301.05820v1.Quantum_entanglement_generation_on_magnons_assisted_with_microwave_cavities_coupled_to_a_superconducting_qubit.pdf", "content": "arXiv:2301.05820v1 [quant-ph] 14 Jan 2023Quantum entanglement generation on magnons assisted with m icrowave cavities\ncoupled to a superconducting qubit\nJiu-Ming Li and Shao-Ming Fei∗\nSchool of Mathematical Sciences, Capital Normal Universit y, Beijing 100048, China\nWe present protocols to generate quantum entanglement on no nlocal magnons in hybrid systems\ncomposed of yttrium iron garnet (YIG) spheres, microwave ca vities and a superconducting (SC)\nqubit. In the schemes, the YIGs are coupled to respective mic rowave cavities in resonant way, and\nthe SC qubit is placed at the center of the cavities, which int eracts with the cavities simultaneously.\nBy exchanging the virtual photon, the cavities can indirect ly interact in the far-detuning regime.\nDetailed protocols are presented to establish entanglemen t for two, three and arbitrary Nmagnons\nwith reasonable fidelities.\nKeywords: magnon, superconducting qubit, quantum electro dynamics, quantum entanglement, indirect in-\nteraction\nI. INTRODUCTION\nQuantum entanglement is one of the most important\nfeatures in quantum mechanics. The quantum entan-\ngled states [1–4] are significant ingredients in quantum\ninformation processing. Over past decades, various the-\noretical and experimental proposals have been presented\nfor processing quantum information by using varioussys-\ntems such as atoms [5–14], spins [15–21], ions [22–29],\nphotons [5, 30–39], phonons [40–42], and so on. With the\ndevelopment of technologies, the quantum entanglement\nhasbeenestablishednotonlyin microscopicsystems, but\nalso in the macroscopic systems such as superconducting\ncircuits [43–48] and magnons system [49–54].\nHybrid systems exploit the advantages of different\nquantum systems in achieving certain quantum tasks,\nsuch as creating quantum entanglement and carryingout\nquantum logic gates. Many works have been presented\nso far for quantum information processing in the hybrid\nsystems [55–58]. For instance, as an important quan-\ntum technology [59], the hybrid quantum circuits com-\nbine superconducting systems with other physical sys-\ntems which can be fabricated on a chip. The supercon-\nducting (SC) qubit circuits [60, 61], based on the Joseph-\nson junctions, can exhibit quantum behaviors even at\nmacroscopic scale. Generally, the interaction between\nthe SC qubits and the environment, e.g., systems in\nstrong or even ultrastrong coupling regime via quantized\nelectromagnetic fields, would result in short coherence\ntime. Thus many researches on circuit quantum electro-\ndynamics (QED) [62] have been presented with respect\nto the SC qubits, superconducting coplanar waveguide\nresonators, LCresonators and so on. This circuit QED\nfocuses on studies of the light-matter interaction by us-\ning the microwave photons, and has become a relative\nindependent research field originated from cavity QED.\nThe hybrid systems composed of collective spins\n(magnons) in ferrimagnetic systems and other systems\n∗Electronic address: feishm@cnu.edu.cnare able to constitute the magnon-photon [63, 64],\nmagnon-phonon[65–67], magnon-photon-phonon[49, 50,\n68] systems and so on, giving rise to new interesting ap-\nplications. Ferrimagnetic systems such as yttrium iron\ngarnet (YIG) sphere have attracted considerable atten-\ntion in recent years, which provide new platforms for in-\nvestigating the macroscopic quantum phenomena partic-\nularly. Such systems are able to achieve strong and even\nultrastrong couplings [69] between the magnons and the\nmicrowave photons, as a result of the high density of the\ncollective spins in YIG and the lower dissipation. The\nYIG has the unique dielectric microwave properties with\nvery lower microwave magnetic loss parameter. Mean-\nwhile, some important works have been presented on\nmagnon Kerr effect [70, 71], quantum transduction [72],\nmagnon squeezing [73, 74], magnon Fock state [75] and\nentanglement of magnons. For example, In 2018 Li et al.\n[49] proposed a system consisted of magnons, microwave\nphotonsandphononsforestablishingtripartiteentangled\nstates based on the magnetostrictiveinteraction and that\nthe entangled state in magnon-photon-phonon system is\nrobust. In 2019 Li et al.[50] constructed the entangled\nstate of two magnon modes in a cavity magnomechani-\ncal system by applying a strong red-detuned microwave\nfield on a magnon mode to activate the nonlinear mag-\nnetostrictive interaction. In 2021 Kong et al.[52] used\nthe indirect coherent interaction for accomplishing two\nmagnonsentanglementand squeezingvia virtualphotons\nin the ferromagnetic-superconducting system.\nInthiswork,wefirstpresentahybridsystemcomposed\nof two YIG spheres, two identical microwave cavities and\na SC qubit to establish quantum entanglement on two\nnonlocal magnons. In this system, two YIGs are coupled\nto respective microwave cavities that cross each other.\nAnd a SC qubit is placed at the center of the crossing of\ntwoidenticalcavities,namely,theSCqubitinteractswith\nthe two cavities simultaneously. The magnons in YIGs\ncan be coupled to the microwave cavities in the resonant\nway, owing to that the frequencies of two magnons can\nbe tuned by biased magnetic fields, respectively. Com-\npared with other works, the SC qubit is coupled to the\ntwo microwavecavities in the far-detuning regime, mean-2\nFIG. 1: (Color online) Schematic of the hybrid system com-\nposed oftwoyttriumiron garnet spheres coupledtorespecti ve\nmicrowave cavities. Two cavities cross each other, and a su-\nperconducting qubit (black spot) is placed at the center of t he\ncrossing.\ning that the two identical cavities indirectly interact with\neach other by exchanging virtual photons. Then, we give\nthe effective Hamiltonian of the subsystem composed of\nthe SC qubit and two cavities, and present the protocol\nof entanglement establishment. In Sec. III, we consider\nthe caseofthreemagnons. Inthe hybridsystemshownin\nFig.3, the three identical microwave cavities could indi-\nrectly interact via the virtual photons, and each magnon\nis resonant with the respective cavity by tuning the fre-\nquency of the magnon. At last, we get the isoprobability\nentanglement on three nonlocal magnons. Moreover, the\nhybrid system composed of Nmagnons, Nidentical mi-\ncrowave cavities and a SC qubit is derived in Sec. IV.\nWe summarize in Sec. V.\nII. QUANTUM ENTANGLEMENT ON TWO\nNONLOCAL MAGNONS\nA. Hamiltonian of the hybrid system\nWe consider a hybrid system, see Fig.1, in which two\nmicrowavecavitiescrosseachother,twoyttriumirongar-\nnet (YIG) spheres are coupled to the microwave cavities,\nrespectively. A superconducting (SC) qubit, represented\nby black spot in the Fig.1, is placed at the center of the\ncrossing in order to interact with the two microwave cav-\nities simultaneously. The YIG spheres are placed at the\nantinode of two microwave magnetic fields, respectively,\nand a static magnetic field is locally biased in each YIG\nsphere. In our model, the SC qubit is a two-level system\nwith ground state |g/an}bracketri}htqand excited state |e/an}bracketri}htq.\nThe magnetostatic modes in YIG can be excited when\nthe magnetic component of the microwave cavity field is\nperpendicular to the biased magnetic field. We only con-\nsider the Kittel mode [76] in the hybrid system, namely,the magnon modes can be excited in YIG. The fre-\nquency of the magnon is in the gigahertz range. Thus\nthe magnon generally interacts with the microwave pho-\nton via the magnetic dipole interaction. The frequency\nof the magnon is given by ωm=γH, whereHis the\nbiased magnetic field and γ/2π= 28 GHz/T is the gyro-\nmagnetic ratio.\nIn recent years, some experiments have already real-\nizedthestrongandultrastrongmagnon-magnoncoupling\n[77–79] as well as the magnon-qubit interaction [80, 81],\nwhich means that in the hybrid system shown in Fig.1\nthe magnon is both coupled to the SC qubit and an-\nother magnon. However, we mainly consider that the\nmagnons which frequencies are tuned by the locally bi-\nased static magnetic fields can be resonant with the cav-\nities. In the meantime, the two cavities modes interact\nindirectly in the far-detuning regime for exchanging pho-\ntons. The entanglement of two nonlocal magnons can\nbe constructed by using two cavities and the SC qubit.\nGiven that there are magnon-magnon and magnon-qubit\ninteractions, the magnon can be detuned with the qubit\nand another magnon in order to neglect their interac-\ntions. In the rotating wave approximation the Hamilto-\nnian of the hybrid system is ( /planckover2pi1= 1 hereafter) [82]\nH(S)=H0+Hint\nH0=ωm1m†\n1m1+ωm2m†\n2m2+1\n2ωqσz\n+ωa1a†\n1a1+ωa2a†\n2a2\nHint=λm1(a1m†\n1+a†\n1m1)+λm2(a2m†\n2+a†\n2m2)\n+λq1(a1σ++a†\n1σ)+λq2(a2σ++a†\n2σ).(1)\nHere,H0is the free Hamiltonian of the two cavities, two\nmagnonsandtheSCqubit. Hintisthe interactionHamil-\ntonian among the cavities, magnons and SC qubit. ωm1\nandωm2are the frequencies of the two magnons, which\nare tunable under biased magnetic fields, respectively.\nωa1andωa2are the frequencies of two cavities, and ωq\nis the state transition frequency between |g/an}bracketri}htq↔ |e/an}bracketri}htqof\nthe SC qubit. In the Kittel mode, the collective spins in\nYIGs can be expressed by the boson operators. m1(m2)\nandm†\n1(m†\n2) are the annihilation and creation opera-\ntors of magnon mode 1 (2). a1(a2) anda†\n1(a†\n2) denote\nthe annihilation and creation operators of cavity mode\n1 (2), respectively. They satisfy commutation relations\n[O,O†] = 1 forO=a1,a2,m1,m2.σz=|e/an}bracketri}htq/an}bracketle{te|−|g/an}bracketri}htq/an}bracketle{tg|.\nσ=|g/an}bracketri}htq/an}bracketle{te|andσ+=|e/an}bracketri}htq/an}bracketle{tg|are the lowing and rais-\ning operators of the SC qubit. λq1(λq2) is the coupling\nstrengthbetweenthe SCqubit andthe cavitymode1(2).\nλm1(λm2) is the coupling between the magnon mode 1\n(2) and the cavity mode 1 (2).\nAs mentioned above, the two microwave cavities are\nidentical ones with the same frequency ωa1=ωa2=ωa.\nMeanwhile, one can assume that λq1=λq2=λq. In the3\ninteraction picture with respect to e−iH0t, the Hamilto-\nnian is expressed as\nH(I)=λm1a1m†\n1eiδ1t+λm2a2m†\n2eiδ2t+λqa1σ+ei∆1t\n+λqa2σ+ei∆2t+H.c., (2)\nwhereδ1=ωm1−ωa,δ2=ωm2−ωa, ∆1=ωq−ωa\nand ∆ 2=ωq−ωa. The SC qubit is coupled to the two\ncavities simultaneously. Owing to ∆ 1= ∆2= ∆0/ne}ationslash= 0\nand ∆ 0≫λq, the two identical microwave cavities indi-\nrectlyinteractwitheachotherinthefar-detuningregime.\nTherefore, the effective Hamiltonian of the subsystem\ncomposedofthe twomicrowavecavitiesand the SC qubit\nin the far-detuning regime is given by [83]\nHeff=/tildewideλq/bracketleftBig\nσz(a†\n1a1+a†\n2a2+a†\n1a2+a1a†\n2)+2|e/an}bracketri}htq/an}bracketle{te|/bracketrightBig\n,(3)\nwhere/tildewideλq=λ2\nq/∆0.\nB. Entangled state generation on two nonlocal\nmagnons\nWe now give the protocol of quantum entanglement\ngeneration on two nonlocal magnons. Generally, the\nmagnon can be excited by a drive magnetic field. For\nconvenience the state of magnon 1 is prepared as |1/an}bracketri}htm1\nvia the magnetic field. The initial state of the hybrid\nsystem is |ϕ/an}bracketri}ht0=|1/an}bracketri}htm1|0/an}bracketri}htm2|0/an}bracketri}hta1|0/an}bracketri}hta2|g/an}bracketri}htq, in which the two\ncavities are all in the vacuum state, magnon 2 is in the\nstate|0/an}bracketri}htm2, and the SC qubit is in state |g/an}bracketri}htqwhich is unal-\ntered all the time due to the indirect interaction between\nthe two cavities.\nstep 1: The frequency of magnon 1 is tuned to be\nωm1=ωa1so that the cavity 1 could be resonated with\nit. Therefore, the magnon 1 and cavity 1 are in a super-\nposed state after time T1=π/4λm1. The local evolution\nis|1/an}bracketri}htm1|0/an}bracketri}hta1→1√\n2(|1/an}bracketri}htm1|0/an}bracketri}hta1−i|0/an}bracketri}htm1|1/an}bracketri}hta1), which means\nthat the states of SC qubit, magnon 2 and cavity 2 are\nunchanged due to decoupling between the SC and two\ncavities, and the magnon 2 is far-detuned with cavity 2.\nThe state evolves to\n|ϕ/an}bracketri}ht1=1√\n2(|1/an}bracketri}htm1|0/an}bracketri}hta1−i|0/an}bracketri}htm1|1/an}bracketri}hta1)\n⊗|0/an}bracketri}htm2⊗|0/an}bracketri}hta2⊗|g/an}bracketri}htq. (4)\nstep 2: The magnons are tuned to far detune with\nrespective cavities. From Eq. (3), the evolution of sub-\nsystem composedof twomicrowavecavities and SC qubit\nis given by\n|χ(t)/an}bracketri}htsub=ei/tildewideλqt/bracketleftbig\ncos(/tildewideλqt)|1/an}bracketri}hta1|0/an}bracketri}hta2+isin(/tildewideλqt)|0/an}bracketri}hta1|1/an}bracketri}hta2/bracketrightbig\n⊗|g/an}bracketri}htq (5)\nunder the condition ∆ 0≫λq.\nAfter timeT2=π/2/tildewideλq, the evolution between two cav-\nities is|1/an}bracketri}hta1|0/an}bracketri}hta2→ −|0/an}bracketri}hta1|1/an}bracketri}hta2, which indicates that thephoton can be indirectly transmitted between the two\ncavities, with the state of SC qubit unchanged. There-\nfore, the state after this step changes to\n|ϕ/an}bracketri}ht2=1√\n2(|1/an}bracketri}htm1|0/an}bracketri}hta1|0/an}bracketri}hta2+i|0/an}bracketri}htm1|0/an}bracketri}hta1|1/an}bracketri}hta2)\n⊗|0/an}bracketri}htm2⊗|g/an}bracketri}htq. (6)\nstep 3: The frequency of magnon 2 is tuned with\nωm2=ωa2to resonate with the cavity 2. In the mean-\ntime the cavities are decoupled to the SC qubit and the\nmagnon 1 is far detuned with the cavity 1. After time\nT3=π/2λm2, the local evolution |0/an}bracketri}htm2|1/an}bracketri}hta2→ −i|1/an}bracketri}htm2|0/an}bracketri}hta2\nis attained. The final state is\n|ϕ/an}bracketri}ht3=1√\n2(|1/an}bracketri}htm1|0/an}bracketri}htm2+|0/an}bracketri}htm1|1/an}bracketri}htm2)\n⊗|0/an}bracketri}hta1⊗|0/an}bracketri}hta2⊗|g/an}bracketri}htq, (7)\nwhich is just the single-excitation Bell state on two non-\nlocal magnons.\nIn the whole process, we mainly consider the interac-\ntions between the magnonsand the cavities, and between\nthe cavities and the SC qubit. However, the SC qubit\ncan be coupled to the magnons. In terms of Ref.[80],\nthe interactions between the magnons and the SC qubit\nare described as Hqm,1=λqm,1(σ+m1+H.c.) and\nHqm,2=λqm,2(σ+m2+H.c.) whereλqm,1=λqλm1/∆0\nandλqm,2=λqλm2/∆0, while the conditions ωq=ωm1\nandωq=ωm2are attained. In the meantime, the\ntwo magnons are interacts each other by using the SC\nqubit. Generally, the frequencies of two magnon modes\nare tuned by the locally biased magnetic fields. There-\nfore, the magnon can be detuned with the SC qubit and\nanother magnon in order to neglect the interactions be-\ntween the magnons and the SC qubit.\nC. Numerical result\nWe here simulate [84] the fidelity of the Bell state on\ntwo nonlocal magnons by considering the dissipations of\nall constituents of the hybrid system. The realistic evo-\nlution of the hybrid system composed of magnons, mi-\ncrowave cavities and SC qubit is governed by the master\nequation\n˙ρ=−i[H(I),ρ]+κm1D[m1]ρ+κm2D[m2]ρ\n+κa1D[a1]ρ+κa2D[a2]ρ+γqD[σ]ρ.(8)\nHere,ρis the density operator of the hybrid system, κm1\nandκm2are the dissipation rates of magnon 1 and 2,\nκa1andκa2denote the dissipation rates for the two mi-\ncrowave cavities 1 and 2, γqis the dissipation rate of\nthe SC qubit, D[X]ρ=(2XρX†−X†Xρ−ρX†X)/2 for\nX=m1,m2,a1,a2,σ. The fidelity of the entangled state\nof two nonlocal magnons is defined by F=3/an}bracketle{tϕ|ρ|ϕ/an}bracketri}ht3.\nThe related parameters are chosen as ωq/2π= 7.92\nGHz,ωa/2π= 6.98 GHz,λq/2π= 83.2 MHz,λm1/2π=4\n74 78 82 86 90 9488909294\nλq/2π [MHz] Fidelity (%)(a)\n0.6 0.8 1.0 1.2909294\n(κa)−1 [µs] Fidelity (%)(b)\n0.8 1.0 1.2 1.4 1.6909294\n(κm)−1 [µs]Fidelity (%)(c)\n0.6 0.8 1.0 1.29091929394\n(γq)−1 [µs] Fidelity (%)(d)\nFIG. 2: (a) The fidelity of the Bell state of two nonlocal magno ns with respect to the coupling strength λq. Since/tildewideλq=λ2\nq/∆0\nin Eq.(3), the fidelity is similar to parabola. (b)-(d) The fid elity of the Bell state versus the dissipations of cavities, magnons,\nand SC qubit, respectively.\n15.3 MHz,λm2/2π= 15.3 MHz [81], κm1/2π=κm2/2π=\nκm/2π= 1.06 MHz,κa1/2π=κa2/2π=κa/2π= 1.35\nMHz [69], γq/2π= 1.2 MHz [80]. The fidelity of the\nentanglement between two nonlocal magnons can reach\n92.9%.\nThe influences of the imperfect relationship among pa-\nrameters is discussed next. The Fig.2(a) shows the fi-\ndelity influenced by the coupling strength between the\nmicrowave cavities and the SC qubit. Since /tildewideλq=λ2\nq/∆0\nin Eq.(3), the fidelity is similar to parabola. In Fig.2(b)-\n(d), we give the fidelity varied by the dissipations of cav-\nities, magnons, and SC qubit. As a result of the virtual\nphoton, the fidelity is almost unaffected by the SC qubit,\nshown in Fig.2(d).\nIII. ENTANGLEMENT GENERATION FOR\nTHREE NONLOCAL MAGNONS\nA. Entangled state of three nonlocal magnons\nSimilar to the protocol of entangled state generation\nfor two nonlocal magnons in two microwave cavities, we\nconsider the protocol for entanglement of three nonlocalmagnons. As shown in Fig.3, similar to the hybrid sys-\ntem composed of two magnons coupled to the respective\nmicrowave cavities and a SC qubit in Fig.1, there are\nthree magnons in three YIGs coupled to respective mi-\ncrowave cavities and a SC qubit placed at the center of\nthe three identical cavities ( ωa1=ωa2=ωa3=ωa). Each\nmagnon is in biased static magnetic field and is located\nat the antinode of the microwave magnetic field.\nIn the interaction picture, the Hamiltonian of the hy-\nbrid system depicted in Fig.3 is\nH(I)\n3=λm1a1m†\n1eiδ1t+λm2a2m†\n2eiδ2t\n+λm3a3m†\n3eiδ3t+λqa1σ+ei∆1t\n+λqa2σ+ei∆2t+λqa3σ+ei∆3t+H.c.,(9)\nwhereλm3is the coupling strength between magnon 3\nand microwavecavity3, a3andm†\n3areannihilation oper-\nator of the cavity 3 and creation operator of the magnon\n3, respectively. λqis the coupling between the SC qubit\nand three cavities, δ3=ωm3−ωa. The frequency ωm3\ncan be tuned by the biased magnetic field in microwave\ncavity 3. ∆ 3=ωq−ωa= ∆0.\nAt the beginning we have the initial state |ψ/an}bracketri}ht(3)\n0=\n|ψ/an}bracketri}ht(3)\nm⊗|ψ/an}bracketri}ht(3)\na⊗|g/an}bracketri}htqwith|ψ/an}bracketri}ht(3)\nm=|1/an}bracketri}htm1|0/an}bracketri}htm2|0/an}bracketri}htm3=|100/an}bracketri}htmand5\nFIG. 3: (Color online) Schematic of the hybrid system com-\nposed of three yttrium iron garnet spheres coupled to respec -\ntivemicrowave cavities. Asuperconductingqubit(blacksp ot)\nis placed at the center of the three cavities.\n|ψ/an}bracketri}ht(3)\na=|0/an}bracketri}hta1|0/an}bracketri}hta2|0/an}bracketri}hta3=|000/an}bracketri}hta. Thesingle-excitationissetin\nthe magnon 1. The magnon 1 is resonant with the cavity\n1 by tuning the frequency of magnon 1, and the SC qubit\nis decoupled to the cavities. After time T(3)\n1=π/2λm1,\nthe local evolution |1/an}bracketri}htm1|0/an}bracketri}hta1→ −i|0/an}bracketri}htm1|1/an}bracketri}hta1is attained.\nThe state is evolved to\n|ψ/an}bracketri}ht(3)\n1=−i|000/an}bracketri}htm|100/an}bracketri}hta|g/an}bracketri}htq. (10)\nThe SC qubit is coupled to the three identical mi-\ncrowave cavities at the same time in far-detuning regime\n∆0≫λq. Therefore, the effective Hamiltonian of the\nsubsystem composed of the SC qubit and the three iden-\ntical cavities is of the form [83]\nH(3)\neff=/tildewideλq/bracketleftbigg\nσz(a†\n1a1+a†\n2a2+a†\n3a3)+3|e/an}bracketri}htq/an}bracketle{te|\n+σz(a1a†\n2+a1a†\n3+a2a†\n3+H.c.)/bracketrightbigg\n.(11)\nThe magnons are then all detuned with the cavities. The\nlocal evolution e−iH(3)\nefft|100/an}bracketri}hta|g/an}bracketri}htof the subsystem is given\nby\n|χ(t)/an}bracketri}ht(3)\nsub=/bracketleftbigg\nC(3)\n1,t|100/an}bracketri}hta+C(3)\n2,t|010/an}bracketri}hta+C(3)\n3,t|001/an}bracketri}hta/bracketrightbigg\n⊗|g/an}bracketri}htq, (12)\nwhereC(3)\n1,t=ei3/tildewideλqt+2\n3andC(3)\n2,t=C(3)\n3,t=ei3/tildewideλqt−1\n3. It is\neasy to derive that\n|C(3)\n1,t|2+|C(3)\n2,t|2+|C(3)\n3,t|2= 1. (13)\nFig.4 shows the probability related to the states\n|100/an}bracketri}hta|000/an}bracketri}htm|g/an}bracketri}htq,|010/an}bracketri}hta|000/an}bracketri}htm|g/an}bracketri}htqand|001/an}bracketri}hta|000/an}bracketri}htm|g/an}bracketri}htq. In0 1 2 3 4 5 6 70.00.51.0\n3λ2\nqt/∆0Pn\n \n|C1,t(3)|2\n|C2,t(3)|2=|C3,t(3)|2\nFIG. 4: (Color online) Evolution probabilities of the state s:\nP1=|C(3)\n1,t|2for|100/angbracketrighta|000/angbracketrightm|g/angbracketrightq(red),P2=|C(3)\n2,t|2for\n|010/angbracketrighta|000/angbracketrightm|g/angbracketrightq,P3=|C(3)\n3,t|2for|001/angbracketrighta|000/angbracketrightm|g/angbracketrightq, andP2=\nP3(blue).\nparticular, one has |C(3)\n1,t|2=|C(3)\n2,t|2=|C(3)\n3,t|2=1\n3, with\nC(3)\n1=√\n3+i\n2√\n3,C(3)\n2=C(3)\n3=−√\n3+i\n2√\n3(14)\nat timeT(3)\n2= 2π/9/tildewideλq. Correspondingly, the state\nevolves to\n|ψ/an}bracketri}ht(3)\n2=/bracketleftbigg√\n3+i\n2√\n3|100/an}bracketri}hta+−√\n3+i\n2√\n3|010/an}bracketri}hta+−√\n3+i\n2√\n3|001/an}bracketri}hta/bracketrightbigg\n⊗(−i)|000/an}bracketri}htm⊗|g/an}bracketri}htq. (15)\nFinally, the magnonscan be resonatedwith the respec-\ntive cavities under the condition {δ1,δ2,δ3}= 0. The\nlocal evolution and the time are |0/an}bracketri}htmk|1/an}bracketri}htak→ −i|1/an}bracketri}htmk|0/an}bracketri}htak\nandT(3)\n3k=π/2λmk(k= 1,2,3), respectively. Thus the\nfinal state is\n|ψ/an}bracketri}ht(3)\n3=−/bracketleftbigg√\n3+i\n2√\n3|100/an}bracketri}htm+−√\n3+i\n2√\n3|010/an}bracketri}htm+−√\n3+i\n2√\n3|001/an}bracketri}htm/bracketrightbigg\n⊗|000/an}bracketri}hta⊗|g/an}bracketri}htq. (16)\nIn the whole process, the state of the SC qubit is kept\nunchanged.\nB. Numerical result\nThe entanglement fidelity of three nonlocal magnons is\ngiven here by taking into account the dissipations of hy-\nbrid system. Firstly, the master equation which governs\nthe realistic evolution of the hybrid system composed of\nthree magnons, three microwave cavities and a SC qubit\ncan be expressed as\n˙ρ(3)=−i[H(I)\n3,ρ(3)]+κm1D[m1]ρ(3)+κm2D[m2]ρ(3)\n+κm3D[m3]ρ(3)+κa1D[a1]ρ(3)+κa2D[a2]ρ(3)\n+κa3D[a3]ρ(3)+γqD[σ]ρ(3), (17)6\n0.6 0.8 1.0 1.281838587\n(κa)−1 [µs]Fidelity (%)(a)\n0.8 1.0 1.2 1.4 1.6838485\n(κm)−1 [µs]Fidelity (%)(b)\n0.6 0.8 1.0 1.28283848586\n(γq)−1 [µs]Fidelity (%)(c)\nFIG. 5: (a)-(c) The fidelity of the entanglement on three\nnonlocal magnons versus the dissipations of cavities, magn ons\nand SC qubit.\nwhereρ(3)is the density operator of realistic evolu-\ntion of the hybrid system, κm3is the dissipation rate\nof magnon 3 with κm3/2π=κm/2π= 1.06 MHz\n[69],κa3denotes the dissipation rate for the microwave\ncavities 3 with κa3/2π=κa/2π= 1.35 MHz [69],\nD[X]ρ(3)=(2Xρ(3)X†−X†Xρ(3)−ρ(3)X†X)/2 for any\nX=m1,m2,m3,a1,a2,a3,σ.\nThe entanglement fidelity for three nonlocal magnons\nis defined by F(3)=(3)\n3/an}bracketle{tψ|ρ(3)|ψ/an}bracketri}ht(3)\n3, which can reach\n84.9%. The fidelity with respect to the parameters is\nFIG. 6: (Color online) Schematic of the hybrid system com-\nposed of Nyttrium iron garnet spheres coupled to respective\nmicrowave cavities. A superconducting qubit is placed at th e\ncenter of the Nidentical microwave cavities.\nshown in Fig.5.\nIV.NMAGNONS SITUATION\nIn Sec. II and Sec. III, the entanglement of two and\nthree nonlocal magnons have been established. In this\nsection we consider the case of Nmagnons. In the hy-\nbrid system shown in Fig.6, the SC qubit is coupled to\nNcavity modes that have the same frequencies ωa. A\nmagnon is coupled to the cavity mode in each cavity.\nEach magnon is placed at the antinode of microwave\nmagnetic field of the respective cavity and biased static\nmagnetic field.\nIn the interaction picture the Hamiltonian of whole\nsystem shown in Fig.6 can be expressed as\nH(I)\nN=/summationdisplay\nn/bracketleftbigg\nλmn(anm†\nneiδnt+H.c.)\n+λq(anσ+ei∆nt+H.c.)/bracketrightbigg\n,(18)\nwhereanandm†\nn(n= 1,2,3,···,N) are the annihi-\nlation operator of the nth cavity mode and the creation\noperatorofthe nth magnon, λmnis the couplingbetween\nthenth magnon and the nth cavity mode, λqdenotes the\ncoupling strength between the SC qubit and the nth cav-\nity mode,δn=ωmn−ωa,ωmnis the frequency of the\nnth magnon, ∆ n= ∆0=ωq−ωa.\nThe initial state is prepared as\n|ψ/an}bracketri}ht(N)\n0=|ψ/an}bracketri}ht(N)\nm⊗|ψ/an}bracketri}ht(N)\na⊗|g/an}bracketri}htq, (19)\n|ψ/an}bracketri}ht(N)\nm=|1/an}bracketri}htm1|0/an}bracketri}htm2|0/an}bracketri}htm3···|0/an}bracketri}htmN=|100···0/an}bracketri}htm,\n|ψ/an}bracketri}ht(N)\na=|0/an}bracketri}hta1|0/an}bracketri}hta2|0/an}bracketri}hta3···|0/an}bracketri}htaN=|000···0/an}bracketri}hta.\nAt first, we tune the frequency of magnon 1 under the\nconditionδ1= 0. The magnon 1 is resonant with the7\ncavity 1, which means that the single photon is trans-\nmitted to cavity 1, and the SC qubit is decoupled to all\nthe cavities. The state evolves to\n|ψ/an}bracketri}ht(N)\n1=−i|000···0/an}bracketri}htm|100···0/an}bracketri}hta|g/an}bracketri}htq(20)\nafter timeT(N)\n1=π/2λm1.\nNext the magnons are tuned to detune with respec-\ntive cavities. The SC qubit is coupled to the Nmi-\ncrowave cavities at the same time in far-detuning regime\n∆0≫λq. Under the condition ∆ n= ∆0, the effective\nHamiltonian of the subsystem composed of the SC qubit\nandNmicrowave cavities is of the form [83]\nH(N)\neff=/summationdisplay\nn/tildewideλq/bracketleftbigg\nσza†\nnan+|e/an}bracketri}htq/an}bracketle{te|/bracketrightbigg\n+/summationdisplay\nl80 K. We found that the sign of the voltage signals is also reversed wh enHis reversed,\nwhich is consistent with the ISHE as discussed above. Surprisingly, h owever, the peak\nvoltage signals at the FMR fields decrease steeply with decreasing Tand merge into noise\naround 80 K. This anomalous suppression of the voltage signals cann ot be explained by\nthe resistance Rchange of the κ-Br film because no remarkable Rchange was observed in\nthe same temperatures (Fig. 1(c)). At temperatures lower than 60 K, large voltage signals\nappear around the FMR fields as shown in Fig. 4, but its origin is not con firmed because\n6of the big noise and poor reproducibility. Therefore, hereafter we focus on the temperature\ndependence of the voltage signals above 80 K.\nIn Fig. 5, we plot the Tdependence of V∗/Rfor theκ-Br/YIG samples A and B, where\nV∗=/parenleftbig\nVFMR(−H)−VFMR(+H)/parenrightbig\n/2 withVFMR(±H)being the electric voltage at the FMR fields,\nto take into account the resistance difference of the κ-Br films. V∗/Rfor both the samples\nexhibit almost same Tdependence, indicating that the observed voltage suppression is a n\nintrinsic phenomenon in the κ-Br/YIG samples.\nHere we discuss a possible origin of the observed temperature depe ndence of the voltage\nin theκ-Br/YIG systems. ISHE voltage is determined by two factors. One is spin-to-\ncharge conversion efficiency, i.e. the spin-Hall angle, in the κ-Br film. The mechanism\nof ISHE consists of intrinsic contribution due to spin-orbit coupling in the band structure\nand extrinsic contribution due to the impurity scattering [34]. In or ganic systems such\nasκ-Br, the extrinsic contribution seem to govern the ISHE since intrin sic contribution is\nexpected to be weak because of their carbon-based light-element composition. Judging from\nthe predicted rather weak temperature dependence of impurity s cattering, the temperature\ndependenceofthespin-Hallanglecannotbetheoriginofthesharp suppressionofthevoltage\nsignal in the κ-Br/YIG systems (Fig. 5). The other factor is the spin-current in jection\nefficiency across the κ-Br/YIG interface, which can be affected by spin susceptibility [35] in\nκ-Br. Importantly, the temperature dependence of the spin susc eptibility for the κ-X family\nwas shown to exhibit a minimum at temperatures similar to those at whic h the anomalous\nsuppression of the spin-pumping-induced ISHE voltage was observ ed [16], suggesting an\nimportance of the temperature dependence of the spin-current injection efficiency in the κ-\nBr/YIG systems. We also mention that the temperature at which th e ISHE suppression was\nobserved coincides with a glass transition temperature of κ-Br films [36, 37]. However, at the\n7present stage, thereisnoframeworktodiscusstherelationbetw eenthespin-current injection\nefficiency and such lattice fluctuations. To obtain the full understa nding of the temperature\ndependence of the spin-pumping-induced ISHE voltage in the κ-Br/YIG systems, more\ndetailed experimental and theoretical studies are necessary.\nIn summary, we have investigated the spin pumping into organic semic onductor\nκ-(BEDT-TTF) 2Cu[N(CN) 2]Br (κ-Br) films from adjacent yttrium iron garnet (YIG) films.\nThe experimental results show that an electric voltage is generate d in the κ-Br film when\nferromagnetic or spin-wave resonance is excited in the YIG film. Sinc e this voltage signal\nwas confirmed to be irrelevant to extrinsic temperature gradients generated by spin-wave\nexcitation and the resultant thermoelectric effects, we attribute it to the inverse spin Hall\neffect in the κ-Br film. The temperature-dependent measurements reveal tha t the voltage\nsignal in the κ-Br/YIG systems is critically suppressed around 80 K, implying that t his\nsuppression relates with the spin and/or lattice fluctuations in κ-Br.\nThis work was supported by PRESTO “Phase Interfaces for Highly E fficient Energy\nUtilization”, Strategic International Cooperative Program ASPIM ATT from JST, Japan,\nGrant-in-Aid for Young Scientists (A) (25707029), Grant-in-Aid f or Young Scientists (B)\n(26790038), Grant-in-Aid for Challenging Exploratory Research ( 26600067), Grant-in-Aid\nfor Scientific Research (A) (24244051), Grant-in-Aid for Scientifi c Research on Innovative\nAreas “Nano Spin Conversion Science” (26103005) from MEXT, Jap an, NEC Corporation,\nand NSFC.\n8[1] I. Zutic, J. Fabian, and S. Das Sarma, Reviews of Modern Ph ysics76, 323 (2004).\n[2] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Applied Ph ysics Letters 88, 182509 (2006).\n[3] A. Azevedo, L.H. V.Leao, R.L.Rodriguez-Suarez, A. B. Ol iveira, andS.M.Rezende, Journal\nof Applied Physics 97, 10C715 (2005).\n[4] Z. Qiu, Y. Kajiwara, K. Ando, Y. Fujikawa, K. Uchida, T. Ta shiro, K. Harii, T. Yoshino, and\nE. Saitoh, Applied Physics Letters 100, 022402 (2012).\n[5] K. Ando, M. Morikawa, T. Trypiniotis, Y. Fujikawa, C. H. W . Barnes, and E. Saitoh, Journal\nof Applied Physics 107, 113902 (2010).\n[6] Z. Qiu, K. Ando, K. Uchida, Y. Kajiwara, R. Takahashi, H. N akayama, T. An, Y. Fujikawa,\nand E. Saitoh, Appl. Phys. Lett. 103, 4 (2013).\n[7] K. Ando, S. Takahashi, J. Ieda, H. Kurebayashi, T. Trypin iotis, C. H. W. Barnes, S. Maekawa,\nand E. Saitoh, Nature Materials 10, 655 (2011).\n[8] Z. Qiu, T. An, K. Uchida, D. Hou, Y. Shiomi, Y. Fujikawa, an d E. Saitoh, Appl. Phys. Lett.\n103, 3 (2013).\n[9] Y.Kajiwara, K.Harii, S.Takahashi, J.Ohe, K.Uchida, M. Mizuguchi, H.Umezawa, H.Kawai,\nK. Ando, K. Takanashi, et al., Nature 464, 262 (2010).\n[10] K. Ando, S. Watanabe, S. Mooser, E. Saitoh, and H. Sirrin ghaus, Nature Materials 12, 622\n(2013).\n[11] S. Watanabe, K. Ando, K. Kang, S. Mooser, Y. Vaynzof, H. K urebayashi, E. Saitoh, and\nH. Sirringhaus, Nature Physics 10, 308 (2014).\n[12] A. M. Kini, U. Geiser, H. H. Wang, K. D. Carlson, J. M. Will iams, W. K. Kwok, K. G.\n9Vandervoort, J. E. Thompson, and D. L. Stupka, Inorganic Che mistry29, 2555 (1990).\n[13] J. M. Williams, A. M. Kini, H. H. Wang, K. D. Carlson, U. Ge iser, L. K. Montgomery, G. J.\nPyrka, D. M. Watkins, and J. M. Kommers, Inorganic Chemistry 29, 3272 (1990).\n[14] C. W. Sandweg, Y. Kajiwara, K. Ando, E. Saitoh, andB. Hil lebrands, AppliedPhysics Letters\n97, 252504 (2010).\n[15] H. M. Yamamoto, M. Nakano, M. Suda, Y. Iwasa, M. Kawasaki , and R. Kato, Nature Com-\nmunications 4, 2379 (2013).\n[16] K. Kanoda, Journal of the Physical Society of Japan 75, 051007 (2006).\n[17] S. H. Ling, Y. S. Tang, W. S. Au, and H. K. Wong, Applied Phy sics Letters 62, 1757 (1993).\n[18] T.Boudiar, B.Payet-Gervy, M.-F. Blanc-Mignon, J.-J. Rousseau, M.LeBerre, andH.Joisten,\nJournal of Magnetism and Magnetic Materials 284, 77 (2004).\n[19] K. Miyagawa, K. Kanoda, and A. Kawamoto, Chemical Revie ws104, 5635 (2004).\n[20] D. Faltermeier, J. Barz, M. Dumm, M. Dressel, N. Drichko , B. Petrov, V. Semkin, R. Vlasova,\nC. Me´ zi` ere, and P. Batail, Physical Review B 76, 165113 (2007).\n[21] F. Kagawa, K. Miyagawa, and K. Kanoda, Nature Physics 5, 880 (2009).\n[22] F. Kagawa, K. Miyagawa, and K. Kanoda, Nature 436, 534 (2005).\n[23] P. Limelette, P. Wzietek, S. Florens, a. Georges, T. Cos ti, C. Pasquier, D. J´ erome, C. M´ ezi` ere,\nand P. Batail, Physical Review Letters 91, 016401 (2003).\n[24] D. Fournier, M. Poirier, M. Castonguay, and K. Truong, P hysical Review Letters 90, 127002\n(2003).\n[25] Y. Kuwata, M. Itaya, and A. Kawamoto, Physical Review B 83, 144505 (2011).\n[26] R. Iguchi, K. Ando, R. Takahashi, T. An, E. Saitoh, and T. Sato, Japanese Journal of Applied\nPhysics51, 103004 (2012).\n10[27] R. Iguchil, K. Ando, T. An, E. Saitoh, and T. Sato, Ieee Tr ansactions on Magnetics 48, 3051\n(2012).\n[28] S. M. Rezende, R. L. Rodr´ ıguez-Su´ arez, M. M. Soares, L . H. Vilela-Le˜ ao, D. L. Dom´ ınguez,\nand A. Azevedo, Applied Physics Letters 102, 012402 (2013).\n[29] Z. H. Wang, Y. Y. Sun, Y. Y. Song, M. Z.Wu, H. Schultheiss, J.E. Pearson, andA. Hoffmann,\nApplied Physics Letters 99, 162511 (2011).\n[30] T. An, V. I. Vasyuchka, K. Uchida, a. V. Chumak, K. Yamagu chi, K. Harii, J. Ohe, M. B.\nJungfleisch, Y. Kajiwara, H. Adachi, et al., Nature material s12, 549 (2013).\n[31] R. Yu, J. Williams, H. Wang, J. Thompson, A. Kini, K. Carl son, J. Ren, M.-H. Whangbo,\nand P. Chaikin, Physical Review B 44, 6932 (1991).\n[32] G. Y. LOGVENOV, H. Ito, T. Ishiguro, G. Saito, J.-i. Yama da, and H. Anzai, Journal of the\nPhysical Society of Japan 64, 3622 (1995).\n[33] V.Yefanov, S.Kagoshima, M.Tanatar, T.Ishiguro, V.Bo ndarenko, N.Kushch, andE.Yagub-\nskii, Physica C: Superconductivity 388-389 , 589 (2003).\n[34] G. Vignale, Journal of Superconductivity and Novel Mag netism23, 3 (2009).\n[35] Y. Ohnuma, H. Adachi, E. Saitoh, and S. Maekawa, Physica l Review B 89, 174417 (2014).\n[36] C. Strack, C. Akinci, V. Pashchenko, B. Wolf, E. Uhrig, W . Assmus, M. Lang, J. Schreuer,\nL. Wiehl, J. A. Schlueter, et al., Physical Review B 72, 54511 (2005).\n[37] J. M¨ uller, M. Lang, F. Steglich, J. Schlueter, a. Kini, and T. Sasaki, Physical Review B 65,\n144521 (2002).\n11T (K) Cu[N(CN)2]Br-1 \nBEDT-TTF+0.5 \nCu[N(CN)2]Br-1 \nBEDT-TTF+0.5 \nCu[N(CN)2]Br-1 0.4 mm \nTensile Compressive \nStrainSC PM PI \nAFIMixed\nphase10 100κ-Clκ-BrR/R300 K 10 2κ-Cl (bulk)\nκ-Br (bulk)sample Bsample A\n10 100\nT (K)SiO2\n(c) (d)Cu \nYIGV\nκ-Br(a) (b)\nSS\nSSS\nS SSBEDT-TTF\nH\nmicrowave\nFIG. 1: (a) Structural formula of the BEDT-TTF molecule (upp er panel) and schematic cross-\nsection of the (BEDT-TTF) 2Cu[N(CN) 2]Br (κ-Br) crystal, where cationic BEDT-TTF and anionic\nCu[N(CN) 2]Br layers alternate each other (lower panel). (b) Schemati c illustration of the sample\nstructure and experimental setup. Hdenotes the static external maganetic field applied along th e\nfilm plane. (c) Temperature dependence of R/R300Kof the two κ-Br/YIG samples A and B, a bulk\nκ-Br crystal, and a bulk κ-Cl crystal. Here, R(R300K) denotes the resistance between the ends of\ntheκ-Br film at each temperature (at 300 K). (d) Conceptual phase d iagram of κ-X systems. PI,\nPM, AFI, and SC denote paramagnetic insulator, paramagneti c metal, antiferromagnetic insulator,\nand superconductor, respectively. The red arrow indicates the trajectory that the κ-Br crystal on\nthe YIG substrate experiences upon cooling.\n12800 1200 1600-1 01\n -90°θ=90°\n 0°\nH (Oe)V (µV) 0dI/dH (a.u.)(a)\n(b)V\nH\nθH\nFIG. 2: (a) The FMR/SWR spectrum dI/dHof theκ-Br/YIG sample A at 300 K. Here, Iand\ndenotes the microwave absorption intensity. The dashed lin e shows the magnetic field HFMRat\nwhich the FMR is excited. (b) The electric voltage Vbetween the ends of the κ-Br film as a\nfunction of H.\n13-1 0 1-0.20.00.2\nPosition from center (mm)T-Tcenter (K)\n-1 0 1\n0.050.100.15\n0 5 10T (K/mm)\nPab (mW)exp.\nfitting\nH H\n1.5 mm 1.5 mm \n-0.4 0.0 (K)\n0.4 (a) (b) \n(c) (d) \n(e)\nFIG. 3: (a),(b) Temperature distributions of the YIG surfac e near the FMR fields (5 GHz) for the\nopposite orientations of H, measured with an infrared camera. (c),(d) Temperature pro files of the\nYIG surface. (e) The microwave-power absorption Pabdependence of the temperature gradient\n∇Tof the YIG surface. The voltage measurements were carried ou t with a low Pabvalue (marked\nwith a green line).\n141 μV\nFIG. 4:Hdependence of Vin theκ-Br/YIG sample A for various values of the temperature T.\nThe scales of the longitudinal axis for the data at T≤70 K are shrinked by a factor of 0.1.\n100 200 3000.010.101\nT (K)Normalized V*/Rsample A\nsample B\nFIG. 5: Tdependence of V∗/Rfor the κ-Br/YIG samples A and B. Here, V∗=/parenleftbig\nVFMR(−H)−VFMR(+H)/parenrightbig\n/2 withVFMR(±H)being the electric voltage at HFMR.\n15" }, { "title": "2403.15840v1.Spatial_Control_of_Hybridization_Induced_Spin_Wave_Transmission_Stop_Band.pdf", "content": "Spatial Control of Hybridization-Induced Spin-Wave Transmission\nStop Band\nFranz Vilsmeier∗1, Christian Riedel1, and Christian H. Back1\n1Fakult¨ at f¨ ur Physik, Technische Universit¨ at M¨ unchen, Garching, Germany\nMarch 23, 2024\nAbstract\nSpin-wave (SW) propagation close to the\nhybridization-induced transmission stop band is\ninvestigated within a trapezoid-shaped 200 nm thick\nyttrium iron garnet (YIG) film using time-resolved\nmagneto-optic Kerr effect (TR-MOKE) microscopy\nand broadband spin wave spectroscopy, supported\nby micromagnetic simulations. The gradual reduc-\ntion of the effective field within the structure leads\nto local variations of the SW dispersion relation and\nresults in a SW hybridization at a fixed position\nin the trapezoid where the propagation vanishes\nsince the SW group velocity approaches zero. By\ntuning external field or frequency, spatial control\nof the spatial stop band position and spin-wave\npropagation is demonstrated and utilized to gain\ntransmission control over several microstrip lines.\nI Introduction\nDriven by potential spin-wave-based applications\nin computing and data processing, the field of\nmagnonics has garnered growing interest in recent\nyears [1–11]. To perform logic operations encoded\nwithin magnon currents various approaches were\nsuggested and realized, such as interference-based\nlogic gates [1,10,12,13] or magnonic crystals that ex-\nploit the periodicity-induced formation of bandgaps\nin the spin-wave spectrum [14–21]. These devices\nrely on precise control and manipulation of spin-\nwaves with wave vector kwithin a material with\nmagnetization M. Recently, a hybridization-induced\n∗franz.vilsmeier@tum.despin-wave-transmission stop band was demonstrated\nin 200 nm yttrium iron garnet (YIG) [22], adding to\nthe list of options for engineering spin-wave propa-\ngation. It was shown that the hybridization of two\ndifferent Damon Eshbach-like (DE) ( k⊥M) SW\nmodes causes a frequency- and field-dependent sup-\npression of SW propagation in a film with in-plane\nmagnetization. Furthermore, it is well known that at\nthe edges of thin magnetic films, depending on the\nmagnetization direction, the effective field is locally\nreduced in order to avoid the generation of magnetic\nsurface charges [16, 23, 24]. This allows for shape-\nmodulated local variations of SW propagation. Com-\nbining this effect with the transmission stop band\nmay provide enhanced control over spin-wave prop-\nagation dynamics and facilitate the implementation\nof magnonic devices.\nIn this report, we investigate the effect of the\ngeometry-induced variation of the effective field in a\n200 nm YIG film on the hybridization-induced stop\nband. We demonstrate that the spin-wave propa-\ngation distance can be actively controlled within a\ntrapezoid-shaped magnetic film as the reduced ef-\nfective field locally enforces the hybridization con-\ndition. Experimental dispersion measurements and\nmicromagnetic simulations using TetraX [25] are\nconducted to determine the full film stop band con-\ndition. From further micromagnetic simulations us-\ningMuMax3 [26], the effective internal field of a\ntrapezoid geometry is determined. An inhomoge-\nneous field distribution with a gradual decrease along\nthe trapezoid’s length is observed. We experimen-\ntally investigate the corresponding spin-wave prop-\nagation within the trapezoid in a DE-like geometry\nusing time-resolved magneto-optic Kerr effect (TR-\nMOKE) microscopy [27–31] and broadband spin-\nwave spectroscopy. Bending of wavefronts, the for-\n1arXiv:2403.15840v1 [physics.app-ph] 23 Mar 2024(a)\n (b)Figure 1 Sketch of the experimental setup. (a) Schematic for TR-MOKE measurement. Spin-waves are\nexcited in the dipolar regime by the CPW and propagate through the trapezoid structure. The trapezoid\nwas chosen to have a maximum width of 30 µm, a minimum width of 5 µm and a length of 80 µm. A static\nexternal field along the x-direction was applied throughout the experiment. (b) Schematic of all-electrical\nVNA spin-wave spectroscopy measurement. Spin-waves are excited from the first microstrip and detected\nvia two more microstrips at different positions along the trapezoid geometry. Each microstrip is connected\nto a separate port of a four-port VNA.\nmation of edge channels, and a gradual decrease of\nwavelength along the propagation direction are ob-\nserved. At a distinct position in the trapezoid, the\npropagation ceases. We show that this stop posi-\ntion is locally induced by the reduced effective field,\nwhich grants access to the spin-wave transmission\nstop band. Based on these findings, we demonstrate\nspatial control of spin-wave propagation within a\ntrapezoid-shaped device by tuning the static external\nfield close to the stop band. We utilize this effect for\nthe active transmission control between microstrip\nlines.\nII Experimental Results\nThe first set of experiments was carried out us-\ning time-resolved magneto-optic Kerr effect (TR-\nMOKE) microscopy. Here, the dynamic out-of-plane\nmagnetization component δmzis spatially mapped\nin the xy-plane, and a direct observation of spin-\nwave propagation in the sample is obtained. Simul-\ntaneously, the reflectivity is detected, providing a to-\npographic map of the sample. The measurements\nwere conducted on a 200 nm thick yttrium iron gar-\nnet (YIG) film grown by liquid phase epitaxy on a\ngadolinium gallium garnet (GGG) substrate. Thetrapezoid shape, with a gradual continuation back\nto the full film, was patterned by means of opti-\ncal lithography and subsequent Argon sputtering of\nthe YIG film. For the excitation of spin-waves, a\ncoplanar waveguide (CPW) was fabricated on top\nof the YIG film by optical lithography and electron\nbeam evaporation of Ti(5 nm)/Au(210 nm). During\nthe measurements, the external bias field was fixed\nalong the CPW, so spin-waves in a DE-like geometry\nwere excited [32]. A schematic of the measurement\ngeometry can be found in Fig. 1(a).\nAs a preliminary step, the spin-wave stop band\nin the unpatterned plane YIG film was identified\nby examining SW propagation far away from the\npatterned trapezoid structure. In this context, line\nscans of the Kerr signal along the y-direction were\nrecorded as a function of the applied external field at\na constant microwave frequency of f= 2.8 GHz. The\nresult is depicted in Fig. 2(a). Here, a clear suppres-\nsion of spin-wave propagation around 32 mT can be\nobserved. Previous work [22,33] has shown that hy-\nbridization between the DE-mode and the first-order\nperpendicular standing spin-wave (PSSW) mode can\ncreate a spin-wave stop band in 200 nm YIG. This\nis further illustrated in Fig. 2(b) by micromag-\nnetic simulations with TetraX [25], an open-source\n2Figure 2 (a) Measurement of SW propagation ex-\ncited by the CPW (gold) in full film YIG as a func-\ntion of the external field. A suppression of propaga-\ntion is visible around 32 mT. The grey-scale repre-\nsents the measured Kerr amplitude. (b) Micromag-\nnetic simulations with TetraX forf= 2.8 GHz.\nThe DE-mode (blue dash-dotted line) and the n=1\nmode (blue dashed line) hybridize and form an anti-\ncrossing in the micromagnetic simulations (red line).\nThis results in an attenuation of SW propagation\nsince the group velocity approaches zero. For all the\nsimulations, the following material parameters were\nused: saturation magnetization Ms= 1.4·105A\nm, ex-\nchange stiffness Aex= 3.7·10−12J\nm, gyromagnetic\nratio γ= 176GHz\nT, film thickness L= 200 nm.\nPython package for finite-element-method micro-\nmagnetic modelling [25]. In zeroth-order pertur-\nbation theory, according to Kalinikos and Slavin\n(KS) [34], the n=0 mode (blue dash-dotted line)\nand n=1 mode (blue dashed line) cross each other.\nThis degeneracy is lifted by the formation of an\navoided crossing in the micromagnetic simulations\n(red line). This leads to a flattening of the disper-\nsion relation and, in turn, to a decrease in group ve-\nlocity [22]. For the given experimental parameters,\nthe stop band is predicted at approximately 32 mT,\nconsistent with the observed suppression of propa-\n(a)\n313233µ0Hx,eff(mT)\n0 20 40 60 80\ny (µm)313233µ0Hx,eff\n(mT)(c)03060\nµ0Hx,eff\n(mT)010203040\nx (µm)(b)Figure 3 Micromagnetic simulations of the x-\ncomponent of the effective field inside the trapezoid\nstructure. The effective magnetic field varies locally\nacross the geometry in (a). In the vicinity of edges,\nit is significantly reduced. The grey contour depicts\nthe spatial boundaries of the simulated YIG struc-\nture. Across the width of the structure shown in\n(b), a strong dip of the field at the edges of the ge-\nometry is visible. Here, the grey-shaded rectangles\nindicate the areas outside of the magnetic structure.\nThe effective field along the length of the trapezoid\ngradually decreases, as shown in (c). The full film\nhybridization condition at 2.8 GHz is marked with a\ngreen dot.\ngation in the full film line scans. We thus conclude\nthat the pronounced attenuation can be attributed\nto the hybridization-induced stop band.\nTo understand the influence of the trapezoid ge-\nometry on SW propagation, and in turn, on the hy-\nbridization condition, further micromagnetic simu-\nlations were performed [26] to determine the effec-\ntive field of the tapered SW waveguide. Fig. 3(a)\nshows the spatial distribution of the x-component\nµ0Hx,effof the effective field at an externally ap-\nplied field µ0Hx= 33 .5 mT. The simulations re-\nvealed that the effective field varies locally inside\nthe trapezoid and is strongly reduced at the YIG\nedges. The iso-field lines (black lines), which dis-\nplay rounded triangular-like features, further illus-\ntrate the inhomogeneous spatial distribution. Along\nthe width of the trapezoid (Fig. 3(b)), we observe\nsharp edge pockets of low internal field, and a grad-\nual decrease of field along the axis of spin-wave prop-\nagation (Fig. 3(c)). The origin of this inhomogeneity\nof the effective field lies in the geometry-induced de-\nmagnetizing field, which aims to avoid the formation\nof magnetic surface charges [23,24].\nAnother important consideration regarding the ef-\n3fect of the modified trapezoid waveguide is the emer-\ngence of additional width modes in the SW disper-\nsion relation due to the finite waveguide width [35–\n37]. However, this width quantization does not af-\nfect the PSSWs, and the intersection of modes is still\npresent. Thus, we argue that the key influence of the\ntrapezoid geometry on the stop band is the reduction\nin effective field which results in a local variation of\nthe dispersion relation. A more detailed discussion\nconcerning the width quantization can be found in\nthe supplementary material.\nNext, we experimentally investigate the effect of\nthe geometry-induced field distribution on spin-wave\npropagation. Fig. 4(a) displays TR-MOKE measure-\nments in which plane spin-waves are launched from\nthe CPW into the trapezoid in the y-direction, with\nan excitation frequency f= 2.8 GHz and a static ex-\nternal field µ0Hx= 33.5 mT. Changes in the prop-\nagation characteristics are observed upon entering\nthe trapezoid. Apart from a prominent mode with\nslightly bent wavefronts in the trapezoid center, a\nlocalized mode with strongly bent wavefronts close\nto the edges appears. We also note that a magnetic\ncontrast right at the edges of the patterned struc-\nture was observed in some Kerr images. We argue\nthat this artifact is due to imperfections in the fab-\nrication process and discuss it in more detail in the\nsupplementary material.\nThe observed bending of wavefronts can be at-\ntributed to the inhomogeneous internal field pro-\nfile [24], where a local reduction in the effective field\ncauses a shift towards lower fields and lower wave-\nlengths in the spin-wave dispersion relation. Addi-\ntionally, the edge localization of modes is a direct\nconsequence of the low-field pockets in the effec-\ntive field distribution (Fig. 3(b)) as reported previ-\nously [30,38,39].\nFurthermore, the center mode changes wavelength\nas it travels through the trapezoid structure. No-\ntably, it comes to a halt at a specific position in\nspace, beyond which the spin-wave propagation is\nalmost entirely suppressed. This behaviour is illus-\ntrated in more detail in Fig. 4(b), where a y-line pro-\nfile (red curve) along the red dashed line in Fig. 4(a)\nis plotted. A line scan on plane YIG (blue curve), far\naway from any patterned structure, is also presented\nfor comparison. As the spin-wave enters the trape-\nzoid, its wavelength gradually decreases up to more\nthan 50%, consistent with the simulated decrease in\nthe effective field (Fig. 3(c)). However, the propa-\ngation abruptly ceases at a specific position in space\nFigure 4 Kerr images at 2.8 GHz. (a) Propaga-\ntion of the main mode stops at a distinct position\nin space. The golden area depicts the excitation\nsource. Light red and blue indicate saturation of\nthe grey-scale. (b) Gradual decrease in wavelength\nand suppression of propagation along the trapezoid\n(red line in (a)) is observed. Propagation in the non-\npatterned plane YIG (blue curve) is also shown. (c)\nBelow the hybridization field, propagation along the\nfull trapezoid is observed. (d)-(e) By slightly tuning\nthe field above the stop band, spin-wave propagation\nvanishes at different positions in space.\n4(y≈74µm). From Fig. 3(c), we observe that the\nstop position of the center mode within the wedge\ncorresponds to an estimated effective field of about\n32 mT which aligns well with the measured full film\nhybridization condition (Fig. 2). Thus, we conclude\nthat the reduction in effective field at different po-\nsitions in space leads to the local dispersion enter-\ning the hybridization regime at a specific position in\nspace, resulting in a sharp local attenuation of spin-\nwave propagation.\nNow, we aim to apply our findings towards the\nactive manipulation of spin-wave propagation. To\nthis end, additional Kerr images as a function of\nthe external field were taken and are depicted in\nFigs. 4(c)-(e). Below the hybridization field, at\n31.5 mT (Fig. 4(c)), propagation along the full length\nof the trapezoid without any sharp attenuation is\npresent. No spatial suppression of propagation is\nobservable since the effective field is only further\nreduced inside the trapezoid, and thus, the stop\nband regime is never reached. We also note a com-\nplex spatial beating profile with a prominent node\naty≈45µm, and several less prominent ones.\nThis self-focusing effect results from interference of\nthe width modes induced by the tapered waveguide\ngeometry and has been reported in magnonic mi-\ncrostripes before [35, 36]. Furthermore, caustic-like\nbeams induced by the corners where the full film\ntransitions into the trapezoid may emerge [22, 40].\nThese caustic-like beams are reflected back and forth\nat the edges, resulting in non-equidistant areas of\nhigher and lower amplitude.\nOn tuning the external field slightly above 32 mT\n(Figs. 4(d)-(e)), however, the spin-wave propagation\nceases at different positions in space. Furthermore,\nthe boundaries of the spin-wave pattern display a\nshape reminiscent of the iso-field lines in the effective\nfield. As the external field increases, the positions\nwhere the dispersion relation locally gains access to\nthe transmission stop band also shift further outward\nalong the y-direction. As a result, the geometry-\ninduced hybridization allows to actively control the\nspin-wave propagation distance merely by tuning the\nexternal field within a reasonable range.\nFurther all-electrical Vector Network Analyzer\n(VNA) spin-wave spectroscopy measurements were\nconducted with the intention to demonstrate the\ncontrol of spin-wave propagation within a potential\nmagnonic device. For this purpose, three 800 nm\nwide Au microstrips were patterned at different posi-\ntions along the trapezoid structure. One microstripserved as a source of spin-wave excitation, while the\nother two served for detection. The microstrips were\nconnected to separate ports of a four-port VNA, and\nbroadband spin-wave spectroscopy was performed.\nNote that the choice to employ microstrips instead\nof CPWs was made in order to obtain a more contin-\nuous range of wavenumbers for both the excitation\nand detection processes. A sketch of the measure-\nment geometry is depicted in Fig. 1(b).\nFig. 5(a) displays the detected spin-wave transmis-\nsion spectra showcasing the amplitudes of the scat-\ntering parameters S21,S31in terms of |∆S21|and\n|∆S31|. We point out that, in the following discus-\nsion,|Sij|denotes the absolute values of the detected\nscattering parameters whereas |∆Sij|refers to ab-\nsolute values where a high-field subtraction method\nwas applied. Also note that for better visibility,\nonly data close to the stop band condition is de-\npicted. Full transmission spectra, along with more\ndetailed information about the data processing pro-\ncedure, can be found in the supplementary material.\nBoth spectra exhibit amplitude oscillations with the\n|∆S31|spectrum displaying shorter spacing between\nthese oscillations. This is due to the change of the\nlateral spin wave profile, where the positions of high-\namplitude and caustic-like nodes shift due to changes\nin the external magnetic field and applied frequency.\nThis effect leads to a smaller node spacing in the field\ndomain at the location of the third microstrip due to\nthe gradual decrease in trapezoid width [35].\nMoreover, distinct wide regions with low to no\ntransmission in the spectra (highlighted by red dot-\nted lines) occur at conditions in accordance with the\nspin wave stop band. For the transmission |∆S31|,\nthis band is noticeably broader compared to the\n|∆S21|spectrum and is reached at lower frequencies\nat a given field (compare white dashed lines). This\nis a direct consequence of the spatially varying oc-\ncurrence of the hybridization condition suppressing\nthe propagation of spin waves over a broader range\nof fields and frequencies the further they advance\nalong the trapezoid. To put it differently, distinct\nexternal field and frequency conditions exist where\ntransmission is absent in both |∆S21|and|∆S31|,\ntransmission is observed only in |∆S21|, and trans-\nmission occurs in both |∆S21|and|∆S31|. As a re-\nsult, selective control over the transmission between\nthe microstrips can be achieved by slightly tuning\nthe frequency or the applied bias field.\nThis is further illustrated in the continuous\nwave (CW) mode measurements at fixed frequen-\n515 25 35 45\nfield (mT)2.22.32.42.52.62.72.82.9f (GHz)|∆S21|\n15 25 35 45\nfield (mT)|∆S31|\nmin max Magnitude (arb. u.)\n1001012.45 GHz(a) (b)\n18 19 20 21 22 23 24 25\nfield (mT)100101|S21||S31|\n1001012.7 GHz\n24 25 26 27 28 29 30 31\nfield (mT)100101Magnitude (arb. u.)Figure 5 Selective control of transmission between microstrips along trapezoid-like structure. (a) Spin-wave\ntransmission spectra (amplitudes |∆S21|and|∆S31|). A region of low to no transmission consistent with the\nexpected hybridization conditions occurs in both spectra (red dotted lines serve as guides to the eye). This\nregion appears broader in the transmission spectrum from port 1 to port 3. Moreover, at a given external\nfield, the stop band starts at lower frequencies in |∆S31|compared to |∆S21|as highlighted by white dashed\nlines. (b) Transmission signals in CW mode at fixed frequencies. The hybridization-induced stop band\n(roughly marked by gray-shaded areas) spans to higher applied fields in the |S31|transmission trace.\ncies shown in Fig. 5(b). The regions of suppressed\ntransmission shift with applied frequency and span\nover a broader field range in the |S31|parameter.\nThe hybridization-induced stop band (highlighted by\ngray-shaded regions) extends to higher fields due to\nlocalized effective field reduction. For instance, at\n2.45 GHz and with a field of 23 mT, we observe trans-\nmission in the |S21|channel but minimal transmis-\nsion in the |S31|trace, similar to 2.7 GHz at 29 mT.\nInterestingly, the transmission in |S31|also appears\nto be suppressed for fields slightly below the hy-\nbridization field. Additional TR-MOKE data in the\nsupplementary material reveals that this behavior\ncan be attributed to the formation of caustic-like\nbeams that are significantly attenuated upon propa-\ngation along the geometry.\nTo conclude this section, we suggest employing\nmultiple microstrips along the trapezoid geometry\nfor potential logic operation. Moreover, in the sup-\nplementary material, we provide further discussion\non properties of the hybridization, such as its thick-\nness dependence.III Conclusion\nIn conclusion, we demonstrated the feasibility of ac-\ntively controlling the spin-wave propagation distance\nby combining the hybridization-induced stop band\nand a geometry-induced variation of the effective\nfield in 200 nm YIG within a trapezoid-shaped mag-\nnetic film. Experiments and micromagnetic simula-\ntions were performed to gain insight into the effect of\nthe trapezoid geometry on the effective field. The re-\nsults show that the spin-wave transmission stop band\nlocally induces the stop position, allowing for spatial\ncontrol of spin-wave propagation within a trapezoid-\nshaped device by tuning the static external field\nclose to the stop band. Using multiple microstrips\nalong the trapezoid, we further demonstrated the\nfeasibility of active transmission control between mi-\ncrostrips by external field and frequency. The pro-\nposed method offers a promising approach for fur-\nther advancing spin-wave-based computing and data\nprocessing applications.\n6References\n[1] K.-S. Lee and S.-K. Kim, “Conceptual design of\nspin wave logic gates based on a mach–zehnder-\ntype spin wave interferometer for universal logic\nfunctions,” J. Appl. Phys. , vol. 104, p. 053909,\nSept. 2008.\n[2] T. Schneider, A. A. Serga, B. Leven, B. Hille-\nbrands, R. L. Stamps, and M. P. Kostylev, “Re-\nalization of spin-wave logic gates,” Appl. Phys.\nLett., vol. 92, p. 022505, Jan. 2008.\n[3] V. V. Kruglyak, S. O. Demokritov, and\nD. Grundler, “Magnonics,” J. Phys. D: Appl.\nPhys. , vol. 43, p. 260301, July 2010.\n[4] A. Khitun and K. L. Wang, “Non-volatile\nmagnonic logic circuits engineering,” J. Appl.\nPhys. , vol. 110, p. 034306, Aug. 2011.\n[5] A. V. Sadovnikov, C. S. Davies, S. V. Gr-\nishin, V. V. Kruglyak, D. V. Romanenko, Y. P.\nSharaevskii, and S. A. Nikitov, “Magnonic\nbeam splitter: The building block of parallel\nmagnonic circuitry,” Appl. Phys. Lett. , vol. 106,\np. 192406, May 2015.\n[6] A. V. Chumak, V. I. Vasyuchka, A. A. Serga,\nand B. Hillebrands, “Magnon spintronics,” Nat.\nPhys. , vol. 11, pp. 453–461, June 2015.\n[7] Q. Wang, P. Pirro, R. Verba, A. Slavin, B. Hille-\nbrands, and A. V. Chumak, “Reconfigurable\nnanoscale spin-wave directional coupler,” Sci.\nAdv., vol. 4, Jan. 2018.\n[8] Q. Wang, A. Hamadeh, R. Verba, V. Lomakin,\nM. Mohseni, B. Hillebrands, A. V. Chumak, and\nP. Pirro, “A nonlinear magnonic nano-ring res-\nonator,” npj Comput. Mater. , vol. 6, Dec. 2020.\n[9] A. Barman, G. Gubbiotti, S. Ladak, A. O.\nAdeyeye, M. Krawczyk, J. Gr¨ afe, C. Adel-\nmann, S. Cotofana, A. Naeemi, V. I.\nVasyuchka, B. Hillebrands, S. A. Nikitov,\nH. Yu, D. Grundler, A. V. Sadovnikov, A. A.\nGrachev, S. E. Sheshukova, J.-Y. Duquesne,\nM. Marangolo, G. Csaba, W. Porod, V. E.\nDemidov, S. Urazhdin, S. O. Demokritov, E. Al-\nbisetti, D. Petti, R. Bertacco, H. Schultheiss,\nV. V. Kruglyak, V. D. Poimanov, S. Sa-\nhoo, J. Sinha, H. Yang, M. M¨ unzenberg,\nT. Moriyama, S. Mizukami, P. Landeros, R. A.Gallardo, G. Carlotti, J.-V. Kim, R. L. Stamps,\nR. E. Camley, B. Rana, Y. Otani, W. Yu, T. Yu,\nG. E. W. Bauer, C. Back, G. S. Uhrig, O. V. Do-\nbrovolskiy, B. Budinska, H. Qin, S. van Dijken,\nA. V. Chumak, A. Khitun, D. E. Nikonov, I. A.\nYoung, B. W. Zingsem, and M. Winklhofer,\n“The 2021 magnonics roadmap,” J. Phys.: Con-\ndens. Matter , vol. 33, p. 413001, Aug. 2021.\n[10] ´A. Papp, W. Porod, and G. Csaba, “Nanoscale\nneural network using non-linear spin-wave inter-\nference,” Nat Commun , vol. 12, Nov. 2021.\n[11] A. V. Chumak, P. Kabos, M. Wu, C. Abert,\nC. Adelmann, A. O. Adeyeye, J. Aker-\nman, F. G. Aliev, A. Anane, A. Awad,\nC. H. Back, A. Barman, G. E. W. Bauer,\nM. Becherer, E. N. Beginin, V. A. S. V. Bitten-\ncourt, Y. M. Blanter, P. Bortolotti, I. Boven-\nter, D. A. Bozhko, S. A. Bunyaev, J. J.\nCarmiggelt, R. R. Cheenikundil, F. Ciubotaru,\nS. Cotofana, G. Csaba, O. V. Dobrovolskiy,\nC. Dubs, M. Elyasi, K. G. Fripp, H. Fulara,\nI. A. Golovchanskiy, C. Gonzalez-Ballestero,\nP. Graczyk, D. Grundler, P. Gruszecki, G. Gub-\nbiotti, K. Guslienko, A. Haldar, S. Ham-\ndioui, R. Hertel, B. Hillebrands, T. Hioki,\nA. Houshang, C.-M. Hu, H. Huebl, M. Huth,\nE. Iacocca, M. B. Jungfleisch, G. N. Kakazei,\nA. Khitun, R. Khymyn, T. Kikkawa, M. Klaui,\nO. Klein, J. W. Klos, S. Knauer, S. Koraltan,\nM. Kostylev, M. Krawczyk, I. N. Krivorotov,\nV. V. Kruglyak, D. Lachance-Quirion, S. Ladak,\nR. Lebrun, Y. Li, M. Lindner, R. Macedo,\nS. Mayr, G. A. Melkov, S. Mieszczak, Y. Naka-\nmura, H. T. Nembach, A. A. Nikitin, S. A.\nNikitov, V. Novosad, J. A. Otalora, Y. Otani,\nA. Papp, B. Pigeau, P. Pirro, W. Porod,\nF. Porrati, H. Qin, B. Rana, T. Reimann,\nF. Riente, O. Romero-Isart, A. Ross, A. V.\nSadovnikov, A. R. Safin, E. Saitoh, G. Schmidt,\nH. Schultheiss, K. Schultheiss, A. A. Serga,\nS. Sharma, J. M. Shaw, D. Suess, O. Surzhenko,\nK. Szulc, T. Taniguchi, M. Urbanek, K. Usami,\nA. B. Ustinov, T. van der Sar, S. van Dijken,\nV. I. Vasyuchka, R. Verba, S. V. Kusminskiy,\nQ. Wang, M. Weides, M. Weiler, S. Wintz, S. P.\nWolski, and X. Zhang, “Advances in magnet-\nics roadmap on spin-wave computing,” IEEE\nTrans. Magn. , vol. 58, pp. 1–72, June 2022.\n[12] T. Goto, T. Yoshimoto, B. Iwamoto, K. Shi-\nmada, C. A. Ross, K. Sekiguchi, A. B. Gra-\n7novsky, Y. Nakamura, H. Uchida, and M. In-\noue, “Three port logic gate using forward vol-\nume spin wave interference in a thin yttrium\niron garnet film,” Sci. Rep. , vol. 9, Nov. 2019.\n[13] H. Qin, R. B. Holl¨ ander, L. Flajˇ sman, F. Her-\nmann, R. Dreyer, G. Woltersdorf, and S. van\nDijken, “Nanoscale magnonic fabry-p´ erot res-\nonator for low-loss spin-wave manipulation,”\nNat Commun , vol. 12, Apr. 2021.\n[14] G. Gubbiotti, S. Tacchi, M. Madami, G. Car-\nlotti, A. O. Adeyeye, and M. Kostylev, “Bril-\nlouin light scattering studies of planar metal-\nlic magnonic crystals,” J. Phys. D: Appl. Phys. ,\nvol. 43, p. 264003, June 2010.\n[15] A. A. Serga, A. V. Chumak, and B. Hillebrands,\n“YIG magnonics,” J. Phys. D: Appl. Phys. ,\nvol. 43, p. 264002, June 2010.\n[16] B. Lenk, H. Ulrichs, F. Garbs, and\nM. M¨ unzenberg, “The building blocks of\nmagnonics,” Phys. Rep. , vol. 507, pp. 107–136,\nOct. 2011.\n[17] Z. K. Wang, V. L. Zhang, H. S. Lim, S. C.\nNg, M. H. Kuok, S. Jain, and A. O. Adeyeye,\n“Nanostructured magnonic crystals with size-\ntunable bandgaps,” ACS Nano , vol. 4, pp. 643–\n648, Jan. 2010.\n[18] M. Krawczyk and D. Grundler, “Review and\nprospects of magnonic crystals and devices\nwith reprogrammable band structure,” J. Phys.:\nCondens. Matter , vol. 26, p. 123202, Mar. 2014.\n[19] M. A. Morozova, S. V. Grishin, A. V.\nSadovnikov, D. V. Romanenko, Y. P.\nSharaevskii, and S. A. Nikitov, “Band gap\ncontrol in a line-defect magnonic crystal waveg-\nuide,” Appl. Phys. Lett. , vol. 107, p. 242402,\nDec. 2015.\n[20] A. J. E. Kreil, H. Y. Musiienko-Shmarova,\nS. Eggert, A. A. Serga, B. Hillebrands, D. A.\nBozhko, A. Pomyalov, and V. S. L 'vov, “Tun-\nable space-time crystal in room-temperature\nmagnetodielectrics,” Phys. Rev. B , vol. 100,\nJuly 2019.\n[21] F. Lisiecki, J. Rych/suppress ly, P. Ku´ swik, H. G/suppress lowi´ nski,\nJ. W. K/suppress los, F. Groß, I. Bykova, M. Weigand,\nM. Zelent, E. J. Goering, G. Sch¨ utz, G. Gub-\nbiotti, M. Krawczyk, F. Stobiecki, J. Dubowik,and J. Gr¨ afe, “Reprogrammability and scalabil-\nity of magnonic fibonacci quasicrystals,” Phys.\nRev. Applied , vol. 11, May 2019.\n[22] C. Riedel, T. Taniguchi, L. K¨ orber, A. K´ akay,\nand C. H. Back, “Hybridization-induced spin-\nwave transmission stop band within a 1d\ndiffraction grating,” Advanced Physics Re-\nsearch , vol. 2, Feb. 2023.\n[23] J. M. D. Coey, Magnetism and Magnetic Mate-\nrials. Cambridge University Press, Jan. 2001.\n[24] P. Gruszecki, J. Romero-Vivas, Y. S. Da-\ndoenkova, N. N. Dadoenkova, I. L. Lyubchan-\nskii, and M. Krawczyk, “Goos-h¨ anchen ef-\nfect and bending of spin wave beams in thin\nmagnetic films,” Appl. Phys. Lett. , vol. 105,\np. 242406, Dec. 2014.\n[25] L. K¨ orber, A. Hempel, A. Otto, R. A. Gallardo,\nY. Henry, J. Lindner, and A. K´ akay, “Finite-\nelement dynamic-matrix approach for propagat-\ning spin waves: Extension to mono- and multi-\nlayers of arbitrary spacing and thickness,” AIP\nAdv., vol. 12, p. 115206, Nov. 2022.\n[26] A. Vansteenkiste, J. Leliaert, M. Dvornik,\nM. Helsen, F. Garcia-Sanchez, and B. V.\nWaeyenberge, “The design and verification of\nMuMax3,” AIP Adv. , vol. 4, p. 107133, Oct.\n2014.\n[27] K. Perzlmaier, G. Woltersdorf, and C. H. Back,\n“Observation of the propagation and interfer-\nence of spin waves in ferromagnetic thin films,”\nPhys. Rev. B , vol. 77, Feb. 2008.\n[28] M. Farle, T. Silva, and G. Woltersdorf, “Spin\ndynamics in the time and frequency domain,”\ninSpringer Tracts in Modern Physics , pp. 37–\n83, Springer Berlin Heidelberg, Sept. 2012.\n[29] Y. Au, T. Davison, E. Ahmad, P. S. Keatley,\nR. J. Hicken, and V. V. Kruglyak, “Excitation\nof propagating spin waves with global uniform\nmicrowave fields,” Appl. Phys. Lett. , vol. 98,\np. 122506, Mar. 2011.\n[30] H. G. Bauer, J.-Y. Chauleau, G. Woltersdorf,\nand C. H. Back, “Coupling of spinwave modes\nin wire structures,” Appl. Phys. Lett. , vol. 104,\np. 102404, Mar. 2014.\n8[31] J. Stigloher, T. Taniguchi, H. K¨ orner,\nM. Decker, T. Moriyama, T. Ono, and\nC. Back, “Observation of a goos-h¨ anchen-like\nphase shift for magnetostatic spin waves,”\nPhys. Rev. Lett. , vol. 121, Sept. 2018.\n[32] R. Damon and J. Eshbach, “Magnetostatic\nmodes of a ferromagnet slab,” J. Phys. Chem.\nSolids , vol. 19, pp. 308–320, May 1961.\n[33] M. Vaˇ natka, K. Szulc, O. Wojewoda, C. Dubs,\nA. V. Chumak, M. Krawczyk, O. V. Dobrovol-\nskiy, J. W. K/suppress los, and M. Urb´ anek, “Spin-wave\ndispersion measurement by variable-gap propa-\ngating spin-wave spectroscopy,” Phys. Rev. Ap-\nplied, vol. 16, Nov. 2021.\n[34] B. A. Kalinikos and A. N. Slavin, “Theory of\ndipole-exchange spin wave spectrum for ferro-\nmagnetic films with mixed exchange bound-\nary conditions,” J. Phys. C: Solid State Phys. ,\nvol. 19, pp. 7013–7033, Dec. 1986.\n[35] V. E. Demidov, S. O. Demokritov, K. Rott,\nP. Krzysteczko, and G. Reiss, “Mode interfer-\nence and periodic self-focusing of spin waves\nin permalloy microstripes,” Physical Review B ,\nvol. 77, Feb. 2008.\n[36] X. Xing, Y. Yu, S. Li, and X. Huang, “How\ndo spin waves pass through a bend?,” Scientific\nReports , vol. 3, Oct. 2013.\n[37] A. V. Chumak, “Fundamentals of magnon-\nbased computing,” 2019.\n[38] J. Topp, J. Podbielski, D. Heitmann, and\nD. Grundler, “Internal spin-wave confinement in\nmagnetic nanowires due to zig-zag shaped mag-\nnetization,” Phys. Rev. B , vol. 78, July 2008.\n[39] J. Jorzick, S. O. Demokritov, B. Hillebrands,\nM. Bailleul, C. Fermon, K. Y. Guslienko, A. N.\nSlavin, D. V. Berkov, and N. L. Gorn, “Spin\nwave wells in nonellipsoidal micrometer size\nmagnetic elements,” Phys. Rev. Lett. , vol. 88,\nJan. 2002.\n[40] A. Wartelle, F. Vilsmeier, T. Taniguchi, and\nC. H. Back, “Caustic spin wave beams in soft\nthin films: Properties and classification,” Phys.\nRev. B , vol. 107, Apr. 2023.\n9Supplementary Material: Spatial Control of\nHybridization Induced Spin-Wave Transmission\nStop Band\nFranz Vilsmeier∗1, Christian Riedel1, and Christian H. Back1\n1Fakult¨ at f¨ ur Physik, Technische Universit¨ at M¨ unchen, Garching,\nGermany\nMarch 23, 2024\nI Time-Resolved Magneto-Optic Kerr Effect\nMicroscopy\nAs a source of illumination, a mode-locked Ti:Sa laser with a centre wavelength\nof 800 nm and a pulse width of around 150 fs is used. The pulse trains are\napplied at a fixed repetition rate of 80 MHz. Subsequently, we fix the polar-\nization plane of the laser and focus it onto the sample through an objective\nlens with a numerical aperture of 0.7, giving a maximum resolution of ∼0.6µm.\nUpon reflection at a magnetic surface, the polarization changes due to the po-\nlar magneto-optical Kerr effect. Here, the change of polarization rotation is\ndirectly proportional to the change in the dynamic out-of-plane magnetization\ncomponent. A Wollaston prism splits the reflected signal into two beams with\northogonal polarization components, which are detected by two photodiodes.\nThe difference between the two photodiode signals then gives a direct represen-\ntation of the change in magnetization - the Kerr signal -, and the sum of the\ntwo is proportional to the sample’s reflectivity. Since the sample is mounted\nonto a piezo stage, the relative laser focus position can be spatially scanned in\nthe sample plane. Hence, Kerr image and topography are obtained.\nIn addition, during the acquisition of a Kerr image, the relative phase relation\nbetween the applied rf-frequency in the GHz regime and the laser repetition rate\nis fixed. This requires the driving field frequency to always be a multiple of the\nlaser repetition rate. As a result of the constant phase and the short laser pulses\n(much shorter than one period of the excitation), we can directly access the\ndynamic out-of-plane magnetization component and observe the propagation of\nspin-waves excited by our antenna structure.\nFig. 1 shows some spatial Kerr maps approaching the full film hybridization\ncondition from lower fields. Caustic-like beams emerge, which are damped along\nthe trapezoid with increasing field.\n∗franz.vilsmeier@tum.de\n1arXiv:2403.15840v1 [physics.app-ph] 23 Mar 2024020406080\ny (µm)02040x (µm)32.2 mT\n020406080\ny (µm)32.3 mT\n020406080\ny (µm)32.4 mT\n020406080\ny (µm)32.5 mT\nmin maxδmzFigure 1 Kerr images recorded at f= 2.8 GHz at different fields coming from\nbelow the stop band. Caustic-like beams are reflected back and forth at the\nedges and fade away along the trapezoid with increasing field.\nII Effect of Waveguide Width on Hybridiza-\ntion\nFollowing the analytical model by Kalinikos and Slavin [1], the full film disper-\nsion relation in the presence of an in-plane external field with totally unpinned\nsurface states is given by\nω2\nn=/parenleftbig\nωH+l2\nexk2\nnωM/parenrightbig/parenleftbig\nωH+l2\nexk2\nnωM+ωMFnn/parenrightbig\n, (1)\nwhere\nωH=γµ0H, (2)\nωM=γµ0MS, (3)\nFnn=Pnn+/parenleftbigg\n1−Pnn/parenleftbig\n1 + cos2φ/parenrightbig\n+ωMPnn(1−Pnn) sin2φ\nωH+l2exk2nωM/parenrightbigg\n, (4)\nand\nPnn=k2\nk2n−k4\nk4nFn1\n1 +δ0n,\nFn=2\nkL/parenleftbig\n1−(−1)ne−kL/parenrightbig\n.(5)\nFurthermore, n= 0,1,2, ...denote the eigenmode orders across the film thick-\nnessL,kn=/radicalig\nk2+/parenleftbignπ\nL/parenrightbig2, and φdescribes the angle between kandM(so for\nk⊥M,φ=π\n2).\nConsidering a spin wave waveguide of finite width w, an additional quanti-\nzation across the waveguide width is introduced and the dispersion relation\ncan be represented using equ. (1) by letting k→/radicalig\nk2+/parenleftbigmπ\nw/parenrightbig2andφ→\nφ−arctan/parenleftbigmπ\nkw/parenrightbig\n[2–4]. Here, m= 0,1,2, ...denote the eigenmode orders across\nthe width, and kdenotes the wavenumber along the waveguide. In the specific\ncase of a tangentially magnetized waveguide in the DE-geometry ( k⊥M), de-\nmagnetization also has to be taken into account and the non-uniform effective\nfield µ0Heffneeds to be considered in the dispersion relation in place of the\nexternally applied field. In the case of spin wave propagation in the center, a\nuniform field is assumed, but an effective waveguide width weffis introduced to\naccount for the strong reduction in the effective field at the edges. The effective\nwidth can be defined in different ways. Here, we follow the definition by Chu-\nmak [4], where weffis given by the distance of points across the width where\nthe effective field is reduced by 10%. i.e., to the value 0 .9·µ0Hmax\neff.\n2From micromagnetic simulations [5], the effective field within the trapezoid\ngeometry at an externally applied field of 32 mT (close to the full film hybridiza-\ntion field at 2.8 GHz) was determined. From the field distribution, the effective\nfield and effective width for the center mode were extracted as a function of\ntrapezoid width w. The respective results are depicted in Figs 2(a)-(b). At the\nsmallest width, the effective field is reduced by almost 3 mT with respect to the\napplied field. The effective width is maximally reduced to about 65 % of the\nactual waveguide width, allowing for a rather wide region of uniform field and\nmode propagation across the width.\n29303132µ0Hx,eff(mT)(a)\n5 10 15 20 25 30\nw(µm)0.70.80.9weff/w(b)2.12.42.73.03.3f (GHz)(c)\nwg, m=0\nwg, m=1\nwg, m=2wg, n=1\nff, n=0\nff, n=1\n0 1 2 3\nk (µm−1)2.72.9f (GHz)(d) n=1, m=0\nn=1, m=1\nFigure 2 Effect of width modes on dispersion relation. (a) Effective field from\nmicromagnetic simulations for the center mode as a function of trapezoid width\nw. (b) Ratio of extracted effective width weffand actual trapezoid width w.\n(c) Dispersion relations of the waveguide (wg) modes considering effective field\nand effective width for w= 6µm. Modes with n=0 and m=0 (blue dash-dotted\nline), n=0 and m=1 (red dash-dotted line), n=0 and m=2 (purple dash-dotted\nline), and n=1 and m=0 (red dashed line) are shown. The full film (ff) modes\nwith n=0 and n=1 are also depicted (grey lines) for comparison. (d) Waveguide\nmodes with n=1 and m=0, and n=1 and m=1. The width modification does\nnot significantly affect the first-order PSSW.\nForw= 6µm, the resulting dispersion relations for a waveguide with several\nwidth modes (m=0, m=1, m=2) and thickness modes (n=0, n=1) are displayed\nin Fig. 2(c). Note that for the waveguide case, only the m=0 mode of the first-\norder (n=1) PSSW mode is shown, as the width quantization doesn’t notably\naffect the higher-order PSSWs (see Fig. 2(d)). The reduced effective field gener-\nally shifts the dispersion relation towards lower frequencies compared to the full\nfilm case (grey lines). The higher-order width modes (m=1, m=2) also display\nlower frequencies in the dipolar regime than the m=0 mode. More importantly,\nhowever, the n=0 and n=1 thickness modes still intersect in the dipolar regime,\nfacilitating a hybridization and corresponding stop band. From this, we con-\nclude that the main effect of the width modulation on the hybridization is the\nreduced effective field and the resulting shift in the hybridization condition.\nThis is especially the case for the m=0 width mode, which should be dominant\nin the trapezoid structure due to the transmission of spin waves from the full\nfilm into the tapered waveguide.\n3III Broadband Spin-Wave Spectroscopy\nA four-port vector network analyzer (Agilent N5222A) was used for the broad-\nband spin-wave spectroscopy. All measurements were conducted at a microwave\npower of 3 dBm, and the real and imaginary parts of the complex scattering pa-\nrameters S21andS31were recorded. A frequency sweep method was applied at\ndifferent external magnetic field values for the transmission spectra. The mag-\nnetic field’s strength was changed stepwise (5 mT steps) from high to low field.\nTo improve contrast, a high-field subtraction method was applied. Reference\ndata S21,refandS31,reftaken at 200 mT was recorded. The presented spectra\nwere then obtained by subtracting the absolute value of the reference data from\nthe absolute value of the scattering parameters, i.e., |∆S21|=|S21|−|S21,ref|and\n|∆S31|=|S31|−|S31,ref|. Exemplary recorded spectra are shown in Fig. 3 where\nmodes close or at the FMR are prominent. In the CW mode measurements, no\nreference was taken.\n−60−40−200204060\nfield (mT)0.51.01.52.02.53.03.5f (GHz)|∆S21|\nminmax\nMagnitude (arb. u.)\n−60−40−200204060\nfield (mT)|∆S31|\nFigure 3 Broadband spin-wave spectroscopy spectra. Modes close to FMR are\nvery prominent in the transmission spectra |∆S21|and|∆S31|.\nIV Edge Mode Due to Imperfect Fabrication\nFig. 4 shows TR-MOKE measurements at different frequencies and relative\nphases between the microwave excitation and laser pulses at an applied field of\n33.5 mT. Apart from the propagation inside the trapezoid, Kerr images where\nintense caustic-like beams are detected (2.4 GHz, 2.48 GHz, 2.56 GHz) also ex-\nhibit a magnetic contrast right at the edges of the patterned YIG. In close\nvicinity to the points where the beams are reflected from the trapezoid edges, a\nlocalized mode profile outside the previous propagation region in x-direction is\nvisible. However, no such distinct feature seems to occur when DE-like modes\nbecome dominant in the profile (2.72 GHz).\nFig. 5(a) depicts an AFM image of the patterned YIG trapezoid. Linescans\nacross the edges (Fig. 5(b)) reveal that the transition from YIG to GGG sub-\nstrate is not sharp, but rather gradual along a distance of about 1-2 µm. This\nis attributed to imperfections in the fabrication process.\nThe boundary regions, from which the caustic-like beams scatter, serve as\nsecondary point-like excitation sources with a finite size of the order of the\n402040x (µm)2.4 GHz\n0 deg\n2.48 GHz\n0 deg\n2.56 GHz\n0 deg\n2.72 GHz\n0 deg\n020 40 60\ny (µm)02040x (µm)2.4 GHz\n90 deg\n020 40 60\ny (µm)2.48 GHz\n90 deg\n020 40 60\ny (µm)2.56 GHz\n90 deg\n020 40 60\ny (µm)2.72 GHz\n90 deg\nmin maxδmzFigure 4 TR-MOKE measurements for different frequencies and phases be-\ntween microwave excitation and laser pulses at an external field of 33.5 mT. In\nthe vicinity where the caustic-like beams scatter from the edges, an additional\nmode profile is observed. We note that the antisymmetric beam directions\nstem from a slight mismatch of the external field angle with respect to the DE-\ngeometry.\n051015202530\ny (µm)05101520253035x (µm)(a)\n0 100 200profile (nm)\n−2−1012\n∆x (µm)050100150200250profile (nm)(b)\nupper lower\n−6−4−20246\nky(µm−1)−8−6−4−202468kx(µm−1)(c) 50 nm\n100 nm150 nm\n200 nm\nFigure 5 (a) AFM profile of patterned YIG structure. (b) Linescans taken\nacross the edges highlighted in blue and red in (a). A gradual transition from\nthe YIG to the GGG is observed. (c) Iso-frequency curves for several film\nthicknesses at 2.48 GHz and 33.5 mT.\nbeam’s width, as noted in previous works [4,6]. Consequently, spin wave modes\nmay be excited within the transitional region where the thickness of YIG de-\ncreases. Examining the iso-frequency curves at 2.48 GHz and 33.5 mT (see Fig. 5\n(c)) reveals that the modes potentially excited fall within our resolution limits\nacross a considerable range of film thicknesses.\n5V Some Properties of Hybridization-Induced\nStop Band\nThis section offers a brief overview of some characteristics of the anticrossing\nin full YIG films. All micromagnetic simulations were executed utilizing the\nTetraX [7] software package.\nTo provide a qualitative understanding of the hybridization’s coupling strength,\nwe introduce the quantity ∆ fas the minimal gap between the upper and lower\nband determined by micromagnetic simulations. We note that here, we only\nconsider the strength of hybridization in the frequency domain, as this needed\nsignificantly less computing time. Furthermore, we inferred the wave vector of\nhybridization khybby the intercept of the n=0 and n=1 modes according to the\nmodel by Kalinikos and Slavin [1].\n150 250 350 450\nYIG thickness (nm)01234khyb(µm−1)(a)khyb ∆f\n0.000.020.040.060.080.10\nfield (mT)12345khyb(µm−1)(b)170 nm 200 nm 230 nm\n020406080100120\n∆f(MHz)\nFigure 6 Some properties of hybridization. (a) Trend of hybridization wave\nnumber khyband hybridization strength with increasing film thickness at ex-\nternal field of 32 mT. Both parameters decrease with increasing thickness. (b)\nDependence of khybon the external field for different film thicknesses. khybcan\nbe increased to some extent by the external field strength.\nIn Fig. 6(a), the relationship between film thickness and both ∆ fandkhyb\nis illustrated at an external field strength of 32 mT. As film thickness increases,\nboth these parameters exhibit a decreasing trend. Notably, below a thickness\nof 170 nm, the formation of an anticrossing appears to be absent. Here, the\nincreased separation between n=0 and n=1 prevents hybridization from occur-\nring. We also note that potential crossing is only possible in the dipolar regime\nas modes follow k2-dependence in the exchange regime. Fig. 6(b) depicts the\ninfluence of the external field on khyb. Higher external field strengths result\nin higher wave numbers. Furthermore, the external field strength also affects\nthe existence of frequency degeneracy. At higher fields, the n=0 mode becomes\nflatter and no longer intersects with the n=1 mode. In summary, the manipu-\nlation of external field strength and sample thickness allows for the adjustment\nof hybridization properties, facilitating higher wave number values and stronger\ncoupling within a specific range.\n6References\n[1] B. A. Kalinikos and A. N. Slavin, “Theory of dipole-exchange spin wave spec-\ntrum for ferromagnetic films with mixed exchange boundary conditions,” J.\nPhys. C: Solid State Phys. , vol. 19, pp. 7013–7033, Dec. 1986.\n[2] V. E. Demidov and S. O. Demokritov, “Magnonic waveguides studied by\nmicrofocus brillouin light scattering,” IEEE Transactions on Magnetics ,\nvol. 51, p. 1–15, Apr. 2015.\n[3] T. Br¨ acher, O. Boulle, G. Gaudin, and P. Pirro, “Creation of unidirectional\nspin-wave emitters by utilizing interfacial dzyaloshinskii-moriya interaction,”\nPhysical Review B , vol. 95, Feb. 2017.\n[4] A. V. Chumak, “Fundamentals of magnon-based computing,” 2019.\n[5] A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen, F. Garcia-Sanchez,\nand B. V. Waeyenberge, “The design and verification of MuMax3,” AIP\nAdv., vol. 4, p. 107133, Oct. 2014.\n[6] T. Schneider, A. A. Serga, A. V. Chumak, C. W. Sandweg, S. Trudel,\nS. Wolff, M. P. Kostylev, V. S. Tiberkevich, A. N. Slavin, and B. Hille-\nbrands, “Nondiffractive subwavelength wave beams in a medium with exter-\nnally controlled anisotropy,” Phys. Rev. Lett. , vol. 104, May 2010.\n[7] L. K¨ orber, A. Hempel, A. Otto, R. A. Gallardo, Y. Henry, J. Lindner,\nand A. K´ akay, “Finite-element dynamic-matrix approach for propagating\nspin waves: Extension to mono- and multi-layers of arbitrary spacing and\nthickness,” AIP Adv. , vol. 12, p. 115206, Nov. 2022.\n7" }, { "title": "2211.08922v2.Detection_sensitivity_enhancement_of_magnon_Kerr_nonlinearity_in_cavity_magnonics_induced_by_coherent_perfect_absorption.pdf", "content": "Detection sensitivity enhancement of magnon Kerr nonlinearity in cavity magnonics induced by\ncoherent perfect absorption\nGuo-Qiang Zhang,1,\u0003Yimin Wang,2,yand Wei Xiong3,z\n1School of Physics, Hangzhou Normal University, Hangzhou, Zhejiang 311121, China\n2Communications Engineering College, Army Engineering University of PLA, Nanjing 210007, China\n3Department of Physics, Wenzhou University, Zhejiang 325035, China\n(Dated: February 23, 2023)\nWe show how to enhance the detection sensitivity of magnon Kerr nonlinearity (MKN) in cavity magnonics.\nThe considered cavity-magnon system consists of a three-dimensional microwave cavity containing two yttrium\niron garnet (YIG) spheres, where the two magnon modes (one has the MKN, while the other is linear) in YIG\nspheres are simultaneously coupled to microwave photons. To obtain the e \u000bective gain of the cavity mode, we\nfeed two input fields into the cavity. By choosing appropriate parameters, the coherent perfect absorption of the\ntwo input fields occurs, and the cavity-magnon system can be described by an e \u000bective non-Hermitian Hamil-\ntonian. Under the pseudo-Hermitian conditions, the e \u000bective Hamiltonian can host the third-order exceptional\npoint (EP3), where the three eigenvalues of the Hamiltonian coalesce into one. When the magnon frequency\nshift\u0001Kinduced by the MKN is much smaller than the linewidths \u0000of the peaks in the transmission spectrum of\nthe cavity (i.e., \u0001K\u001c\u0000), the magnon frequency shift can be amplified by the EP3, which can be probed via the\noutput spectrum of the cavity. The scheme we present provides an alternative approach to measure the MKN in\nthe region \u0001K\u001c\u0000and has potential applications in designing low-power nonlinear devices based on the MKN.\nI. INTRODUCTION\nIn the past decade, the progress in cavity-magnon systems\nhas been impressive, where magnons (i.e., collective spin ex-\ncitations) in ferrimagnetic materials are strongly coupled to\nphotons in microwave cavities via the collective magnetic-\ndipole interaction [1–3]. Experimentally, the most widely\nused cavity-magnon system is composed of the millimeter-\nscale yttrium iron garnet (Y 3Fe5O12or YIG) crystal and\nthe three-dimensional (3D) microwave cavity [4–7]. Up to\nnow, various exotic phenomena have been extensively in-\nvestigated in cavity-magnon systems, such as magnon dark\nmodes [8], manipulating spin currents [9, 10], steady-state\nmagnon-photon entanglement [11], magnon blockade [12–\n14], non-Hermitian physics [15–17], cooperative polariton\ndynamics [18], enhancing spin-photon coupling [19], quan-\ntum states of magnons [20–23], microwave-to-optical trans-\nduction [24, 25], and dissipative coupling [26, 27].\nBased on the coherent perfect absorption (CPA), the\nsecond-order exceptional point (EP2) was observed [28] and\nthe third-order EP (EP3) was subsequently predicted [29] in\ncavity-magnon systems. The CPA refers to a phenomenon\nthat when two (or more) coherent electromagnetic waves are\nfed into a medium, the waves are completely absorbed by the\nmedium due to both destructive interference between them\nand medium dissipation, and there are no output waves from\nthe medium [30, 31]. Intriguing applications of CPA include,\ne.g., engineering EPs [28, 29, 32, 33], antilasing [34, 35],\noptical switches [36, 37], and coherent polarization con-\ntrol [38, 39]. The nth-order EP (EP n) refers to the degen-\nerate point in non-Hermitian systems, where neigenvalues\n\u0003zhangguoqiang@hznu.edu.cn\nyvivhappyrom@163.com\nzxiongweiphys@wzu.edu.cnas well as corresponding neigenvectors coalesce simultane-\nously [40]. Owing to its fundamental importance and po-\ntential applications, the EPs have been explored in various\nphysical systems (see, e.g., Refs. [41–49]). Contrary to the\ndegenerate point in Hermitian systems, the EPs have some\nunique features. For example, the energy splitting follows\na\u000f1=ndependence around the EP nwhen the non-Hermitian\nsystems are subjected to a weak perturbation with strength \u000f\n(\u001c1) [50, 51], which makes it possible to enhance the detec-\ntion sensitivity [52–54].\nIt is worth noting that the cavity-magnon system also\nhas reached the nonlinear regime [55], where the magnon\nKerr nonlinearity (MKN) stems from the magnetocrystalline\nanisotropy in the YIG [56]. The MKN not only results in\ncavity-magnon bistability [57–59] and tristability [60–62],\nnonreciprocal microwave transmission [63], and strong long-\ndistance spin-spin coupling [64], but it also leads to magnon-\nphoton entanglement [65, 66] as well as dynamical quantum\nphase transition [67, 68]. In experiments, many phenomena\ninduced by MKN can be detected by measuring the transmis-\nsion spectrum of the microwave cavity, where the MKN is\nequivalent to the magnon frequency shift \u0001Kdependent on the\nmagnon population [55–63]. This probe method works well\nonly when the magnon frequency shift \u0001Kis comparable to\n(or larger than) the linewidths \u0000of the peaks in the transmis-\nsion spectrum of the cavity (i.e., \u0001K\u0015\u0000), while it is not valid\nin the region \u0001K\u001c\u0000[18, 69].\nIn this paper, we propose a scheme to enhance the detection\nsensitivity of MKN around an EP in cavity magnonics when\n\u0001K\u001c\u0000. Here, the considered hybrid system consists of a 3D\nmicrowave cavity with two YIG spheres (YIG 1 and YIG 2)\nembedded (cf. Fig. 1), where the magnon mode in YIG 1 has\nthe MKN, while the auxiliary magnon mode in YIG 2 is linear.\nBy feeding two input fields with the same frequency into the\n3D microwave cavity via its two ports, an e \u000bective pseudo-\nHermitian Hamiltonian of the cavity-magnon system can be\nobtained, where the e \u000bective gain of the cavity mode resultsarXiv:2211.08922v2 [quant-ph] 22 Feb 20232\nfrom the CPA of the two input fields. In the absence of the\nMKN (corresponding to \u0001K=0), we analyze the eigenvalues\nof the pseudo-Hermitian Hamiltonian and find the EP3 in the\nparameter space. Further, we show that the magnon frequency\nshift\u0001K(\u001c\u0000) induced by the MKN can be amplified by the\nEP3. Finally, we derive the output spectrum of the 3D cavity\nand display how the amplification e \u000bect can be probed via the\noutput spectrum.\nRecently, Ref. [70] has proposed to enhance the sensitivity\nof the magnon-population response to the coe \u000ecient of MKN\nvia the anti-parity-time-symmetric phase transition, where the\nstrength of the drive field on the system is fixed. In contrast to\nRef. [70], we show that the EP3 can enhance the sensitivity of\nthe eigenvalue response to the small magnon frequency shift\ninduced by MKN in the present work. Our study provides a\npossibility to detect the MKN in the region \u0001K\u001c\u0000, which\nis a complement to the existing approach (i.e., measuring the\ntransmission spectrum of the microwave cavity) [55–63] and\nmay find promising applications in designing low-power non-\nlinear devices in cavity magnonics. In addition to MKN, other\nweak signals (such as a weak magnetic field), which can re-\nsult in the changes of system parameters, can also be detected\nusing our scheme.\nII. THE MODEL\nAs shown in Fig. 1, the considered cavity-magnon system\nconsists of two YIG spheres (YIG 1 and YIG 2) and a 3D mi-\ncrowave cavity, where YIG 1 and YIG 2 are uniformly mag-\nnetized to saturation by the bias magnetic fields B1andB2, re-\nspectively. Here, to enhance the detection sensitivity of MKN\nin YIG 1, the YIG 2 provides a magnon mode serving as an\nancilla. Now the entire cavity-magnon system is described by\nthe Hamiltonian [56, 57]\nH=!caya+X\nj=1;2h\n!jby\njbj+Kjby\njbjby\njbj+gj(aybj+aby\nj)i\n+\n d(by\n1e\u0000i!dt+b1ei!dt); (1)\nwhere aanday(bjandby\njwith j=1;2) are the annihilation\nand creation operators of the cavity mode (magnon mode in\nYIG j) at frequency !c(!j),gjis the coupling strength be-\ntween the cavity mode aand the magnon mode bj, and \nd\n(!d) is the strength (frequency) of the drive field on YIG 1.\nIn the two YIG spheres, the magnetocrystalline anisotropy re-\nsults in the MKN term Kjby\njbjby\njbj, where the nonlinear coef-\nficient Kjcan be continuously tuned from negative values to\npositive values by adjusting the angle between the crystallo-\ngraphic axis of YIG jand the bias magnetic field Bj[71, 72].\nWithout loss of generality, we assume K1>0 and K2=0 in\nour scheme. When macroscopic magnons are excited in YIG\n1 (i.e.,hby\n1b1i\u001d1), the system Hamiltonian in Eq. (1) can be\nlinearized as\nH=!caya+X\nj=1;2h\n!jby\njbj+gj(aybj+aby\nj)i\n+ \u0001 Kby\n1b1\n+\n d(by\n1e\u0000i!dt+b1ei!dt); (2)\nFIG. 1. Schematic of the proposed setup for enhancing the detection\nsensitivity of MKN in YIG 1. The cavity magnonic system is com-\nposed of two YIG spheres coupled to a 3D microwave cavity, where\nYIG 1 (YIG 2) is magnetized by a static magnetic field B1(B2). To\nmeasure the weak MKN in YIG 1, one microwave field with Rabi\nfrequency \ndis used to drive YIG 1. In addition, two input fields\na(in)\n1anda(in)\n2are fed into the microwave cavity via ports 1 and 2, re-\nspectively, and a(out)\n1anda(out)\n2denote the corresponding output fields.\nwith the frequency shift \u0001K=2K1hby\n1b1iof the magnon\nmode b1, where the mean-field approximation by\n1b1by\n1b1\u0019\n2hby\n1b1iby\n1b1has been used [56, 57].\nWhen the magnon frequency shift \u0001Kis comparable to (or\nlarger than) the linewidths \u0000of the peaks in the transmission\nspectrum of the cavity (i.e., \u0001K\u0015\u0000), the MKN can be probed\nby measuring the transmission spectrum of the cavity [55–\n63], where the linewidths are comparable to the decay rates\nof cavity mode and magnon modes. However, in the case of\n\u0001K\u001c\u0000, it is di \u000ecult to probe the MKN in this way [18,\n69]. For measuring the magnon frequency shift \u0001Kin this\ncircumstance, we feed two weak input fields a(in)\n1anda(in)\n2with\nsame frequency !pinto the microwave cavity via ports 1 and\n2, respectively. Using the input-output formalism [73], we\nget the equations of motion of the cavity-magnon system as\nfollows:\n˙a=\u0000i(!c\u0000i\u0014c)a\u0000X\nj=1;2\u0012\nigjbj\u0000q\n2\u0014ja(in)\nje\u0000i!pt\u0013\n+p\n2\u0014cf(in)\na;\n˙b1=\u0000i(!1+ \u0001 K\u0000i\r1)b1\u0000ig1a\u0000i\nde\u0000i!dt+p\n2\r1f(in)\nb1;\n˙b2=\u0000i(!2\u0000i\r2)b2\u0000ig2a+p\n2\r2f(in)\nb2; (3)\nwhere\r1(\r2) is the decay rate of the magnon mode b1(b2),\nthe total decay rate \u0014c=\u0014int+\u00141+\u00142of the cavity mode is\ncomposed of the intrinsic decay rate \u0014intand the decay rates \u00141\nand\u00142induced by the ports 1 and 2, and f(in)\na(f(in)\nbj) with zero\nmean valuehf(in)\nai=0 (hf(in)\nbji=0) describes the quantum3\nnoise from the environment related to the cavity mode (the\nmagnon mode bj). Following the above equations of motion,\nthe expected values haiandhbjisatisfy\nh˙ai=\u0000i(!c\u0000i\u0014c)hai\u0000X\nj=1;2\u0012\nigjhbji\u0000q\n2\u0014jha(in)\njie\u0000i!pt\u0013\n;\nh˙b1i=\u0000i(!1+ \u0001 K\u0000i\r1)hb1i\u0000ig1hai\u0000i\nde\u0000i!dt;\nh˙b2i=\u0000i(!2\u0000i\r2)hb2i\u0000ig2hai: (4)\nIn the absence of the two input fields (corresponding to\nha(in)\n1i=ha(in)\n2i=0), we denotehai=Ae\u0000i!dtandhbji=\nBje\u0000i!dt. When the input fields are considered, we assume\nthat the changes of haiandhbjican be expressed as Ae\u0000i!pt\nandBje\u0000i!pt, i.e.,\nhai=Ae\u0000i!dt+Ae\u0000i!pt;\nhbji=Bje\u0000i!dt+Bje\u0000i!pt; (5)\nwherejAj \u001d j AjandjBjj \u001d j Bjj[56]. This assumption is\nreasonable, because compared with the drive field, the input\nfields are very weak and can be treated as a perturbation. Now\nthe magnon frequency shift becomes \u0001K=2K1jB1j2. Substi-\ntuting Eq. (5) into Eq. (4), we have\n˙A=\u0000i(\u000ecd\u0000i\u0014c)A\u0000 ig1B1\u0000ig2B2;\n˙B1=\u0000i(\u000e1d+ \u0001 K\u0000i\r1)B1\u0000ig1A\u0000 i\nd;\n˙B2=\u0000i(\u000e2d\u0000i\r2)B2\u0000ig2A; (6)\nand\n˙A=\u0000i(\u000ecp\u0000i\u0014c)A\u0000X\nj=1;2\u0012\nigjBj\u0000q\n2\u0014jha(in)\nji\u0013\n;\n˙B1=\u0000i(\u000e1p+ \u0001 K\u0000i\r1)B1\u0000ig1A;\n˙B2=\u0000i(\u000e2p\u0000i\r2)B2\u0000ig2A; (7)\nwhere\u000ecd=!c\u0000!d(\u000ejd=!j\u0000!d) is the frequency detuning\nbetween the cavity mode (magnon mode j) and the drive field,\nand\u000ecp=!c\u0000!p(\u000ejp=!j\u0000!p) is the frequency detuning\nbetween the cavity mode (magnon mode j) and the two in-\nput fields. Eq. (6) determines the magnon frequency shift \u0001K,\nwhile Eq. (7) determines the output spectrum of the cavity.\nAccording to the input-output theory [73], the output field\nha(out)\njifrom the port jof the cavity is given by\nha(out)\nji=q\n2\u0014jA\u0000ha(in)\nji: (8)\nUnder the pseudo-Hermitian conditions [cf. Eq. (12) in\nSec. III], the CPA may occur by carefully choosing appropri-\nate parameters of the two input fields [cf. Eqs. (16) and (17)\nin Sec. III] [29]. The CPA means that the two input fields\nare nonzero but there are no output fields, i.e., ha(in)\n1i,0 and\nha(in)\n2i,0 butha(out)\n1i=ha(out)\n2i=0 [28, 32, 33]. When\nha(out)\n1i=ha(out)\n2i=0,\nha(in)\nji=q\n2\u0014jA: (9)Inserting the above relation into Eq. (7) to eliminate ha(in)\nji,\nEq. (7) can be rewritten as\n0BBBBBBB@˙A\n˙B1\n˙B21CCCCCCCA=\u0000iHe\u000b0BBBBBBB@A\nB1\nB21CCCCCCCA; (10)\nwhere\nHe\u000b=0BBBBBBB@\u000ecp+i\u0014g g1 g2\ng1\u000e1p+ \u0001 K\u0000i\r1 0\ng2 0\u000e2p\u0000i\r21CCCCCCCA(11)\nis the e \u000bective non-Hermitian Hamiltonian of the cavity-\nmagnon system. Due to the occurrence of CPA, the cavity\nmode has an e \u000bective gain\u0014g=\u00141+\u00142\u0000\u0014int(>0) [28, 29].\nIII. ENHANCING THE DETECTION SENSITIVITY OF\nMKN\nA. The EP3 in the cavity-magnon system\nIn this section, we study the EP3 in the cavity-magnon\nsystem when \u0001K=0. Usually, the eigenvalues of a non-\nHermitian Hamiltonian are complex. However, when the sys-\ntem parameters satisfy the pseudo-Hermitian conditions [29],\n\u0014g=(1+\u0011)\r2;\n\u00012=\u0000\u0011\u00011;\n\u00012\n1=1+\u0011k2\n(1+\u0011)\u0011g2\n1\u0000\r2\n2;g1\u0015gmin; (12)\nthe e\u000bective non-Hermitian Hamiltonian He\u000bin Eq. (11) has\nthe pseudo-Hermiticity and thus can also own either three real\neigenvalues or one real and two complex-conjugate eigenval-\nues [74–76]. The parameter \u0011=\r1=\r2(k=g2=g1) de-\nnotes the ratio between the decay rates \r1and\r2(coupling\nstrengths g1andg2),\u0001j=!j\u0000!cis the frequency detun-\ning of the magnon mode jrelative to the cavity mode, and\ngmin=[(1+\u0011)\u0011=(1+\u0011k2)]1=2\r2is the allowed minimal value\nof the coupling strength g1for ensuring \u00012\n1\u00150.\nFor engineering the EP3 under the pseudo-Hermitian con-\nditions in Eq. (12), the parameters \u0011andkmust satisfy the\nfollowing constraint [29]:\nk= 1+2\u0011\n2\u0011+\u00112!3=2\n: (13)\nIn the symmetric case of \u0011=k=1, the non-Hermitian\nHamiltonian He\u000bhas three eigenvalues, \n0=\u000ecpand\n\u0006=\n\u000ecp\u0006q\n3g2\n1\u00004\r2\n2[29]. Obviously, \n0is real and indepen-\ndent of the coupling strength g1and the decay rate \r2, while\n\n\u0006are functions of g1and\r2. To have three real eigenvalues,\nthe coupling strength g1should be in the region g1>gEP3,\nwhere gEP3=2\r2=p\n3. For g1=gEP3in particular, the three\neigenvalues \n\u0006and\n0coalesce to \n\u0006= \n 0= \n EP3=\u000ecp,\nand the corresponding three eigenvectors of He\u000balso coalesce4\ntoj\u000bi\u0006=j\u000bi0=j\u000biEP3=1p\n3\u0012\n1;\u00001+p\n3i\n2;1\u0000p\n3i\n2\u0013T\n. This co-\nalescent point at g1=gEP3is referred to as the EP3. While\ngmin\u0014g10:1\nbecause the condition \u0001K=\r2\u001c1 has been used in deriving\nEq. (23). Obviously, (Re[ \n\u0006;0]\u0000\nEP3)=\r2and Im[ \n\u0006;0]=\r2\nversus \u0001K=\r2sharply change. This is because the small fre-\nquency shift \u0001Kis amplified by the EP3 [50, 51]. In the re-\ngion\u0018\u001c1, (Re[ \n\u0006;0]\u0000\nEP3)=\r2and Im[ \n\u0006;0]=\r2follow\nthe cube-root of \u0018, i.e., (Re[ \n\u0006;0]\u0000\nEP3)=\r2\u0019Re[\u0015(\u0006;0)\n1]\u00181=3\nand Im[ \n\u0006;0]=\r2\u0019Im[\u0015(\u0006;0)\n1]\u00181=3. It is very di \u000berent from the\nexisting approach of measuring MKN, where the energy split-\nting follows a \u0018dependence [55–57]. Further, we find that the\namplification e \u000bect is more significant for a larger value of\n\u0011[cf. Figs. 2(a) and 2(c); Figs. 2(b) and 2(d)], which results\nfrom the monotonous increase of j\u0015(l)\n1j=[8\u00112=(1+2\u0011)]1=3ver-\nsus\u0011. Considering the experimentally accessible parameters,\nwe choose 1\u0014\u0011\u00143 in our study [1, 28, 29]. This amplifica-\ntion e \u000bect of the EP3 can be used to measure the MKN in the\ncase of \u0001K=\r2<1 (cf. Sec. IV).\nIV . MEASURING THE MKN VIA THE OUTPUT\nSPECTRUM OF THE CA VITY\nIn the cavity-magnon system, we can measure the eigen-\nvalue response to the MKN via the output spectrum of the\ncavity [28, 29]. In the theory, the output spectrum can be de-\nrived using Eqs. (7) and (8). At the steady state, we solve\nEq. (7) with ˙A=˙B1=˙B2=0 and obtain the change Aof the\ncavity fieldhaidue to the two input fields,\nA=p2\u00141ha(in)\n1i+p2\u00142ha(in)\n2i\n\u0014c+i\u000ecp+P(!p); (24)\nwhere\nX\n(!p)=g2\n1\n\r1+i(\u000e1p+ \u0001 K)+g2\n2\n\r2+i\u000e2p(25)\n-2 -1 0 1 2-200-150-100-500\n(a)\n-2 -1 0 1 2-50-40-30-20-10\n(b)(dB) (dB)FIG. 3. (a) The output spectrum jS(!p)j2of the cavity at the EP3,\nwhere \u0001K=0. (b) The output spectrum jS(!p)j2of the cavity near\nthe EP3 when \u0001K,0 (e.g., \u0001K=\r2=0:01). The (red) dashed ver-\ntical lines in (b) highlight the locations of the two dips in the output\nspectrum. Other parameters are chosen to be \r1=\r2=1,\u0014int=\r2=1,\nand\u00141=\r2=\u00142=\r2=1:5.\nis the self-energy. Correspondingly, the two output fields\nha(out)\n1iandha(out)\n2iin Eq. (8) can be expressed as\nha(out)\n1i=2\u00141ha(in)\n1i+2p\u00141\u00142ha(in)\n2i\n\u0014c+i\u000ecp+P(!p)\u0000ha(in)\n1i;\nha(out)\n2i=2p\u00141\u00142ha(in)\n1i+2\u00142ha(in)\n2i\n\u0014c+i\u000ecp+P(!p)\u0000ha(in)\n2i: (26)\nIt follows from Eq. (26) that ha(out)\n1i=S(!p)ha(in)\n1iand\nha(out)\n2i=S(!p)ha(in)\n2iunder the constraint in Eq. (16), where\nS(!p)=2\u00141+2\u00142\n\u0014c+i\u000ecp+P(!p)\u00001 (27)\nis the output spectrum of the microwave cavity. It can be\neasily verified that in the case of \u0001K=0, the output spec-\ntrum S(!p) is zero [i.e., S(!p)=0] when the system pa-\nrameters satisfy the pseudo-Hermitian conditions in Eq. (12)\nand the same frequency of the two input fields is given in\nEq. (17) [29].\nAt the EP3, the three eigenvalues \n\u0006and\n0of the cavity-\nmagnon system coalesce to \nEP3, and the CPA occurs at\n!(CPA)\np= \n EP3, i.e., there is only one CPA point with jS(!p)j=\n0 in the output spectrum [see Fig. 3(a)]. In the presence of\nthe MKN (i.e., \u0001K,0), the CPA disappears, and there are6\n0.00 0.05 0.10 0.15 0.20 0.25 0.300.00.51.01.52.0(a)\n0.00 0.05 0.10 0.15 0.20 0.25 0.301101001000\n(b)\n10-510-410-310-210-110-210-1100\nFIG. 4. (a) The distance \u000e!p=\r2between the two dips in the output\nspectrum of the cavity versus the magnon frequency shift \u0001K=\r2for\ndi\u000berent\u0011. The inset displays the logarithmic relationship between\n\u000e!p=\r2and\u0001K=\r2for di \u000berent\u0011, where the three (violet) thin curves\nwith a same slope of 1 =3 serve as guides to the eyes. (b) Detection\nsensitivity enhancement factor \u000e!p=\u0001Kversus the magnon frequency\nshift \u0001K=\r2for di \u000berent\u0011. Here\u0011=1 for the (black) solid curve,\n\u0011=2 for the (red) dashed curve, and \u0011=3 for the (blue) dotted\ncurve. Other parameters are chosen to be \r1=\r2=\u0011,\u0014int=\r2=1, and\n\u00141=\r2=\u00142=\r2=1+0:5\u0011.\ntwo dips in the output spectrum highlighted by the two (red)\ndashed vertical lines in Fig. 3(b). The locations and linewidths\nof the dips in the output spectrum are determined by the real\nand imaginary parts of the complex eigenvalues of the cavity-\nmagnon system given in Eq. (23). The left dip at !(dip1)\np\u0019\nRe[\n\u0000] (right dip at !(dip2)\np\u0019Re[\n+]) corresponds to the\neigenvalue \n\u0000(\n+). Note that because jIm[\n0]j>jIm[\n\u0006]j\n[cf. Figs. 2(b) and 2(d)], there is no dip in the output spec-\ntrum corresponding to the eigenvalue \n0. Therefore, we can\nmeasure the MKN by the output spectrum of the cavity.\nTo characterize the detection sensitivity enhancement of\nMKN near the EP3, we introduce an experimentally measur-\nable quantity\n\u000e!p=!(dip2)\np\u0000!(dip1)\np; (28)\nwhich presents the distance between the two dips in the out-\nput spectrum of the cavity. By numerically solving the output\nspectrum S(!p) in Eq. (27), we plot the frequency di \u000berence\n\u000e!p=\r2as a function of the magnon frequency shift \u0001K=\r2\nfor di \u000berent values of \u0011in Fig. 4(a), where \u000e!p=\r2increases\nmonotonically with \u0001K=\r2. Obviously, for a given value of\n\u0001K=\r2, the corresponding frequency di \u000berence\u000e!p=\r2be-tween the two dips is far larger than the magnon frequency\nshift \u0001K=\r2, i.e.,\u000e!p\u001d\u0001K. In contrast, the frequency dif-\nference induced by \u0001Kis approximately equal to \u0001Kin the\nexisting approach of measuring MKN [55–57]. This means\nthat the magnon frequency shift \u0001Kis amplified by the EP3.\nFor su \u000eciently small \u0001K=\r2,\u000e!pfollows a ( \u0001K=\r2)1=3depen-\ndence [see the inset in Fig. 4(a)]. Especially, for a larger value\nof\u0011, the amplification e \u000bect of the EP3 is more significant.\nMoreover, we also display the detection sensitivity enhance-\nment factor \u000e!p=\u0001Kversus the magnon frequency shift \u0001K=\r2\nin Fig. 4(b), where \u000e!p=\u0001Kmonotonically decreases for dif-\nferent\u0011. In the region \u0001K=\r2\u001c1,\u000e!p=\u0001Kis proportional to\n(\u0001K=\r2)\u00002=3. When \u0001K=\r2tends to 0, the sensitivity enhance-\nment factor \u000e!p=\u0001Ktends to infinity, i.e., \u000e!p=\u0001Kdiverges at\n\u0001K=\r2=0.\nV . DISCUSSIONS AND CONCLUSIONS\nIn our study, all results are based on the equations of motion\nin Eq. (4), which describes the average behavior of the cavity-\nmagnon system in the mean-field approximation by neglecting\nthe impacts of noises [including classical noise related to fluc-\ntuations of system parameters and quantum noise related to\ntermsp2\u0014cf(in)\naandp2\rjf(in)\nbjin Eq. (3)] and quantum fluc-\ntuations (related to \u000ea=a\u0000haiand\u000ebj=bj\u0000hbji). Using\nEq. (4), we investigate the detection sensitivity enhancement\nof MKN by deriving the e \u000bective non-Hermitian Hamiltonian\nHe\u000bof the cavity-magnon system in Eq. (11) and the output\nspectrum S(!p) of the microwave cavity in Eq. (27). This pro-\ncedure is widely applied in studying EP-based sensors [50–\n54], and the related theoretical predictions have been demon-\nstrated experimentally in various physical systems [80]. For\nexample, the detection sensitivity enhancement factor of 23\nhas been realized experimentally in a ternary micro-ring sys-\ntem [77].\nHowever, in the region with the signal being comparable\nto the noises and quantum fluctuations, the impacts of noises\nand quantum fluctuations on the EP-based sensor should be\nconsidered [80]. The classical noise caused by the techni-\ncal limitation can reduce the resolvability of frequency di \u000ber-\nence\u000e!pby broadening the linewidth of the output spectrum\nS(!p) [81, 82]. In principle, the classical noise can be made\narbitrarily small in the cavity-magnon system. Di \u000berent from\nthe classical noise, the quantum noise cannot be made arbi-\ntrarily small owing to the vacuum noise. Due to the quantum\nnoise and quantum fluctuations, the diverging sensitivity en-\nhancement factor [cf. Fig. 4(b) and related discussions] does\nnot necessarily lead to arbitrary high measurement precision,\nwhere the measurement precision refers to the smallest mea-\nsurable change of signal [83–86]. This is because the EP-\nbased sensor is sensitive to not only the signal but also the\nquantum noise, and thus the quantum-limited signal-to-noise\nratio cannot be improved [80]. Following the procedures in\nRefs. [84–86], one can derive the upper bound of the signal-\nto-noise ratio by calculating the quantum Fisher information\nbased on Heisenberg-Langevin equations in Eq. (3). For the\nMKN term K1by\n1b1by\n1b1, the corresponding e \u000bective Hamil-7\ntonian for quantum fluctuations can be expressed as Hflu=\n2\u0001K\u000eby\n1\u000eb1+\u001f\u000eby\n1\u000eby\n1+\u001f\u0003\u000eb1\u000eb1with\u001f=K1hb1i2[64–66].\nThe two-magnon terms \u001f\u000eby\n1\u000eby\n1and\u001f\u0003\u000eb1\u000eb1can squeeze\nthe quantum fluctuations of magnon mode b1, which can be\ntransferred to cavity mode aand magnon mode b2via their\ninteractions and leads to the squeezing of cavity mode aand\nmagnon mode b2[66]. The squeezing of quantum fluctuations\ninduced by MKN may be helpful for improving the measure-\nment precision [87, 88].\nBefore concluding, we briefly analyze the experimental fea-\nsibility of the present scheme. In cavity magnonics, both the\nintrinsic decay rate of the 3D microwave cavity as well as the\ndecay rate of the magnon mode are of the order 1 MHz (i.e.,\n\u0014int=2\u0019\u00181 MHz and \r1;2=2\u0019\u00181 MHz) [1], while the decay\nrates\u00141;2due to the two ports of the cavity can be tuned from\n0 to 8 MHz [28]. Since the frequency of the magnon mode\nin the YIG is proportional to the bias magnetic field, the fre-\nquencies!1;2can be easily controlled [8, 60]. In Ref. [28],\nthe EP2 based on CPA has been observed, where the cavity-\nmagnon coupling can be adjusted (ranging from 0 to 9 MHz)\nvia moving the YIG sphere, and the relative amplitudes (rela-\ntive phases) of the two input fields, ha(in)\n1iandha(in)\n2i, are also\ntunable via a variable attenuator (a phase shifter). In addi-\ntion, the magnon frequency shift \u0001Kcaused by the MKN isdependent on the strength of the drive field on the magnon\nmode [55, 57, 58]. These available conditions ensure that our\nscheme in the present work is experimentally accessible.\nIn conclusion, we have presented a feasible scheme to en-\nhance the detection sensitivity of MKN via the CPA around an\nEP3. In the proposed scheme, the cavity-magnon system con-\nsists of a 3D microwave cavity and two YIG spheres. With the\nassistance of the CPA, an e \u000bective pseudo-Hermitian Hamil-\ntonian of the cavity-magnon system can be obtained, which\nmakes it possible to engineer the EP3 in the parameter space.\nConsidering the magnon frequency shift caused by the MKN,\nwe find that it can be amplified by the EP3. Moreover, we\nshow that this amplification e \u000bect can be measured using the\noutput spectrum of the 3D cavity. Our proposal paves a way\nto measure the MKN in the case of \u0001K\u001c\u0000.\nACKNOWLEDGMENTS\nThis work is supported by the National Natural Science\nFoundation of China (Grant No. 12205069) and the key pro-\ngram of the Natural Science Foundation of Anhui (Grant No.\nKJ2021A1301).\n[1] D. Lachance-Quirion, Y . Tabuchi, A. Gloppe, K. Usami, and\nY . Nakamura, Hybrid quantum systems based on magnonics,\nAppl. Phys. Express 12, 070101 (2019).\n[2] H. Y . Yuan, Y . Cao, A. Kamra, R. A. Duine, and P. Yan, Quan-\ntum magnonics: When magnon spintronics meets quantum in-\nformation science, Phys. Rep. 965, 1 (2022).\n[3] B. Z. Rameshti, S. V . Kusminskiy, J. A. Haigh, K. Usami, D.\nLachance-Quirion, Y . Nakamura, C. M. Hu, H. X. Tang, G. E.\nW. Bauer, and Y . M. Blanter, Cavity magnonics, Phys. Rep.\n979, 1 (2022).\n[4] Y . Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and\nY . Nakamura, Hybridizing Ferromagnetic Magnons and Mi-\ncrowave Photons in the Quantum Limit, Phys. Rev. Lett. 113,\n083603 (2014).\n[5] X. Zhang, C. L. Zou, L. Jiang, and H. X. Tang, Strongly Cou-\npled Magnons and Cavity Microwave Photons, Phys. Rev. Lett.\n113, 156401 (2014).\n[6] M. Goryachev, W. G. Farr, D. L. Creedon, Y . Fan, M.\nKostylev, and M. E. Tobar, High-Cooperativity Cavity QED\nwith Magnons at Microwave Frequencies, Phys. Rev. Applied\n2, 054002 (2014).\n[7] D. Zhang, X. M. Wang, T. F. Li, X. Q. Luo, W. Wu, F. Nori, and\nJ. Q. You, Cavity quantum electrodynamics with ferromagnetic\nmagnons in a small yttrium-iron-garnet sphere, npj Quantum\nInf.1, 15014 (2015).\n[8] X. Zhang, C. L. Zou, N. Zhu, F. Marquardt, L. Jiang, and H.\nX. Tang, Magnon dark modes and gradient memory, Nat. Com-\nmun. 6, 8914 (2015)\n[9] L. Bai, M. Harder, Y . P. Chen, X. Fan, J. Q. Xiao, and C. M. Hu,\nSpin Pumping in Electrodynamically Coupled Magnon-Photon\nSystems, Phys. Rev. Lett. 114, 227201 (2015).\n[10] L. Bai, M. Harder, P. Hyde, Z. Zhang, C. M. Hu, Y . P. Chen, and\nJ. Q. Xiao, Cavity Mediated Manipulation of Distant Spin Cur-rents Using a Cavity-Magnon-Polariton, Phys. Rev. Lett. 118,\n217201 (2017).\n[11] J. Li, S. Y . Zhu, and G. S. Agarwal, Magnon-Photon-Phonon\nEntanglement in Cavity Magnomechanics, Phys. Rev. Lett. 121,\n203601 (2018).\n[12] Z. X. Liu, H. Xiong, and Y . Wu, Magnon blockade in a hy-\nbrid ferromagnet-superconductor quantum system, Phys. Rev.\nB100, 134421 (2019).\n[13] J. K. Xie, S. L. Ma, and F. L. Li, Quantum-interference-\nenhanced magnon blockade in an yttrium-iron-garnet sphere\ncoupled to superconducting circuits, Phys. Rev. A 101, 042331\n(2020).\n[14] Y . Wang, W. Xiong, Z. Xu, G. Q. Zhang, and J. Q.\nYou, Dissipation-induced nonreciprocal magnon blockade in a\nmagnon-based hybrid system, Sci. China-Phys. Mech. Astron.\n65, 260314 (2022).\n[15] M. Harder, L. Bai, P. Hyde, and C. M. Hu, Topological prop-\nerties of a coupled spin-photon system induced by damping,\nPhys. Rev. B 95, 214411 (2017).\n[16] Y . Cao and P. Yan, Exceptional magnetic sensitivity of PT-\nsymmetric cavity magnon polaritons, Phys. Rev. B 99, 214415\n(2019).\n[17] J. Zhao, Y . Liu, L. Wu, C. K. Duan, Y . Liu, and J. Du, Obser-\nvation of Anti-PT-Symmetry Phase Transition in the Magnon-\nCavity-Magnon Coupled System, Phys. Rev. Appl. 13, 014053\n(2020).\n[18] B. Yao, Y . S. Gui, J. W. Rao, S. Kaur, X. S. Chen, W. Lu, Y .\nXiao, H. Guo, K. P. Marzlin, and C. M. Hu, Cooperative po-\nlariton dynamics in feedback-coupled cavities, Nat. Commun.\n8, 1437 (2017).\n[19] X. L. Hei, X. L. Dong, J. Q. Chen, C. P. Shen, Y . F. Qiao, and\nP. B. Li, Enhancing spin-photon coupling with a micromagnet,\nPhys. Rev. A 103, 043706 (2021).8\n[20] H. Y . Yuan, P. Yan, S. Zheng, Q. Y . He, K. Xia, and M.-H.\nYung, Steady Bell State Generation via Magnon-Photon Cou-\npling, Phys. Rev. Lett. 124, 053602 (2020).\n[21] F. X. Sun, S. S. Zheng, Y . Xiao, Q. Gong, Q. He, and K.\nXia, Remote generation of magnon Schr ¨odinger cat state via\nmagnon-photon entanglement, Phys. Rev. Lett. 127, 087203\n(2021).\n[22] G. Q. Zhang, W. Feng, W. Xiong, Q. P. Su, and C. P. Yang, Gen-\neration of long-lived Wstates via reservoir engineering in dis-\nsipatively coupled systems, Phys. Rev. A 107, 012410 (2023).\n[23] S. F. Qi and J. Jing, Generation of Bell and Greenberger-Horne-\nZeilinger states from a hybrid qubit-photon-magnon system,\nPhys. Rev. A 105, 022624 (2022).\n[24] R. Hisatomi, A. Osada, Y . Tabuchi, T. Ishikawa, A. Noguchi, R.\nYamazaki, K. Usami, and Y . Nakamura, Bidirectional conver-\nsion between microwave and light via ferromagnetic magnons,\nPhys. Rev. B 93, 174427 (2016).\n[25] N. Zhu, X. Zhang, X. Han, C. L. Zou, C. Zhong, C. H. Wang,\nL. Jiang, and H. X. Tang, Waveguide cavity optomagnonics for\nbroadband multimode microwave-to-optics conversion, Optica\n7, 1291 (2020).\n[26] V . L. Grigoryan, K. Shen, and K. Xia, Synchronized spin-\nphoton coupling in a microwave cavity, Phys. Rev. B. 98,\n024406 (2018).\n[27] M. Harder, Y . Yang, B. M. Yao, C. H. Yu, J. W. Rao, Y . S. Gui,\nR. L. Stamps, and C. M. Hu, Level Attraction Due to Dissi-\npative Magnon-Photon Coupling, Phys. Rev. Lett. 121, 137203\n(2018).\n[28] D. Zhang, X. Q. Luo, Y . P. Wang, T. F. Li, and J. Q. You, Ob-\nservation of the exceptional point in cavity magnon-polaritons,\nNat. Commun. 8, 1368 (2017).\n[29] G. Q. Zhang and J. Q. You, Higher-order exceptional point in a\ncavity magnonics system, Phys. Rev. B 99, 054404 (2019).\n[30] Y . D. Chong, L. Ge, H. Cao, and A. D. Stone, Coherent Per-\nfect Absorbers: Time-Reversed Lasers, Phys. Rev. Lett. 105,\n053901 (2010).\n[31] W. Wan, Y . Chong, L. Ge, H. Noh, A. D. Stone, and H. Cao,\nTime-reversed lasing and interferometric control of absorption,\nScience 331, 889 (2011).\n[32] Y . Sun, W. Tan, H. Q. Li, J. Li, and H. Chen, Experimental\nDemonstration of a Coherent Perfect Absorber with PT Phase\nTransition, Phys. Rev. Lett. 112, 143903 (2014).\n[33] C. Wang, W. R. Sweeney, A. D. Stone, and L. Yang, Coherent\nperfect absorption at an exceptional point, Science 373, 1261\n(2021).\n[34] Z. J. Wong, Y . L. Xu, J. Kim, K. O’Brien, Y . Wang, L. Feng,\nand X. Zhang, Lasing and anti-lasing in a single cavity, Nat.\nPhotonics 10, 796 (2016).\n[35] K. Pichler, M. K ¨uhmayer, J. B ¨ohm, A. Brandst ¨otter, P. Am-\nbichl, U. Kuhl, and S. Rotter, Random anti-lasing through co-\nherent perfect absorption in a disordered medium, Nature (Lon-\ndon) 567, 351 (2019).\n[36] X. Fang, M. L. Tseng, J. Y . Ou, K. F. MacDonald, D. P. Tsai,\nand N. I. Zheludev, Ultrafast all-optical switching via coherent\nmodulation of metamaterial absorption, Appl. Phys. Lett. 104,\n141102 (2014).\n[37] W. Xiong, J. Chen, B. Fang, C. H. Lam, and J. Q. You, Coher-\nent perfect absorption in a weakly coupled atom-cavity system,\nPhys. Rev. A 101, 063822 (2020).\n[38] M. Kang and Y . D. Chong, Coherent optical control of polar-\nization with a critical metasurface, Phys. Rev. A 92, 043826\n(2015).\n[39] Y . Ye, D. Hay, and Z. Shi, Coherent perfect absorption in chiral\nmetamaterials, Opt. Lett. 41, 3359 (2016).[40] W. D. Heiss, The physics of exceptional points, J. Phys. A\nMath. Theor. 45, 444016 (2012).\n[41] T. Gao, E. Estrecho, K. Y . Bliokh, T. C. H. Liew, M. D. Fraser,\nS. Brodbeck, M. Kamp, C. Schneider, S. H ¨ofling, Y . Yamamoto,\nF. Nori, Y . S. Kivshar, A. G. Truscott, R. G. Dall, and E. A.\nOstrovskaya, Observation of non-Hermitian degeneracies in a\nchaotic exciton-polariton billiard, Nature (London) 526, 554\n(2015).\n[42] X. Y . L ¨u, H. Jing, J. Y . Ma, and Y . Wu, PT-Symmetry-\nBreaking Chaos in Optomechanics, Phys. Rev. Lett. 114,\n253601 (2015).\n[43] G. Q. Zhang, Z. Chen, D. Xu, N. Shammah, M. Liao, T. F. Li,\nL. Tong, S. Y . Zhu, F. Nori, and J. Q. You, Exceptional point\nand cross-relaxation e \u000bect in a hybrid quantum system, PRX\nQuantum 2, 020307 (2021).\n[44] H. Jing, S ¸. K. ¨Ozdemir, H. L ¨u, and F. Nori, High-order excep-\ntional points in optomechanics, Sci. Rep. 7, 3386 (2017).\n[45] T. X. Lu, H. Zhang, Q. Zhang, and H. Jing, Exceptional-point-\nengineered cavity magnomechanics, Phys. Rev. A 103, 063708\n(2021).\n[46] W. Xiong, Z. Li, G. Q. Zhang, M. Wang, H. C. Li, X. Q.\nLuo, and J. Chen, Higher-order exceptional point in a blue-\ndetuned non-Hermitian cavity optomechanical system, Phys.\nRev. A 106, 033518 (2022).\n[47] J. Doppler, A. A. Mailybaev, J. B ¨ohm, U. Kuhl, A. Girschik, F.\nLibisch, T. J. Milburn, P. Rabl, N. Moiseyev, and S. Rotter, Dy-\nnamically encircling an exceptional point for asymmetric mode\nswitching, Nature (London) 537, 76 (2016).\n[48] J. Q. Zhang, J. X. Liu, H. L. Zhang, Z. R. Gong, S. Zhang, L.\nL. Yan, S. L. Su, H. Jing, and M. Feng, Topological optome-\nchanical amplifier in synthetic PT-symmetry, Nanophotonics\n11, 1149 (2022).\n[49] Y . Zhiyenbayev, Y . Kominis, C. Valagiannopoulos, V . Kovanis,\nand A. Bountis, Enhanced stability, bistability, and exceptional\npoints in saturable active photonic couplers, Phys. Rev. A 100,\n043834 (2019).\n[50] J. Wiersig, Enhancing the Sensitivity of Frequency and Energy\nSplitting Detection by Using Exceptional Points: Application to\nMicrocavity Sensors for Single-Particle Detection, Phys. Rev.\nLett. 112, 203901 (2014).\n[51] W. Chen, S ¸. K. ¨Ozdemir, G. Zhao, J. Wiersig, and L. Yang,\nExceptional points enhance sensing in an optical microcavity,\nNature (London) 548, 192 (2017).\n[52] Z. P. Liu, J. Zhang, S ¸. K. ¨Ozdemir, B. Peng, H. Jing, X. Y . L ¨u,\nC. W. Li, L. Yang, F. Nori, and Y . X. Liu, Metrology with PT-\nSymmetric Cavities: Enhanced Sensitivity near the PT-Phase\nTransition, Phys. Rev. Lett. 117, 110802 (2016).\n[53] G. Q. Zhang, Y . P. Wang, and J. Q. You, Dispersive readout\nof a weakly coupled qubit via the parity-time-symmetric phase\ntransition, Phys. Rev. A 99, 052341 (2019).\n[54] X. G. Wang, G. H. Guo, and J. Berakdar, Enhanced Sensitiv-\nity at Magnetic High-Order Exceptional Points and Topological\nEnergy Transfer in Magnonic Planar Waveguides, Phys. Rev.\nAppl. 15, 034050 (2021).\n[55] Y . P. Wang, G. Q. Zhang, D. Zhang, X. Q. Luo, W. Xiong, S.\nP. Wang, T. F. Li, C. M. Hu, and J. Q. You, Magnon Kerr e \u000bect\nin a strongly coupled cavity-magnon system, Phys. Rev. B 94,\n224410 (2016).\n[56] G. Q. Zhang, Y . P. Wang, and J. Q. You, Theory of the magnon\nKerr e \u000bect in cavity magnonics, Sci. China-Phys. Mech. As-\ntron. 62, 987511 (2019).\n[57] Y . P. Wang, G. Q. Zhang, D. Zhang, T. F. Li, C. M. Hu, and\nJ. Q. You, Bistability of Cavity Magnon-Polaritons, Phys. Rev.\nLett. 120, 057202 (2018).9\n[58] R. C. Shen, J. Li, Z. Y . Fan, Y . P. Wang, and J. Q. You, Me-\nchanical Bistability in Kerr-modified Cavity Magnomechanics,\nPhys. Rev. Lett. 129, 123601 (2022).\n[59] J. M. P. Nair, D. Mukhopadhyay, and G. S. Agarwal, Ultralow\nthreshold bistability and generation of long-lived mode in a dis-\nsipatively coupled nonlinear system: Application to magnonics,\nPhys. Rev. B 103, 224401 (2021).\n[60] R. C. Shen, Y . P. Wang, J. Li, S. Y . Zhu, G. S. Agarwal, and\nJ. Q. You, Long-Time Memory and Ternary Logic Gate Using\na Multistable Cavity Magnonic System, Phys. Rev. Lett. 127,\n183202 (2021).\n[61] J. M. P. Nair, Z. Zhang, M. O. Scully, and G. S. Agarwal, Non-\nlinear spin currents, Phys. Rev. B 102, 104415 (2020).\n[62] M. X. Bi, X. H. Yan, Y . Zhang, and Y . Xiao, Tristability of\ncavity magnon polaritons, Phys. Rev. B 103, 104411 (2021).\n[63] C. Kong, H. Xiong, and Y . Wu, Magnon-Induced Nonreciproc-\nity Based on the Magnon Kerr E \u000bect, Phys. Rev. Appl. 12,\n034001 (2019).\n[64] W. Xiong, M. Tian, G. Q. Zhang, and J. Q. You, Strong long-\nrange spin-spin coupling via a Kerr magnon interface, Phys.\nRev. B 105, 245310 (2022).\n[65] Z. Zhang, M. O. Scully, and G. S. Agarwal, Quantum entangle-\nment between two magnon modes via Kerr nonlinearity driven\nfar from equilibrium, Phys. Rev. Research 1, 023021 (2019).\n[66] Z. B. Yang, H. Jin, J. W. Jin, J. Y . Liu, H. Y . Liu, and R. C. Yang,\nBistability of squeezing and entanglement in cavity magnonics,\nPhys. Rev. Research 3, 023126 (2021).\n[67] G. Q. Zhang, Z. Chen, W. Xiong, C. H. Lam, and J. Q. You,\nParity-symmetry-breaking quantum phase transition via para-\nmetric drive in a cavity magnonic system, Phys. Rev. B 104,\n064423 (2021).\n[68] Y . Qin, S. C. Li, K. Li, and J. J. Song, Controllable quantum\nphase transition in a double-cavity magnonic system, Phys. Rev.\nB106, 054419 (2022).\n[69] J. A. Haigh, N. J. Lambert, A. C. Doherty, and A. J. Fergu-\nson, Dispersive readout of ferromagnetic resonance for strongly\ncoupled magnons and microwave photons, Phys. Rev. B 91,\n104410 (2015).\n[70] J. M. P. Nair, D. Mukhopadhyay, and G. S. Agarwal, Enhanced\nSensing of Weak Anharmonicities through Coherences in Dissi-\npatively Coupled Anti-PT Symmetric Systems, Phys. Rev. Lett.\n126, 180401 (2021).\n[71] A. G. Gurevich and G. A. Melkov, Magnetization Oscillations\nand Waves (CRC Press, Boca Raton, 1996).\n[72] D. D. Stancil and A. Prabhakar, Spin Waves (Springer, Berlin,\n2009).\n[73] D. F. Walls and G. J. Milburn, Quantum Optics (Springer,\nBerlin, 1994).[74] A. Mostafazadeh, Pseudo-Hermiticity versus PT-symmetry:\nThe necessary condition for the reality of the spectrum of a non-\nHermitian Hamiltonian, J. Math. Phys. 43, 205 (2002).\n[75] A. Mostafazadeh, Pseudo-Hermiticity versus PT-symmetry II:\nA complete characterization of non-Hermitian Hamiltonians\nwith a real spectrum, J. Math. Phys. 43, 2814 (2002).\n[76] A. Mostafazadeh, Pseudo-Hermiticity versus PT-symmetry\nIII: Equivalence of pseudo-Hermiticity and the presence of an-\ntilinear symmetries, J. Math. Phys. 43, 3944 (2002).\n[77] H. Hodaei, A. U. Hassan, S. Wittek, H. Garcia-Gracia, R.\nEl-Ganainy, D. N. Christodoulides, and M. Khajavikhan, En-\nhanced sensitivity at higher-order exceptional points, Nature\n(London) 548, 187 (2017).\n[78] W. Xiong, Z. Li, Y . Song, J. Chen, G. Q. Zhang, and M. Wang,\nHigher-order exceptional point in a pseudo-Hermitian cavity\noptomechanical system, Phys. Rev. A 104, 063508 (2021).\n[79] C. Zeng, K. Zhu, Y . Sun, G. Li, Z. Guo, J. Jiang, Y . Li, H.\nJiang, Y . Yang, and H. Chen, Ultra-sensitive passive wireless\nsensor exploiting high-order exceptional point for weakly cou-\npling detection, New J. Phys. 23, 063008 (2021).\n[80] J. Wiersig, Review of exceptional point-based sensors, Photon-\nics Res. 8, 1457 (2020).\n[81] C. Wol \u000b, C. Tserkezis, and N. A. Mortensen, On the time evo-\nlution at a fluctuating exceptional point, Nanophotonics 8, 1319\n(2019).\n[82] J. Wiersig, Robustness of exceptional-point-based sensors\nagainst parametric noise: the role of Hamiltonian and Liouvil-\nlian degeneracies, Phys. Rev. A 101, 053846 (2020).\n[83] W. Langbein, No exceptional precision of exceptional-point\nsensors, Phys. Rev. A 98, 023805 (2018).\n[84] H. K. Lau and A. A. Clerk, Fundamental limits and non-\nreciprocal approaches in non-Hermitian quantum sensing, Nat.\nCommun. 9, 4320 (2018).\n[85] C. Chen, L. Jin, and R. B. Liu, Sensitivity of parameter estima-\ntion near the exceptional point of a non-Hermitian system, New\nJ. Phys. 21, 083002 (2019).\n[86] M. Zhang, W. Sweeney, C. W. Hsu, L. Yang, A. D. Stone, and\nL. Jiang, Quantum noise theory of exceptional point amplifying\nsensors, Phys. Rev. Lett. 123, 180501 (2019).\n[87] I. Kruse, K. Lange, J. Peise, B. L ¨ucke, L. Pezz `e, J. Arlt, W.\nErtmer, C. Lisdat, L. Santos, A. Smerzi, and C. Klempt, Im-\nprovement of an Atomic Clock using Squeezed Vacuum, Phys.\nRev. Lett. 117, 143004 (2016).\n[88] M. Malnou, D. A. Palken, B. M. Brubaker, L. R. Vale, G. C.\nHilton, and K. W. Lehnert, Squeezed Vacuum Used to Accel-\nerate the Search for a Weak Classical Signal, Phys. Rev. X 9,\n021023 (2019)." }, { "title": "1405.7415v1.Electronic_control_of_the_spin_wave_damping_in_a_magnetic_insulator.pdf", "content": "Electronic control of the spin-wave damping in a magnetic insulator\nA. Hamadeh,1O. d'Allivy Kelly,2C. Hahn,1H. Meley,1R. Bernard,2A.H. Molpeceres,2V. V. Naletov,1, 2, 3M.\nViret,1A. Anane,2V. Cros,2S. O. Demokritov,4J. L. Prieto,5M. Mu~ noz,6G. de Loubens,1and O. Klein1,\u0003\n1Service de Physique de l' \u0013Etat Condens\u0013 e (CNRS URA 2464), CEA Saclay, 91191 Gif-sur-Yvette, France\n2Unit\u0013 e Mixte de Physique CNRS/Thales and Universit\u0013 e Paris Sud 11, 1 av. Fresnel, 91767 Palaiseau, France\n3Institute of Physics, Kazan Federal University, Kazan 420008, Russian Federation\n4Department of Physics, University of Muenster, 48149 Muenster, Germany\n5Instituto de Sistemas Optoelectr\u0013 onicos y Microtecnolog\u0013 \u0010a (UPM), Madrid 28040, Spain\n6Instituto de Microelectr\u0013 onica de Madrid (CNM, CSIC), Madrid 28760, Spain\n(Dated: November 28, 2021)\nIt is demonstrated that the decay time of spin-wave modes existing in a magnetic insulator can\nbe reduced or enhanced by injecting an in-plane dc current, Idc, in an adjacent normal metal with\nstrong spin-orbit interaction. The demonstration rests upon the measurement of the ferromagnetic\nresonance linewidth as a function of Idcin a 5\u0016m diameter YIG(20nm) jPt(7nm) disk using a\nmagnetic resonance force microscope (MRFM). Complete compensation of the damping of the fun-\ndamental mode is obtained for a current density of \u00183\u00011011A.m\u00002, in agreement with theoretical\npredictions. At this critical threshold the MRFM detects a small change of static magnetization, a\nbehavior consistent with the onset of an auto-oscillation regime.\nThe spin-orbit interaction (SOI) [1{3] has been re-\ncently shown to be an interesting and useful addition\nin the \feld of spintronics. This subject capitalizes on\nadjoining a strong SOI normal metal next to a thin mag-\nnetic layer [4]. The SOI converts a charge current, Jc,\nto a spin current, Js, with an e\u000eciency parametrized by\n\u0002SH, the spin Hall angle [5, 6]. Recently, it was demon-\nstrated experimentally that the spin current produced\nin this way can switch the magnetization in a dot [7, 8]\nor can partially compensate the damping [9{11], allow-\ning the lifetime of propagating spin-waves [12] to be in-\ncreased beyond their natural decay time, \u001c. These two\ne\u000bects open potential applications in storage devices and\nin microwave signal processing.\nThe e\u000bect is based on the fact that the spin current Js\nexerts a torque on the magnetization, corresponding to\nan e\u000bective damping \u0000 s=\rJs=(tFMMs), wheretFMis\nthe thickness of the magnetic layer, Msits spontaneous\nmagnetization, and \rthe gyromagnetic ratio. In the case\nof metallic ferromagnets [13{15], it was established that\n\u0000scan fully compensate the natural damping 1 =\u001cat a\ncritical spin current J\u0003\ns, which determines the onset of\nauto-oscillation of the magnetization:\nJ\u0003\ns=\u00001\n\u001ctFMMs\n\r: (1)\nAn important bene\ft of the SOI is that JcandJsare\nlinked through a cross-product, allowing a charge current\n\rowing in-plane to produce a spin current \rowing out-\nof-plane. Hence it enables the transfer of spin angular\nmomentum to non-metallic materials and in particular\nto insulating oxides, which o\u000ber improved performance\ncompared to their metallic counterparts. Among all ox-\nides, Yttrium Iron Garnet (YIG) holds a special place\nfor having the lowest known spin-wave (SW) damping\nfactor. In 2010, Kajiwara et al. reported on the e\u000e-cient transmission of spin current through the YIG jPt\ninterface [16]. It was shown that Jsproduced by the\nexcitation of ferromagnetic resonance (FMR) in YIG can\ncross the YIGjPt interface and be converted into Jcin Pt\nthrough the inverse spin Hall e\u000bect (ISHE). This \fnding\nwas reproduced in numerous experimental works [17{23].\nIn the same paper, the reciprocal e\u000bect was also reported\nasJsproduced in Pt by the direct spin Hall e\u000bect (SHE)\ncould be transferred to the 1.3 \u0016m thick YIG, resulting\nin damping compensation. However, attempts to directly\nmeasure the expected change of the resonance linewidth\nof YIG as a function of the dc current have so far failed\n[21, 22] [24]. This is raising fundamental questions about\nthe reciprocity of the spin transparency, T, of the in-\nterface between a metal and a magnetic insulator. This\ncoe\u000ecient enters in the ratio between Jcin Pt andJsin\nYIG through:\nJs=T\u0002SH\u0016h\n2eJc; (2)\nwhereeis the electron charge and \u0016 hthe reduced Planck\nconstant.Tdepends on the transport characteristics of\nthe normal metal as well as on the spin-mixing conduc-\ntanceG\"#, which parametrizes the scattering of the spin\nangular momentum at the YIG jPt interface [25].\nAt the heart of this debate lies the exact value of the\nthreshold current. The lack of visible e\u000bects reported\nin Refs.[21, 22], although inconsistent with [16], is co-\nherent with the estimation of the threshold current of\n1011\u000012A.m\u00002using Eqs.(1) and (2) and typical pa-\nrameters for the materials [26]. This theoretical cur-\nrent density is at least one order of magnitude larger\nthan the maximum Jcthat could be injected in the Pt\nso far. Importantly, the previous reported experiments\nwere performed on large (millimeter sized) structures,\nwhere many nearly degenerate SW modes compete forarXiv:1405.7415v1 [cond-mat.mes-hall] 28 May 20142\nTABLE I. Transport and magnetic properties of the Pt and\nbare YIG layers, respectively from Ref.[31] and Ref.[22].\nPttPt(nm)\u001b(\n\u00001.m\u00001)\u0015SD(nm) \u0002 SH\n7 5:8\u00011063.5 0.056\nYIGtYIG(nm) 4\u0019Ms(G)\r(rad.s\u00001.G\u00001)\u000b0\n20 2 :1\u00011031:79\u00011072:3\u000110\u00004\nfeeding from the same dc source of angular momentum,\na phenomenon that could become self-limiting and pre-\nvent the onset of auto-oscillations [11]. To isolate a single\ncandidate mode, we have recently reduced the lateral di-\nmensions of the YIG pattern, as quantization results in\nincreased frequency gaps between the dynamical modes\n[27]. This requires to grow very thin \flms of high qual-\nity YIG [23, 28{30]. Bene\fting from our progress in the\nepitaxial growth of YIG \flms by pulsed laser deposition\n(PLD) [22], we propose to study the FMR linewidth as a\nfunction of the dc current in a micron-size YIG jPt disk.\nFIG.1 shows a schematic of the experimental setup.\nA YIGjPt disk of 5 \u0016m in diameter is connected to two\nAu contact electrodes (see the microscopy image) across\nwhich a positive voltage generates a current \row Jcalong\nthe +^x-direction. The microdisk is patterned out of a\n20 nm thick epitaxial YIG \flm with a 7 nm thick Pt\nlayer sputtered on top. The YIG and Pt layers have been\nfully characterized in previous studies [22, 31]. Their\ncharacteristics are reported in Table I.\nThe sample is mounted inside a room temperature\nmagnetic resonance force microscope (MRFM) which de-\ntects the SW absorption spectrum mechanically [32{34].\nThe excitation is provided by a stripline (not shown in\nthe sketches of FIG.1) generating a linearly polarized\nmicrowave \feld h1along the ^x-direction. The detec-\ntion is based on monitoring the de\rection of a mechan-\nical cantilever with a magnetic Fe particle a\u000exed to its\ntip, coupled dipolarly to the sample. The FMR spec-\ntrum is obtained by recording the vibration amplitude of\nthe cantilever while scanning the external bias magnetic\n\feld,H0, at constant microwave excitation frequency,\nf=!=(2\u0019) [35]. The MRFM is placed between the poles\nof an electromagnet, generating a uniform magnetic \feld,\nH0, which can be set along ^ yor ^z(i.e., perpendicularly\nto bothh1andJc).\nWe start by measuring the e\u000bect of a dc current, Idc,\non the FMR spectra when the disk is magnetized in-\nplane by a magnetic \feld along the +^ y-direction (positive\n\feld). The spectra recorded at f= 6:33 GHz are shown\nin FIG.1a in red tones. The middle row shows the ab-\nsorption at zero current. The MRFM signal corresponds\nto a variation of the static magnetization of about 2 G,\ni.e., a precession cone of 2.5\u000e. As the the electrical cur-\nrent is varied, we observe very clearly a change of the\nlinewidth. At negative current, the linewidth decreases,\nFIG. 1. (Color online) MRFM spectra of the YIG jPt mi-\ncrodisk as a function of current for di\u000berent \feld orientations:\na)H0k+^yatf= 6:33 GHz (red tone); b) H0k+^zat\nf= 10:33 GHz (black); c) H0k\u0000^yatf= 6:33 GHz (blue\ntone). The highest amplitude mode is used for linewidth anal-\nysis (shaded area). Field axes are shifted so as to align the\npeaks vertically. In-plane and out-of-plane \feld orientations\nare sketched above. The top right frame is a microscopy im-\nage of the sample.\nto reach about half the initial value at Idc=\u00008 mA.\nThis decrease is strong enough so that the individual\nmodes can be resolved spectroscopically within the main\npeak. Concomitantly the amplitude of the MRFM sig-\nnal increases. The opposite behavior is observed when\nthe current polarity is reversed. At positive current, the\nlinewidth increases to reach about twice the initial value\natIdc= +8 mA, and the amplitude of the signal de-\ncreases.\nIdc=\u000612 mA is the maximum current that we have\ninjected in our sample to avoid irreversible e\u000bects. We\nestimate from the Pt resistance, the sample temperature\nto be 90\u000eC at the maximum current. This Joule heating\nreduces 4\u0019M sat a rate of 4 :8 G/K, which results in an\neven shift of the resonance \feld towards higher \feld [36].\nIn FIG.1b, we show the FMR spectra at f= 10:33 GHz\nin the perpendicular geometry, i.e.,H0is along ^z. In con-\ntrast to the previous case, the linewidth does not change\nwith current. This is expected as no net spin transfer\ntorque is exerted by the spin current on the precessing\nmagnetization in this con\fguration. Note that due to\nJoule heating, the spectrum now shifts towards lower \feld\ndue to the decrease of Msas the current increases.\nWe now come back to the in-plane geometry, but this\ntime, the magnetic \feld is reversed compared to FIG.1a,3\nFIG. 2. (Color online) Variation of the full linewidth \u0001 Hk\nmeasured at 6.33 GHz as a function of IdcforH0k+^y(red)\nandH0k\u0000^y(blue). Inset: detection of VISHE as a function\nofH0atf= 6:33 GHz and Idc= 0.\ni.e., applied along\u0000^y(negative \feld). The correspond-\ning spectra are presented in FIG.1c using blue tones. As\nexpected for the symmetry of the SHE, the observed be-\nhavior is inverted with respect to FIG.1a: a positive (neg-\native) current now reduces (broadens) the linewidth.\nWe report in FIG.2 the values of \u0001 Hk, the full\nlinewidth measured in the in-plane geometry, as a func-\ntion of current. The data points follow approximately\na straight line, whose slope \u00060:5 Oe/mA reverses with\nthe direction of H0along\u0006^yand whose intercept with\nthe abscissa axis occurs at I\u0003\n6.33 GHz =\u000714 mA. More-\nover, we emphasize that the variation of linewidth covers\nabout a factor \fve on the full range of current explored.\nThe inset of FIG.2 shows the inverse spin Hall voltage\nVISHE measured at Idc= 0 mA and f= 6:33 GHz. This\nvoltage results from the spin current produced by spin\npumping from YIG to Pt and its subsequent conversion\ninto charge current by ISHE [16]. Its sign changes with\nthe direction of the bias magnetic \feld, as shown by the\nblue and red VISHE spectra. This observation con\frms\nthat a spin current can \row from YIG to Pt and that\ndamping reduction occurs for a current polarity corre-\nsponding to a negative product of VISHE andIdc.\nTo gain more insight into these results, we now an-\nalyze the frequency dependence of the full linewidth at\nhalf maximum for three values of dc current (0, \u00066 mA)\nfor both the out-of-plane and in-plane geometries. We\nstart with the out-of-plane data, plotted in FIG.3a. The\ndispersion relation displayed in the inset follows the Kit-\ntel law,!=\r(H0\u00004\u0019Ne\u000bMs), whereNe\u000bis an e\u000bective\ndemagnetizing factor close to 1 [37, 38]. The linewidth\n\u0001H?increases linearly with frequency along a line that\nintercepts the origin, a signature that the resonance is\nhomogeneously broadened [27]. In this geometry, the\nGilbert damping coe\u000ecient is simply \u000b=\r\u0001H?=(2!) =\n1:1\u000110\u00003and the reaxation time \u001c= 1=(\u000b!). We also\nreport on this \fgure the fact that at 10.33 GHz, \u0001 H?=\n7 Oe is independent of the current (see FIG.1b).\nFIG. 3. (Color online) Frequency dependence of the linewidth\nfor three values of the dc current (0, \u00066 mA) a) in the per-\npendicular geometry and b) in the parallel geometry. Insets\nshow the corresponding dispersion relations f(H0).\nThe damping found in our YIG jPt microdisk is signif-\nicantly larger than the one measured in the bare YIG\n\flm\u000b0= 2:3\u000110\u00004(cf. Table I). This di\u000berence is due\nto the spin pumping e\u000bect, and enables to determine the\nspin-mixing conductance of our YIG jPt interface through\n[39, 40]:\n\u000b=\u000b0+\r\u0016h\n4\u0019M stYIGG\"#\nG0; (3)\nwhereG0= 2e2=his the quantum of conductance. The\nmeasured increase of almost 9 \u000110\u00004for the damping\ncorresponds to G\"#= 1:5\u00021014\n\u00001m\u00002, in agree-\nment with a previous determination made on similar\nYIGjPt nanodisks [27]. This value allows us to esti-\nmate the spin transparency of our interface [25], T=\nG\"#=(G\"#coth (tPt=\u0015sd) +\u001b=(2\u0015sd))'0:15, where\u001bis\nthe Pt conductivity and \u0015sdits spin-di\u000busion length.\nMoreover, the spin-mixing conductance can be used to\nanalyze quantitatively the dc ISHE voltage produced at\nresonance [21, 41, 42]. Using the parameters of Table\nI and the value of G\"#, we \fnd that the 50 nV voltage\nmeasured in the inset of FIG.2 is produced by an angle\nof precession \u0012'3:5\u000e, which lies in the expected range.\nWe now turn to the in-plane data, presented in FIG.3b.\nThe dispersion relation plotted in the inset follows the\nKittel law !=\rp\nH0(H0+ 4\u0019Ne\u000bMs). In this case,\n1=\u001c=\u000b(@!=@H 0) (!=\r). ForIdc= 0 mA the slope of\nthe linewidth vs. frequency is exactly the same as that\nin the perpendicular direction \u000b= 1:1\u000110\u00003. For this\ngeometry, however, the line does not intercept the origin,\nindicating a \fnite amount of inhomogeneous broadening\n\u0001H0= 2:5 Oe, i.e, the presence of several modes within\nthe resonance line. Setting Idcto\u00066 mA shifts \u0001 Hkby\n\u00063 Oe independently of the frequency, which is consistent\nwith the rate of 0.5 Oe/mA reported at 6.33 GHz in\nFIG.2. In fact, in the presence of the e\u000bective damping4\nFIG. 4. (Color online) a) Density plot of the MRFM spectra\nat 4.33 GHz vs. \feld and current Idc2[\u000012;+12] mA. The\ncolor scale represents 4 \u0019\u0001Mz(white: 0 G, black: 1.5 G).\nb) Evolution of integrated power vs. Idc. c) Dependence of\nlinewidth on Idc. d) Di\u000berential measurements of Mz(Idc\nmodulated by 0.15 mA pp, no rf excitation) vs. Idcat six\ndi\u000berent values of the in-plane magnetic \feld.\n\u0000s, the linewidth of the resonance line varies as\n\u0001Hk= \u0001H0+ 2\u000b!\n\r+ 2Js\nMstYIG: (4)\nThis expression is valid when ( @!=@H 0)'\r,i.e., at large\nenough \feld or frequency (see inset of FIG.3b). It de-\nscribes appropriately the experimental data on the whole\nfrequency range measured.\nIn order to investigate the autonomous dynamics of\nthe YIG layer and exceed the compensation current, I\u0003,\nwe now perform measurements at lower excitation fre-\nquency, where the threshold current is estimated below\n12 mA. In FIG.4a, we present a density plot of the\nMRFM spectra acquired at 4.33 GHz as a function of\nthe in-plane magnetic \feld and Idcthrough the Pt. The\nmeasured signal is clearly asymmetric in Idc. At positive\ncurrent, it broadens and its amplitude decreases, almost\ndisappearing above +8 mA, whereas at negative current,\nit becomes narrower and the amplitude is maximal at\nIdc<\u000010 mA.\nThe power integrated over the full \feld range normal-\nized by its value at 0 mA and the linewidth variation vs.\nIdcare plotted in FIGs.4b and 4c, respectively. The nor-\nmalized integrated power varies by a factor of \fve from\n+12 mA to\u000012 mA following an inverse law on Idc(see\ncontinuous line), which is consistent with the spin trans-\nfer e\u000bect [11, 43]. The linewidth varies roughly linearly\nwithIdc: it increases from 6 Oe at 0 mA up to 14 Oe at\n+12 mA and it reaches a minimum value close to 2 Oe\nbetween\u00008 and\u000011 mA. It is interesting to note that\nthis happens in a region of the density plot where the\nevolution of the signal displays some kind of discontinu-\nity, with the appearance of several high amplitude peaksin the spectrum (see arrow in FIG.4a). We tentatively\nascribe this feature to the onset of auto-oscillations in\nthe YIG layer, namely, one or several dynamical modes\nhave their relaxation compensated by the injected spin\ncurrent and are destabilized [16].\nTo con\frm this hypothesis, we present in FIG.4d re-\nsults of an experiment where no rf excitation is applied\nto the system. Here, the dc current is modulated at the\nMRFM cantilever frequency by \u000eI= 0:15 mA ppand the\ninduced\u000eMzis probed as a function of Idc. This experi-\nment thus provides a di\u000berential measurement @M z=@Idc\nof the magnetization (in analogy with dV=dI measure-\nments in transport experiments). At H0= 0:92 kOe, a\npeak in@M z=@Idcis measured around \u00009 mA. It corre-\nsponds to a variation of 4 \u0019\u000eM z'0:5 G, i.e., a change of\nthe angle of precession by 1 :3\u000einduced by the modula-\ntion of current. Moreover, this narrow peak observed in\n@M z=@Idcshifts linearly in dc current with the applied\nmagnetic \feld, from \u00008 mA at 0.81 kOe to \u000010 mA at\n1.1 kOe (see the continuous straight line in FIG.4d), in\nagreement with the expected behavior of the threshold\ncurrent Eq.(1).\nHence, FIG.4 presents a set of data consistent with\nthe determination of a critical current of I\u0003=\u00009 mA at\nH0= 0:92 kOe, corresponding to J\u0003\nc'3\u00011011A.m\u00002,\nin agreement with the value of 2 \u00011011A.m\u00002expected\nfrom Eqs.(1) and (2) and the parameters of our system.\nNevertheless, the destabilization of dynamical modes is\nrather small, as the jump of resonance \feld at I\u0003(due\nto reduction of the magnetization) does not exceed the\nlinewidth. We suspect that in our YIG jPt microdisk, the\nsplitting of modes is not su\u000ecient to prevent nonlinear\ninteractions that limit the amplitude of auto-oscillations\n[11]. In order to favor larger auto-oscillation amplitudes,\nYIG structures that are even more con\fned laterally (be-\nlow 1\u0016m) should be used [27], or one should excite a\nbullet mode [13].\nIn conclusion, we have demonstrated that it is possi-\nble to control electronically the SW damping in a YIG\nmicrodisk. Extending this result to one-dimensional SW\nguide [44] will o\u000ber great prospect in the emerging \feld\nof magnonics [45, 46], whose aim is to investigate the ma-\nnipulation of SW and their quanta { magnons { with the\nbene\fce of combining ultra-low energy consumption and\ncompactness. To improve the magnonic paradigm, a so-\nlution will be to actively compensate damping in the YIG\nmagnetic insulator by SW ampli\fcation through stimu-\nlated emission generated by a charge current in the ad-\njacent metallic layer with strong SOI.\nThis research was supported by the French Grants\nTrinidad (ASTRID 2012 program), by the RTRA Trian-\ngle de la Physique grant Spinoscopy, and by the Deutsche\nForschungsgemeinschaft. We acknowledge C. Deranlot,\nE. Jacquet, and R. Lebourgeois for their contribution to\nthe growth of the sample and A. Fert for fruitful discus-\nsion.5\n\u0003Emails: oklein@cea.fr & gregoire.deloubens@cea.fr\n[1] S. O. Valenzuela and M. Tinkham, Nature (London) 442,\n176 (2006)\n[2] T. Jungwirth, J. Wunderlich, and K. Olejnik, Nat Mater\n11, 382 (May 2012), ISSN 1476-1122\n[3] A. Manchon and S. Zhang, Phys. Rev. B 78, 212405\n(2008)\n[4] A. Thiaville, S. Rohart, \u0013Emilie Ju\u0013 e, V. Cros, and A. Fert,\nEPL (Europhysics Letters) 100, 57002 (2012)\n[5] M. I. Dyakonov and V. I. Perel, JETP Lett. 13, 467\n(1971)\n[6] J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999)\n[7] I. M. Miron, K. Garello, G. Gaudin, P.-J. Zermatten,\nM. V. Costache, S. Au\u000bret, S. Bandiera, B. Rodmacq,\nA. Schuhl, and P. Gambardella, Nature (London) 476,\n189 (2011)\n[8] L. Liu, C.-F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, and\nR. A. Buhrman, Science 336, 555 (2012)\n[9] K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda,\nS. Maekawa, and E. Saitoh, Phys. Rev. Lett. 101, 036601\n(2008)\n[10] L. Liu, T. Moriyama, D. C. Ralph, and R. A. Buhrman,\nPhys. Rev. Lett. 106, 036601 (2011)\n[11] V. E. Demidov, S. Urazhdin, E. R. J. Edwards, M. D.\nStiles, R. D. McMichael, and S. O. Demokritov, Phys.\nRev. Lett. 107, 107204 (2011)\n[12] K. An, D. R. Birt, C.-F. Pai, K. Olsson, D. C. Ralph,\nR. A. Buhrman, and X. Li, Phys. Rev. B 89, 140405\n(2014)\n[13] V. Demidov, S. Urazhdin, H. Ulrichs, V. Tiberkevich,\nA. Slavin, D. Baither, G. Schmitz, and S. O. Demokritov,\nNature Mater. (London) 11, 1028 (2012)\n[14] L. Liu, C.-F. Pai, D. C. Ralph, and R. A. Buhrman, Phys.\nRev. Lett. 109, 186602 (2012)\n[15] R. H. Liu, W. L. Lim, and S. Urazhdin, Phys. Rev. Lett.\n110, 147601 (2013)\n[16] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,\nK. Takanashi, S. Maekawa, and E. Saitoh, Nature (Lon-\ndon) 464, 262 (2010)\n[17] C. W. Sandweg, Y. Kajiwara, K. Ando, E. Saitoh, and\nB. Hillebrands, Appl. Phys. Lett. 97, 252504 (2010)\n[18] H. Kurebayashi, O. Dzyapko, V. E. Demidov, D. Fang,\nA. J. Ferguson, and S. O. Demokritov, Nature Mater.\n(London) 10, 660 (2011)\n[19] L. H. Vilela-Le~ ao, C. Salvador, A. Azevedo, and S. M.\nRezende, Appl. Phys. Lett. 99, 102505 (2011)\n[20] A. V. Chumak, A. A. Serga, M. B. Jung\reisch, R. Neb,\nD. A. Bozhko, V. S. Tiberkevich, and B. Hillebrands,\nAppl. Phys. Lett. 100, 082405 (2012)\n[21] C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Nale-\ntov, and J. Ben Youssef, Phys. Rev. B 87, 174417 (2013)\n[22] O. d'Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef,\nC. Hahn, A. H. Molpeceres, C. Carretero, E. Jacquet,\nC. Deranlot, P. Bortolotti, R. Lebourgeois, J.-C. Mage,\nG. de Loubens, O. Klein, V. Cros, and A. Fert, Appl.\nPhys. Lett. 103, 082408 (2013)\n[23] H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Hammel,\nand F. Y. Yang, Phys. Rev. B 88, 100406 (2013)\n[24] Indirect observation through small changes of the SW\namplitude [47, 48] cannot be conclusive due to the sensi-tivity to thermal e\u000bects.\n[25] Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer,\nS. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer,\nPhys. Rev. B 87, 144411 (2013)\n[26] J. Xiao and G. E. W. Bauer, Phys. Rev. Lett. 108, 217204\n(2012)\n[27] C. Hahn, V. V. Naletov, G. de Loubens, O. Klein,\nO. d'Allivy Kelly, A. Anane, R. Bernard, E. Jacquet,\nP. Bortolotti, V. Cros, J. L. Prieto, and M. Mu~ noz, Appl.\nPhys. Lett. 104, 152410 (2014)\n[28] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek, M. Jantz,\nW. Schneider, M. Wu, H. Schultheiss, and A. Ho\u000bmann,\nAppl. Phys. Lett. 101, 152405 (2012)\n[29] M. Althammer, S. Meyer, H. Nakayama, M. Schreier,\nS. Altmannshofer, M. Weiler, H. Huebl, S. Gepr ags,\nM. Opel, R. Gross, D. Meier, C. Klewe, T. Kuschel, J.-M.\nSchmalhorst, G. Reiss, L. Shen, A. Gupta, Y.-T. Chen,\nG. E. W. Bauer, E. Saitoh, and S. T. B. Goennenwein,\nPhys. Rev. B 87, 224401 (2013)\n[30] P. Pirro, T. Brcher, A. V. Chumak, B. Lgel, C. Dubs,\nO. Surzhenko, P. Grnert, B. Leven, and B. Hillebrands,\nAppl. Phys. Lett. 104, 012402 (2014)\n[31] J.-C. Rojas-S\u0013 anchez, N. Reyren, P. Laczkowski,\nW. Savero, J.-P. Attan\u0013 e, C. Deranlot, M. Jamet, J.-M.\nGeorge, L. Vila, and H. Ja\u000br\u0012 es, Phys. Rev. Lett. 112,\n106602 (2014)\n[32] Z. Zhang, P. C. Hammel, and P. E. Wigen, Appl. Phys.\nLett. 68, 2005 (1996)\n[33] O. Klein, G. de Loubens, V. V. Naletov, F. Boust,\nT. Guillet, H. Hurdequint, A. Leksikov, A. N. Slavin,\nV. S. Tiberkevich, and N. Vukadinovic, Phys. Rev. B 78,\n144410 (2008)\n[34] H.-J. Chia, F. Guo, L. M. Belova, and R. D. McMichael,\nPhys. Rev. Lett. 108, 087206 (2012)\n[35] When FMR conditions are met, the precession angle in-\ncreases and in consequence the static magnetization (pro-\njection along the precession axis) decreases, hereby excit-\ning mechanically the cantilever.\n[36] Actually, the resonance peak shifts in \feld due to both\nthe linear (\u00191:5 Oe/mA) and quadratic ( \u00190:5 Oe/mA2)\ncontributions in current of Oersted \feld and Joule heat-\ning, respectively.\n[37] G. N. Kakazei, P. E. Wigen, K. Y. Guslienko,\nV. Novosad, A. N. Slavin, V. O. Golub, N. A. Lesnik,\nand Y. Otani, Appl. Phys. Lett. 85, 443 (2004)\n[38] V. V. Naletov, G. de Loubens, G. Albuquerque, S. Bor-\nlenghi, V. Cros, G. Faini, J. Grollier, H. Hurdequint,\nN. Locatelli, B. Pigeau, A. N. Slavin, V. S. Tiberke-\nvich, C. Ulysse, T. Valet, and O. Klein, Phys. Rev. B\n84, 224423 (2011)\n[39] Y. Tserkovnyak, A. Brataas, G. E. W. Bauer, and B. I.\nHalperin, Rev. Mod. Phys. 77, 1375 (2005)\n[40] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz,\nE. Girt, Y.-Y. Song, Y. Sun, and M. Wu, Phys. Rev.\nLett. 107, 066604 (2011)\n[41] O. Mosendz, J. E. Pearson, F. Y. Fradin, G. E. W. Bauer,\nS. D. Bader, and A. Ho\u000bmann, Phys. Rev. Lett. 104,\n046601 (2010)\n[42] V. Castel, N. Vlietstra, J. Ben Youssef, and B. J. van\nWees, Appl. Phys. Lett. 101, 132414 (2012)\n[43] A. Hamadeh, G. de Loubens, V. V. Naletov, J. Grol-\nlier, C. Ulysse, V. Cros, and O. Klein, Phys. Rev. B 85,\n140408 (2012)\n[44] Z. Duan, A. Smith, L. Yang, B. Youngblood, and I. N.6\nKrivorotov, arXiv:1404.7262(2014)\n[45] V. V. Kruglyak, S. O. Demokritov, and D. Grundler,\nJournal of Physics D: Applied Physics 43, 264001 (2010)\n[46] A. A. Serga, A. V. Chumak, and B. Hillebrands, Journalof Physics D: Applied Physics 43, 264002 (2010)\n[47] Z. Wang, Y. Sun, M. Wu, V. Tiberkevich, and A. Slavin,\nPhys. Rev. Lett. 107, 146602 (2011)\n[48] E. Padr\u0013 on-Hern\u0013 andez, A. Azevedo, and S. M. Rezende,\nAppl. Phys. Lett. 99, 192511 (2011)" }, { "title": "1703.07533v1.Fabrication_and_magnetic_control_of_Y3Fe5O12_cantilevers.pdf", "content": "arXiv:1703.07533v1 [cond-mat.mes-hall] 22 Mar 2017Fabrication and magnetic control of Y 3Fe5O12cantilevers\nYong-Jun Seo1,2,∗Kazuya Harii1,3, Ryo Takahashi1,3, Hiroyuki Chudo1,3, Koichi\nOyanagi4, Zhiyong Qiu2, Takahito Ono5, Yuki Shiomi1,4, and Eiji Saitoh1,2,3,4\n1Spin Quantum Rectification Project, ERATO, Japan Science an d Technology Agency, Aoba-ku, Sendai 980-8577, Japan\n2WPI Advanced Institute for Materials Research, Tohoku Univ ersity, Sendai 980-8577, Japan\n3Advanced Science Research Center, Japan Atomic Energy Agen cy, Tokai 319-1195, Japan\n4Institute for Materials Research, Tohoku University, Send ai 980-8577, Japan and\n5Graduate School of Engineering, Tohoku University, Sendai 980-8579, Japan\nWe have fabricated ferrite cantilevers in which their vibra tional properties can be controlled by\nexternal magnetic fields. Submicron-scale cantilever stru ctures were made from Y 3Fe5O12(YIG)\nfilms by physical etching combined with use of a focused ion be am milling technique. We found that\nthe cantilevers exhibit two resonance modes which correspo nd to horizontal and vertical vibrations.\nUnder external magnetic fields, the resonance frequency of t he horizontal mode increases, while that\nof the vertical mode decreases, quantitatively consistent with our numerical simulation for magnetic\nforces. The changes in resonance frequencies with magnetic fields reach a few percent, showing that\nefficient magnetic control of resonance frequencies was achi eved.\nSpin mechanics [1], which explores interplay between\nmagnetism and mechanical motion, is a young research\nfield emerging along with the advance in spintronics [2].\nClassical examples of such phenomena are the Einstein-\nde Haas effect [3] and its inverse effect, the Barnett effect\n[4]. In the Einstein-de Haas effect, mechanical rotation is\ninduced by transfer of angular momentum from magne-\ntization to mechanical ones. To detect mechanical effects\ninduced by spins, a cantilever structure provides one of\nthe most suitable tools [5–10]. A cantileveris a long rigid\nplate of which one end is supported tightly but the other\nend can mount a load. Because of their high sensitivity\n[11, 12], cheapness, and ease of fabrication in large areas,\ncantilever structures have been essential in spin mechan-\nics [1, 5–10].\nIn commercial devices e.g.micro-electro-mechanical\nsystems (MEMS), cantilevers are mostly fabricated on\nsilicon wafers. Silicon is the most common semiconduct-\ning material on the earth, and widely used as a base\nmaterial in the semiconductor industry. Silicon can-\ntilevers thereby have great advantage since nanofabrica-\ntion techniques developed in the semiconductor industry\ncan be harnessed effectively. However, recent develop-\nment in state-of-the-art nanofabrication techniques such\nas a focused ion beam (FIB) method enables wide ma-\nterial choice as ingredients of cantilevers, such as mag-\nnetic, piezoelectric, and ferroelectric materials. Can-\ntilevers made of such functional materials are promising\nfor exploration of new features in minute cantilever de-\nvices.\nIn this study, we have fabricated ferrimagnetic can-\ntilevers using garnet ferrite Y 3Fe5O12(YIG). YIG is\na typical magnetic insulator [13–18] with excellent mi-\ncrowave properties, and thus has widely been used in\nmagnonicsand spintronics fields [2]. However,direct fab-\nrication of YIG cantilevers has not been reported yet,\n∗Electronic address: seo@imr.tohoku.ac.jpalthough magnetic control of cantilever properties is ex-\npected owing to the strong spontaneous magnetization of\nYIG. In addition to functionality asmagnetic cantilevers,\na marriage between MEMS technology and spintronics\nwill acceralate the study of spin mechanics. As shown in\nthe following, we successfully fabricated a YIG cantilever\nwith a Pt mirror in situusing an FIB milling technique,\nand demonstrated efficient control of resonant frequen-\ncies by using small external magnetic fields.\nFigure 1 shows the fabrication process of our YIG can-\ntilever. A YIG cantilever with a Pt mirror was fab-\nricated using a dual beam FIB/SEM system (Versa3D\nDualBeam; FEI Company). The starting material is a\nYIG film with 3 µm thickness grown on a gadolinium\ngallium garnet (GGG) substrate. A cantilever structure\nwas patterned by the FIB milling, as shown in Fig. 1(b).\nThe depth of the milling was about 6 µm, which is much\ngreater than the thickness of the YIG layer. In order\nto improve the reflectivity of the laser light used in the\nDoppler vibrometry, a Pt film was deposited on the head\nof the cantilever in situusing the FIB deposition, as\nshown in Fig. 1(c). Then, the base of the cantilever was\nmilled away by the FIB milling at the angle of 38 degrees\nfrom the film plane, as shown in Fig. 1(d). This process\nwas repeated for the other side (Fig. 1(d)), and then\nthe YIG cantilever structure was obtained. A fabricated\nYIG cantilever with a Pt mirror is shown in Fig. 1(e);\nthe size is 0 .8µm in width, 0 .9µm in thickness, and 80\nµm in length. The cantilever is not completely symmet-\nric, as shown in a cross-sectional image in Fig. 1(e). For\ncomparison, non-magnetic Gd 3Ga5O12cantilevers were\nfabricated using the same method.\nVibration spectra of the fabricated cantilevers in the\ndirection normal to the cantilever ( zaxis in Fig. 2(a))\nwere measured with a laser Doppler vibrometer (MSA-\n100-3D;PolytecInc.) atroomtemperature, asillustrated\nin Fig. 2(a). Here, the cantilever vibration is mainly\ndriven by thermal energy of the cantilever, but other\nminor mechanisms such as residual vibrational/acoustic\nexcitation and electrical noise may exist. The measure-2\nFIG. 1: (a)-(d) Schematic illustrations of the fabrication pro-\ncess of YIG cantilever. (e) SEM images of the fabricated\nYIG cantilever. Cross sectional image at the head part is als o\nshown in (e).\nment was performed in a high vacuum of 10−4Pa to im-\nprove sensitivity. External magnetic fields were applied\nto the cantilever samples using electromagnets along the\nperpendicular direction to the cantilever within the film\nplane (xaxis in Fig. 2(a)).\nFigure 2(b) shows the frequency dependence of dis-\nplacement, D, of the YIG cantilever. In the frequency\nrange from 60 to 80 kHz, two sharp peaks are observed;\nthe frequencies of the peaks are 64 .656 kHz and 72 .516\nkHz. From a numerical simulation using COMSOL Mul-\ntiphysics software [19], we assigned these peaks as res-\nonance modes of the cantilever. The lower resonance\nfrequency (64 .656 kHz) corresponds to the horizontal vi-\nbrational mode, while the higher one (72 .516 kHz) the\nvertical vibrational mode. Though the laser beam was\nset to be perpendicular to the film plane [Fig. 2(a)],\nsmall distortion in cantilever shape enables the detection\nof the horizontal vibration mode. In commercial can-\ntilevers, since the cantilever thickness is much less than\nthe cantileverwidth, the resonancefrequencies ofthe two\nmodes are significantly different; it is noted that the res-\nonance frequency in cantilevers is known to be propor-\ntional to the cantilever thickness. In contrast, since the\nwidth and thickness of our YIG cantilever are similar,\nboth the horizontal and vertical modes were observed in\nFIG. 2: (a) A schematic illustration of measurement setup.\n(b)Frequencydependenceof displacement measured along th e\ndirection normal to the film plane (denoted by D) for the YIG\ncantilever. In this frequency range, two resonance modes,\nhorizontal and vertical modes, are observed as sharp peaks.\nthe similar frequency range. This argument is supported\nbythe factthat the ratioofthetworesonancefrequencies\n(= 72.516kHz/64.656kHz) almost coincides with that of\nthecantileverthicknesstothewidth(= 900nm /800nm).\nFrom the displacement measurement without applying\nmagnetic fields shown in Fig. 2, the quality factor ( Q)\nof the YIG cantilever was estimated to be 1000. Using a\nrelation of the minimum detectable force [22, 23]\nδFmin=/radicalBigg\n4kkBT\n2πf0Q, (1)\nthe minimum detectable force from the cantilever size is\nestimated to be 5 ×10−16N for the horizontal and the\nvertical resonance mode. Here, k,kB,T, andf0are the\nspring constant, the Boltzmann constant, the cantilever\ntemperature, and the resonance frequency, respectively.\nThespringconstant koftheYIGcantileverisdetermined\nusing the relation of k=EI/L3, whereEis The Young’s\nmodulus of YIG [20, 21], Iis momentum of inertia simu-\nlated from the cantilever dimension using the COMSOL\nsoftware[19], and Lis length of the cantilever. The value\nofkis calculated to be 6 mN/m. The obtained min-\nimum detectable force shows that highly sensitive YIG\ncantilevers which can detect forces as small as 100 aN\n(= 10−16N) were fabricated. This minimum detectable\nforce is much less than that used commercially in the\natomic force microscopy (AFM).\nMagnetic field dependence of the resonance frequen-\ncies is shown in Fig. 3. With increasing magnetic-field\nstrength, theresonancefrequenciesofboththe horizontal\nand vertical modes change clearly in Figs. 3(a) and 3(b),\nalthough the peak shape (i.e. the Qfactor) is almost\nconstant with magnetic fields. As shown in Fig. 3(a),\nthe resonance frequency of the horizontal mode steeply\nincreases, as the magnetic field is raised from 0 G to 390\nG. Above 390 G, the increase in the resonance frequency\nwith magnetic fields tends to be almost saturated. At\n1060 G, the resonance frequency is 66 .753 kHz, higher3\nFIG. 3: Frequency dependence of the displacement (denoted\nbyD) measured in the frequency range around the resonance\nfrequencies of (a) horizontal and (b) vertical modes in the\nYIG cantilever, and (c) horizontal and (d) vertical modes in a\nnon-magnetic GGG cantilever. (e) The frequency shifts with\nmagnetic fields are plotted as a function of external mag-\nnetic fields for the YIG and GGG cantilevers. Fits to the\nexperimental results for the YIG cantilever (solid and dash ed\ncurves) are also shown.\nthan that in zero magnetic field by about 2 kHz. Also\nfor the vertical mode, the similar strong magnetic field\ndependence is observed especially at low magnetic fields,\nas shown in Fig. 3(b). However, on the contrary to the\nhorizontal mode, the resonance frequency of the vertical\nmode decreases with increasing magnetic fields. Hence,\nthe clear difference in the response to magnetic fields is\nobserved between the two modes.\nThe magnetic field dependence of the frequency shifts\nis summarized in Fig. 3(e). The shifts are observed also\ninnegativemagneticfieldsfortheYIGcantilever,andthe\nmagnetic field dependence is clearly even with respect\nto magnetic fields for both the horizontal and vertical\nmodes. The maximal magnitudes of the frequency shifts\nreachafew percent, indicatingthatthe efficientmagnetic\ncontrol of the resonance frequencies is achieved by small\nmagnetic fields.\nTo examine the origin of the frequency shifts,\nwe performed similar experiments for a non-magnetic\nGd3Ga5O12cantilever. The frequency dependence of D\nfor the GGG cantilever is shown in Figs. 3(c) and 3(d).\nAlso for the GGG cantilever, the resonance modes corre-\nsponding to horizontal and vertical modes are observed;\nthe resonance frequencies are different from those for the\nYIG cantilever because the cantilever sizes are a little bit\ndifferent. As shown in Figs. 3(c) and 3(d), under exter-\nnal magnetic fields up to 1000 G, no frequency shifts are\nobserved either for the horizontal or vertical mode in the\nGGG cantilever. This results show that the frequency\nshifts observed in the YIG cantilever are related to the\nspontaneous magnetization in YIG.\nAs an origin of the frequency shifts in the YIG can-\ntilever, let us first consider magnetostriction effects un-\nder external magnetic fields. In the YIG cantilever, the\nFIG. 4: (a) Contour plot of stray-magnetic-field profile sim-\nulated around the YIG cantilever. The x-component of the\nstray field at the point [ x,z], (Hx[x,z]) is mapped. The\nsimulation was performed in the cross section around the\nhead part of the YIG cantilever under B= 1000 G, where\nBis a unidirectional external magnetic field applied along\nthex-axis. (b),(c) The spatial change in the stray field\n∆Hx≡Hx[x,z]−Hx[0,0] (b) in the xdirection at z= 0\n(∆Hx[x,0] =Hx[x,0]−Hx[0,0]) and (c) in the zdirection at\nx= 0 (∆Hx[0,z] =Hx[0,z]−Hx[0,0]). The point at [0, 0] is\nset at the center of the YIG cantilever (see (a)). Hx[0, 0] are\n7.70 Oe, 101 Oe, and 1030 Oe under B= 10 G, 100 G, and\n1000 G, respectively.\nmagnetostrictioneffect might affect the vibrationalprop-\nerties. However, the megnetostriction coefficient for YIG\nis as small as 10−4% [24, 25], and thus the possible fre-\nquencyshiftsduetomagnetostrictioneffectsareexpected\nto be much smaller than the observed shifts (100%).\nTherefore, the magnetostriction in the YIG cantilever is\nnot likely to explain the large frequency shifts observed\nin Figs. 3(a) and (b).\nSince the fabricated YIG cantilever is surrounded by\nthe YIG film, spacial gradients of the stray fields around\nthe cantilever should affect the vibrational properties\nthrough the magnetic force gradients. When the magne-\ntization of the YIG cantilever is uniformly aligned in the\nmagnetic-field direction (defined as the xdirection), the\nmagneticforcegradientforthe idirection( i=x,y,z)[26]\nis given by\n∂FMag\n∂i=∂2(/vectorM·/vectorH)\n∂2i=Mx∂2Hx\n∂2i≡keff,(2)\nwhere/vectorMis the magnetization and /vectorHis the magnetic\nfield. Owing to this change in the effective spring con-\nstantkeffofthe cantileverbythe magneticforcegradient,4\nthe frequency shift [27] is expected to be observed, i.e.\n∆f=1\n2π/parenleftBig/radicalbigg\nk+keff\nmeff−/radicalbigg\nk\nmeff/parenrightBig\n. (3)\nHere,kis the spring constant in zero magnetic field and\nmeffis the effective mass of the cantilever. As shown\nin eq. (2) and eq. (3), the frequency shift due to the\nmagnetic force gradient is caused by the changes in Mx\nand∂2Hx\n∂i2with magnetic fields.\nWe performed a numerical simulation for the mag-\nnetic force gradient using COMSOL Multiphysics soft-\nware. Figure 4(a) shows a contour plot of the simulated\nmagnetic-field profile in the cross section around the tip\nof the YIG cantilever. Because of the magnetization in\nthe YIG film surrounding the cantilever, the stray field\naround the cantilever has spatial gradients even under\nthe unidirectional magnetic field. Besides, with increas-\ning magnetic field strength, the stray field along the x\ndirection ( Hx) increases owing to the strong magnetiza-\ntion of the surrounding YIG film. Here, the zaxis is\ndefined as the direction normal to the cantilever, and the\nmagnetic field is applied along the xaxis. The horizontal\nand vertical vibrations depend on Mx∂2Hx\n∂x2andMx∂2Hx\n∂z2,\nrespectively. In the horizontal ( x) direction, the sign of\nthe stray-field curvature∂2Hx\n∂x2is positive, and its mag-\nnitude increases with increasing external magnetic fields,\nas shown in Fig. 4(b). Thus, accordingto eq. (2) and eq.\n(3), the positive keffresults in the valley-like magnetic-\nfield dependence of the frequency shift, as shown in Fig.3(e). In contrast, in the vertical ( z) direction, the mag-\nnetic field Hxis strongest at [ x,z] = [0,0]. In this case,\nas shown in Fig. 4(c),∂2Hx\n∂z2is negative and decrease with\nincreasing magnetic fields, which turns out to give rise to\nthe peak-like magnetic-field dependence of the frequency\nshift shown in Fig. 3(e). The full calculation of the mag-\nnetic field dependences of Mxand∂2Hx\n∂i2(i=x,z) quan-\ntitatively explains the magnitudes and the signs of the\nfrequency shifts for the horizontal and vertical modes, as\nindicated by the solid and dashed curves, respectively, in\nFig. 3(e). Hence, the large frequency shifts observed in\nthe YIG cantilever induced by the magnetic fields can be\nexplained by magnetic force gradients produced by the\nsurrounding YIG film.\nIn summary, we have reported on the fabrication and\nthe magnetic control of YIG cantilevers. Under the ex-\nternal magnetic fields, the frequencies of the two res-\nonance modes of the cantilever are shifted clearly; the\nshifts at 1000 G reach a few percent. The efficient mag-\nnetic control of the resonance frequency is well explained\nby magnetic force gradients from the surrounding YIG\nfilm. Since YIG has been typically used for study of var-\nious spin current phenomena, the YIG cantilever would\nbe useful for the mechanical detection of spin currents.\nWe thank S. Maekawa, M. Ono, M. Matsuo, Y.\nOikawa, and T. Hioki for fruitful discussions. This work\nwas supported by ERATO, Spin Quantum Rectification\nProject.\n[1] J.E. LosbyandM. R.Freeman, arXiv:1601.00674 (2016).\n[2] S.Maekawa, S.O.Valenzuela, E.Saitoh, T.Kimura, Spin\nCurrent, Oxford University Press, (2012).\n[3] A. Einstein and W. J. de Haas, Royal Neth. Acad. Arts\nSciences (KNAW) 18, 696 (1915).\n[4] S. J. Barnett, Phys. Rev. 6, 239 (1915).\n[5] T. M. Wallis, J. Moreland, and P. Kabos, Appl. Phys.\nLett.89, 122502 (2006).\n[6] P. Mohanty, G. Zolfagharkhani, S. Kettemann, and P.\nFulde, Phys. Rev. B 70, 195301 (2004).\n[7] G. Zolfagharkhani, A. Gaidarzhy, P. Degiovanni, S. Ket-\ntemann, P. Fulde, and P. Mohanty, Nature Nanotech. 3,\n720-723 (2008).\n[8] J. A. Boales, C. T. Boone, and P. Mohanty, Phys. Rev.\nB93, 161414(R) (2016).\n[9] J. E. Losby, F. Fani Sani, D. T. Grandmont, Z. Diao, M.\nBelov, J. A. J. Burgess, S. R. Compton, W. K. Hiebert,\nD. Vick, K. Mohammad, E. Salimi, G. E. Bridges, D. J.\nThomson, M. R. Freeman, Science 350, 798 (2015).\n[10] M. Wu, N. L.-Y. Wu, T. Firdous, F. F. Sani, J. E. Losby,\nM. R. Freeman, and P. E. Barclay, Nature Nanotech.\n(2016).\n[11] T. D. Stowe, K. Yasumura, T. W. Kenny, D. Botkin,\nK. Wago, and D. Rugar, Appl. Phys. Lett. 71, 288-290\n(1997).\n[12] J. Chaste, A. Eichler, J. Moser, G. Ceballos, R. Rurali,and A. Bachtold, Nature Nanotech. 7, 301-304 (2012).\n[13] Z. Zhang, P. C. Hammel, and P. E. Wigen, Appl. Phys.\nLett.68, 2005 (1996).\n[14] K. Wago, D. Botkin, C. S. Yannoni, and D. Rugar, Appl.\nPhys. Lett. 72, 2757 (1998).\n[15] M. M. Midzor, P. E. Wigen, D. Pelekhov, W. Chen, P.\nC. Hammel, and M. L. Roukes, J. Appl. Phys. 87, 6493\n(2000).\n[16] V. Charbois, V. V. Naletov, J. B. Youssef, and O. Klein,\nAppl. Phys. Lett. 80, 4795 (2002).\n[17] V. V. Naletov, V. Charbois, O. Klein, and C. Fermon,\nAppl. Phys. Lett. 83, 3132 (2003).\n[18] O. Klein, V. Charbois, V. V. Naletov, and C. Fermon,\nPhys. Rev. B 67, 220407 (2003).\n[19] https://www.comsol.com/\n[20] D. F. Gibbons and V. G. Chirba, Phys. Rev. 110, 770-\n771 (1958).\n[21] H. M. Chou and E. D. Case, Materials Science and En-\ngineering, 100, 7-14 (1988).\n[22] J. A. Sidles, J. L. Garbini, K. J. Bruland, D. Rugar, O.\nZuger, S. Hoen, and C. S. Yannoni, Rev. Mod. Phys. 67,\n249-268 (1995).\n[23] U. Durig, O. Zuger, and A. Stalder, J. Appl. Phys. 72,\n1778 (1992).\n[24] A. E. Clark, B. DeSavage, W. Coleman, E. R. Callen and\nH. B. Callen, J. Appl. Phys. 34, 1296-1297 (1963).5\n[25] A. B. Smith and R. V. Jones, J. Appl. Phys. 34, 1283-\n1284 (1963).\n[26] K. Babcock and V. Elings, IEEE Trans. Magn., 30, 4503-\n4505 (1994).[27] A. M´ endez-Vilas and J. D´ ıaz, Modern Research and Ed-\nucational Topics in Microscopy , FORMATEX 805-811\n(2007)." }, { "title": "2109.05045v1.Electrical_spectroscopy_of_the_spin_wave_dispersion_and_bistability_in_gallium_doped_yttrium_iron_garnet.pdf", "content": "Electrical spectroscopy of the spin-wave dispersion and\nbistability in gallium-doped yttrium iron garnet\nJoris J. Carmiggelt1,Olaf C. Dreijer1,Carsten Dubs2,Oleksii Surzhenko2,Toeno van der Sar1;\u0003\n1Department of Quantum Nanoscience, Kavli Institute of Nanoscience, Delft University of Technology, 2628 CJ\nDelft, The Netherlands\n2INNOVENT e.V. Technologieentwicklung, D-07745 Jena, Germany\n\u0003Corresponding author. Email: t.vandersar@tudelft.nl\nAbstract\nYttrium iron garnet (YIG) is a magnetic insulator with record-low damping, allowing spin-\nwave transport over macroscopic distances. Doping YIG with gallium ions greatly reduces\nthe demagnetizing \feld and introduces a perpendicular magnetic anisotropy, which leads to an\nisotropic spin-wave dispersion that facilitates spin-wave optics and spin-wave steering. Here,\nwe characterize the dispersion of a gallium-doped YIG (Ga:YIG) thin \flm using electrical spec-\ntroscopy. We determine the magnetic anisotropy parameters from the ferromagnetic resonance\nfrequency and use propagating spin wave spectroscopy in the Damon-Eshbach con\fguration to\ndetect the small spin-wave magnetic \felds of this ultrathin weak magnet over a wide range of\nwavevectors, enabling the extraction of the exchange constant \u000b= 1:3(2)\u000210\u000012J/m. The\nfrequencies of the spin waves shift with increasing drive power, which eventually leads to the\nfoldover of the spin-wave modes. Our results shed light on isotropic spin-wave transport in\nGa:YIG and highlight the potential of electrical spectroscopy to map out the dispersion and\nbistability of propagating spin waves in magnets with a low saturation magnetization.\n1arXiv:2109.05045v1 [cond-mat.mes-hall] 10 Sep 2021Yttrium iron garnet (YIG) is a magnetic insulator that is famous for its low Gilbert damping and\nlong-range spin-wave propagation [1]. At low bias \felds the YIG magnetization is typically pushed in\nthe plane by the demagnetizing \feld [2], leading to an anisotropic spin-wave dispersion at microwave\nfrequencies. For applications that rely on spin-wave optics and spin-wave steering an isotropic spin-\nwave dispersion is desirable [3], which can be achieved by introducing gallium dopants in the YIG:\nThe presence of the dopants reduces the saturation magnetization and thereby the demagnetizing\n\feld [4], and induces a perpendicular magnetic anisotropy (PMA) [5, 6], such that the magnetization\npoints out-of-plane. Isotropic transport of forward-volume spin waves has been observed even at zero\nbias \feld [7], opening the door for spin-wave logic devices [8{10].\nTo harness isotropic spin waves it is essential to know the spin-wave dispersion, which is dominated\nby the exchange interaction for magnets with a low saturation magnetization [11]. Here, we use\nall-electrical spectroscopy of propagating spin waves to characterize the spin-wave dispersion of a 45-\nnm-thick \flm of gallium-doped YIG (Ga:YIG). Rather than looking at the discrete mode numbers\nof perpendicular standing spin waves [12], this method enables extracting the exchange constant by\nmonitoring the spin-wave transmission for a continuously-tunable range of wavevectors. We show\nthat this technique has su\u000ecient sensitivity to characterize spin waves in nanometer-thick Ga:YIG\n\flms, where perpendicular modes may be challenging to detect due to their high frequencies and\nsmall mode overlap with the stripline drive \feld.\nWe extract the anisotropy parameters from the \feld dependence of the ferromagnetic resonance\n(FMR) frequency at di\u000berent bias \feld orientations and \fnd that the PMA is strong enough to lift\nthe magnetization out of the plane. Next, we characterize the spin-wave dispersion from electrically-\ndetected spin-wave spectra. We measure in the Damon-Eshbach con\fguration to boost the inductive\ncoupling of the spin waves to the striplines [13], allowing the extraction of the spin-wave group ve-\nlocity over a wide range of wavevectors from which we determine the exchange constant. When\nincreasing the microwave excitation power, we observe clear frequency shifts of the spin-wave modes.\nThe shifts result in the foldover of spin waves, which we verify by comparing upward and downward\nfrequency sweeps. These results benchmark propagating spin wave spectroscopy as an accessible tool\nto characterize the exchange constant and spin-wave foldover in technologically attractive thin-\flm\nmagnetic insulators with low saturation magnetization and PMA.\nWe use liquid phase epitaxy to grow a 45-nm-thick \flm of Ga:YIG on an (111)-oriented gadolin-\nium gallium garnet (GGG) substrate (supplementary material section 1). Using vibrating sample\nmagnetometry (VSM) we determine the saturation magnetization Ms= 1:52(6)\u0002104A/m (Fig. 1a,\n2the number in parentheses denotes the 95% con\fdence interval), which is approximately an order of\nmagnitude smaller than undoped YIG \flms of similar thicknesses [14].\nOut-of-plane B0 (mT)02 0 -20Magnetization (emu/cm3)\n0\n-2020\nMagnetic field B0 (mT)FMR frequency (GHz)ab\n0Out-of-plane\nIn-plane\n80 16048\n0\nFigure 1: The saturation magnetization and magnetic anisotropies of Ga:YIG. (a) Hysteresis\nloop of the magnetization of a 45-nm-thick Ga:YIG \flm as a function of out-of-plane magnetic \feld\nB0measured using vibrating sample magnetometry and corrected for magnetic background. The arrows\ndenote the sweep direction of the magnetic \feld. (b) FMR measurements using an out-of-plane (green)\nand in-plane (red) magnetic \feld B0. From the \fts of the FMR frequencies (solid lines) we determine the\nperpendicular and cubic anisotropy \felds (see text).\nIn addition to PMA, Ga:YIG \flms also have a cubic magnetic anisotropy due to a cubic unit cell. We\nstart by determining the cubic and perpendicular anisotropy \felds from the ferromagnetic resonance\n(FMR) frequencies !FMR=2\u0019using an out-of-plane ( ?) and in-plane (jj) magnetic bias \feld B0. For\n(111)-oriented \flms the out-of-plane and in-plane Kittel relations are given by [14, 15]\n!FMR(?)=\r?(B0\u0000\u00160Ms+2K2?\nMs\u00004\n3K4\nMs); (1)\n!FMR(jj)=\rjjr\nB0(B0+\u00160Ms\u00002K2?\nMs\u0000K4\nMs): (2)\nHere\r?;jj=g?;jj\u0016B=\u0016his the gyromagnetic ratio with g?;jjthe anisotropic g-factor, \u0016Bthe Bohr mag-\nneton and \u0016hthe reduced Planck constant, \u00160is the magnetic permeability of free space, K2?is the\nuniaxial out-of-plane anisotropy (e.g. PMA) constant and K4the cubic anisotropy constant. During\nthe in-plane FMR measurement we apply the magnetic \feld along the [1 10] crystallographic axis to\nminimize the out-of-plane component of the magnetization (supplementary material section 2). We\nneglect any uniaxial in-plane anisotropy as it is known to be small in YIG samples [14].\n3By substituting the value of Msthat we obtained with VSM into equations 1 and 2, we can deter-\nmineK2?andK4from the FMR frequencies (Fig. 1b) [16]. From the \fts (solid lines) we extract\nthe uniaxial out-of-plane anisotropy \feld 2 K2?=Ms= 104:7(8) mT and the cubic anisotropy \feld\n2K4=Ms=\u00008:2(5) mT (supplementary material section 3). Undoped YIG \flms of similar thicknesses\nhave comparable cubic anisotropy \felds [14], which agrees with previous work on micrometer-scale\n\flms showing that the cubic anisotropy of YIG does not depend on gallium concentration [17]. We\ndetermine the in-plane and out-of-plane g-factors to be gjj= 2:041(4) and g?= 2:101(3) [18].\nGa:YIGa\n+20 dBVNAPort 2 Port 1\nw\ns\n10 μmB0xy\nFrequency (GHz)b\nMagnetic field B0 (mT)100 7511.522.5\n125d|S21|/dB0 (dB/mT)\n-0.5 0.5\nFigure 2: All-electrical propagating spin wave spectroscopy. (a) Optical micrograph of a Ga:YIG\n\flm with two gold striplines that are connected to the ports of a vector network analyser (VNA). Port 1\napplies a microwave current (typical excitation power: \u000035dBm) that induces a radio-frequency magnetic\n\feldBRFat the injector stripline. This \feld excites propagating spin waves that couple inductively to the\ndetector stripline at a distance s. The generated microwave current is ampli\fed and detected at port 2.\nA static magnetic \feld B0is applied in the Damon-Eshbach con\fguration and is oriented such that the\nchirality ofBRFfavours the excitation of spin waves propagating towards the detector stripline [19]. (b)\nField-derivative of the microwave transmission jS21jbetween two striplines ( w= 1\u0016m,s= 6\u0016m) as a\nfunction ofB0and microwave frequency. The colormap is squeezed, such that also fringes corresponding\nto low-amplitude spin waves are visible. A masked background was subtracted to highlight the signal\nattributed to spin waves (supplementary material section 4).\nWe now use propagating spin wave spectroscopy to characterize the spin-wave dispersion in Ga:YIG.\nWe measure the microwave transmission jS21jbetween two microstrips fabricated directly on the\n4Ga:YIG as a function of static magnetic \feld B0and frequency f(Fig. 2a). The magnetic \feld\nis applied in the Damon-Eshbach geometry to maximize the inductive coupling between the spin\nwaves and the striplines [13]. We measure a clear Damon-Eshbach spin-wave signal in the microwave\ntransmission spectrum when B0overcomes the PMA and pushes the spins in the plane (Fig. 2b,\nsupplementary material section 4). The signal appears at a \fnite frequency, because the bias \feld B0\nis applied along the [11 2] crystallographic axis with a \fnite out-of-plane angle of \u00181\u000e(supplementary\nmaterial section 2).\nThe fringes in the transmission spectra result from the interference between the spin waves and\nthe microwave excitation \feld [20, 21]. Each fringe indicates an extra spin-wavelength \u0015that \fts\nbetween the striplines. We can thus use the fringes to determine the group velocity vgof the spin\nwaves via [22]\nvg=@!SW\n@k\u00192\u0019\u0001f\n2\u0019=s= \u0001fs: (3)\nHere!SW= 2\u0019fandk= 2\u0019=\u0015 are the spin wave's angular frequency and wavevector, \u0001 fis the\nfrequency di\u000berence between two consecutive maxima or minima of the fringes (Fig. 3a) and sis the\ncenter-to-center distance between both microstrips.\nWe extract the exchange constant of our Ga:YIG \flm by \ftting the measured group velocity to an\nanalytical expression derived from the spin-wave dispersion. The Damon-Eshbach spin-wave disper-\nsion for magnetic thin \flms with cubic and perpendicular anisotropy is given by [15] (supplementary\nmaterial section 5)\n!SW(k) =r\n!B(!B+!M\u0000!K) +!Mt\n2(!M\u0000!K)k+\rjjD(2!B+!M\u0000!K)k2+\r2\njjD2k4:(4)\nHere we de\fned for notational convenience !B=\rjjB0,!M=\rjj\u00160Ms,!D=\rjjD\nMs, and!K=\n\rjj(2K2?=Ms+K4=Ms),tis the thickness of the \flm and D= 2\u000b=M sis the spin sti\u000bness, with \u000b\nthe exchange constant. Di\u000berentiating with respect to kgives an analytical expression for the group\nvelocity\nvg(k) =1\n2p\n!SW(k)\u0000!Mt\n2(!M\u0000!K) + 2\rjjD(2!B+!M\u0000!K)k+ 4\r2\njjD2k3\u0001\n: (5)\nSince we determined Msand the anisotropy constants from the VSM and FMR measurements, the\nexchange constant is the only unknown variable in the dispersion. We determine the exchange\nconstant from spin-wave spectra measured using two sets of striplines with di\u000berent widths and line-\nto-line distances ( w= 1\u0016m,s= 6\u0016m andw= 2:5\u0016m,s= 12:5\u0016m) at the same static \feld\n5(Fig. 3a,b). First we extract vgas a function of frequency from the extrema in the spin-wave spectra\nusing equation 3 (Fig. 3c). By then \ftting the measured vg(f) using equations 4 and 5 (solid line\nin Fig. 3c), we \fnd \u000b= 1:3(2)\u000210\u000012J/m andB0= 117:5(3) mT (supplementary material sec-\ntion 3). The determined exchange constant is about 3 times smaller compared to undoped YIG [12],\nwhich is in line with earlier observations of a decreasing exchange constant with increasing gallium\nconcentration in micrometer-thick YIG \flms [23]. Simultaneously the spin sti\u000bness is increased by\nabout 3 times compared to undoped YIG [12] due to the strong reduction of the saturation mag-\nnetization. For large wavelengths the group velocity is negative as a result of the PMA in the sample.\nThe spin-wave excitation and detection e\u000eciency depends on the absolute value of the Fourier ampli-\ntude of the radio-frequency magnetic \feld BRFgenerated by a stripline, which oscillates in kwith a\nperiod given by \u0001 k= 2\u0019=w (Fig. 3e) [20, 21]. To verify that the spin waves we observe are e\u000eciently\nexcited and detected by our striplines, we substitute the extracted exchange constant into equation 4\nand plot the spin-wave dispersion (Fig. 3f). The shaded areas correspond to the frequencies of the\nspin-wave fringes (Fig. 3a,b) and the dashed lines indicate the nodes in jBRF(k)jof both striplines\n(Fig. 3d,e). We conclude that the fringes in Fig. 3a correspond to spin waves excited by the \frst\nmaximum ofjBRF(k)jand that the fringes in Fig. 3b correspond to spin waves excited by the second\nmaximum.\nSurprisingly, we do not observe fringes in Fig. 3b corresponding to the \frst maximum of jBRF(k)j, but\nrather see a dip in this frequency range (arrows in Fig. 3b,f). This can be understood by noting that\nthe average frequency di\u000berence between the fringes would be smaller than the spin-wave linewidth\n(supplementary material section 6). Low-amplitude fringes corresponding to small-wavelength spin\nwaves excited by the second k-space maximum of the 1- \u0016m-wide stripline are also visible (Fig. 2b,\nsupplementary material section 7). These results demonstrate that the spin-wave dispersion in weak\nmagnets can be reliably extracted using propagating spin wave spectroscopy by combining measure-\nments on striplines with di\u000berent widths and spacings.\nWhen strongly driven to large amplitudes, the FMR behaves like a Du\u000eng oscillator with a bistable\nresponse. Such bistability could potentially be harnessed for microwave switching [24]. Foldover of\nthe FMR and standing spin-wave modes has been studied for several decades [24{26], but foldover\nof propagating spin waves was only observed before in active feedback rings [27], spin-pumped sys-\ntems [28] and magnonic ring resonators [29]. We show that we can characterize the foldover of\npropagating spin waves in Ga:YIG thin \flms using our spectroscopy technique.\n62.1 2 1.9 1.8-0.10-0.200.2\nFrequency (GHz) |S21| (dB)\n1.9 2-0.020\nFreq. (GHz)\nFrequency (GHz)\n1.81.922.1\nk (1/μm)024 6a\nf s = 12.5 μm, w = 2.5 μm |S21| (dB)bΔfvg (m/s)\nFrequency (GHz)1.9 1.85 1.95 20200400c\nFit\na)Data from:\nb)1\n0|BRF\ny(k)|\n|BRF\nz(k)| s = 6 μm, w = 1 μm d\nk (1/μm)1\n00\n10|BRF\ny(k)|\n|BRF\nz(k)|Fourier amp. (norm.) Fourier amp. (norm.)e\nΔk\nFigure 3: Extracting the exchange constant from spin-wave transmission spectra. (a,b)\nBackground-subtracted linetraces of jS21jfor two sets of striplines (a: w= 1\u0016m,s= 6\u0016m, b:w= 2:5\n\u0016m,s= 12:5\u0016m, excitation power: \u000035dBm). The red circles (a) and green squares (inset of b) mark\nthe extrema of the spin-wave fringes. (c) From the frequency di\u000berence between the extrema \u0001fwe\ndetermine the group velocity vgof the spin waves at the center frequency between the extrema. The blue\nline \fts the data with an analytical expression for vg, extracting the exchange constant \u000b= 1:3(2)\u000210\u000012\nJ/m. (d,e) Normalized Fourier amplitude of the yandzcomponents of the microwave excitation \feld\nBRFfor striplines with widths w= 1\u0016m (d) andw= 2:5\u0016m (e). (f) Reconstructed spin-wave dispersion\nbased on the \ft in (c). The shaded areas correspond to the frequencies of the extrema in (a,b). The\ndashed lines are the same as in (d,e) and indicate the nodes in jBRF(k)jof the striplines. Only spin waves\nthat are e\u000eciently excited and detected by the striplines are observed in (a,b).\n7When increasing the drive power we observe frequency shifts of the spin waves (Fig. 4a,c). These\nnon-linear shifts result from the four-magnon self-interaction term in the spin-wave Hamiltonian. For\nan in-plane magnetized thin \flm, the shifts are given by [30]\n~!k=!k+Wkk;kkjakj2: (6)\nHere ~!k(!k) is the non-linear (linear) spin-wave angular frequency, Wkk;kkis the four-wave frequency-\nshift parameter and akis the spin-wave amplitude. In our case Wkk;kk is positive as a result of the\nPMA in the sample, leading to positive frequency shifts of the spin-wave modes (supplementary\nmaterial section 8). The low-frequency spin waves start shifting \frst, because the stripline is the\nmost e\u000ecient in exciting spin waves with small wavenumbers (Fig. 3d,e). The spin-wave modes start\nshifting at a surprisingly low drive power of \u0018\u000030 dBm, potentially caused by reduced spin-wave\nscattering [26] due to the low density of states associated with the increased spin sti\u000bness and reduced\nsaturation magnetization of our sample.\nIn the high-power microwave spectra we observe an abrupt transition at which the spin waves fall\nback to their unshifted low-power frequencies, indicating the foldover of the spin waves. As the\nspin-wave amplitude increases the spin-wave modes shift to higher frequencies, until the maximum\namplitude is reached and the spin waves fall back to their low-amplitude dispersion (Fig. 4b).\nTo demonstrate the foldover behaviour, we compare upward and downward frequency sweeps (Fig. 4a,c).\nAs expected the spin waves fall back to their unshifted dispersion earlier when sweeping against the\nfrequency shift direction than when the sweep is in the same direction. The spin-wave amplitude and\nwavevector is thus bistable for the frequencies at which the foldover occurs. For these frequencies the\nstripline can excite two di\u000berent wavelengths of spin waves at the same excitation power depending\non the sweep direction that was used in the past.\nThe observed frequency shifts provide an extra knob for tuning the dispersion of spin waves. They\ngive rise to strong non-linear microwave transmission between the striplines as a function of excita-\ntion power, which may provide opportunities for neuromorphic computing devices that simulate the\nspiking of arti\fcial neurons above a certain input threshold [29, 31].\nIn summary, we used propagating spin wave spectroscopy to characterize the spin-wave dispersion in\na 45-nm-thick \flm of Ga:YIG. The gallium doping reduces the saturation magnetization of the YIG\nand introduces a small PMA that lifts the magnetization out of the plane and causes the dispersion\n8-30 -20 -10 0\n -30 -20 -10 01.822.22.4\n Frequency (GHz)\nPower (dBm)\n00.3\n-0.3\nf-f0 0 0 0\nIncreasing power P = PcP < PcP > PcSW amp. (norm.)01\n|S21| (dB)a\nbc\nFigure 4: Observation of spin-wave frequency shifts and foldover. (a) Spin-wave spectra at\ndi\u000berent excitation powers ( w= 1\u0016m,s= 6\u0016m). Low-frequency spin waves shift to higher frequencies\nwhen the microwave excitation power is increased. The markers indicate the sharp transition at which\nthe spin waves fall back to their unshifted frequencies and serve as a guide to the eye. (b) Sketch of the\nnormalized spin-wave amplitude vs frequency for increasing drive power P, showing the upward frequency\nshift away from the low-power resonance frequency f0. Above a critical power Pcthe frequency shift\nresults in the foldover of the spin-wave mode. As a result, the spin waves fall back to their unshifted\ndispersion at higher frequencies for upward frequency sweeps (red arrows, a) than downward sweeps (pink\narrows, c).\nto be dominated by the exchange constant. We extract the exchange constant by \ftting the group\nvelocity at di\u000berent frequencies and demonstrate that the detected spin waves are e\u000eciently excited\nby the excitation \felds of the striplines. Finally, we observe pronounced power-dependent frequency\nshifts of the spin waves that lead to foldover and mode bistability. Our results highlight the potential\nof all-electrical spectroscopy to shed light on the dispersion and nonlinear response of propagating\nspin waves in weakly-magnetic thin \flms.\nSupplementary material: See the supplementary material for methods, details on the data analy-\nsis and error estimations, additional measurements and calculations of the FMR frequency, spin-wave\ndispersion and non-linear frequency-shift parameter.\nAuthor contributions: J.J.C. and T.v.d.S. conceived the experiment. J.J.C. and O.D. built the\n9experimental setup, performed the experiments and analyzed the data. C.D. grew the Ga:YIG \flm\nand O.S. performed the VSM measurement. J.J.C. fabricated the striplines. J.J.C. and T.v.d.S.\nwrote the manuscript with contributions from all coauthors. T.v.d.S. supervised the project.\nAcknowledgements: This work was supported by the Netherlands Organisation for Scienti\fc\nResearch (NWO/OCW), as part of the Frontiers of Nanoscience program and by the Deutsche\nForschungsgemeinschaft (DFG, German Research Foundation) -271741898. The authors thank A.V.\nChumak for reviewing the manuscript, A. Katan, E. Lesne for useful discussions and C.C. Pothoven\nfor fabricating the magnet holders used in the experimental setup. We also thank the sta\u000b of the\nTU Delft electronic support division and the Kavli Nanolab Delft for their support in soldering the\nprinted circuit board and fabricating the microwave striplines.\nCompeting interests: The authors declare that they have no competing interests.\nData availability: All data contained in the \fgures are available in Zenodo.org at http://doi.\norg/10.5281/zenodo.5494466 , reference number [32]. Additional data related to this paper are\navailable from the corresponding author upon reasonable request.\nReferences\n(1) Serga, A. A.; Chumak, A.; Hillebrands, B. YIG magnonics. Journal of Physics D: Applied\nPhysics 2010 ,43, 264002.\n(2) Guslienko, K. Y.; Slavin, A. N. Magnetostatic Green's functions for the description of spin\nwaves in \fnite rectangular magnetic dots and stripes. Journal of Magnetism and Magnetic\nMaterials 2011 ,323, 2418{2424.\n(3) Pirro, P.; Vasyuchka, V. I.; Serga, A. A.; Hillebrands, B. Advances in coherent magnonics.\nNature Reviews Materials 2021 .\n(4) Hansen, P.; R oschmann, P.; Tolksdorf, W.; Hansen, P.; Roschmann, P.; Tolksdorf, W. Satu-\nration magnetization of gallium-substituted yttrium iron garnet. Journal of Applied Physics\n1974 ,45, 2728.\n(5) Mee, J. E.; Pulliam, G. R.; Heinz, D. M.; Owens, J. M.; Besser, P. J. Mobile cylindrical domains\nin epitaxial Ga:YIG \flms. Applied Physics Letters 1971 ,18, 60{62.\n(6) Heinz, D. M.; Besser, P. J.; Owens, J. M.; Mee, J. E.; Pulliam, G. R. Mobile cylindrical magnetic\ndomains in epitaxial garnet \flms. Journal of Applied Physics 1971 ,42, 1243{1251.\n(7) Haldar, A.; Tian, C.; Adeyeye, A. O. Isotropic transmission of magnon spin information without\na magnetic \feld. Science Advances 2017 ,3, e1700638.\n(8) Ustinov, A. B.; Kalinikos, B. A.; L ahderanta, E. Nonlinear phase shifters based on forward\nvolume spin waves. Journal of Applied Physics 2013 ,113, 113904.\n10(9) Klingler, S.; Pirro, P.; Br acher, T.; Leven, B.; Hillebrands, B.; Chumak, A. V. Spin-wave logic\ndevices based on isotropic forward volume magnetostatic waves. Applied Physics Letters 2015 ,\n106, 212406.\n(10) Kanazawa, N.; Goto, T.; Sekiguchi, K.; Granovsky, A. B.; Ross, C. A.; Takagi, H.; Nakamura,\nY.; Inoue, M. Demonstration of a robust magnonic spin wave interferometer. Scienti\fc Reports\n2016 ,6, 30268.\n(11) Kalinikos, B. A.; Slavin, A. N. Theory of dipole-exchange spin wave spectrum for ferromagnetic\n\flms with mixed exchange boundary conditions. Journal of Physics C: Solid State Physics\n1986 ,19, 7013{7033.\n(12) Klingler, S.; Chumak, A.; Mewes, T.; Khodadadi, B.; Mewes, C.; Dubs, C.; Surzhenko, O.;\nHillebrands, B.; Conca, A. Measurements of the exchange sti\u000bness of YIG \flms using broad-\nband ferromagnetic resonance techniques. Journal of Physics D: Applied Physics 2015 ,48,\n015001.\n(13) Bhaskar, U. K.; Talmelli, G.; Ciubotaru, F.; Adelmann, C.; Devolder, T. Backward volume vs\nDamon{Eshbach: A traveling spin wave spectroscopy comparison. Journal of Applied Physics\n2020 ,127, 33902.\n(14) Dubs, C.; Surzhenko, O.; Thomas, R.; Osten, J.; Schneider, T.; Lenz, K.; Grenzer, J.; H ubner,\nR.; Wendler, E. Low damping and microstructural perfection of sub-40nm-thin yttrium iron\ngarnet \flms grown by liquid phase epitaxy. Physical Review Materials 2020 ,4, 024416.\n(15) Kalinikos, B. A.; Kostylev, M. P.; Kozhus, N.; Slavin, A. N. The dipole-exchange spin wave\nspectrum for anisotropic ferromagnetic \flms with mixed exchange boundary conditions. Jour-\nnal of Physics: Condensed Matter 1990 ,2, 9861{9877.\n(16) Manuilov, S. A.; Khartsev, S. I.; Grishin, A. M. Pulsed laser deposited Y 3Fe5O12\flms: Nature\nof magnetic anisotropy I. Journal of Applied Physics 2009 ,106, 123917.\n(17) Bobkov, V. B.; Zavislyak, I.; Romanyuk, V. F. Microwave spectroscopy of magnetostatic waves\nin epitaxial ferrite \flms. Journal of Communications Technology and Electronics 2003 ,48,\n196{206.\n(18) Farle, M. Ferromagnetic resonance of ultrathin metallic layers. Reports on Progress in Physics\n1998 ,61, 755{826.\n(19) Yu, T.; Blanter, Y. M.; Bauer, G. E. W. Chiral Pumping of Spin Waves. Physical Review\nLetters 2019 ,123, 247202.\n(20) Ciubotaru, F.; Devolder, T.; Manfrini, M.; Adelmann, C.; Radu, I. P. All electrical propagating\nspin wave spectroscopy with broadband wavevector capability. Applied Physics Letters 2016 ,\n109, 012403.\n11(21) Bertelli, I.; Carmiggelt, J. J.; Yu, T.; Simon, B. G.; Pothoven, C. C.; Bauer, G. E. W.; Blanter,\nY. M.; Aarts, J.; van der Sar, T. Magnetic resonance imaging of spin-wave transport and\ninterference in a magnetic insulator. Science Advances 2020 ,6, eabd3556.\n(22) Neusser, S.; Duerr, G.; Bauer, H. G.; Tacchi, S.; Madami, M.; Woltersdorf, G.; Gubbiotti, G.;\nBack, C. H.; Grundler, D. Anisotropic propagation and damping of spin waves in a nanopat-\nterned antidot lattice. Physical Review Letters 2010 ,105, 1{4.\n(23) Boyle, J. W.; Booth, J. G.; Boardman, A. D.; Zavislyak, I.; Bobkov, V.; Romanyuk, V. Inves-\ntigations of epitaxial Ga : YIG(111) \flms by Brillouin light scattering and microwave spec-\ntroscopy. Le Journal de Physique IV 1997 ,07, C1{497{C1{498.\n(24) Fetisov, Y.; Patton, C.; Synogach, V. Nonlinear ferromagnetic resonance and foldover in yt-\ntrium iron garnet thin \flms-inadequacy of the classical model. IEEE Transactions on Magnetics\n1999 ,35, 4511{4521.\n(25) Gui, Y. S.; Wirthmann, A.; Hu, C.-M. Foldover ferromagnetic resonance and damping in\npermalloy microstrips. Physical Review B 2009 ,80, 184422.\n(26) Li, Y.; Naletov, V.; Klein, O.; Prieto, J. L.; Mu~ noz, M.; Cros, V.; Bortolotti, P.; Anane,\nA.; Serpico, C.; de Loubens, G. Nutation Spectroscopy of a Nanomagnet Driven into Deeply\nNonlinear Ferromagnetic Resonance. Physical Review X 2019 ,9, 041036.\n(27) Janantha, P. A. P.; Kalinikos, B.; Wu, M. Foldover of nonlinear eigenmodes in magnetic thin\n\flm based feedback rings. Physical Review B 2017 ,95, 064422.\n(28) Ando, K.; Saitoh, E. Spin Pumping Driven by Bistable Exchange Spin Waves. Phys. Rev. Lett.\n2012 ,109, 26602.\n(29) Wang, Q.; Hamadeh, A.; Verba, R.; Lomakin, V.; Mohseni, M.; Hillebrands, B.; Chumak, A.;\nPirro, P. A nonlinear magnonic nano-ring resonator. npj Computational Materials 2020 ,6,\n192.\n(30) Krivosik, P.; Patton, C. E. Hamiltonian formulation of nonlinear spin-wave dynamics: Theory\nand applications. Physical Review B 2010 ,82, 184428.\n(31) Feldmann, J.; Youngblood, N.; Wright, C. D.; Bhaskaran, H.; Pernice, W. H. P. All-optical\nspiking neurosynaptic networks with self-learning capabilities. Nature 2019 ,569, 208{214.\n(32) Carmiggelt, J. J.; Dreijer, O. C.; Dubs, C.; Surzhenko, O.; van der Sar, T. Electrical spec-\ntroscopy of the spin-wave dispersion and bistability in gallium-doped yttrium iron garnet,\nZenodo: 2021.\n12Supplementary material\nElectrical spectroscopy of the spin-wave dispersion and\nbistability in gallium-doped yttrium iron garnet\nJoris J. Carmiggelt1, Olaf C. Dreijer1, Carsten Dubs2, Oleksii Surzhenko2,Toeno van der Sar1;\u0003\n1Department of Quantum Nanoscience, Kavli Institute of Nanoscience, Delft University of Technology, 2628 CJ\nDelft, The Netherlands\n2INNOVENT e.V. Technologieentwicklung, D-07745 Jena, Germany\n\u0003Corresponding author. Email: t.vandersar@tudelft.nl\n1 Ga:YIG sample and experimental setup . . . . . . . . . . . . . . . . . . . . . . . . . . 14\n2 E\u000bect of the magnetic \feld alignment on the FMR frequency . . . . . . . . . . . . . . 14\n2.1 FMR frequency and magnetization direction at \u001eB= 0\u000e. . . . . . . . . . . . . 16\n2.2 FMR frequency and magnetization direction at \u001eB= 90\u000e. . . . . . . . . . . . 18\n3 Systematic error in the applied bias \feld . . . . . . . . . . . . . . . . . . . . . . . . . 19\n4 Background-subtraction procedures of the spin-wave spectra . . . . . . . . . . . . . . 21\n5 The spin-wave dispersion of a magnetic thin \flm with perpendicular and cubic mag-\nnetic anisotropy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22\n6 Comparing the frequency di\u000berence between fringes to the spin-wave linewidth . . . . 23\n7 Zoomed-in spin-wave spectra displaying low-amplitude fringes . . . . . . . . . . . . . 24\n8 Calculation of the non-linear frequency-shift coe\u000ecient . . . . . . . . . . . . . . . . . 24\n131 Ga:YIG sample and experimental setup\nA 45-nm-thick \flm of gallium-doped yttrium iron garnet (Ga:YIG) was grown using liquid phase\nepitaxy on a one-inch (111) gadolinium gallium garnet (GGG) substrate and cut into chips of 5x5x0.5\nmm3. Striplines were fabricated on top of the Ga:YIG by e-beam lithography using PMMA(A8\n495)/PMMA(A3 950) bilayer resist with an Elektra92 coating to avoid charging, and subsequent\nevaporation of Ti/Au (10 nm/190 nm). We wirebond the striplines to a printed circuit board and\nconnect them to our vector network analyser (VNA, Keysight, P9372A) via small, non-magnetic\nSMPM connectors (Amphenol RF, 925-169J-51PT) to minimize spurious magnetic-\feld dependent\nsignals and maximize the dynamic range of the bias \feld. Before reaching the VNA, the signals\nare ampli\fed by a low noise +20 dB ampli\fer (Minicircuits, ZX60-83LN-S+) to avoid detection\nnoise on the order of our signals. We place the sample between two large cylindrical permanent\nmagnets (Supermagnete, S35-20-N) to apply a strong and homogeneous bias \feld. The magnets sit\nin home-built magnet holders that are mounted on computer-controlled translation stages (Thorlabs,\nMTS25-Z8, 25 mm range), which allow sweeping the \feld. We calibrate the magnetic \feld using\na Hall probe (Hirst Magnetic Instruments, GM08). All measurements were performed at room\ntemperature.\n2 E\u000bect of the magnetic \feld alignment on the FMR frequency\nIn this section we show that for (111)-oriented lattices with cubic anisotropy the in-plane Kittel\nrelation holds when a strong magnetic \feld B0is applied along the in-plane [1 10] crystallographic\naxis. We also investigate the e\u000bect of a \u00181\u000eout-of-plane angle of B0on the FMR frequency and\nthe magnetization direction. Such a small angle may be present due to the manual placement of the\nsample in our setup (section 1).\nThe FMR frequency is calculated according to [1]\n!2\nFMR=\r2\nsin(\u0012M)2\u0001\u0010@2F\n@\u00122\nM@2F\n@\u001e2\nM\u0000\u0000@2F\n@\u0012M@\u001eM\u00012\u0011\n: (S1)\n14Here\u0012Mis the angle of the magnetization with respect to the \flm's normal, \u001eMis the in-plane angle\nof the magnetization with respect to the [1 10] crystallographic axis and F=F0\nMs, withF0the free\nenergy density and Msthe saturation magnetization (Fig. S1). \r=g\u0016B\n\u0016his the gyromagnetic ratio,\nwith\u0016Bthe Bohr magneton and \u0016 hthe reduced Planck constant. The anisotropic g-factor is given by\ng=q\ng2\n?cos(\u0012M)2+g2\njjsin(\u0012M)2, withgjjandg?respectively the in-plane and out-of-plane g-factors\n[2].\nFor (111)-oriented \flms with cubic and uniaxial out-of-plane magnetic anisotropies the normalized\nfree energy density is given by [3, 4]\nF=\u0000B0\u0010\nsin(\u0012M) sin(\u0012B) cos(\u0012M\u0000\u0012B) + cos(\u0012M) cos(\u0012B)\u0011\n+1\n2\u0000\n\u00160Ms\u00002K2?\nMs\u0001\ncos2(\u0012M)\n+1\n2\u00012K4\nMs\u00101\n3cos4(\u0012M) +1\n4sin4(\u0012M)\u0000p\n2\n3sin3(\u0012M) cos(\u0012M) sin(3\u001eM)\u0011\n;(S2)\nwith\u0012Band\u001eBthe angles of B0with respect to respectively the \flm's normal and the in-plane\n[110] crystallographic axis (Fig. S1) and \u00160the vacuum permeability.2K2?\nMsand2K4\nMsare respectively\nthe uniaxial out-of-plane and cubic anisotropy \felds, with K2?andK4the perpendicular and cubic\nanisotropy constants. Note that to calculate the FMR frequency using equation S1 at a certain B0,\n\u0012Band\u001eB, we \frst need to \fnd \u0012Mand\u001eMthat minimize the free energy by numerically solving\n@F\n@\u0012M(\u0012M;\u001eM) = 0 and@F\n@\u001eM(\u0012M;\u001eM) = 0.\nUsing equations S1 and S2 we can calculate the FMR frequency for an out-of-plane magnetic \feld\nand magnetization ( \u0012B=\u0012M= 0\u000e), which gives\n!FMR(?)=\r?(B0\u0000\u00160Ms+2K2?\nMs\u00004K4\n3Ms): (S3)\nFor an in-plane magnetic \feld and magnetization ( \u0012B=\u0012M= 90\u000e), we \fnd\n!FMR(jj)=\rjjr\nB0\u0001\u0000\nB0+\u00160Ms\u00002K2?\nMs\u0000K4\nMs\u0001\n\u00002\u0000K4\nMscos(3\u001eM)\u00012: (S4)\n15The factor 3 in the cosine arises from the triangular in-plane symmetry of a cubic unit cell with its\nnormal along the [111] direction (Fig. S1). In our measurements a large in-plane magnetic \feld is\nneeded to overcome the perpendicular anisotropy and push the magnetization in the plane, such that\ngenerallyB0\u001dj2K4\nMsj= 8:2 mT and we can ignore the last term [3]\n!FMR(jj)=\rjjr\nB0\u0001(B0+\u00160Ms\u00002K2?\nMs\u0000K4\nMs): (S5)\nEquations S3 and S5 are the same as equations 1 and 2 in the main text.\n[1,1,1]\n[1,1,2][1,1,0]Ga:YIGUnit cellM\nB0θB\nθMφMφB\nFigure S1: Coordinate frame and crystallographic axes in Ga:YIG. The [110] axis is slightly\ndisplaced to highlight the triangular symmetry plane (light blue) of the (111) -oriented cubic unit cell.\nAfter [3].\n2.1 FMR frequency and magnetization direction at \u001eB= 0\u000e\nFig. S2a shows a \ripchip FMR measurement with the magnetic \feld applied along the [1 10] direction\n(\u0012B= 90\u000e,\u001eB= 0\u000e). The solid white line shows a \ft to equation S5 for magnetic \felds at which the\nFMR frequency is increasing. Together with the \ftted out-of-plane FMR, we extract2K2?\nMs= 104:7\nmT,2K4\nMs=\u00008:2 mT and\rjj\n2\u0019= 28:56 MHz/mT. The same \ft and data are presented in Fig. 1b of\nthe main text.\nWe can calculate the FMR frequency also for low bias \felds by substituting the extracted param-\neters into equations S1 and S2. We obtain the black dashed line, which \fts reasonably well to the\n16Magnetic field B0 (mT)100 50 150100 50 150\nMagnetic field B0 (mT)100 5012\n150100 50 150Frequency (GHz)\n3\n0123\n0θM (degrees)\n04590\n04590φB = 0˚ φB = 90˚\nd|S21|/dB0 (mdB/mT)\n5 -5\nd|S21|/dB0 (mdB/mT)\n5 -5a\nbc\nd\nθB = 89˚θB = 90˚Figure S2: Dependence of the FMR frequency on the direction of the external magnetic \feld\nB0.(a) Flipchip FMR measurement with B0applied parallel to a 180- \u0016m-wide excitation stripline and\nalong the in-plane [110]crystallographic direction ( \u001eB= 0\u000e). The FMR is extracted from the \feld-\nderivative of the microwave transmission jS21j. The solid white line shows a \ft to the Kittel relation\n(equation S5). Using the extracted anisotropy \felds and gyromagnetic ratio, the FMR frequency for the\nentireB0-range was numerically calculated assuming \u0012B= 90\u000e(black dashed line) and \u0012B= 89\u000e(red\ndashed line). (b) The minimum FMR frequency is raised at \u0012B= 89\u000ebecause the magnetization does not\nabruptly turn into the plane. (c) Similar FMR measurement, but with B0applied along the [112]direction\n(\u001eB= 90\u000e). The white line is the same as in (a). The black and red dashed lines are the calculated FMR\nfrequencies for \u001eB= 90\u000eat respectively \u0012B= 90\u000eand\u0012B= 89\u000eusing the parameters extracted in (a).\n(d) The magnetization maintains a \fnite out-of-plane component even when \u0012B= 90\u000e. The blue dashed\nline indicates the \feld at which the exchange constant was determined from the spin-wave spectra. In (a)\nand (c) a similar background subtraction was performed as in Fig. S4.\n17measured FMR, even when the FMR frequency is decreasing with \feld. The red dashed line shows\nthe calculated FMR frequency when B0has an 1\u000eout-of-plane angle ( \u0012B= 89\u000e), which dramatically\nincreases the minimum FMR frequency. This is because the magnetization turns only asymptotically\ninto the plane when the angle is o\u000bset, instead of abruptly (Fig. S2b, black line: \u0012B= 90\u000e, red line:\n\u0012B= 89\u000e).\nWe note that in Fig. S2a at large bias \felds both the black and red dashed lines overlap with the\nwhite \ft. Therefore, we conclude that the in-plane FMR at \u001eB= 0\u000eis quite robust to any small\nout-of-plane component of the static \feld that might be present in our experimental setup, validating\nthe white \ft using equation S5 [3].\n2.2 FMR frequency and magnetization direction at \u001eB= 90\u000e\nFig. S2c shows a similar \ripchip FMR measurement as in Fig. S2a, but now with the \feld applied\nalong the [11 2] direction ( \u0012B= 90\u000e,\u001eB= 90\u000e, the white line is the same as in Fig. S2a and is added\nas a reference). The FMR reaches a minimum frequency of about 1 GHz, which is signi\fcantly larger\nthan the minimum in the \u001eB= 0\u000egeometry. We reproduce this enhanced frequency minimum by\ncalculating the expected FMR frequency using the parameters extracted in section 2.1 (black dashed\nline, we ignore any potential in-plane anisotropy of the g-factor). The calculated FMR frequency\nmatches the measured FMR remarkably well for all magnetic \feld values, demonstrating the accuracy\nof the white \ft.\nAgain we attribute the enhanced FMR minumum to the fact that the magnetization only slowly turns\ninto the plane, even for a perfect in-plane magnetic \feld \u0012B= 90\u000e(Fig. S2d, black line). As a result\nthe FMR frequency asymptotically approaches the in-plane Kittel relation (equation S5, white line).\nSimilar to before, a change of 1\u000ein\u0012Blifts the minimum FMR frequency, explaining the minimum\nFMR frequency of about 1.25 GHz observed in Fig. 2b in the main text. Variations on the order of\n1\u000ein\u0012Bare expected in our measurement setup since we manually place the sample between two\npermanent magnets (section 1).\n18Fig. S2d shows that the magnetization does not point exactly in the plane during our propagating\nspin wave spectroscopy measurements, even though this is assumed in the data analysis. We derived\nthe exchange constant from spin-wave spectra taken at approximately B0= 117:5 mT, at which\nthe magnetization points \u00183-6 degrees out of the plane (blue dashed line in Fig. S2d). We neglect\nthis small out-of-plane angle, because we expect the induced error to be negligible compared to the\n\u001815% error obtained from the \ft in Fig. 3c in the main text.\n3 Systematic error in the applied bias \feld\nIn this section we calculate how a systematic error in the applied bias \feld a\u000bects the error of the\nanisotropy \felds, which we extracted from the FMR frequency (Fig. 1b of the main text). From the\n\fts of the FMR frequency we obtain \r?= 29:40(3) MHz/mT and \u000b=\u0000\u00160Ms+2K2?\nMs\u00002\n32K4\nMs= 91:1(2)\nmT (out-of-plane bias \feld), \rjj= 28:56(4) MHz/mT and \f=\u00160Ms\u00002K2?\nMs\u00001\n22K4\nMs=\u000081:5(1) mT (in-\nplane bias \feld). Since we know from vibrating sample magnetometry (VSM) that Ms= 1:52(6)\u0001104\nA/m, we can calculate the magnetic anisotropy \felds\n2K4\nMs=\u00006\n7(\u000b+\f) = 8:2(2) mT;\n2K2?\nMs=\u00160Ms+3\n7\u000b\u00004\n7\f= 104:7(8) mT:(S6)\nSince we manually place our sample between the magnets (section 1), it may have a small o\u000bset of\n\u00181 mm with respect to the center position. Such an o\u000bset would cause a systematic error in the\napplied magnetic \feld B0, which enhances the error of the anisotropy \felds. To obtain a conservative\nestimate of these errors, we determine the systematic error in the applied magnetic \feld via\n\u0001B0(x) =B0(x+ 1) +B0(x\u00001)\u00002\u0001B0(x): (S7)\n19B0(x) is the magnetic \feld of a cylindrical magnet at a distance of xmm along its symmetry axis\nB0(x) =Br\n2\u0010x+Lp\nr2+ (x+L)2\u0000xp\nr2+x2\u0011\n: (S8)\nHereBr= 1320 mT is the remanence, L= 20 mm and r= 17:5 mm are the length and radius of\nthe magnet. Fig. S3 shows the calculated error \u0001 B0(x) for a 1-mm-o\u000bset against the magnetic \feld\nB0at the center position between the magnets. Including this error in the \ft of Fig. 1b in the main\ntext gives\u000b= 91:1(3) mT and \f=\u000081:5(5) mT, resulting in a slight increase in the error of the\ncubic anisotropy \feld2K4\nMs= 8:2(5) mT. The errors in the gyromagnetic ratios and perpendicular\nanisotropy \feld do not change signi\fcantly. At the magnetic \feld B0= 117:5 mT at which we took\nthe spin-wave spectra the error in the \feld \u0001 B0is\u00180:3 mT.\nError Δ B0 (mT)\n0.1\n0\n Magnetic field B0 (mT)05 00.20.30.40.5\n100 150\nFigure S3: Error in the static magnetic \feld as a result of a 1 mm o\u000bset of the sample with\nrespect to the center position between the magnets. For magnetic \felds between 100 mT and 150\nmT an error of\u00180.3-0.5 mT is expected.\n204 Background-subtraction procedures of the spin-wave spectra\nFor the spin-wave spectra in Fig. 3a,b and Fig. 4a,c in the main text a background spectrum was\nsubtracted consisting of the mean jS21jtransmission at 100 mT and 138 mT, for which there are\nno spin waves in the frequency range of interest. In Fig. 2b in the main text a background was\nsubtracted using Gwyddion (Fig. S4).\nMagnetic field B0 (mT)100 75 12511.522.5Frequency (GHz)\nMagnetic field B0 (mT)100 75 12511.522.5Frequency (GHz)\nMagnetic field B0 (mT)100 75 12511.522.5Frequency (GHz)\n- =\nd|S21|/dB0 (dB/mT)\n-0.5 0.5\nd|S21|/dB0 (dB/mT)\n-0.5 0.5\nd|S21|/dB0 (dB/mT)\n-0.5 0.5\nFigure S4: Background-subtraction procedure of the microwave spectrum in Fig. 2b of the\nmain text. The measured data (left \fgure) contains spurious signals attributed to small changes in the\nmicrowave transmission of the cables and connectors that attach the VNA to the striplines as a function\nof magnetic \feld. We \flter these signals by \frst masking the high-curvature part of measured data that\ncontains the spin-wave fringes. Then we \ft a \ffth-order polynomial through each horizontal line, excluding\nthe masked data, and subtract it as a background (middle \fgure). The resulting spectrum only contains\nthe spin-wave fringes (right \fgure, same as Fig. 2b in the main text). The image processing was performed\nusing Gwyddion (version 2.58).\n215 The spin-wave dispersion of a magnetic thin \flm with perpendicular\nand cubic magnetic anisotropy\nThe spin-wave dispersion for magnetic thin \flms with perpendicular magnetic anisotropy (PMA)\nand cubic anisotropy was derived in reference [5]. Equation 30 of this work states the dispersion for\nan (111)-oriented \flm with in-plane magnetization, similar as in our experiment\n!SW(k) =\n\rjjr\u0000\nB0+Dk2+\u00160Ms(1\u0000f)\u00002K2?\nMs\u0000K4\nMs\u0001\n\u0001\u0000\nB0+Dk2+\u00160Msfsin2(\u001e)\u0001\n\u00002\u0000K4\nMscos(3\u001eM)\u00012:\n(S9)\nHere!SWis the angular frequency of a spin wave with wavevector kthat propagates at an angle \u001e\nwith respect to the magnetization. D= 2\u000b=M sis the spin sti\u000bness, with \u000bthe exchange constant,\nandf= 1\u0000(1\u0000e\u0000kt)=ktwithtthe thickness of the \flm and \u001eMis the angle of the magnetization\nwith respect to [1 10] crystallographic direction. We note that if we set k= 0 in equation S9, we\nobtain the in-plane FMR frequency derived before (equation S4).\nIn our experiment we measure spin waves in the Damon-Eshbach con\fguration ( \u001e=\u0019=2), we apply\nthe external \feld B0along [11 2] (\u001eM=\u0019=2) and the wavelengths of the detected spin waves are\nmuch smaller than the thickness of the \flm ( kt\u001c1), such that we can approximate f\u0019kt=2. This\ngives\n!SW(k) =q\u0000\n!B+\rjjDk2\u0000!K+!M(1\u0000kt=2)\u0001\u0000\n!B+\rjjDk2+!Mkt=2\u0001\n; (S10)\nwhere we de\fned !B=\rjjB0,!M=\rjj\u00160Ms, and!K=\rjj(2K2?\nMs+K4\nMs) for convenience of notation.\nWorking out the brackets and rearranging the terms in orders of kgives\n!SW=r\n!B\u0000\n!B+!M\u0000!K\u0001\n+!Mt\n2\u0000\n!M\u0000!K\u0001\nk+\rjjD\u0000\n2!B+!M\u0000!K\u0000(!Mt\n2)2\u0001\nk2+\r2\njjD2k4:\n(S11)\n22For the spin-wave spectra taken at B0= 117:5 mT we \fnd (!Mt\n2)2\u001c2!B+!M\u0000!Kdue to the low\nsaturation magnetisation and thickness of our \flm, such that we can further approximate\n!SW=r\n!B(!B+!M\u0000!K) +!Mt\n2(!M\u0000!K)k+\rjjD(2!B+!M\u0000!K)k2+\r2\njjD2k4;(S12)\nwhich is equation 4 in the main text.\nWe derive the group velocity vgby di\u000berentiating with respect to k\nvg=@!SW\n@k=1\n2p!SW\u0000!Mt\n2(!M\u0000!K) + 2\rjjD(2!B+!M\u0000!K)k+ 4\r2\njjD2k3\u0001\n; (S13)\nwhich is equation 5 in the main text.\n6 Comparing the frequency di\u000berence between fringes to the spin-wave\nlinewidth\nIn this section we calculate the expected average frequency di\u000berence \u0001 fbetween spin-wave fringes\nexcited by the \frst maximum of the microwave driving \feld Fourier amplitude ( jBRF(k)j) in Fig. 3b\nof the main text. The stripline has a width w= 2:5\u0016m, such thatjBRF(k)jhas its \frst node\natkmin=2\u0019\n2:5\u0016m\u00001[6]. Everytime another wavelength \fts within the center-to-center distance s\nbetween both striplines another fringe is observed in the signal. Therefore the condition s=n\u0015\napplies for every nth fringe, with \u0015the spin-wave wavelength. This means that fringes occur every\n\u0001k=2\u0019\ns=2\u0019\n12:5\u0016m\u00001in k-space. In the \frst maximum of the excitation spectrum we would thus\nexpectkmin\n\u0001k= 5 fringes. According to the reconstructed dispersion (Fig. 3f of the main text) the\nfrequency di\u000berence between spin waves with wavevector kminand the minimum of the band is about\n20 MHz, leading to an average frequency di\u000berence of20\n5= 4 MHz between consecutive fringes. This\nis on the order of the FMR linewidth of undoped YIG \flms of similar thicknesses [4]. Assuming\nthat Ga:YIG has a similar or larger linewidth, we argue that we cannot resolve fringes in the \frst\n23maximum of the excitation \feld's Fourier amplitude because they are too narrow compared to the\nintrinsic spin-wave linewidth.\n7 Zoomed-in spin-wave spectra displaying low-amplitude fringes\nMagnetic field B0 (mT)2.3\n2\n1.7Frequency (GHz)\n110 120\n-1 1d|S21|/dB0 (dB/mT)\nFigure S5: Detailed microwave spectrum zoomed-in on the spin-wave fringes. Low-amplitude\nfringes excited by the second maximum of the excitation \feld's Fourier amplitude are visible at high\nfrequencies. The actual measured data without any background-subtraction is presented ( w= 1\u0016m,\ns= 6\u0016m, excitation power -35 dBm).\n8 Calculation of the non-linear frequency-shift coe\u000ecient\nFor Damon-Eshbach spin waves with wavevector kand frequency !k=2\u0019the non-linear four-magnon\nfrequency-shift coe\u000ecient Wkk;kk is given by [7]\nWkk;kk =1\n2\u00002!B+!M(Nxx;k+Nyy;k)\n2!k\u00012\u0001\u0000\n3!B+!M(2Nzz;0+Nzz;2k)\u0001\n\u00001\n2\u0000\n3!B+!M(Nxx;k+Nyy;k+Nzz;2k)\u0001\n;(S14)\n24withNij;kthe (i;j)th index of the spin-wave tensor Nk. The three-wave correction term vanishes\nsince the spin waves propagate perpendicular to the magnetization. The precessional xyz-frame is\nde\fned such that zpoints in the plane along the magnetization, xalong the \flm normal and ypoints\nin-plane perpendicular to zand parallel to the wavevector of the spin waves.\nNkis the Fourier transform of the tensorial Green's function N(r;r0) =N(r;r0)dip+N(r;r0)ex+\nN(r;r0)ani, which has components due to uniaxial anisotropy and the dipolar and exchange interac-\ntions\nNkeikr=Z\nN(r;r0)eikr0d3r0=Z\u0000\nN(r;r0)dip+N(r;r0)ex\u0001\neikr0d3r0+Z\nN(r;r0)anieikr0d3r0:(S15)\nThe contribution to Nkfrom theN(r;r0)dipandN(r;r0)excomponents in the thin-\flm limit were\nderived earlier [7]. Following this work, N(r;r0)anidue to uniaxial anisotropy in the out-of-plane\nx-direction is given by\nN(r;r0)ani=\u0000B2?\n\u00160Ms\u000e(r\u0000r0)^x\n^x: (S16)\nHereB2?=2K2?\nMsis the uniaxial out-of-plane anisotropy \feld, \ndenotes a dyadic unit vector product\nand\u000e(r\u0000r0) is the Dirac delta function. As a result of the dyadic product only the ( x;x) index of\nN(r;r0)aniis non-zero, leading to a contribution on Nxx;k\nNxx;keikr=Z\n\u0000B2?\n\u00160Ms\u000e(r\u0000r0)eikr0d3r0=\u0000B2?\n\u00160Mseikr: (S17)\n25By adding this contribution to the other components, we \fnd that the diagonal elements of Nkin\nthe Damon-Eshbach con\fguration are given by\nNxx;k=D\n\u00160Msk2+ 1\u0000f\u0000B2?\n\u00160Ms;\nNyy;k=D\n\u00160Msk2+f;\nNxx;k=D\n\u00160Msk2;(S18)\nwithf= 1\u0000(1\u0000e\u0000kt)=ktandtthe thickness of the \flm as before. We neglected the cubic anisotropy\nsince it is small relative to the uniaxial anisotropy.\nWkk,kk / 2π (GHz)\n123\n0\nk (1/μm)05 1 0\nFigure S6: Non-linear frequency-shift coe\u000ecient Wkk;kk for Damon-Eshbach spin waves in\nGa:YIG. We used the dispersion in Fig. 3f of the main text as an input, together with the extracted\nparameters B0= 117:5mT,\u000b= 1:3\u000110\u000012J/m,2K2?\nMs= 104:7mT,\rjj\n2\u0019= 28:56MHz/mT,Ms=\n1:52\u000110\u00004A/m andt= 45 nm. The cubic anisotropy is neglected. The positive sign of the calculated\nfrequency-shift coe\u000ecient matches the positive frequency shifts observed in the experiment.\nBy substituting equations S18 into equation S14 we can calculate Wkk;kk for the wavevectors relevant\nfor this work (Fig. S6). For all these wavevectors Wkk;kk is positive, explaining the positive frequency\nshifts of the spin waves that we observe when increasing the drive power. This is in contrast to the\n26frequency shift caused by the reduction of the saturation magnetization as a result of strong driving\nor heating. In this simple picture a downward frequency shift is expected for in-plane magnetization\n(Fig. S7), highlighting the value of the Hamiltonian formalism that was used to calculate the non-\nlinear frequency-shift coe\u000ecient [7].\nMagnetic field B0 (mT)500 1000 15000123Frequency (GHz)\nMs\n0.9 · Ms\nFigure S7: Expected downward frequency shift upon reduction of the saturation magnetization.\nField dependence of the FMR frequency of Ga:YIG for unreduced saturation magnetization ( Ms= 1:52\u0001104\nA/m, black line) and for 10%-reduced saturation magnetization ( Ms= 1:37\u0001104A/m, red line). The bias\n\feld is applied in the [112]direction and the magnetic anisotropy \felds are the same for both curves. The\ndashed line indicates the \feld at which we performed our spin-wave spectroscopy measurements. Clearly\na negative frequency shift is expected upon decreasing the saturation magnetization, which is in contrast\nto the positive frequency shifts we observe.\nReferences\n(1) Suhl, H. Ferromagnetic Resonance in Nickel Ferrite Between One and Two Kilomegacycles.\nPhysical Review 1955 ,97, 555{557.\n27(2) Farle, M. Ferromagnetic resonance of ultrathin metallic layers. Reports on Progress in Physics\n1998 ,61, 755{826.\n(3) Manuilov, S. A.; Khartsev, S. I.; Grishin, A. M. Pulsed laser deposited Y 3Fe5O12\flms: Nature\nof magnetic anisotropy I. Journal of Applied Physics 2009 ,106, 123917.\n(4) Dubs, C.; Surzhenko, O.; Thomas, R.; Osten, J.; Schneider, T.; Lenz, K.; Grenzer, J.; H ubner,\nR.; Wendler, E. Low damping and microstructural perfection of sub-40nm-thin yttrium iron\ngarnet \flms grown by liquid phase epitaxy. Physical Review Materials 2020 ,4, 024416.\n(5) Kalinikos, B. A.; Kostylev, M. P.; Kozhus, N.; Slavin, A. N. The dipole-exchange spin wave\nspectrum for anisotropic ferromagnetic \flms with mixed exchange boundary conditions. Jour-\nnal of Physics: Condensed Matter 1990 ,2, 9861{9877.\n(6) Ciubotaru, F.; Devolder, T.; Manfrini, M.; Adelmann, C.; Radu, I. P. All electrical propagating\nspin wave spectroscopy with broadband wavevector capability. Applied Physics Letters 2016 ,\n109, 012403.\n(7) Krivosik, P.; Patton, C. E. Hamiltonian formulation of nonlinear spin-wave dynamics: Theory\nand applications. Physical Review B 2010 ,82, 184428.\n28" }, { "title": "1705.03220v1.Anomalous__spin__and_valley_Hall_effects_in_graphene_deposited_on_ferromagnetic_substrates.pdf", "content": "arXiv:1705.03220v1 [cond-mat.mes-hall] 9 May 2017Anomalous, spin, and valley Hall effects in graphene\ndeposited on ferromagnetic substrates\nA. Dyrda/suppress l1and J. Barna´ s1,2\n1Faculty of Physics, Adam Mickiewicz University, ul. Umulto wska 85, 61-614\nPozna´ n, Poland\n2Institute of Molecular Physics, Polish Academy of Sciences , ul. M.\nSmoluchowskiego 17, 60-179 Pozna´ n, Poland\nE-mail:adyrdal@amu.edu.pl\n8 October 2018\nAbstract. Spin, anomalous, and valley Hall effects in graphene-based h ybrid\nstructures arestudied theoretically withinthe Green func tion formalismand linear\nresponse theory. Two different types of hybrid systems are co nsidered in detail: (i)\ngraphene/boron nitride/cobalt(nickel), and (ii) graphen e/YIG. The main interest\nis focused on the proximity-induced exchange interaction b etween graphene and\nmagnetic substrate and on the proximity-enhanced spin-orb it coupling. The\nproximity effects are shown to have a significant influence on t he electronic and\nspin transport properties of graphene. To find the spin, anom alous and valley Hall\nconductivities we employ certain effective Hamiltonians wh ich have been proposed\nrecently for the hybrid systems under considerations. Both anomalous and valley\nHall conductivities have universal values when the Fermi le vel is inside the energy\ngap in the electronic spectrum.Anomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 2\n1. Introduction\nGraphene is a two-dimensional hexagonal lattice of\ncarbon atoms. Electronic properties of pristine (or free\nstanding) graphene have been extensively studied in\nrecent years, mainly because of its unusual properties\nfollowing from specific electronic states described by\nDirac model [1, 2, 3, 4, 5]. It has been shown that\ntheelectronicpropertiescanbestronglymodifiedwhen\ngraphene is decorated (or functionalized) with various\nadatomsormoleculesattachedtoitssurfaceortoedges\nin graphene stripes and nanoribbons [6, 7, 8, 9, 10, 11].\nOther possibilities of a significant modification of\ngraphene electronic and magnetic properties appear\nin hybrid systems based on graphene deposited\non various substrates (e.g. on transition metal\ndichalcogenides or ferromagnetic thin films) [12, 13,\n14, 15, 16, 17, 18, 19, 20]. Such systems are\ncurrently of great interest both experimental and\ntheoretical, mainly because of magnetic and spin-orbit\nproximity effects responsible for magnetic moment\nand enhanced spin-orbit interaction in the graphene\nlayer. This, in turn, opens possibilities of spin-\norbit driven phenomena in graphene-based hybrid\nstructures at room temperatures [7, 12, 14, 19, 21].\nThe high-temperature experimental realizations of\nanomalous and spin Hall effects as well as current-\ninduced spin polarization (or Edelstein effect) make\ngraphene-based structures active elements of future\nspintronicsandspin-orbitronicsdevices–togetherwith\nother2Dcrystals,semiconductorheterostructures,and\njunctions of oxide perovskites [22].\nHexagonal two-dimensional crystals with their\nprominent examples such as graphene and transition\nmetal dichalcogenides with broken inversion symmetry\nare currently studied very intensively, especially in\nthe context of so-called valleytronics and also valley-\nbased optoelectronics [23, 24, 25, 26, 27, 28, 29, 30,\n31, 32]. An important property of such systems is\nthe presence of two inequivalent ( KandK′) valleys in\nthe corresponding electronic spectrum. Interestingly,\nit turned out that the valley degree of freedom can\nbe controlled not only by circularly polarized light,\nbut also with external magnetic and electric fields.\nMoreover, very promising for applications seems to\nbe coupling of the valley and spin degrees of freedom\ndue to spin-orbit interaction [33, 34]. Owing to this\none may expect, among others, a certain enhancement\nof the spin and valley polarization lifetimes and also\nmanipulation of the spin degree of freedom by valley\nproperties. This, in turn, allows to conceive a new\ngeneration of spintronic devices which are based on\nchargeless and nondissipative currents.\nAn important issue is the pure electrical genera-\ntion and detection of valley and spin currents. This\ncan be realized viathe valley and spin Hall effects aswell as their inverse counterparts. In systems with a\nnet magnetization one can also observe the anomalous\nHall effect. In high quality samples (free of defects and\nimpurities), these effects may be determined by Berry\ncurvature of the electronic bands and may reflect topo-\nlogical properties of the systems [35, 36, 37]. Thus, de-\ntailed analysis of all the Hall effects in graphene-based\nhybridstructuresiscrucialfortheirproperunderstand-\ning.\nIn this paper we consider two kinds of hybrid\nstructures: (i) graphene on a few atomic monolayers\nof boron nitride (BN) deposited on a ferromagnetic\nmetal like Co or Ni, and (ii) graphene deposited\ndirectly on a ferromagneticinsulating substrate (YIG).\nIn the former case the proximity-induced exchange\ninteraction strongly depends on the number nof\natomic planes of BN, and disappears already for\nn= 4 such atomic planes. In the latter case, in\nturn, the graphene layer is deposited directly on the\nferromagnetic substrate, so the exchange interaction\nis rather direct. Importantly, BN is a wide-gap\nsemiconductor and therefore plays a role of energy\nbarrier for low-energy electronic states in graphene.\nSpin-orbit and exchange-interaction driven phe-\nnomena in graphene-based hybrid structures are stud-\nied within the linear response theory and Green func-\ntion formalism. To describe these phenomena theoret-\nically we make use of the low-energy effective Hamil-\ntonians, that have been derived recently from first-\nprinciple calculations (see e.g. [13, 14, 18]). In par-\nticular, we calculate the anomalous, spin and valley\nHall conductivities. Apart from this, we also intro-\nduce the valley spin Hall effect. The anomalous and\nspin Hall effects occur due to spin-orbit coupling in the\nsystem subject to an external electric field (for review\nsee [38, 39, 40, 41, 42]). In the case of valley and val-\nley spin Hall effects, the spin-orbit interaction is not\nrequired. Electrons have anomalous velocity compo-\nnent (normal to external electric field) which is ori-\nented in opposite direction in the two valleys (the cor-\nresponding Berry curvatures have opposite signs). As\na consequence, electrons (or holes) from the two val-\nleys are deflected towards opposite edges of the sam-\nple. The above effects may play an important role in\nthe graphene-based spintronics, as an effective source\nof spin currents and spin-orbit torques [43, 44]. These,\nin turn, may be responsible for spin dynamics and/or\nmagnetic switching in the low-dimensional structures.\nIn section 2 we present a theoretical background\nand describe the model and theoretical method. In\nsections 3 we present our results on graphene/BN/Co\nand graphene/BN/Ni hybrid structures. Results\nfor graphene/YIG hybrid system are presented and\ndiscussed in section 4. Summary and final conclusions\nare in section 5.Anomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 3\nFigure 1. (Color online) Schematic of the system under consideration . Graphene is deposited either directly on a magnetic substr ate\n(YIG) or is separated from the magnetic substrate (Co, Ni) by a few atomic planes of another hexagonal crystal (BN). The un derlayer\nassures exchange coupling between the magnetic substrate a nd graphene and also gives rise to the spin-orbit interactio n of Rashba\ntype. Owing to this, one may observe the Hall effects listed at the bottom of the figure.\n2. Theoretical background\n2.1. Model\nWe consider graphene either deposited directly on a\nmagnetic substrate, or separated from the magnetic\nsubstrate by a few atomic layers of another two-\ndimensional crystal (e.g. BN), as shown schematically\nin Fig.1. Influence of the substrate on magnetic\nand electronic properties of graphene will be taken\ninto account in terms of certain effective Hamiltonians\nwhich have been obtained recently from results of ab-\ninitiocalculations [13, 14, 18]. Because transport\nproperties of graphene close to the charge neutrality\npointaredeterminedmainlybyelectronsinthevicinity\nof Dirac points, we assume a minimal pzmodel\nthat describes electronic and spin transport properties\nrelated to the low-energy electronic states of graphene\nand also takes into account the proximity-induced\neffects.\nGeneral low-energy Hamiltonian for both ( Kand\nK′) Dirac points of the systems under considerations\nincludes four terms [18] ,\nHK(K′)=HK(K′)\n0+HK(K′)\n∆+HK(K′)\nEX+HK(K′)\nR.(1)\nThe first term of the above Hamiltonian describes\nelectronic states of pristine graphene near the K(K′)\npoint [45],\nHK(K′)\n0=v(±kxσx+kyσy)s0, (2)\nwherekxandkyare the in-plane wavevector\ncomponents, while v=/planckover2pi1vFwithvFdenoting theFermi velocity. Apart from this, we use the notation\naccording to which σ0andσare the unit matrix and\nthe vector of Pauli matrices, σ= (σx,σy,σz), acting\nin the pseudospin (sublattice) space, while s0ands\ndenote the unit matrix and vector of Pauli matrices,\ns= (sx,sy,sz), acting in the spin space.\nThe second term in Eq.(1) takes into account the\nfact that carbon atoms from different sublattices (A\nand B) can feel generally different local potentials [18,\n46]. Such a dependence appears for instance when\ngraphene is deposited on a 2D material with buckled\nor binary (like BN) hexagonal structure. This, in turn,\nleads to the pseudospin symmetry breaking and gives\nrise to an orbital gap, ∆, in the electronic spectrum,\nHK(K′)\n∆= ∆σzs0. (3)\nThe third term in Hamiltonian (1) represents\nthe proximity-induced exchange interaction between\ngraphene and magnetic substrate, given explicitly by\nthe formula [18]\nHK(K′)\nEX=λA\nEX\n2(σz−σ0)sz+λB\nEX\n2(σz+σ0)sz,(4)\nwhereλA\nEXandλB\nEXare the exchange parameters\ncorresponding to the sublattices A and B, respectively.\nNote that in the special case of λA\nEX=−λB\nEX=λEX\none obtains the exchange Hamiltonian in the form:\nHEX=λEXσ0sz.\nFinally, the last term in Hamiltonian (1) describes\nthe spin-orbit interaction of Rashba type, that appears\ndue to the space inversion symmetry breaking in theAnomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 4\nsystem. This interaction takes the following general\nform [45]:\nHK(K′)\nR=λR(±σxsy−σysx), (5)\nwhereλRis the Rashba parameter. Note that the\nso-called intrinsic spin-orbit interaction in graphene\nis very small and therefore it is neglected in our\nconsideration.\n2.2. Method\nOur key objective is to study the anomalous, spin, and\nvalley Hall effects for graphene deposited on various\nsubstrates. Without loss of generality, we assume\nelectric field along the axis y. The corresponding\nconductivities are determined by the contributions\nfrom both KandK′valleys as follows:\nσAHE\nxy=σK\nxy+σK′\nxy (6)\nfor the anomalous Hall effect (AHE),\nσV HE\nxy=σK\nxy−σK′\nxy (7)\nfor the valley Hall effect (VHE),\nσSHE\nxy=σszK\nxy+σszK′\nxy (8)\nfor the spin Hall effect (SHE), and\nσV SHE\nxy=σszK\nxy−σszK′\nxy (9)\nfor the valley spin Hall effect (VSHE). Here, σν\nxyand\nσszν\nxyare contributions from the valley ν(ν=K,K′)\nto the charge and spin conductivities, respectively.\nWithin the zero-temperature Green functions\nformalism and in the linear response with respect to\na dynamical electric field of frequency ω(measured\nin energy units), one can write the dynamical charge\nσν\nxy(ω) and spin σsz,ν\nxy(ω) conductivities in the form,\nσν\nxy(ω) =e2/planckover2pi1\nω/integraldisplaydε\n2π/integraldisplayd2k\n(2π)2\n×Tr/braceleftbig\nˆvν\nxGν\nk(ε)ˆvν\nyGν\nk(ε+ω)/bracerightbig\n, (10)\nσsz,ν\nxy(ω) =e/planckover2pi1\nω/integraldisplaydε\n2π/integraldisplayd2k\n(2π)2\n×Tr/braceleftBig\nˆjszν\nxGν\nk(ε)ˆvν\nyGν\nk(ε+ω)/bracerightBig\n, (11)\nforν=K,K′. In the above equations ˆ vν\nx,ydenote\ncomponents of the velocity operator for the valley ν,\nˆvν\nx,y=1\n/planckover2pi1∂ˆHν\n∂kx,y, whileˆjszxis the relevant component\nof the spin current operator. Furthermore, Gν\nk(ε)\nstands for the causal Green function corresponding\nto the appropriate Hamiltonian ˆHν,Gν\nk={[ε+µ+\niδsign(ε)]−ˆHν}−1, whereµis the chemical potential\nandδ→0+in the clean limit.In the following we are interested in the dc-\nconductivities, so we take the limit ω→0 in the above\nexpressions. To do this let us write\nTr/braceleftbig\nˆvν\nxgν\nk(ε+ω)ˆvν\nygν\nk(ε)/bracerightbig\n=Dν\n0(ε,k,φ)+ωDν\n1(ε,k,φ)+... (12)\nTr/braceleftBig\nˆjsz,ν\nxgν\nk(ε+ω)ˆvν\nygν\nk(ε)/bracerightBig\n=Ds,ν\n0(ε,k,φ)+ωDs,ν\n1(ε,k,φ)+..., (13)\nwheregν\nkstands for a nominator of the Green function,\nφis the angle between the wavevector kand the axis y,\nand the terms of higher order in ωhave been omitted\nas their contribution vanishes in the limit of ω→0.\nUpon calculating the trace one finds Dν\n0(ε,k,φ) = 0\nandDs,ν\n0(ε,k,φ) = 0. Thus, in the limit of ω→0 the\nexpressions (10) and (11) take the form\nσν\nxy=e2/planckover2pi1\n(2π)3/integraldisplay\ndε/integraldisplay\ndkkFν(ε,k), (14)\nσsz,ν\nxy=e/planckover2pi1\n(2π)3/integraldisplay\ndε/integraldisplay\ndkkFs,ν(ε,k), (15)\nwhere the functions Fν(ε,k) andFs,ν(ε,k) are defined\nas\nFν(ε,k) =Iν(ε,k)/producttext4\nl=1[ε+µ−El+iδsgn(ε)]2, (16)\nFs,ν(ε,k) =Is,ν(ε,k)/producttext4\nl=1[ε+µ−El+iδsgn(ε)]2.(17)\nHere,El(l= 1−4) denote the four eigenmodes of the\nrelevant Hamiltonian, and we introduced the following\nnotation:\nIν(ε,k) =/integraldisplay\ndφDν\n1(ε,k,φ), (18)\nIs,ν(ε,k) =/integraldisplay\ndφDs,ν\n1(ε,k,φ). (19)\nThe integration over εin Eqs (14) and (15) can\nbe performed in terms of the theorem of residues. As\na result one finds\nσν\nxy=e2/planckover2pi1\n(2π)34/summationdisplay\nl=1/integraldisplay\ndkkRν\nlf(El), (20)\nσsz,ν\nxy=e/planckover2pi1\n(2π)34/summationdisplay\nl=1/integraldisplay\ndkkRs,ν\nlf(El), (21)\nforν=K,K′. Here, f(E) is the Fermi distribution\nfunction, while Rν\nlandRs,ν\nldenote the residua\n(multiplied by the factor 2 πi) of the functions Fν(ε,k)\nandFs,ν(ε,k), respectively, taken at ε=El−µ.\nSince we consider here only intrinsic (topological)\ncontributions to the anomalous and valley Hall effects,\none can express Eq.(20) alternatively in terms of theAnomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 5\nBerry curvature of electronic bands corresponding to\nthe valley ν,\nσν\nxy=e2\n/planckover2pi14/summationdisplay\nl=1/integraldisplaydkk\n(2π)2¯Ων\nlf(El)\n=e2\n/planckover2pi14/summationdisplay\nl=1/integraldisplayd2k\n(2π)2Ων\nlf(El), (22)\nwhere Ων\nlis thezcomponent of the Berry curvature\nfor thel-th subband, calculated in the vicinity of the\npointν, while¯Ων\nlistheBerrycurvatureintegratedover\nthe angle φ,¯Ων\nl=/integraltextdφΩν\nl. Thus, the Berry curvature\ncan be related to the residua Rν\nlas¯Ων\nl= 2π/planckover2pi12Rν\nl.The\ncorrespondence between Kubo formulation and the\napproach based on topological invariants has been\nshownbyTholuesset al.[47, 48]and then it waswidely\ndiscussed in the literature (see review papers [36, 49]).\nTherefore, we only comment here that in the case of\nAHE and VHE, the conductivity may be nonzero even\nif the energy bands are described by the zero Chern\nnumber (Berry phase). This is because the local Berry\ncurvature may be nonzero and can give rise to the\nanomalous or valley Hall conductivity. This is the case\nthat we consider in this paper.\nEquations (20)-(22) are our general formulas\nwhich can be used to determine all the four Hall\nconductivities. These formulas will be applied\nin the following to specific hybrid systems under\nconsideration.\n3. Graphene/BN(n)/Co(Ni)\nConsider first graphene on a few ( n) atomic planes\nof hexagonal BN which is deposited on ferromagnetic\nCo or Ni. Since BN has a wide energy gap, it\ncan be considered as an insulating barrier. Thus,\nthe influence of Co (or Ni) on transport properties\nof graphene in the low-energy region is determined\nmainly by exchange interaction between graphene\nend Co (Ni) through the BN layer. It has been\nconcluded from ab-initio calculations that Rashba\ninteraction in graphene/BN/Co(Ni) hybrid system is\nmuch smaller than the exchange term and can be ruled\nout [18]. Therefore, we consider the limit of vanishing\nRashbainteraction. Therelevantparametersextracted\nfromab-initio calculations for graphene/BN/Co(Ni)\nsystems by Zollner et al[18] are given in Table 1.\nThese parameters will be used below in our model\ncalculations.\nWhen Rashba coupling disappears, Hamiltonian\nfor the graphene/BN/Co(Ni) hybrid system can be\nreduced to the form\nHK(K′)=HK(K′)\n0+HK(K′)\n∆+HK(K′)\nEX. (23)\nThe correspondingdispersionrelationsforthe Kvalley\nare shown in Fig.2 (top panel) for n= 1,n= 2 andTable 1. Parameters describing graphene(Gr)-based hybrid\nsystems under considerations, taken from Ref. [18].\nn ∆[meV]λA\nEX[meV]λB\nEX[meV]\nGr/BN/Co 1 19.25 -3.14 8.59\n2 36.44 0.097 -9.81\n3 38.96 -0.005 0.018\nGr/BN/Ni 1 22.86 -1.40 7.78\n2 42.04 0.068 -3.38\n3 40.57 -0.005 0.017\nn= 3 monolayers of BN. Splitting of the conduction\nand valence bands due to exchange interaction, clearly\nseen for n= 1 (Fig.2a), becomes reduced for n= 2\n(Fig.2b) and is negligible for n= 3 (Fig.2c). This is\na consequence of reduced exchange interaction when\nthe number of atomic planes of BN increases. Note,\nsplitting of the valence band is remarkably larger than\nthat of the conduction band. Another interesting\nproperty of the spectrum is a relatively wide energy\ngap due to inversion symmetry breaking. This orbital\ngap is a consequence of the presence of BN layers, and\nits width increases with increasing number nof BN\nmonolayers. Interestingly, the gap is much wider than\nthatinthefreestandinggraphene,whereitisnegligible\ndue to a very small intrinsic spin-orbit interaction.\nBerry curvature integrated over the angle φis\nshown in Fig.2 (bottom panel) for n= 1,n= 3,\nand for both KandK′valleys. This figure clearly\nshows that the curvature of electronic bands in the\nKvalley is opposite to the corresponding curvature\nin theK′valley. As a result one finds σK′\nxy=−σK\nxy\nin the case under consideration, i.e. contributions\nto the anomalous Hall conductivity from individual\nvalleys are not zero, but they have opposite signs and\ncanceleachother. Therefore, the anomalousHall effect\nvanishes, σAHE\nxy= 0. This is rather clear as there is no\nspin-orbit interaction. Similarly, alsothe SHE vanishes\ndue to the lack of spin-orbit coupling. However, the\nVHE effect remains nonzero, σV HE\nxy= 2σK\nxy, and from\nsimilar reasons also the VSHE is nonzero.\n3.1. Valley Hall effect\nDue to the opposite Berry curvature of the electronic\nbands in the KandK′valleys, electrons from both\nvalleys are deflected to opposite edges, giving rise to a\nnonzero valley Hall conductivity (see Eq. (7). Simple\nanalytical results can be derived in a specific case of\nλA\nEX= 0. The corresponding dispersion curves around\ntheKpoint are shown in Fig.2d. Note, the conduction\nband is then degenerate at k= 0. Detailed analytical\ncalculations show that the valley Hall conductivity\ndepends on the Fermi level µ, and bearing in mind that\n∆>|λB\nEX|this dependence can be written as follows:Anomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 6\n-1 0 140.70\n40.55\nGR/BN(n=1)/Ni GR/BN(n=2)/Ni GR/BN(n=3)/Ni GR/BN(n=2)/NiE [eV]\nE [meV]\n-20 -10 0 10 20\nk [106m-1] k [106m-1]-20 -10 0 10 20\nK K' K K'\nk [107m-1]-4 -3 -2 -1 0 1 2 3 4\nk [107m-1]-4 -3 -2 -1 0 1 2 3 40.8\n0.4\n0\n-0.4\n-0.8\n-20 -10 0 10 20 -20 -10 0 10 20k [106m-1]\n7m-1]-4 -3 -2 -1 0 1 2 3 4\nk [107m-1]-4 -3 -2 -1 0 1 2 3 4Ω[104 nm2]1.5\n1.0\n0.5\n0\n-0.5\n-1.0\n-1.5= 0EXA\n3430\n3420\n3410\n3400(e) (f) (g) (h)\nk [10k [106m-1] k [106m-1]\nGR/BN(n=1)/Ni GR/BN(n=3)/Ni-1 -0.5 0 0.5 1\nk [106m-1]\n\u0001(a) (b) (c) (d)\n2| | EXA\n2| | EXB2 - (| |+| |) EXA\nEXB\n\u0000\u0002\n\u0003\u0004\nFigure 2. (Color online) Energy dispersion curves around the K point f orn= 1 (a), n= 2 (b), and n= 3 (c) atomic planes of BN\nand for the parameters presented in Table 1. Dispersion curv es in a special case of λA\nEX= 0 are shown in (d). Bottom panel shows\nthe Berry curvature ¯Ω of electronic bands for n= 1 (e,f) and n= 3 (g,h) atomic planes of BN in the vicinity of both K(e,g) and\nK′(f,h) Dirac points. The arrows indicate spin polarization o f the electronic bands.\n(i)−∆+|λB\nEX|< µ <∆ (Fermi level inside the gap):\nσV HE\nxy=−2e2\n/planckover2pi1, (24)\ni.e. the valley Hall conductivity is quantized.\n(ii)µ >∆ (Fermi level inside the conduction bands),\norµ <−(∆ +|λB\nEX|) (Fermi level inside the valence\nbands):\nσV HE\nxy=−/parenleftbigg2∆+λB\nEX\n|2µ+λB\nEX|+2∆−λB\nEX\n|2µ−λB\nEX|/parenrightbigge2\nh.(25)\n(iii)−(∆+|λB\nEX|)< µ <−∆+|λB\nEX|:\nσV HE\nxy=−/parenleftbigg\n1+2∆−|λB\nEX|\n|2µ−|λB\nEX||/parenrightbigge2\nh. (26)\nIn a general situation, λA\nEX/ne}ationslash= 0, the valley Hall\nconductivity was calculated numerically and is shown\nin Fig.3 as a function of the chemical potential µ. The\nvalley conductivity is quantized for the Fermi level in\nthe gap, where σV HE\nxy=−2e2\n/planckover2pi1. The absolute value\nof the conductivity for µoutside the gap is reduced\nwith increasing |µ|. The kinks appear at the points\nwheretheFermilevelcrossesedgesoftheconductionor\nvalence bands, and appear in the presence of exchange\nsplittingofthebands. Note, suchasplittingdisappears\nforn= 3 monolayers of BN, where the exchange\ninteraction is vanishingly small. The kinks for positive\nµarelesspronouncedastheexchange-inducedsplitting\nof the conduction band is remarkably smaller.3.2. Valley spin Hall effect\nAs already mentioned above, the spin Hall effect\nvanishes in graphene/BN/Co (Ni) systems due to\nvanishingly small Rashba interaction. Strictly\nspeaking, contributions to the spin Hall conductivity\nfrom individual valleys are nonzero, however they\ncancel each other as the spin currents associated with\ntheKandK′valleys are opposite. Thus, similarly\nto the valley Hall effect, one can define the valley spin\nHall effect as the difference of spin currents from the K\nandK′valleys, see Eq.(9). This quantity is generally\nnonzero, and indicates that the net spins from the K\nandK′valleys are deflected to the opposite edges. As\nin the previoussection we analysethe full model with a\nfinite parameter λA\nEX, as well as the limit λA\nEX= 0. For\nvanishing λA\nEXit is possible to find analytical solutions\nfor the valley spin Hall conductivity.\n(i)µ >∆:\nσV SHE\nxy=e\n2π/parenleftbigg2∆−λB\nEX\n|2µ−λB\nEX|−2∆+λB\nEX\n|2µ+λB\nEX|/parenrightbigg\n.(27)\n(ii)−∆+|λB\nEX|< µ <∆ (Fermi level is in the gap):\nσV SHE\nxy= 0, (28)\ni.e. the valley spin Hall conductivity vanishes.\n(iii)−∆−|λB\nEX|< µ <−∆+|λB\nEX|:\nσV SHE\nxy=−e\n2π/parenleftbigg\n1−2∆−|λB\nEX|\n|2µ−|λB\nEX||/parenrightbigg\n. (29)Anomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 7\n-2-1.6-1.2-0.8-0.4\n-0.15 -0.1 -0.05 0 0.05 0.1 0.15\nµ[eV] [e2/h]σxyGR/BN (n=1)/Co\nGR/BN (n=1)/Ni\nGR/BN (n=3)/Co\nGR/BN (n=3)/Ni\nFigure 3. (Color online) (a) Valley Hall conductivity as a function of the chemical potential µfor graphene/BN/Co and\ngraphene/BN/Ni systems with n= 1 and n= 3 atomic planes of BN. (b) Schematic presentation of the VHE : electrons in the\nKandK′valleys are deflected in opposite orientations normal to ext ernal electric field.- \u0005 \u0006 \u0007\n\b \t \n \u000b\n\f \r \u000e \u000f\n\u0010 \u0011 \u0012 \u0013\n\u0014 \u0015 \u0016 \u00170\n0 \u0018 \u0019\n\u001a \u001b \u001c\u001d \u001e \u001f ! \" # $ % & ' ( ) * 0+ , . / 1 2 3 4 5 6 7n=1 n=2 n=3x10-38 9 : ; < = > ? @VABCσ\nxD\n[EFG π]\nµ H I J K\nL M N O0\nP Q R\nS T U\nW X Y\nZ \\ ]^ _ ` a b c d e f g h i j k 0l m n o p q r s t u v\nµ w y z {GR/BN/Co\nGR/BN/Ni\n-1\n| } ~ \n \n \n 0\n \n 0 ¡ ¢ £ ¤ ¥ ¦ § ¨ © ª\nµ « ¬ ®\nFigure 4. (Color online) Valley spin Hall conductivity as a function o f the chemical potential µfor graphene/BN/Co (dashed lines)\nand graphene/BN/Ni (solid lines) systems with n= 1 (a), n=2 (b), and n= 3 (c) atomic planes of BN. Due to small exchange\ncoupling, the valley spin Hall conductivity for n= 3 is by three orders of magnitude smaller. The sign of conduc tivity for n= 2 is\nreversed due to reversed sign of the exchange parameter.\n(iv)µ <−∆−|λB\nEX|:\nσV SHE\nxy=−e\n2π/parenleftbigg2∆+λB\nEX\n|2µ+λB\nEX|−2∆−λB\nEX\n|2µ−λB\nEX|/parenrightbigg\n.(30)\nNumerical results on the valley spin Hall conduc-\ntivity are presented in Fig.4 for the general situation,\nλA\nEX/ne}ationslash= 0, and for n= 1,n= 2andn= 3. The\nvalley spin Hall conductivity vanishes for the Fermi\nlevel in the gap. To understand this we note first that\nthe exchange-splittingof conduction (and also valence)\nbands is the same in the KandK′valleys. Since\nthe two valence subbands in an individual valley corre-\nspond to oppositespin orientations,their contributions\nto the spin current exactly cancel each other when the\nFermi level is in the energy gap. A nonzero spin cur-\nrent appearsthen when the Fermi level crossesthe bot-\ntom edge of the lower conduction subband or top edge\nof the higher valence subband. When |µ|groves fur-\nther, the valley spin Hall conductivity decreases due to\ncompensatingcontributionfromthe secondconduction\n(valence) subband.\nNote, the valley spin Hall conductivity for n= 2\natomic planes of BN has reversed sign in major part\nofµdue to reversed sign of the exchange parameterin comparison to that for n= 1. Apart from this, the\nvalley spin Hall conductivity for n= 3 is roughly three\norders of magnitude smaller than for n= 2. This is\ndue to a very small exchange coupling parameter for\nn= 3.\n4. Graphene on a magnetic insulating\nsubstrate\nNow we consider graphene deposited directly on a\nmagnetic insulating substrate. An important example\nof such a hybrid system is graphene deposited on YIG,\nwhere largeanomalousHall effect at roomtemperature\nhas been measured recently [14, 19]. In this particular\ncase the third term of Hamiltonian (1), corresponding\nto the orbital gap, is absent. However, the coexistence\nof proximity-induced exchange field and Rashba spin-\norbit coupling is essential. Therefore, Hamiltonian (1)\nfor the graphene/YIG system can be reduced to the\nfollowing one:\nHK(K′)=HK(K′)\n0+HK(K′)\nEX+HK(K′)\nR. (31)\nMoreover, one may assume λA\nEX=−λB\nEX=λEXin this\nparticular case, so the relevant exchange HamiltonianAnomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 8¯ °±² ³ ´µ¶ · ¸ ¹º\n» ¼½ ¾ ¿À\n-20 -10 0 10 20 -20 -10 0 10 20 -20 -10 0 10 20 -20 -10 0 10 2030\n20\n10\n0\n-10\n-20\n-30\nÁ  Ã\n1.2\n0.8Ä Å Æ\n0Ç È É Ê\n-0.8\n-1.2Ë Ì Í Î2.5\n2.0\n1.5\n1.0\n0.5\n0\n-0.5\n-1.0\n-1.5\n-2.0\n-2.5\nÏ\n3\n2\n1\n0\n-1\n-2\n-3Ð Ñ0.08Ò Ó Ô Õ\n0Ö × Ø Ù Ú\n0.080.08Û Ü Ý Þ\n0ß à á â ã\n0.080.08ä å æ ç\n0è é ê ë ì\n0.080.08í î ï ð\n0ñ ò ó ô õ\n0.08\n0.03\n0.02\n0.01\n0\n-0.01\n-0.02\n-0.03ö÷ ø ù ú û\nü ýþ ÿ =\u0000\n( \u0001 \u0002\u0003 \u0004 \u0005\n\u0006 \u0007 \b \t \n \u000b \f \r \u000e\u000f \u0010 \u0011 \u0012 \u0013 \u0014 (h)Ω\n[μm2]\nE\u0015\u0016\u0017\u0018k \u0019 \u001a \u001b\n6m-1]\n\u001c \u001d \u001e \u001f\n m-1]\n! \" # $\n%m-1]\n& ' ) *\n+m-1]\nFigure 5. (Color online) (a,c,e,g) Energy dispersion curves around t heKDirac point in the graphene/YIG system for a constant\nRashba parameter and exchange parameter as indicated. (b,d ,f,h) Berry curvature integrated over the angle φcorresponding to the\nbands shown in (a,c,e,g), respectively.\nreads\nHK(K′)\nEX=λEXσ0sz. (32)\nFigure 5 presents the energy dispersion curves\nfor the graphene/YIG structure (top panel). The\nRashba coupling was assumed there constant while the\nexchange parameter was changed (as indicated) from\nweak to strong coupling limit. Interestingly, when\nthe exchange coupling is small, there is no energy\ngap in the spectrum – the gap is created when the\nexchange interaction is sufficiently strong. Apart from\nthis, minima (maxima) of the conduction (valence)\nbands are shifted away from the Dirac points. The\nbottom panel in Fig. 5 shows the Berry curvature\ncorresponding to the bands displayed in the top panel.\nThe Berry curvature for the K′point (not shown) is\nthe same as that for the Kpoint. Due to to this, both\nVHE and VSHE are absent. However, AHE and SHE\nconductivities do not vanish due to Rashba spin-orbit\ncoupling,andbothcanbefoundfollowingtheapproach\ndescribed in section 2.\n4.1. Spin Hall effect\nTo find the spin Hall conductivity we make use of\nEq.(21). The corresponding residua can be easily\nevaluated and are given by the expressions\nRK,s\n1(3)=π2λ2\nRv2(2(λ2\nR+λ2\nEX)+v2k2)\n(λ4\nR+v2k2(λ2\nR+λ2\nEX))3/2, (33)\nRK,s\n2,(4)=−π2λ2\nRv2(2(λ2\nR+λ2\nEX)+v2k2)\n(λ4\nR+v2k2(λ2\nR+λ2\nEX))3/2=−RK,s\n1(3).(34)\nTaking the above formulas into account, one can\nfind explicit expressions for the spin Hall conductivity,which are valid in the corresponding regions of the\nchemical potential, as described below. These regions\ncan be easily identified when looking at the dispersion\ncurves in Fig. 5.\n(i)|µ|>/radicalbig\n4λ2\nR+λ2\nEX:\nσSHE\nxy=∓e\n8πλ2\nRv2\nλ2\nR+λ2\nEX/parenleftbiggk2\n3+\nξ3+−k2\n3−\nξ3−/parenrightbigg\n±e\n4πλ2\nRλ2\nEX\n(λ2\nR+λ2\nEX)2(2λ2\nR+λ2\nEX)/parenleftbigg1\nξ3+−1\nξ3−/parenrightbigg\n,(35)\nwith the upper sign for µ <0 and lower for µ >0.\n(ii)/radicalbig\n4λ2\nR+λ2\nEX>|µ|> λEX:\nσSHE\nxy=∓e\n8πλ2\nRv2\nλ2\nR+λ2\nEXk2\n3+\nξ3+\n±e\n4πλ2\nRλ2\nEX2λ2\nR+λ2\nEX\n(λ2\nR+λ2\nEX)2/parenleftbigg1\nξ3+−1\nλ2\nR/parenrightbigg\n(36)\nwith the upper sign for µ <0 and lower for µ >0.\n(iii)λEX>|µ|>/radicalbigg\nλ2\nRλ2\nEX\nλ2\nR+λ2\nEX:\nσSHE\nxy=∓e\n8πλ2\nRv2\nλ2\nR+λ2\nEX/parenleftbiggk2\n3+\nξ3+−k2\n3−\nξ3−/parenrightbigg\n±e\n4πλ2\nRλ2\nEX\n(λ2\nR+λ2\nEX)2(2λ2\nR+λ2\nEX)/parenleftbigg1\nξ3+−1\nξ3−/parenrightbigg\n.(37)\nwith the upper sign for µ <0 and lower for µ >0.\n(iv)−/radicalbigg\nλ2\nRλ2\nEX\nλ2\nR+λ2\nEX< µ \n? @ B\nFigure 7. (Color online) Anomalous Hall conductivity in the graphene /YIG system as function of chemical potential and exchange\nparameter for fixed Rashba parameter λR= 10 meV (a) and as a function of chemical potential and Rashba parameter for fixed\nexchange parameter λEX= 10 meV (b). (c) and (d) represent cross-sections of the dens ity plots in (a) and (b), respectively.\nk3±=1\nv/radicalBig\nλ2\nEX+µ2±2/radicalbig\nµ2(λ2\nEX+λ2\nR)−λ2\nEXλ2\nRand\nξ3±=/radicalBig\nλ4\nR+k2\n3±v2(λ2\nR+λ2\nEX)\nVariation of the spin Hall conductivity with\nthe chemical potential µand Rashba and exchange\nparameters is shown in Fig. 6. For small values ofthe exchange parameter, the spin Hall conductivity\ndepends on the chemical potential in a similar way as\nin graphene on nonmagnetic substrates [50]. However,\nwhen the exchange coupling increases, the spin Hall\nconductivity vanishes in the energy gap created by the\nexchange interaction around µ= 0, where σSHE\nxy= 0.Anomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 10\nThis is clearly seen in Fig.6b and Fig.6c, where the\nplatos correspond to the zero spin Hall conductivity\nin the gap. Vanishing of spin Hall conductivity in\nthe gap is a consequence of the compensation of\ncontributions from the two occupied valence subbands\nwhich correspond to opposite spin orientations. Width\nofagivenplatodependsonthestrengthsofRashbaand\nexchange couplings. Outside the platos, the absolute\nvalue ofσSHE\nxygrows up and upon reaching a maximum\ndecreases with a further increase in µ, tending to a\nuniversal value e/4π.\n4.2. Anomalous Hall effect\nThe anomalous Hall conductivity can be calculated\nin a similar way as the spin Hall conductivity.\nThe corresponding formula for the residua, and thus\nalso for the anomalous Hall conductivity, are rather\ncumbersome, so they are not presented here. Instead,\nwe show in Fig. 7 only numerical results. First,\none can note that the anomalous Hall conductivity\ndisappears for vanishing Rashba coupling. It also\nvanishes when the exchange coupling is zero as the\nsystem isnonmagnetic. The mostinterestingfeatureof\nthe AHE is its quantized value for chemical potentials\nin the gap formed around µ= 0 due to exchange\ncoupling, where σAHE\nxy=−2e2/h. This quantized value\nis of intrinsic (topological) origin, and is consequence\nof the fact the Berry curvatures of the bands in the K\nandK′points are the same.\n5. Summary\nIn this paper we analyzed graphene based hybrid\nsystems, more specifically graphene deposited on\nmagnetic substrates. The key objective was to study\nthe influenceofproximityeffects, especiallyofthe spin-\norbit interaction of Rashba type and the proximity-\ninduced exchange interaction. Two kinds of systems\nwere considered: (i) graphene deposited on a few\natomic monolayers of boron nitride, which in turn was\ndeposited on a magnetic substrate (Co or Ni), and (ii)\ngraphene deposited directly on a magnetic (insulating)\nsubstrate like YIG. To describe these systems we\nassumed the model Hamiltonians which were proposed\nrecently on the basis of results obtained from ab-initio\ncalculations.\nOur main interest was in the spin, anomalous and\nvalley Hall effects. In addition, we also introduced\nthe valey spin Hall effect. The corresponding\nconductivities were calculated in the linear response\nregime and within the Green function formalism. In\nthe case of graphen/BN/Co(Ni) hybrid system the\nstrength of exchange coupling is controlled by the\nnumber of atomic monolayers of BN. Moreover, the\natomic structure of BN leads to a valley gap, whichin turn results in a nonzero valley Hall effect and also\nin a nonzero valley spin Hall effect. These effects are\nabsent in the case when graphene is deposited directly\non YIG. However, anomalous and spin Hall effects can\nbe then observed, with universal quantized values for\nFermi level in the energy gap. These universal values\nfollow from topological properties and nonzero Berry\ncurvature.\nAcknowledgments\nThis work has been supported by the National Science\nCenter in Poland as research project No. DEC-\n2013/10/M/ST3/00488 and by the Polish Ministry of\nScience andHigherEducation(AD) througharesearch\nproject ’Iuventus Plus’ in years 2015-2017(project No.\n0083/IP3/2015/73). A.D. also acknowledges support\nfrom the Fundation for Polish Science (FNP).\nReferences\n[1] Geim A K and Novoselov K S (2007) Nature Mater. 6, 183\n[2] Katsnelson M I (2007) Mater. Today 10 20\n[3] Castro Neto A H, Guinea F, Peres N M R, Novoselov K S\nand Geim A K (2009) Rev. Mod. Phys. 81 109\n[4] Abergel D S L. Apalkov V, Berashevich J, Ziegler K,\nChakraborty T (2010) Advances in Physics 59, 261\n[5] Cresti A, Nikolic B K, Garcia J H and Roche S (2016) Riv.\nNuovo Cimento 39, 587\n[6] Gmitra M, Kochan D, Fabian J (2013) Phys. Rev. Lett. 110,\n246602\n[7] Balakrishnan J, Koon G K W, Jaiswal M, Castro Neto A\nH, Ozyilmaz B 2013 Nature Physics 9, 284\n[8] IrmerS, Frank T, Putz S, Gmitra M,Kochan D, and Fabian\nJ 2015Phys. Rev. B 91, 115141\n[9] Soriano D, Van Tuan D, Dubois S M-M, Gmitra M,\nCummings A W, Kochan D, Ortmann F, Charlier J-Ch,\nFabian J and Roche S 2015 2D Mater. 2, 022002\n[10] Avsar A, Lee J H, Koon G K W and Ozyilmaz B 2015 2D\nMater.2, 044009\n[11] Zberecki K,Swirkowicz R,Wierzbicki M,and Barnas J 201 6\nPhys. Chem. Chem. Phys. 18, 18246-18254\n[12] Avsar A, Tan J Y, Taychatanapat T, Balakrishnan J, Koon\nG K W, Yeo Y, Lahiri J, Carvelho A, Rodin A S,\nO’Farrell E C T, Eda G, Castro Neto A H, and Ozyilmaz\nB 2014Nature Communications 5, 4875\n[13] Qiao Z, Ren W, Chen H, Bellaiche L, Zhang Z, MacDonald\nA H, and Niu Q 2014 Phis. Rev. Lett. 112, 116404\n[14] Wang Z, Tang Ch, Sachs R, Barlas Y, and Shi J 2015 Phys.\nRev. Lett. 114, 016603\n[15] Gmitra M and Fabian J 2015 Phys. Rev. B 92, 155403\n[16] Gmitra M, Kochan D, Hogl P, and Fabian J 2016 Phys.\nRev. B93, 155104\n[17] Yang B, Tu M-F, Kim J, Wu Y, Wang H, Alicea J, Wu R,\nBockrath M and Shi J 2016 2D Mater. 3, 031012\n[18] Zollner K, Gmitra M, Frank T, and Fabian J 2016 Phys.\nRev. B94, 155441\n[19] Leutenantsmeyer J Ch, Kaverzin A A, Wojtaszek M, and\nvan Wees B J 2017 2D Mater. 4, 014001\n[20] Hallal A, Fatima Ibrahim F, Yang H, Roche S, and Chshiev\nM 2017 2D Mater. 4, 025074\n[21] Mendes J B S et al. 2015 Phys. Rev. Lett. 115, 226601\n[22] Soumyanarayanan A, Reyren N, Albert Fert A, and\nPanagopoulos Ch 2016 Nature539, 509Anomalous, spin, and valley Hall effects in graphene deposit ed on ferromagnetic substrates 11\n[23] Yao W, Xiao D, Niu Q 2008 Phys. Rev. B 77, 235406\n[24] Xiao D, Liu G-B, Feng W, Xu X, Yao W 2012 Phys. Rev.\nLett.108, 196802\n[25] Ezawa M 2013 Phys. Rev. B 88, 161406(R)\n[26] Ezawa M 2014 Phys. Rev. B 89, 195413\n[27] Yamamoto M, Shimazaki Y, Borzenets I V, and Tarucha S\n2015J. Phys. Soc. Jpn. 84, 121006\n[28] Ezawa M 2015 J. Phys. Soc. Jpn. 84, 121003\n[29] Song Z, Quhe R, Liu S, Li Y, Feng J, Yang Y, Lu J, Yang\nJ 2015Scientific Reports 5, 13906\n[30] Aivazian G, Gong Z, Jones A M, Chu R-L, Yan J, Mandrus\nD G, Zhang Ch, Cobden D, Yao W, and Xu X 2015\nNature Physics 11, 148\n[31] Schaibley J R, Yu H, Clark G, Rivera P, Ross J S, Seyler\nK L, Yao W, and Xu X 2016 Nature Reviews Materials\n1, 1\n[32] Zhang W, Qixing Wang Q, Chen Y, Wang Z and Wee A T\nS 20162D Mater. 3, 022001\n[33] Xu X, Yao W, Xiao D and Heinz T F 2014 Nature Physics\n10, 343\n[34] Liu G-B, Xiao D, Yao Y, Xude X and Yao W 2015 Chem.\nSoc. Rev. 44, 2643\n[35] Berry M V 1984 Proc R. Soc. Lond. A 392, 45\n[36] Xiao Di, Chang Ming-Che, Niu Qian (2010) Rev. Mod.\nPhys. 82, 1959;\n[37] Ezawa Z F 2008 Quantum Hall Effects: Field Theorectical\nApproach and Related Topics , World Scientific\n[38] Dyakonov M I, Perel V I 1971 Pisma Z. Eksp. Teor. Fiz.\n13, 657; JETP Lett. 13, 467\n[39] Hirsch J E 1999 Phys. Rev. Lett. 83, 1834\n[40] Engel H A, Rashba E I and Halperin B I 2007 Handbook\nof Magnetism and Advanced Magnetic Materials (Spin-\ntronics and Magnetoelectronics vol 5) ed H Kronmuller\nand S Parkin (New York: Wiley)\n[41] Nagaosa N, Sinova J, Onoda S, MacDonald A H, Ong N P\n[42] Sinova J, Valenzuela S O, Wunderlich J, Back C H,\nJungwirth T 2015 Rev. Mod. Phys. 87, 1213\n[43] Dyrdal A, Barnas J 2015 Phys. Rev. B 92, 165404\n[44] Li H, Wang X, and Manchon A 2016 Phys. Rev. B 93,\n035417\n[45] C. L. Kane and E. J. Mele, Phys. Rev. Lett. 95226801\n(2005)\n[46] Kochan D, Irmer S, Fabian J 2017 Phys. Rev. B 95, 165415\n[47] Thouless D J, Kohmotov M, Nightingale M P, den Nijs M\n(1982) Phys. Rev. Lett. 49, 405\n[48] Thouless D J (1983) Phys. Rev. B 27, 6083\n[49] Chang Ming-Che, Niu Qian (2008) J. Phys.: Condens.\nMatter 20, 193202\n[50] Dyrdal A, Dugaev V K, Barnas J (2009) Phys. Rev. B 80,\n155444" }, { "title": "1309.2213v1.Induced_magneto_transport_properties_at_palladium_yttrium_iron_garnet_interface.pdf", "content": "1 \n Induced ma gneto -transport properties at palladium/yttrium iron garnet interface \nTao Lin, Chi Tang, and Jing Shi \nDepartment of Physics and Astronomy, University of California, Riverside, CA 92521 \n \n \nAs a thin layer of palladium ( Pd) is directly deposited on an yttrium iron garnet or YIG \n(Y3Fe5O12) magnetic insulator film, Pd develops both low - and high -field magneto -\ntransport effects that are absent in standalone Pd or thick Pd on YIG. While the low -field \nmagnetoresistance peak of Pd tracks the coercive field of the YIG film, the much larger \nhigh-field magnetoresistance and the Hall effect do not show any obvious relationship \nwith the bulk YIG magnetization . The distinct high -field mag neto-transport effects in Pd \nare shown to be caused by interfacial local moments in Pd . \n 2 \n Noble metals such as Pt and Au are preferred spin current generators or detectors \n[1-5] due to their strong spin -orbit interaction that results in a large spin Hall angle. The \nlarge room -temperature spin Hall effect quantified by the spin Hall angle plays an \nimportant role in spintronics. Among several interesting spin current related effect s, the \nspin Seebeck effect (SSE) reported in magnetic metal [6], semiconductor [7], and \ninsulator [8, 9] has received much atte ntion. Recently, SSE in insulators in particular \nwas challenged by a possible magnetic proximity effect (MPE) [10] existing at th e \nPt/magnetic insulator interface. It was shown that the MPE, along with t he anomalous \nNernst effect in the magnetized Pt interface layer can generate a significant SSE -like \nsignal. More experiments have been carried out in different geometries and with a Au \ndetector that is less prone to MPE [11]. At the heart of the d ebate, one key question is \nwhether MPE exists in spin current detector material s where the inverse spin Hall effect \nis used . \nMotivat ed by these experiments , we have chosen a different material, Pd, for this \nstudy. First of all, Pd is a 4d transition metal and has large magnetic susceptibility which \nfavors MPE. It was shown that MPE does exist at Pd/ferromagnetic metal interfaces [12]. \nIn addition, Pd also ha s strong spin -orbit interaction and been shown to have a large \nspin Hall conductivity [13]. To address the issue of possible MPE in magnetic insulator -\nbased structures, we choose Pd/ yttrium iron garnet ( YIG) as our main material system, \nalthough we have al so prepa red Pt/YIG for comparisons. In this work, we focus on \nPd/YIG samples. \nThin YIG films are grown on single crystal gallium gadolinium garnet (GGG) \nsubstrates with both (110) and (111) orientations using a pulsed laser deposition system. \nThe base pres sure of the deposition chamber is 6x10-7 Torr. During growth, the \nchamber is back filled with ozone ( 1.5 m Torr) and the growth temperature is kept at ~ \n700C. Epitaxial YIG films are obtained as indicated by the reflection high -energy \nelect ron diffraction (RHEED) pattern shown in Fig. 1a. For this work, YIG films with \nthickness ranging from 100 to 200 nm are used. Both orientations show well-defined in-\nplane magnetic anisotropy , indicating dominance of the shape anisotropy . Because of \nthe similarity in both orientations , this work only include s films in (111) orientation. 3 \n Typical magnetic hysteresis loops are shown in Fig. 1a . The out-of-plane saturation field \nis ~ 2 kOe, which corresponds well to 4πM s=1780 G for YIG . After YIG films are taken \nout of the PLD chamber, they are immediately placed in a high-vacuum sputtering \nchamber where a thin Pd film is de posited. Before deposition, YIG film is lightly \nsputtered to provide a fresh and clean sur face. In this work, we f ocus on Pd films with a \nthickness range from 1.5 to 10 nm. Hall bars with the width of 200 m and length of \n1000 m are patterned using ion milling. The magnetic properties of YIG films are \nmeasured with either a vib rating sample magnetometer or Quantum De sign’s magnetic \nproperty measurement system. The magneto -transport measurements are conducted in \neither a close -cycled refrigerator with an electromagnet (<1 T) or Quantum Design’s \nphysical property measurement system (up to 14 T). \nAs an in-plane magnetic field H∥ is swept along the Hall bar direction , the \nmagnetoresistance (MR) , \n \n , of a Pd (2 nm)/YIG is shown in Fig. 2a , along \nwith the magnetization data of YIG . Two negative peaks appear at the coercive fields of \nYIG. This feature resembles the ani sotropic MR effect in ferromagnets . Here the MR \npeak is only ~6x10-6, several orders smaller than that of the anisotropic MR in \nferromagnetic conductors. MR with similar magnitude was previously reported in Pt/YIG \nwhere the YIG films are polycrystalline [10]. For comparison, a 2 nm thick Cu film \ndeposited on YI G does not show any measurable MR signal. One possible cause of MR \nin Pd film is that the non -magnetic Pd film acquire s a magnetic moment whose direction \nis dictated by the underlying YIG film , i.e. the Pd interface layer adjacent to YIG acting \nas if it is magnetic . As shown in Fig. 2b, t he MR peak s are correlated with the coercive \nfields of YIG which do not change significantly with the temperature in this temperature \nrange . However, the MR peak nearly doubles when the temperature is lowered to 30 K , \nwhich is consistent with reduced spin -flip scattering . \nWe have extended MR measurements to high fields. Fig. 3a shows MR of the same \nPd/YIG sample wit h the field pe rpendicular to the film , H⊥. Surprisingly, there is a much \nlarger high-field magnetoresistance (HFMR) background that i s overwhelmingly larger \nthan the low -field MR signal shown in Fig. 2 . At high temperatures, the positive MR is \nprobably the usual Lorentz force induced effect. As the temperature is lowered, this 4 \n positive MR diminishes and turns to negative . Negative MR is usually seen in materials \nwith random spins that can be aligned by an external field to cause suppressed \nscattering. At the lowest temperature, the HFMR ratio reaches ~ -10-3, nearly t wo orders \ngreater than that of MR at low fields. The comparison between the low - and high -field \nMR reveals t hat in addition to the low-field phenomenon related to th e YIG \nmagnetization reversal , there is some spin-dependent process occurring at high field s. \nWhen additional spins are aligned with high fields, the MR ratio is consequent ly \nenhanced. It is interesting that the temperature dependence of HFMR (inset of Fig. 3a) \nis markedly different from that of the low -field MR. \nIn ferromagnetic conductors , superimposed on the ordinary Hall effect that is linear in \nH⊥, there is a large anomalous Hall effect (AHE) signal that is proportional to the out -of-\nplane magnetization component [14]. However, in the low field range (up to ~2 kOe) \nwhere the in -plane magnetization is rotated towards the perpendicular direction and \ntherefore there should be an AHE response , we do not observe any definitive \nmagnetization -related AHE signal. As we ramp up H⊥ further , however, an unambiguous \nnon-linear AHE-like signal arises on the linear ordinary Hal l background (removed in Fig. \n3b). At low temperature s, there is a clear saturation in Hall resistivity at the highest \nmagnetic field. The Hall resistivity reaches ~0.17 at 5 K, equivalent to ~1x10-3 in the \nHall angle. Note that the YIG magnetization saturates only with H⊥ ~ 2 kOe, but \nsaturation of the AHE-like signal does not occur until H⊥ > 20 kOe. Therefore, similar to \nthe HFMR effect, the high -field Hall signal also reveals a response of the magnetic \nmoments other than those in the Pd interface layer that are possibly exchange aligned \nto the YIG magnetization. We fit the Brillouin function , i.e. \nTkJBgxxJ JxJJ\nJJxB\nBB\nJ );21coth(21)212coth(212)(\n, to the AHE -like data in Fig. 3 b. \nHere T is the temperature, B is the Bohr magneton, and gJ is treated as a fitting \nparameter. The solid curves in Fig. 3b are the actual Brillouin fits. Clearly, the saturation \nAHE-like signal steadily increases at low temperatures. The inset shows a plot of the \nnormalized AHE -like signal as a function of B/T, indicating that the effective magnetic \nmoment is not a temperature -independent constant. “ gJ” dec reases from ~ 200 B at 5 \n room temperature to ~ 7 B at 5 K. It is known that a Fe impurity can induce a large \nlocal moment of in Pd [15, 16]; however, its temperature dependence has not yet been \nreported or understood. \nFig. 4 a shows the Pd thickness dependence of the AHE -like signal in Pd/YIG \nsamples . As the Pd thickness increases, the Hall magnitude sharply decreases. The \ninset shows the zoom -in plot of the AHE -like data for 4, 5, and 10 nm thick Pd films at \nroom temperature . For 10 nm thick Pd, the Hall signal essentially vanishes. The rapid ly \ndecreas ing trend of the AHE -like signal clearly demonstrates the interfacial origin of the \nmagnetic moments that are responsible for the high -field Hall effect . Since the moments \nare located at the interface and it is the interface layer that produces a Hall signal , when \nthe film thickness is much greater than the interface layer, the measured Hall voltage is \nquickly reduced due to the parallel resistance of the bulk Pd layer . For the same \nnominal 2 nm thick Pd on YIG, we have observed AHE with similar magnitude in five \ndifferent samples. \nFig. 4b further reveals the properties of the interface moments. First of all, Pd needs \nto be in direct contact with YIG. Pd on MgO doe s not produce any Hall signal; therefore, \nthe source of the interface moments must be YIG. Second, Cu either has no interface \nmoments or does not produce any Hall signal even if it has interface moments . We \ncannot distinguish these two possibilities. If the latter is true , a 6 nm thick Cu layer is \nsufficiently thick er than the mean -free-path so that Pd does not feel any effect from the \nmagnetic moments at the Cu/YIG interface . Third, interface roughness seems to \nenhance the Hall signal. The sputter clean ed YIG surface is likely rougher than the o ne \nwithout sputter cleaning and the Hall magnitude is a factor of 5 larger in the sample with \na rough interface. \nThe above experimental facts strongly suggest that independent magnetic moments \nproducing the high-field effects originate from the Pd/YIG int erface . On the other hand, \nthose moments are not exc hange coupled to the YIG spins . In ferromagnetic conductors, \nthe carriers are spin polarized and AHE arises from either extrinsic or intrinsic \nmechanisms due to spin -orbit interaction. But the existence of an AHE-like signal does \nnot prove ferromagnetism. In the framew ork of AHE, the magnitude of AHE, , scales 6 \n with the resistivity , , either linearly or quadratically, i.e. , with n=1 or 2, \ndepending on the microscopic mechanism [14]. In our Pd/YIG, the resistivity changes \nonly ~ 18% but the AHE -like signal rises by a factor of 10 below 100 K. We do not \nexpect any sharp temperature dependence of the saturation or fully aligned magnetic \nmoments . Therefore, t he dramatic rise of mea sured AHE -like signal at low temperature s \nargues against the AHE mechanism for spin-polarized carriers as in regular \nferromagnets. Similar high-field effects were previously found in noble met al-based \ndilute m agnetic alloys where the local moments can cause a left-right asymmetry to \nunpolarized electrons [17-19]. The Hall angle can be as large as 10-3 to 10-2. Either the \nspin-orbit interaction or spin -spin exchange between the local moment s and the \nconduction electrons can result in such a Hall angle. The former is called the skew \nscattering [19] and the latter the “ spin effect ” [20]. The “spin effect ” causes an enhanced \nordinary Hall signal and MR, both of which vary with 2, and therefo re have a zero \ninitial slope at H =0 . This disagree s with our observation s. Our experimental data in \nPd/YIG are consistent with the skew scattering picture in which unpolarized electrons \nare deflected by local moments via spin -orbit interaction , similar to the noble metal -\nbased dilute magnetic alloys [21]. We should point out that Pt/YIG samples also exhibit \nsimilar characteristic high -field features as observed in Pd/YIG but with larger \nmagnitude in the Hall signals . \nIn summary , we have observed a low -field MR effect in Pd/YIG which tracks the bulk \nYIG magnetization reversal . In addition, we have also observed two different, much \nstronger magneto -transport effects that occur at high magnetic fields where the bulk \nYIG magnetization is already fully saturated. We attribute t he observed Hall effect to the \nscattering of conduction electrons in Pd by local magnetic moments at Pd/YIG interface. \nAcknowledgement: we thank F. Wang, Q. Niu, R.Q. Wu, and V. Aji for many \nenlight ening discussions. TL and CT were supported by DMEA/CNN; JS was supported \nby a NSF/EECS grant. 7 \n Fig. 1. (a) Normalized magnetic hysteresis loop s at 300 K of YIG film on GGG(111) \nsubstrate with an applied field in -plane (H ∥) and out -of-plane (H ⊥). Inset: RHEED \npatte rn of YIG film on GGG(111). (b) Schematic diagram of the patterned Hall bar. \n \nFig. 2. (a) In -plane low -field MR of Pd(2 nm)/YIG (red sq uares), MR of Cu/YIG reference \nsample (black squares) , and in-plane hysteresis loop (blue squares). (b) Temperature \ndependence of MR ratio of Pd(2 nm)/YIG. \n \nFig. 3. (a) Out -of-plane HFMR at different temperatures. The inset shows MR ratio at \nH=10 kOe. Red and blue region s represent positive and negative MR ratios respectively . \n(b) Field dependence of the Hall resistance R H at different temp eratures with linear \nbackground removal . Lines are the Brillouin function fits . Inset: Normalized R H as a \nfunction of B/T shows that “gJ” changes as temperature is varied . \n \nFig. 4. (a) Pd thickness dependence of the Hall resistance R H at T=5 K. The inset shows \nthe zoom -in data for Pd thicknesses from 4 to 10 nm. (b) RH for several reference \nsample s at T=5 K. All metal layers (except the Cu -layer in Pd/Cu/YIG) are 2 nm thick. \nThe inset shows zoom -in data for reference samples. \n 8 \n \n-3 -2 -1 0 1 2 3-1.0-0.50.00.51.0(a)\nH||\n M/MS\nField (kOe) In-plane\n Out-of-plane\nH\n \n \n \n \nFigure 1 \n(b) 9 \n \n-60 -40 -20 020 40 60-8-6-4-20 \n Pd/YIG\n Cu/YIG\n M/Ms\nField (Oe) (10-6)\n-1.0-0.50.00.51.0\nM/MS(a) \n-100 -50 0 50 100-10-8-6-4-202\n (b)\n (10-6)\nField (Oe) 300K\n 200K\n 100K\n 50K\n 30K\n \n \n \n \nFigure 2 10 \n \n0 25 50 75 100-16-12-8-404\n (10-4)\nField (kOe) 300K\n 125K\n 100K\n 75K\n 50K\n 5K0 100 200 300-9-6-303 (10-4)\nTemperature (K)(a) \n-100 -50 0 50 100-200-1000100200\n RH (m)\nField (kOe) 300K\n 125K\n 100K\n 75K\n 50K\n 5K-2 0 2-1.0-0.50.00.51.0RH (norm)\nB/T (kOe/K)(b)\n \n \n \n \n \n \nFigure 3 \n 11 \n \n \n-100 -50 0 50 100-200-1000100200\n RH (m)\nField (kOe) Pd (2nm)/YIG\n Pd (4nm)/YIG\n Pd (5nm)/YIG\n Pd (10nm)/YIG-100 0 100-10-50510(a)\nRH (m)\nField (kOe) \n-100 -50 0 50 100-200-1000100200(b)\n RH (m)\nField (kOe) Pd (2nm)/YIG\n Pd/Cu/YIG\n Cu/YIG\n Pd/MgO\n Pd/YIG (no cleaning)-100 0 100-20020\n RH (m)\nField (kOe)\n \n \n \nFigure 4 12 \n Refere nces: \n \n[1] E. Saito , M. Ueda, H. Miyajima , and G. Tatara , App l. Phys . Lett. 88, 182509 \n (2006). \n[2] T. Kimur a, Y. Otani, T. Sato, S. Takahashi, and S. Maekawa , Phys . Rev. Lett. 98, \n 156601 (2007). \n[3] T. Seki , Y. Hasegaw a, S. Mitani, S. Takahashi, H. Imamura, S. Maekawa, J. \n Nitta, and K. Takanashi, Nature Mater. 7, 125 (2008). \n[4] Y. Kajiwara , K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi, H. \n Umezawa, H. Kawai, K. Ando, K. Takahashi, S. Maekawa, and E. Saitoh, Nature \n (London) 464, 262 (2010). \n[5] L. Liu , R. A. Buhrman, and D. C. Ralph, ArXiv e -prints , arXiv:1 111.3702 (2011). \n[6] K. Uchid a, S. Takahashi, K. Harii, J. Ieda, W. Koshiba e, K. Ando, S. Maekawa, \n and E. Saitoh, Nature (London) 455, 778 (2008). \n[7] C. M. Jaworsk i, J. Yang, S. Mack, D. D. Awschalom, J. P. Heremans, and R. C. \n Myers, Nature Mater. 9, 898 (2010). \n[8] K. Uchid a, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda, T. Ota, Y. Kajiwara, \n H. Umezawa, H. Kawai, G. E. W. Bauer, S. Maekawa, and E. Saitoh, Nature \n Mater. 9, 894 (2010). \n[9] K. Uchid a, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and E. Saitoh, Appl. \n Phys . Lett. 97, 172505 (2010). \n[10] S. Y. Hua ng, X. Fan, D. Qu, Y. P. Chen, W. G. Wang, J. Wu, T. Y. Chen, J. Q. \n Xiao, and C. L. Chien, Phys . Rev. Lett. 109, 107204 (2012). \n[11] T. Kikkaw a, K. Uchida, Y. Shiomi, Z. Qiu, D. Hou, D. Tian, H. Nakayama, X. –F. \n Jin, and E. Saitoh, Phys. Rev. Lett. 110, 067207 (2013) . \n[12] J. Voge l, A. Fontaine, V. Cros, F. Petroff, J. Kappler, G. Krill, A. Rogalev, and J. \n Goulon, Phys . Rev. B 55, 3663 (1997). \n[13] G. Y. Guo, J . Appl. Phys . 105, 07C701 (2009). \n[14] N. Nagaos a, J. Sinova, S. Onoda, A. H. MacDonald, and N. P. Ong, Rev. Mod. \n Phys . 82, 1539 (2010). \n[15] A. J. Manuel, and M. McDougald, J . Phys . C 3, 147 (1970). \n[16] G. Bergmann, Phys. Rev. B 23, 3805 (1981). \n[17] A. Hamzic, S. Senoussi, I.A. Campbell, and A. Fert, Solid State Comm. 26, 617 \n (1978). \n[18] A. Hamzic, S. Senoussi, I.A. Campbell, and A. Fert, J. Magn. Magn. Mater. 15, \n 921 (1980). \n[19] A. Fert and O. Jaoul, Phys. Rev. Lett. 28, 303 (1972). \n[20] M.T. Beal -Monod , and R.A. Weiner, Phys. Rev. B 3, 3056 (1971). \n[21] A. Fert, A. Friederich, and A. Hamzic, J . Magn . Magn . Mater . 24, 231 (1981). \n \n \n " }] \ No newline at end of file diff --git a/YIG/1608.04584v1.Probing_current_induced_magnetic_fields_in_Au_YIG_heterostructure_with_low_energy_muon_spectroscopy.pdf.json b/YIG/1608.04584v1.Probing_current_induced_magnetic_fields_in_Au_YIG_heterostructure_with_low_energy_muon_spectroscopy.pdf.json new file mode 100644 index 0000000000000000000000000000000000000000..1e2f9a2315fb12c4a305eab490f6a4d81f18160a --- /dev/null +++ b/YIG/1608.04584v1.Probing_current_induced_magnetic_fields_in_Au_YIG_heterostructure_with_low_energy_muon_spectroscopy.pdf.json @@ -0,0 +1 @@ +[ { "title": "2110.05462v2.Transition_of_laser_induced_terahertz_spin_currents_from_torque__to_conduction_electron_mediated_transport.pdf", "content": "Transition of laser -induced terahertz spin currents from torque - to \nconduction-electron -mediated transport \nPilar Jiménez -Cavero1,2,3,4,*, Oliver Gueckstock1,2,*, Lukáš Nádvorník1,2,5 ,†, Irene Lucas3,4 Tom S. \nSeifert1,2, Martin Wolf2, Reza Rouzegar1,2, Piet W. Brouwer1, Sven Becker6, Gerhard Jakob6, \nMathias Kläui6, Chenyang Guo7,8, Caihua Wan7, Xiufeng Han7,8, Zuanming Jin9,10, Hui Zhao11, Di \nWu11, Luis Morellón3,4, Tobias Kampfrath1,2,† \n1. Department of Physics, Freie Universität Berlin, Arnimallee 14, 14195 Berlin, Germany \n2. Department of Physical Chemistry, Fritz Haber Institute of the Max Planck Society, Faradayweg 4-6, 14195 Berlin, \nGermany \n3. Instituto de Nanociencia y Materiales de Aragón (IN MA), Universidad de Zaragoza -CSIC , Mariano Esquillor, Edificio I+D, \n50018 Zaragoza, Spain \n4. Departamento Física de la Materia Condensada, Universidad de Zaragoza, Pedro Cerbuna 12, 50009 Zaragoza, Spain \n5. Faculty of Mathematics and Physics, Charles University, Ke Karlovu 3, 12116 Prague, Czech Republic \n6. Institut für Physik, Joh annes Gutenberg-Universität Mainz, 55128 Mainz, Germany \n7. Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, University of Chinese Academy of \nSciences, Chinese Academy of Sciences, Beijing 100190, China \n8. Center of Materials Scienc e and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing \n100049, China \n9. Shanghai Key Lab of Modern Optical System s, University of Shanghai for Science and Technology, Shanghai 200093, \nChina \n10. Department of Physics, Shanghai Univer sity, Shanghai 200444, China \n11. Department of Physics and National Laboratory of Solid State Microstructures, Nanjing University, 210093, China \n \n* contributed equally to this work \n† E-mail: nadvornik@karlov.m ff.cuni.cz , tobias.kampfrath@fu-berlin.de \n \n \n \nSpin transport is crucial for future spintronic devices operating at bandwidths up to the terahertz (THz) \nrange. In F|N thin -film stacks made of a ferro/ferr imagnetic layer F and a normal -metal layer N, s pin \ntransport is mediated by (1) spin-polarized conduction electrons and/or (2) torque between electron \nspins. To identify a cross -over from (1) to (2), we study laser -driven spin currents in F|Pt stacks where \nF consists of model materials with different degrees of electrical conductivity. For the magnetic \ninsulators YIG, GIG and 𝛾𝛾-Fe 2O3, identical dynamics is observed. It arises from the THz interfacial \nspin Seebeck effect (SSE), is fully determined by the relaxation of the electrons in the metal layer and \nprovides an estimate of the spin -mixing conductance of the GIG/ Pt interfac e. Remarkably, i n the half -\nmetallic ferrimagnet Fe 3O4 (magnetite), our measurements reveal two spin-current components with \nopposite direction. The slower , positive component exhibits SSE dynamics and is assigned to torque-\ntype magnon excitation of the A - and B-spin sublattices of Fe3O4. The faster , negative component \narises from the pyro-spintronic effect and can consistently be assigned to ultrafast demagnetization \nof e-sublattice minority -spin hopping electrons. This observation supports the magneto-elec tronic \nmodel of Fe 3O4. In general, our results provide a new route to the contact -free separation of torque- \nand conduction-electron- mediated spin currents . \n \n \nFIG. 1. (a) Schematic of photoinduced spin transport in an F|Pt stack, where Pt is platinum , and F is a magnetic \nlayer with equilibrium magnetization 𝑴𝑴0. An ultrashort laser pulse excites the sample and induces an ultrafast \nspin current with density 𝑗𝑗s from F to Pt along the 𝑧𝑧 axis. In the Pt layer , 𝑗𝑗s is con verted into a transverse charge \ncurrent with density 𝑗𝑗c that gives rise to the emission of a terahertz (THz) electromagnetic pulse. Schematics (1) \nand (2) indicate spin transfer across the F/Pt interface that is mediated by ( 1) spin-polarized conduction \nelectrons and ( 2) spin torque, the latter coupling to magnons in F. Both (1) and ( 2) can be driven by gradients of \ntemperature and spin accumulation. (b) Simplified schematic of the single -electron density of states vs electron \nenergy 𝜖𝜖 of the tetrah edral A - and octahedral B-sites of the ferrimagnetic half -metal Fe 3O4. The DC conductivity \npredominantly arises from the B -site minority -type hopping electrons of the e-sublattice . (c) In our interpretation, \noptical excitation of the Fe 3O4|Pt stack triggers spin transfer through both the spin Seebeck effect ( SSE) and \npyro-spintronic effect ( PSE). While the SSE current is mediated by torque between Pt and Fe 3O4 electron spins \nfar below the Fermi level 𝜇𝜇0 [(2) in panel (a)] , the PSE transi ently increases the chemical potential of the B -site \nminority -spin electrons around 𝜇𝜇0 and, thus, induces a conduction- electron spin current [(1) in panel (a)] . (b) (a)\nPSE\nSSE𝒋sdue to\n(1)\n(2)\nOptical\npumpTHz electric\nfield𝑬\n𝑧𝑧\nF Pt\n𝒋s\n𝒋c\n𝑴𝑴0\n(1)\n(2)(c)\n𝜇𝜇0𝜖𝜖 𝜖𝜖\nB-sublattice: Fe2+/Fe3+ A-sublattice: Fe3+e-sub-\nlattice \n \nFIG. 2. THz emission from F|Pt bilayers as a function of F-layer conductivity . (a) Electrical conductivities of the \nstudied F materials on a logarithmic scale. ( b) Electro -optic signals of THz pulses emitted from various F|Pt \nbilayers with F=YIG (thick and thin), GIG, 𝛾𝛾-Fe2O3, Fe 3O4 and Fe. Note the different amplitude scaling ’s. The \ntime-axis origin is the same for all signals and was determined by the signal from Fe|Pt reference stacks (Fig. S1). \nThe dashed vertical line marks the minimum signal for the insulating F materials YIG , GIG and 𝛾𝛾-Fe2O3, and the \ntwo black arrows label a sharp fe ature in the traces for F=Fe 3O4 and Fe. (c) Fourier amplitude spectra of the \nsignals of panel (b) (normalized to peak height 1 ). Dashed lines show two duplicates of the spectrum of 𝛾𝛾-\nFe2O3|Pt. Curves in (b) and (c) are vertically offset for clarity . \n \n \n \n \nFIG. 3. Ultrafast photoinduced spin transport in F|Pt stacks . (a) Curves show the spin current density 𝑗𝑗s(𝑡𝑡) in \nmagnetic -insulator|Pt and Fe|Pt stacks , i.e., YIG(3 µm)|Pt(5 nm), GIG(58 nm)|Pt(2 nm), 𝛾𝛾-\nFe2O3(10 nm)|Pt(2.5 nm) and Fe(2.5 nm)|Pt(2.5 nm), as extracted from the THz emission signals of Fig. 2b. \nEach signal is normalized by the pump -excitation density inside the Pt layer and by the indicated factor. (b) Spin \ncurrent 𝑗𝑗s(𝑡𝑡) in Fe3O4(10 nm)|Pt(2.5 nm) along with scaled spin currents in 𝛾𝛾-Fe2O3|Pt and Fe |Pt. The violet \narrows F1 and F2 mark characteristic features of the curves . Note that 𝑗𝑗s(𝑡𝑡) in Fe3O4|Pt can be well described \nas a linear combination of the other two spin currents (light -violet curve). (c) Same as in panel (b), but f or the \nTHz-emission raw signals . \nI. INTRODUCTION \nSpin currents \nControl over spin currents is a cornerstone of spintronic technologies [1]. New functionalities in such \ndiverse fields as energy conversion and information technologies are envisaged to benefit from the \ngeneration, processing and detection of spin currents [2-5]. An important goal is to push the \nbandwidth of spintronic operations to the terahertz (THz) frequency range, corresponding to ultra fast \ntime scales [1]. \nA model system for the investigati on of the transport of spin angular momentum is the F|N thin-film \nstack of Fig. 1(a), where spin can be transmitted from a ferro- or ferrimagnetic layer F to an adjacent \nnon-ferro/ferrimagnetic metal layer N. The spin current in F is mediated not only by (1) spin-polarized \nconduction electrons , which typically dominate spin transfer in metals , but also by (2 ) magnons, i.e., \ntorque between coupled spins [6,7], which is the main transport channel in insulators . Accordingly, \nspin transfer across an F/N interface can be mediated by ( 1) spin-polarized conduction elec trons \ntraversing the interface [see (1) in Fig. 1(a)] and by ( 2) spin torque between adjacent F and N regions \n[(2) in Fig. 1(a)]. As mechanism ( 2) results in the excitation of magnons in F [8], it can be considered \nas magnonic spin transfer. \nIn general, t o drive an incoherent spin current of density 𝑗𝑗s from F to N , a difference in temperat ure \nor spin chemical potential (also known as spin accumulation or spin voltage) between the two layers \nis required [9,10] . For example, for a temperature gradient between F and N, the resulting spin current \narise s from the interfacial spin-dependent Seebeck effect (SDSE) [11] for channel (1) or the interfacial \nspin Seebeck effect ( SSE) [12-16] for channel (2). \nIn any case, the spin flow from F to N can be detected by conversion of the longitudinal 𝑗𝑗s into a \ntransverse charge current with density 𝑗𝑗c [Fig. 1(a)] and measurement of the resulting voltage. For \nthis purpose, N materials with sufficiently large inverse spin Hall effect (ISHE) , for instance Pt, are \nwell suited. \nTHz spin transport \nA powerful and ultrafast approach to deposit excess energy in F|N stacks is optical excitation by \nfemtosecond laser pulses [Fig. 1(a)]. Measurement of the ultrafast transverse charge current 𝑗𝑗c as a \nfunction of time 𝑡𝑡 allows one to resolve elementary relaxation processes such as electron \nthermalization [8] and electron-spin and electron-phonon equilibration [10], but also delivers insights \ninto spin-to -charge-current conversion [17-24] . \nFor an insulating and pump-transparent F, temperature gradients between F and N (i.e., the SSE ) \nwere found to be the dominant driving force of the ultrafast 𝑗𝑗s [8,25] . For metallic F, in contrast, such \ntemperature differences (i.e., the SDSE) were concluded to make a minor contribution. Instead, spin -\nvoltage-gradients were suggested and identified as the relevant driving force of spin transport on sub-\npicosecond time scales in metals [10,26-30] . More precisely, dynamic heating of F leads to a transient \nspin accumulation or spin voltage, which quantifies the excess of spin angul ar momentum in F. This \nphenomenon, which may be termed pyro-spintronic effect (PSE), induces a spin current across the \nF/N interface [10,28] . \nThere are important open questions regarding the role of THz SSE and PSE. First, the SSE was so \nfar only observed in F|Pt stacks with F made of yttrium iron garnet (YIG) . According to the microscopic \nmodel of Ref. [8], the spin-current dynamics should be fully determined by the relaxation dynamics of \nthe Pt electrons, independent of the insulating F-layer material. This quite universal implication \nremains to be shown. \nSecond, with increasing electrical conductivity of the F material, a transition from ultrafast SSE to \nPSE should occur , which was not yet observed. At the cross -over point , both spin transport channels (1) and ( 2) may be operative [Fig. 1(a)], and disentangling the m is crucial to maximize the overall \ngeneration efficiency of spin currents. The experimental separation of conduction-electron- and \nmagnon-carried incoherent spin transport is challenging under quasistatic conditions [8,31,32] . \nHowever , on femtosecond time scales, SSE and PSE dominate and exhibit different temporal \ndynamics , as indicated by previous works [8,10] . Thus , a separation of the two effects might be \npossible. \nThis work \nIn this wor k, we study ultrafast photogenerated spin currents in F|Pt bilayers as a function of various \nmagnetic model F-materials with different degrees of electr ic conductivity : The ferrimagnetic \ninsulators maghemite ( 𝛾𝛾-Fe 2O3), gadolinium iron garnet (Gd 3Fe5O12, GIG) and YIG (with a thickness \nranging over three orders of magnitude), the ferrimagnetic half -metal magnetite (Fe 3O4), and, for \nreferencing purposes , the ferromagnetic metal iron ( Fe). \nOur study reveals that the ultrafast dynamics of the SSE is independent of the choice of the magnetic \ninsulator (YIG, GIG, 𝛾𝛾-Fe 2O3), its thickness (3.4 nm-3 µm) and growth method. Remarkably, i n the \nhalf-metallic ferrimagnet Fe 3O4, we observe simultaneous signatures of both SSE and PSE, whose \nultrafast spin currents counteract each other . The PSE current is much smaller and of opposite sign \ncompared to Fe. We assign the PSE current in Fe 3O4 to the minority hopping electrons (Fig. 1b). \n \nII. EXPERIMENTAL DETAILS \nTHz emission setup \nTo launch an ultrafast spin current, the sample under study is excited with near -infrared femtosecond \nlaser pulses (central wavel ength of 800 nm, duration of 10 fs, energy of 1 nJ, repetition rate of 80 \nMHz) from a Ti:sapphire laser oscillator [see Fig. 1(a)]. Part of t he energy of the incident pump pulse \nis instantaneously deposited in the electronic system of the Pt layer and, if metallic, of F. Any induced \nspin current 𝑗𝑗s(𝑡𝑡) flowing across the F /Pt interface is partially converted into a transverse charge \ncurrent 𝑗𝑗c(𝑡𝑡) in Pt through the ISHE, thereby resulting in the emission of electromagnetic pulses with \nfrequencies extending into the THz range [Fig. 1(a)] [8,17,19-24,33,34] . We detect the transient THz \nelectric field by electro-optic sampling in a 1 mm thick ZnTe (110) crystal , resulting in the electrooptic \nsignal 𝑆𝑆(𝑡𝑡,𝑴𝑴0) [35-37] . \nDuring the experiments, the in-plane equilibrium magnetization 𝑴𝑴0 of the sample is saturated by an \nexternal magnetic field with (magnitude ≈100 mT). We measure signals for two opposite orientations \n±𝑴𝑴0. Because we are only interested in effects odd in the magnetization 𝑴𝑴0, we focus on the \nantisymmetric signal \n𝑆𝑆(𝑡𝑡)=𝑆𝑆(𝑡𝑡,+𝑴𝑴0)−𝑆𝑆(𝑡𝑡,−𝑴𝑴0)\n2. (1) \nAll data are acquired at room temperature in air if not mentioned otherwise. \nMaterial choice \nFor the F material in our F|Pt stacks , we choose common and spintronically relevant two-lattice \nferrimagnets with increasing degree of electr ic conductivity : (i) insulating YIG (thickness 3.4 nm-\n3 µm), (ii) insulating Gd 3Fe5O12 (58 nm), (iii) insulating 𝛾𝛾-Fe 2O3 (10 nm) and (iv) the half -metal Fe3O4 \n(10 nm) [38]. For referencing, (v) the ferromagnetic metal Fe (2.5 nm) is chosen. As N material , we \nchoose Pt for all samples due to its large spin Hall angle [39] . The approximate F-material \nconductivities [40-43] are summariz ed in Fig. 2(a). The insulating F materials transfer spin angular momentum by torque [ Fig. 1(a), (1)], whereas for the \nmetal Fe, the spin current is expected to be carried predominantly by conduction electrons [ Fig. 1(a), \n(2)] [10]. \nIn this respect, Fe3O4 is special because it exhibits both localized and mobile electrons with \nmagnetically ordered spins . More precisely, the ferrimagnet Fe 3O4 is a half -metal , since its \nconductivity is dominated by hopping- type transport of minority electrons. Fe3O4 possesses two \nsublattices A and B of , respectively, localized Fe2+/Fe3+ and Fe3+ spins, which couple \nantiferromagnetically [38]. In the so-called magneto-electric model, the spins of the hopping electrons \nare aligned predom inantly antiparallel to 𝑴𝑴0 due to exchange interaction with A and B and, thus, form \nthe e-sublattice [44-47] . \nA highly simplified schematic of the electronic structure of Fe 3O4 is displayed by the spin- and site-\nresolved single-electro n density of states in Fig. 1(b) [48,49] . The majority (spin -up) electrons exhibit \nan electronic band gap with a calculated magnitude of 1.7 eV [50], while the presence of minority \n(spin -down) hopping electrons at the Fermi level 𝜇𝜇0 [44] make s magnetite a half -metal. The measured \nspin polarization at 𝜇𝜇0 amounts to -72% in Fe 3O4(001) , indicating a nonvanishing number of majority \nhopping electrons [Fig. 1(b)] [51]. \nSample details and excitation \nDetails on the sample fabrication can be found in the Appendix A. In brief, the YIG films are fabricated \nby three different techniques (pulsed-laser deposition, sputtering and liquid-phase epitaxy). The Fe|Pt \nreference sample is obtained by growing an Fe layer on top of half the F|Pt region for most of the \nsamples [Fig. S1]. The THz emission signal from the resulting F|Pt|Fe regions is dominated by Pt| Fe \nand equals the reversed signal from an Fe|Pt layer [8] . By means of the Fe|Pt reference signals, the \ntime axes of th e THz signals from all samples can be synchronized with an accuracy better than 10 fs. \nThe pump electric field is approximately constant along 𝑧𝑧 [Fig. 1(a)] throughout the thin- film stack of \nour samples. Therefore, the locally absorbed pump-pulse energy is only proportional to Im(𝑛𝑛2), where \n𝑛𝑛 is the complex -valued refractive index of the material at the pump wavelength (800 nm). While the \nPt and Fe layers are strongly absorbing [Im(𝑛𝑛2)=28 and 30] [52], Fe 3O4 is weakly absorbing (2.3) \n[53], and YIG, GIG and 𝛾𝛾-Fe 2O3 are largely transparent to the pump beam [Im(𝑛𝑛2)≲1.5] [54,55] . \n \nIII. RESULTS AND DISCUSSION \nTerahertz emission signals \nFigure 2(b) shows electro-optic signals 𝑆𝑆(𝑡𝑡) [Eq. (1)] of THz pulses emitted by the Fe |Pt, 𝛾𝛾-Fe 2O3|Pt, \nFe3O4|Pt, GIG|Pt and the thinnest as well as the thickest YIG |Pt samples . THz signals from all ot her \nYIG sample s can be found in Fig. S2(a). Measurements of YIG(3 µm)|Pt(5 nm) [8] and Fe 3O4|Pt \nconfirm that the THz si gnal increases linearly with the pump power [Fig. S7]. We make two \nobservations in terms of (i) signal shape and (ii) magnitude. \n(i) The w aveforms from all samples with YIG , GIG and 𝛾𝛾-Fe 2O3 exhibit very similar dynamics [Fig. 2(b) \nand Fig. S3]. In contrast, the signal for Fe 3O4 features a steeper initial rise, a sharp notch right before \nthe first maximum (see black arrow ) and a subsequent smaller peak . The global minimum is shifted \nto later times, as indicated by the dashed vertical line. This trend is even more enhanced for Fe|Pt . \nThese observations indicate that different processes occur in the samples as the F -material \nconductivity increases [Fig. 2(a)] [38,40,41,56,57] . \n(ii) While the signals from all YIG -based samples have similar strengths [Fig. S 2(a)], the signals from \nthe 𝛾𝛾-Fe 2O3 and Fe 3O4 samples are nearly one order of magnitude larger. The signal from Fe|Pt is \neven more than two orders of magnitude larger than from YIG|Pt. By Fourier transformation of the time -domain waveforms 𝑆𝑆(𝑡𝑡) [Fig. 2(b)], the normalized amplitude \n|𝑆𝑆(𝜔𝜔)| as a function of frequency 𝜔𝜔/2𝜋𝜋 is obtained [ Fig. 2(c)]. As expected from the time-domain data \n[Fig. 2(b)], the THz signal of the YIG , GIG and 𝛾𝛾-Fe 2O3 samples have approximately the same \namplitude spectrum. For Fe3O4, however, a slightly blue-shifted spectrum with an increased \nbandwidth is found. This trend is more pronounced for the Fe |Pt spectrum . \nSpin current for insulat ing F materials \nAs detailed in Appendix B, we retrieve the spin current dynamics from the measured THz signal \nwaveforms. Figure 3(a) display s the resulting spin current density 𝑗𝑗s(𝑡𝑡) vs time 𝑡𝑡 in 𝛾𝛾-\nFe2O3(10 nm)|Pt(2.5 nm), GIG(58 nm)|Pt(2 nm) and the YIG(3 µm)|Pt(5 nm) samples . We observe \nthat (i) the 𝑗𝑗s(𝑡𝑡) in GIG|Pt, 𝛾𝛾-Fe 2O3|Pt and all YIG|Pt samples exhibit very similar temporal dynamics. \n(ii) The overall amplitude of the spin current in 𝛾𝛾-Fe 2O3|Pt is about one order of magnitude larger than \nfor the YIG|Pt samples . Observations (i) and (ii) are fully consistent with the temporal shape and \nglobal amplitude of the underlying raw data [ see Fig. 2(b)]. They have three important implications. \nSSE dynamics .--First, it is remarkable that the optically induced spin currents in F|Pt bilayers proceed \nwith the same dynamics , even though the magnetic layer is made of very different insulators (F=YIG , \nGIG and 𝛾𝛾-Fe 2O3) and covers , in the case of YIG, three different growth techniques . Note that i n these \nsamples, the pump pulse is to the largest extent absorbed by the Pt layer. Therefore, observation (i) \nconfirms the previous notion [8] that the ultrafast dynamics of the optically induced SSE current are \nsolely determined by the relaxation dynamics of the electrons in the Pt layer. \nMore precisely, the instantaneous spin current density was predicted to monitor the instantaneous \nstate of the electronic system of N=Pt through [8] \n𝑗𝑗s(𝑡𝑡)=𝒦𝒦Δ𝑇𝑇�eN(𝑡𝑡). (2) \nHere, 𝒦𝒦 is the interfacial spin Seebeck coefficient , and Δ𝑇𝑇�eN is the pump -induced change in a \ngeneralized temperature of the N electrons, which is also defined for nonthermal electron \ndistributions. Importantly, Δ𝑇𝑇�eN approximately scales with the number of pump -induced electrons \nabove the Fermi level 𝜇𝜇0. Therefore, it is relatively small directly after optical excitation, but \nsubsequently increases by nearly two orders of magnit ude owing to carrier multiplication through \nelectron-electron scattering [8]. The rise of 𝑗𝑗s(𝑡𝑡) on a time scale of 100 fs [Fig. 3(a)], thus, reflects the \nevolution of the initially nonthermal electron distribution to a Fermi -Dirac distribution. The decay is \ndetermined by energy transfer from the electrons to the phonons. \nImpact of YIG thickness .--Second, finding (i) also implies that the dynamics of the spin current are \nindependent of the YIG thickness, which covers a wide range from 3.4 nm to 3 µm [Fig. S2(b)]. This \nresult supports the notion [8] that the spin current traversing the YIG/Pt interface stems from YIG \nregions less than a few nanometers away from the YIG/Pt interface. It is easily understood given that \nmagnons in YIG have a maximum group velocity of about 10 nm/ps [58] and that the major ity of the \nultrafast spin-current dynamics proceed within less than 1 ps [Fig. 3(a)]. \nSSE amplitude. --Third, we observe that the spin current in the 𝛾𝛾-Fe 2O3|Pt sample is about 2 times \nhigher than for the YIG|Pt or GIG|Pt sample . To understand how this observation is related to the \nF/Pt interface, we consider Eq. (2) and note that the SSE coefficient scales acc ording to [8] \n𝒦𝒦∝𝑔𝑔r↑↓𝑀𝑀IF𝑎𝑎3. (3) \nHere, 𝑔𝑔r↑↓ is the real part of the spin- mixing conductance of the F/ Pt interface, 𝑀𝑀IF is the interfacial \nsaturation magnetization, and 𝑎𝑎 is the lattice constant of F. To obtain the relative magnitude of 𝑔𝑔r↑↓, \nwe divide the THz peak signal of each YIG, GIG and 𝛾𝛾 -Fe 2O3 sample by the deposited pump energy \ndensity , the THz impedance of the sample, and 𝑀𝑀IF𝑎𝑎3, where bulk magnetization values are assumed \nfor 𝑀𝑀IF [59-61] (see Appendix B and Table B1). We infer that 𝑔𝑔r↑↓ is very similar in all three materials and has a relative magnitude of 1, 1 and 1.2. \nThus, the spin -mixing conductance of the 𝛾𝛾-Fe 2O3/Pt and GIG/Pt interfaces approximately equals that \nof the YIG/Pt interface [62]. We are not aware of any previous 𝑔𝑔r↑↓ measurement of GIG/Pt . \nSpin current in Fe|Pt \nThe ultrafast pump- induced spin current in the Fe|Pt reference sample is shown in Fig. 3(a) (blue \ncurve) . It rises and decays much faster than the SSE -type spin currents in the F|Pt samples with \nmagneti c insulator [ Fig. 3(a)]. \nIn a previous work [10], the spin-current dynamics in F|Pt stacks with ferromagnetic metallic F was \nexplained by the PSE: Excitation by the pump pulse leads to a sudden increase of the electron \ntemperature of F as well as of the spin voltage Δ𝜇𝜇�s, also called spin accumulation, which quantifies \nthe instantaneous excess of spin density in F. As the system aims to adapt the F magnetization to \nthe excited electronic state, spin angular momentum is transferred from the electrons to the crystal \nlattice of F and/or to the adjacent Pt layer. Remarkably, t emperature gradients between F and Pt (i.e., \nthe SDSE) were concluded to make a minor contribution on sub-picosecond time scales [10], resulting \nin the simple relationship \n𝑗𝑗s(𝑡𝑡)∝Δ𝜇𝜇�s(𝑡𝑡). (4) \nIn the case of F ermi-Dirac distributions, Δ𝜇𝜇�s equals the difference of the chemical potentials of spin-\nup and spin-down electrons, but the concept s of generalized spin voltage and temperature still appl y \nfor non-thermal electron distributions [10]. \nThe transfer of spin angular momentum out of the F electrons into the crystal lattice or the Pt layer \nleads to a decay of the spin voltage on time scale 𝜏𝜏es. The dynamics of 𝑗𝑗s(𝑡𝑡) is, thus, governed by 𝜏𝜏es \nand the relaxation of the electron excess energy of F, as parameterized by the generalized electron \nexcess temperature Δ𝑇𝑇�eF. Quantitatively, the dynamics of Δ𝜇𝜇�s(𝑡𝑡) and, thus, 𝑗𝑗s(𝑡𝑡) can be described by \n[10] \nΔ𝜇𝜇�s(𝑡𝑡)∝Δ𝑇𝑇�eF(𝑡𝑡)−�d𝜏𝜏\n𝜏𝜏es e−𝜏𝜏\n𝜏𝜏es Δ𝑇𝑇�eF(𝑡𝑡−𝜏𝜏)∞\n0. (5) \nFollowing excitation by the pump [10], Δ𝑇𝑇�eF immediately jumps to a nonzero value . The spin voltage \nΔ𝜇𝜇�s(𝑡𝑡) and 𝑗𝑗s(𝑡𝑡) follow without delay , according to the first term of Eq. (5). Due to the subsequent \ntransfer of spin angular m omentum out of the F electrons , the spin voltage decays with time constant \n𝜏𝜏es, as forced by the second term of Eq. (5). \nAs a consequence, the spin current in Fe|Pt rises instantaneously within the time resoluti on of our \nexperiment (~40 fs) [10], much faster than in, for instance, YIG|Pt [Fig. 3(a)]. Its decay is \npredominantly determined by el ectron-spin equilibration on the time scale 𝜏𝜏es, with a minor correction \ndue to the significantly slower electron-phonon equilibration [10]. To summarize, t he very different \ndynamics of SSE (magnetic -insulator|Pt) and PSE (Fe|Pt) seen in Fig. 3(a) suggest that both effects \nand, thus, torque- and conduction-electron- mediated spin transport can be separated. \nSpin current in Fe 3O4|Pt \nFigure 3(b) displays the spin current 𝑗𝑗s(𝑡𝑡) flowing from Fe 3O4 to the Pt layer . We observe two features \nwith different dynamics : (F1) A fast and sharp negative dip ( see violet arrow F1), followed by (F2) a \nslower positive feature (arrow F2) that decays with a time constant of 0.3 ps. As Fe3O4 is a half-metal, \nit is interesting to compare the dynamics in Fe 3O4|Pt to those in the two F|Pt stacks with the insulator \nF=𝛾𝛾-Fe 2O3 and the metal F=Fe [see Fig. 3(b)]. For F=𝛾𝛾-Fe 2O3, the spin current across the F/Pt \ninterface is mediated by spin torque, whereas for F=Fe, it is predominantly carried by spin-polarized \nelectrons . Note that the fast feature (F1) is comparable to 𝑗𝑗s(𝑡𝑡) of Fe|Pt (blue curve), whereas the slow er \nfeature (F2) resembles the 𝑗𝑗s(𝑡𝑡) of 𝛾𝛾-Fe 2O3|Pt (orange curve). As shown in Fig. 3(b), we are even \nable to reproduce the 𝑗𝑗s(𝑡𝑡) of Fe 3O4|Pt by a sum of −0.026𝑗𝑗s(𝑡𝑡) of Fe|Pt and 0.51𝑗𝑗s(𝑡𝑡) of 𝛾𝛾-Fe 2O3|Pt. \nWe emphasize that such very good agreement is also observed for the corresponding THz electro-\noptic signals of Fig. 2(b), as is demonstrated in Fig. 3(c). We confirm explicitly that other signal \ncontributions are negligible: magnetic -dipole radiation due to ultrafast demagnetization of Fe 3O4 \n[Fig. S4] [10,63] and signal s due to Fe contamination of Fe 3O4 by the nearby Fe reference layer, \nwhich would yield a signal similar to that from Fe|Pt [Fig. S 5(a)]. \nTo summarize, the spin current in Fe3O4|Pt can be very well represented by a superposition of spin \ncurrents in two very different samples comprising insulating and conducting magnetic materials, \nrespectively. This remarkable observation strongly suggests that the spin current in Fe3O4|Pt has \ncontributions from both the PSE, i.e., through spin-polarized electrons , [see (1) in Fig. 1(a)] and the \nSSE, i.e., through spin torque and magnons [see (2) in Fig. 1(a)]. \nPhysical interpretation for Fe 3O4|Pt \nWe su ggest the following scenario to explain the coexistence of SSE and PSE in Fe 3O4. \nSSE. --Regarding the SSE, we note that the pump excites mainly Pt and, thus, establishes a \ntemp erature difference between Pt electrons and Fe 3O4 magnons, leading to the SSE sp in current \nacross the Fe 3O4/Pt interface [Fig. 1(a), (2)]. From the measured spin-current amplitudes [ Fig. 3(b)], \nwe infer that the spin- mixing conductance of the Fe3O4/Pt interface is a factor 7.3 larger than that of \nYIG/Pt [see Table B1], in excellent agreement with literature [62,64,65] . The sign of the current agrees \nwith that of YIG|Pt, suggesting the SSE in Fe 3O4 is dominated by the A and B spin-sublattices , whose \ntotal magnetization is parallel to the external magnetic field, whereas the e- sublattice is oppositely \nmagnetized. \nPSE. --Regarding the PSE, we note that the pump also excites the hopping electrons of Fe 3O4, either \ndirectly by optical absorption in Fe 3O4 or by ultrafast heat transport from Pt to the int erfacial Fe 3O4 \nregions. Because magnetic order of the e-sublattice is understood to decrease with increasing \ntemperature [44-47] , the spin voltage of the e-sublattice electrons changes upon arrival of the pump \n[Fig. 1(b),(c)] and, thus, triggers spin transfer to the crystal lattice and/or the adjacent Pt layer \n[Fig. 1(a), (1)] [10]. Remarkably, as the e-lattice spins are on average aligned antiparallel to the \nequilibrium magnetization 𝑴𝑴0 [Fig. 1(b),(c)], the PSE tends to increase the magnitude of the total \nmagnetization in Fe 3O4, whereas in Fe, it is decreased. We, thus, interpret the observed opposite \nsign of the PSE currents in Fe 3O4|Pt and Fe|Pt [Fig. 2(b)] as a hallmark of the ultrafast quenching of \nthe residual magnetization of the e-sublattice minority hopping electrons in Fe 3O4. \nThe much smaller amplitude of the PSE current in Fe 3O4|Pt than for Fe|Pt can have several reasons . \nFirst, the transport of spin-polarized electrons requires charge conservation [66,67] and, thus, an \nequal back -flow of charges . However, because the Fe 3O4 spin polarization at the Fermi level is high \n(-72%) [51], there are less majority states permit ting the backflow of spin-unpolarized electrons from \nPt to Fe 3O4 [10]. Second, the mobility of the Fe 3O4 hopping electrons is likely lower than that of the \nFe conduction electrons [44,45] . Third, at room temperature, the magnetization of the e-sublattice is \nsignificantly smaller than the total Fe 3O4 magnetization [44]. The nonvanishing e-sublattice \nmagnetization inferred here suggests that its ferro-to-paramagnetic transition covers a wide \ntemperature range, possibly because of sample imperfections such as impurities [44] . \nThe relaxation time of the PSE is approximately given by the electron-spin equilibration time 𝜏𝜏es. \nFigure 3(b) suggests that the 𝜏𝜏es values of Fe3O4 and Fe are comparable and of the order of 100 fs. \nThis conclusion is consistent with previous measurements of ultrafast de magnetization of Fe 3O4, in \nwhich an instantaneous drop of the signal was observed directly after optical excitation [68]. It appears that the PSE dynamics does not significantly perturb the slower SSE dynamics , thereby \nsuggest ing that the e-sublattice does not excite magnons of the A, B spins to a sizable extent on time \nscales below 1 ps. Indeed, in laser -induced magnetization dynamics of Fe 3O4 [68], the instantaneous \nsignal drop was followed by a much larger component with a time constant >1 ns. To summarize, we \ncan consistently assign the PSE current in Fe 3O4 to the demagnetization of the e-sublattice- type \nminority hopping electrons at the Fermi energy. \nInterface sensi tivity \nThe relative values of the spin-mixing conductance 𝑔𝑔r↑↓ as inferred above need to be taken with \ncaution because 𝑔𝑔r↑↓, 𝑀𝑀IF and, thus, the SSE are very sensitive to the F/Pt interface properties and, \ntherefore , to the growth conditions of the F|Pt stack [17,69,70] . For instance, as observed for YIG|Pt \npreviously [8], the spin current amplitude may vary by up to a factor of 3 from sa mple to sample. \nDifferent interface properties may also explain the amplitude variations of the THz signals between \nthe various YIG|Pt samples studied here [ Fig. S2(b)]. \nFor Fe 3O4|Pt, the SSE contribution is robustly observed for samples with Pt grown at room \ntemperature. However, when the Pt deposition temperature is increased to 720 K, the SSE \ncomponent disappears [Fig. S 5(b)]. We assign this effect to Pt -Fe interdiffusion at the interface, which \nmagnetizes Pt in the vicinity of Fe, as reported previousl y [71,72] . \n \nIV. CONCLUSION \nWe stud y ultrafast spin transport in archetypal F|Pt stacks following femtosecond optical excitation . \nFor the ferri/ferro magnetic layer F, model materials with different degrees of electr ical conductivity \nare chosen. For the magnetic insulators YIG, GIG and 𝛾𝛾-Fe 2O3, our results indicate a universal \nbehavior of the interfacial SSE on ultrafast time scales: The spin current is solely determined by the \nrelaxation dynamics of the electrons in the metal layer, and it is localized close to the F/ Pt interface. \nRemarkably, i n the half -metallic ferrimagnet Fe 3O4 (magnetite), our measurements reveal two spin-\ncurrent components , which exhibit opposite sign and PSE- and SSE -type dynamics. The SSE \ncomponent is assigned to magnon excitation of the A, B spin sublattices [see (2) in Fig. 1(a)], whereas \nthe PSE component can consistently be assigned to ultrafast demagnetization of e-sublattice minority -spin hopping electrons [(1) in Fig. 1(a)]. Our results show that measur ing heat-driven spin \ncurrents faster than their natural sub-picosecond formation time allows one to unambiguously \nseparate SSE and PSE contributions by their distinct ultrafast dynamics. \n ACKNOWLEDGMENTS \nThe authors acknowledge funding by the German Research Foundation through the collaborative \nresearch center s SFB TRR 227 “Ultrafast spin dynamics” (projects A05, B02 and B03), SFB TRR 173 \n“Spin+X” (projects A01 and B02) and project No. 358671374, the European Union H2020 program \nthrough the project CoG TERAMAG/Grant No. 681917, the Spanish Ministry of Science and \nInnovation through Project No. PID2020-112914RB -I00 and the Czech Science Foundation through \nproject GA CR/ Grant No. 21-28876J . P.J.-C. acknowledges the Spanish MECD for support thr ough \nthe FPU program (References No. FPU014/02546 and EST17/00382). \n APPENDIX A: SAMPLE FABRICATION \nAll investigated F|Pt samples including film thicknesses are summarized in Fig. 2(b) and Fig. S2. \nYIG \nFilms of F=YIG covering a wide range of thicknesses (3.4 nm-3 µm) are fabricated by three different \nmethods on double-side -polished Gd3Ga3O12 (GGG) substrates . The film with the smallest thickness \nof 3.4 nm is epitaxially grown on GGG(111) by pulsed laser deposition (PLD) using a KrF excimer \nlaser. The grow th temperature is 1000 K, and the oxygen pressure is 7 Pa. The growth is monitored \nby in situ reflection high-energy electron diffraction (RHEED). A clear RHEED pattern is observed, \nindicating the film is single-crystalline. \nThe YIG films with thicknesses 5-120 nm are deposited on GGG (111) using a sputtering system \n(ULVAC -MPS-4000-HC7) with a base vacuum of 1× 10-6 Pa. After deposition, annealing at 1070 K in \noxygen atmosphere i s carried out to further improve the crystal quality and enhance in-plane magnet ic \nanisotropy. Finally, a heavy metal Pt thin film is deposited on all the YIG samples . \nThe YIG film with the largest thickness of 3 µm i s grown by liquid-phase epitaxy (LPE) on a GGG \nsubstrate (thickness of 500 µm). For details, we refer to Ref. [73] including the Supplementary \nInformation. \nGIG \nA film of F=GIG (thickness of 58 nm) on GGG (001) is fabricated by PLD using a custom -built vacuum \nchamber (base pressure of 2× 10-6 Pa) and a KrF excimer laser [74]. The growth is performed at a \nsubstrate temperature of 475 °C, an O2 background pressure of 2.6 Pa and a deposition rate of \n1.4 nm/min without subsequent annealing. A Pt layer (thickness of 2 nm) is deposited ex situ by \nsputtering deposition. The heteroepitaxial growth of GIG and the absence of impurity phases is \nconfirmed by X-ray diffraction. \n𝜸𝜸-Fe 2O3, Fe3O4 and Fe \nLayers of F=𝛾𝛾-Fe 2O3 and Fe3O4 (thickness of 10 nm) are epitaxially grown on MgO(001) substrates \n(thickness of 0.5 mm) by PLD [61]. Subsequently, all films are in-situ covered by DC sputtering with \na thin film of Pt (thickness given in Fig. 2(b) and Fig. S2), followed by a thin film of Fe (thickness of \n2.5 nm) on part of each of the F|Pt samples, resulting i n F|Pt and F|Pt|Fe stacks on the same \nsubstrate [see Fig. S1 ]. \nDeposition of adjacent F|Pt and F|Pt|Fe stacks on the same substrate significantly simplifies the THz \nemission experiments. The two stacks can easily be accessed by lateral shifting into the f ocus of the \nfemtosecond pump beam, with minimal changes of optical paths [Fig. S1]. The THz signal from the \nF|Pt|Fe regions of each sample serves as an ideal reference that allows for accurate alignment of the \nsetup and definition of the time-axis origin. \n \nAPPENDIX B: DATA ANALYIS \nExtraction of spin-current dynamics \nIn the frequency domain, the electrooptic signal 𝑆𝑆(𝜔𝜔) is related to the THz field 𝐸𝐸 (𝜔𝜔) directly behi nd \nthe sample by multiplication with a transfer function 𝐻𝐻(𝜔𝜔) that describes the propagation of the THz \nwave away from the sample and the response function of the electro-optic detector, i.e., the ZnTe \ncrystal [4,8]. Measurement of 𝐻𝐻(𝜔𝜔) using a well -understood reference emitter allows us to retrieve \n𝐸𝐸(𝜔𝜔) and eventually determine the spin current 𝑗𝑗s(𝜔𝜔) through a generalized Ohm’s law [34] , 𝐸𝐸(𝜔𝜔)=𝑒𝑒 𝑍𝑍(𝜔𝜔)𝜃𝜃SH𝜆𝜆N𝑗𝑗s(𝜔𝜔). (6) \nHere, −𝑒𝑒 is the electron charge, 𝑍𝑍(𝜔𝜔) denotes the sample impedance, 𝜃𝜃SH∼0.1 is the spin-Hall angle \nof Pt, and 𝜆𝜆N=1 nm is the relaxation length of the spin current in N=Pt [75]. \nRelative spin mixing conductance \nWe determine the relative spin mixing conductance using the scaling relation [8] \n‖𝑆𝑆‖max∝𝑔𝑔r↑↓𝑀𝑀IF𝑎𝑎3𝐴𝐴\n𝑑𝑑 𝑍𝑍. (7) \nHere, ‖𝑆𝑆‖max is the maximum value of the modulus |𝑆𝑆(𝑡𝑡)| of the THz emission signal , 𝑔𝑔r↑↓ is the real \npart of the spin- mixing conductance, 𝑀𝑀IF and 𝑎𝑎 are the saturation magnetization and lattice constant \nof the individual F layer, respectively. Furthermore, 𝐴𝐴 denotes the total pump absorptance of the F|Pt \nsample under consideration, 𝑑𝑑 is the Pt -layer thickness, and 𝑍𝑍 is the THz impedance of the stack . \nNote that the pump power is assumed to be absorbed in the Pt layer only . All quantities required for \nthe estimation of 𝑔𝑔r↑↓ are taken from literature or a re measured [17]. A summary of the parameters as \nwell as the results f or the spin mixing conductance 𝑔𝑔r↑↓ are shown in Table B1. \n \n \nParameter F=Fe YIG GIG 𝜸𝜸-Fe2O3 Fe3O4 References \nLattice constant 𝒂𝒂 (𝐧𝐧𝐧𝐧) 0.286 1.252 1.247 0.834 0.8396 [76] [77] [78] \n[79] [42] \nSaturation magnetization \n𝑴𝑴𝐈𝐈𝐈𝐈 (𝐀𝐀 𝐧𝐧𝟐𝟐/𝐤𝐤𝐤𝐤) 222 20 5 400 400 [80] [59] [60] \n[61] \nPt thickness 𝒅𝒅 (𝐧𝐧𝐧𝐧) 2−5 5 2 2.5 2.5 Growth \nF|Pt a bsorptance 𝑨𝑨 55 % 50 % 50 % 50 % 50 % Measured \nConductivity 𝝈𝝈 (𝐤𝐤𝐤𝐤/𝐧𝐧) ~ 1000 ~ 0.1 ~ 0.1 ~ 0.35 ~ 20 (M) [40] [41] \n[42] [43] \nInfrared refractive index \nof substrate - 3.5 3.5 3.07 3.07 [81] [82] \nRel. impedance \n𝒁𝒁(𝐈𝐈|𝐏𝐏𝐏𝐏)/𝒁𝒁(𝐘𝐘𝐈𝐈𝐘𝐘|𝐏𝐏𝐏𝐏) - 1.0 2.5 0.6 0.1 Calculated \nRel. peak signal \n‖𝑺𝑺(𝐈𝐈|𝐏𝐏𝐏𝐏)‖𝐧𝐧𝐦𝐦𝐦𝐦/\n‖𝑺𝑺(𝐘𝐘𝐈𝐈𝐘𝐘|𝐏𝐏𝐏𝐏)‖𝐧𝐧𝐦𝐦𝐦𝐦 - 1.0 1.6 8.0 8.8 Measured \nRel. spin mixing \nconductance 𝒈𝒈𝐫𝐫↑↓(𝐈𝐈/𝐏𝐏𝐏𝐏)/\n𝒈𝒈𝐫𝐫↑↓(𝐘𝐘𝐈𝐈𝐘𝐘/𝐏𝐏𝐏𝐏) - 1.00 1.04 1.18 7.30 Inferred from \nmeasurements \nSpin mixing conductance \n𝒈𝒈𝐫𝐫↑↓ (𝟏𝟏𝟎𝟎𝟏𝟏𝟏𝟏 𝐧𝐧−𝟐𝟐): previous \nwork - ≈1 - ~ 1 ~ 6 [62,64] [65] \n[83] \n \nTABLE B1. Material parameters of Fe, YIG, GIG, 𝛾𝛾 -Fe2O3 and Fe3O4, which are measured, calculated or taken \nfrom literature for the determination of the relative spin mixing conductance according to Eq. (7). Note that most \nof the experimentally acquired parameters are relative v alues and therefore normalized to the respective values \nof YIG. \n REFERENCES \n[1] E. Y. Vedmedenko et al. , Journal of Physics D: Applied Physics 53 (2020). \n[2] J. Sinova and I. Žutić, Nature Materials 11, 368 (2012). \n[3] S. A. Wolf, D. D . Awschalom, R. A. Buhrman, J. M. Daughton, S. Von Molnár, M. L. Roukes, \nA. Y. Chtchelkanova, and D. M. Treger, Science 294, 1488 (2001). \n[4] L. Braun, G. Mussler, A. Hruban, M. Konczykowski, T. Schumann, M. Wolf, M. Münzenberg, \nL. Perfetti, and T. Kampfrath, Nature Communications 7 , 1 (2016). \n[5] K. Vandaele, S. J. Watzman, B. Flebus, A. Prakash, Y. Zheng, S. R. Boona, and J. P. \nHeremans, Materials Today Physics 1 , 39 (2017). \n[6] M. Althammer, Journal of Physics D: Applied Physics 51 , 313001 (2018). \n[7] S. R. Boona, R. C. Myers, and J. P. Heremans, Energy Environ. Sci. 7 , 885 (2014). \n[8] T. S. Seifert et al. , Nature Communications 9 , 1 (2018). \n[9] G. E. W. Bauer, E. Saitoh, B. J. V. Wees, and P. St, Nature Publishing Group 11, 391 (2012). \n[10] R. Rouzegar et al., arXiv 2103.11710 (2021). \n[11] A. Slachter, F. L. Bakker, J. P. Adam, and B. J. Van Wees, Nature Physics 6, 879 (2010). \n[12] K. I. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and E. Saitoh, Applied Physics \nLetters 97 (2010). \n[13] K. Uchida, M . Ishida, T. Kikkawa, A. Kirihara, T. Murakami, and E. Saitoh, Journal of Physics \nCondensed Matter 26, 343202 (2014). \n[14] R. Ramos et al. , Applied Physics Letters 102, 7 (2013). \n[15] J. Xiao, G. E. W. Bauer, K. C. Uchida, E. Saitoh, and S. Maekawa, Physic al Review B - \nCondensed Matter and Materials Physics 81, 1 (2010). \n[16] H. Adachi, K. I. Uchida, E. Saitoh, and S. Maekawa, Reports on Progress in Physics 76 \n(2013). \n[17] O. Gueckstock et al. , Adv Mater 33, e2006281 (2021). \n[18] M. Meinert, B. Gliniors, O. Gueckstock, T. S. Seifert, L. Liensberger, M. Weiler, S. Wimmer, \nH. Ebert, and T. Kampfrath, Physical Review Applied 14 (2020). \n[19] W. Zhang, M. B. Jungfleisch, W. Jiang, J. E. Pearson, and A. Hoffmann, Journal of Applied \nPhysics 117 (2015). \n[20] C. Zhou et al. , Phys Rev Lett 121, 086801 (2018). \n[21] R. Schneider, M. Fix, J. Bensmann, S. Michaelis de Vasconcellos, M. Albrecht, and R. \nBratschitsch, Applied Physics Letters 115 (2019). \n[22] M. Fix, R. Schneider, S. Michaelis de Vasconcellos, R. Bratschitsch, and M. Albrecht, Applied \nPhysics Letters 117 (2020). \n[23] W. Wu, C. Yaw Ameyaw, M. F. Doty, and M. B. Jungfleisch, Journal of Applied Physics 130 \n(2021). \n[24] J. Hawecker et al. , Advanced Optical Materials 9 (2021). \n[25] F. N. Kholid et al. , Applied Physi cs Letters 119 (2021). \n[26] B. Y. Mueller and B. Rethfeld, Physical Review B 90 (2014). \n[27] G.-M. Choi, B. -C. Min, K. -J. Lee, and D. G. Cahill, Nature Communications 5 , 4334 (2014). \n[28] A. Fognini, T. U. Michlmayr, A. Vaterlaus, and Y. Acremann, Journal of Physics: Condensed \nMatter 29, 214002 (2017). \n[29] M. Beens, R. A. Duine, and B. Koopmans, Physical Review B 102 (2020). \n[30] T. Lichtenberg, M. Beens, M. H. Jansen, B. Koopmans, and R. A. Duine, arXiv 2103.06029v1 \n(2021). \n[31] H. Saglam, W. Zhang, M. B. Jungfleisch, J. Sklenar, J. E. Pearson, J. B. Ketterson, and A. \nHoffmann, Physical Review B 94 (2016). \n[32] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, J. P. Heremans, and R. C. Myers, Nat \nMater 9, 898 (2010). \n[33] T. Kampfrath et al. , Nat Nanotechnol 8, 256 (2013). \n[34] T. Seifert et al. , Nature Photonics 10, 483 (2016). \n[35] Q. Wu and X. C. Zhang, Applied Physics Letters 68, 1604 (1996). \n[36] A. Leitenstorfer, S. Hunsche, J. Shah, M. C. Nuss, and W. H. Knox, Applied Physics Letters \n74, 1516 (1999) . \n[37] T. Kampfrath, J. Nötzold, and M. Wolf, Applied Physics Letters 90 (2007). [38] J. M. D. Coey, Magnetism and Magnetic Materials (Cambridge University Press, 2010). \n[39] J. Sinova, S. O. Valenzuela, J. Wunderlich, C. H. Back, and T. Jungwirth, Reviews of Modern \nPhysics 87, 1213 (2015). \n[40] M. P. Spencer et al. , International Journal of Ceramic Engineering & Science 1 , 119 (2019). \n[41] N. Thiery et al. , Physical Review B 97 (2018). \n[42] J. Noh, O. I. Osman, S. G. Aziz, P. Winget, and J. L. Bredas, Sci Technol Adv Mater 15, \n044202 (2014). \n[43] F. N. Shafiee, M. S. Mustaffa, N. H. Abdullah, M. N. Hamidon, I. Ismail, R. Nazlan, I. R. \nIbrahim, F. M. Idris, and M. S. E. Shafie, Journal of Materials Science: Materials in Electronics 32, \n10160 (2021). \n[44] A. R. Muxworthy and E. McClelland, Geophysical Journal International 140, 101 (2000). \n[45] K. P. Belov, Physics -Uspekhi 36, 380 (1993). \n[46] K. P. Belov, Physics -Uspekhi 39, 623 (1996). \n[47] K. P. Belov, JETP 36, 1152 (1996). \n[48] J.-S. Lee, Y. -J. Song, H. -S. Hsu, C. -R. Lin, J. -Y. Huang, and J. Chen, Journal of Alloys and \nCompounds 790, 716 (2019). \n[49] R. Arras, L. Calmels, and B. Warot -Fonrose, Physical Review B 81 (2010). \n[50] H. T. Jeng, G. Y. Guo, and D. J. Huang, Physical Review Letters 93, 156403 (2004) . \n[51] W. Wang et al. , Physical Review B 87 , 1 (2013). \n[52] E. D. Palik, Handbook of Optical Constants of Solids (Elsevier Science, 1998), Academic \nPress handbook series. \n[53] A. Schlegel, S. F. Alvarado, and P. Wachter, J. Phys. C Solid State Phys. 12, 1157 (1979). \n[54] S. H. Wemple, S. L. Blank, J. A. Seman, and W. A. Biolsi, Physical Review B 9 , 2134 (1974). \n[55] M. R. Querry, edited by C. Report1985). \n[56] M. Fonin, Y. S. Dedkov, R. Pentcheva, U. Rüdiger, and G. Güntherodt, Journal of Physics \nCondensed Matter 19 (2007). \n[57] R. M. Cornell and U. Schwertmann, The Iron Oxides: Structure, Properties, Reactions, \nOccurrences and Uses (Wiley -VCH, Weinheim, 2003), 2nd edn. \n[58] J. Cramer, T. Seifert, A. Kronenberg, F. Fuhrmann, G. Jakob, M. Jourdan, T. Kampfrat h, and \nM. Klaui, Nano Lett 18, 1064 (2018). \n[59] M. A. Musa, R. a. S. Azis, N. H. Osman, J. Hassan, and T. Zangina, Results in Physics 7, \n1135 (2017). \n[60] Aakansha and S. Ravi, Materials Research Express 6 (2020). \n[61] P. Jiménez -Cavero et al. , APL Materi als 5 (2017). \n[62] M. Weiler et al. , Physical Review Letters 111, 176601 (2013). \n[63] E. Beaurepaire, G. M. Turner, S. M. Harrel, M. C. Beard, J. Y. Bigot, and C. A. \nSchmuttenmaer, Applied Physics Letters 84, 3465 (2004). \n[64] Z. Qiu, K. Ando, K. Uchida, Y . Kajiwara, R. Takahashi, H. Nakayama, T. An, Y. Fujikawa, \nand E. Saitoh, Applied Physics Letters 103 (2013). \n[65] F. D. Czeschka et al. , Phys Rev Lett 107, 046601 (2011). \n[66] A. Hoffmann, physica status solidi (c) 4 , 4236 (2007). \n[67] S. Maekawa, S. Valenzuela, E. Saitoh, and T. Kimura, Spin Current (Oxford Science \nPublications, Oxford University Press, 2015). \n[68] G. M. Muller et al. , Nat Mater 8 , 56 (2009). \n[69] T. K. H. Pham et al. , Sci Rep 8, 13907 (2018). \n[70] O. Gueckstock et al. , arXiv 2111.04191 (2021). \n[71] R. Ramos et al. , Applied Physics Letters 114 (2019). \n[72] H. B. Vasili, M. Gamino, J. Gazquez, F. Sanchez, M. Valvidares, P. Gargiani, E. Pellegrin, \nand J. Fontcuberta, ACS Appl Mater Interfaces 10, 12031 (2018). \n[73] A. Kehlberger et al. , Phys Rev Lett 115, 096602 (2015). \n[74] S. Becker et al. , Physical Review Applied 16 (2021). \n[75] T. Seifert et al. , Spin 7 , 1 (2017). \n[76] W. P. Davey, Physical Review 25, 753 (1925). \n[77] R. L. Douglass, Physical Review 120, 1612 (1960). \n[78] S. Geprags et al. , Nat Commun 7 , 10452 (2016). \n[79] C. J. Goss, Phys Chem Minerals 16, 164 (1988). [80] H. Danan, A. Herr, and A. J. P. Meyer, Journal of Applied Physics 39, 669 (1968). \n[81] K. Lal and H. K. Jhans, Journal of Physics C: Solid State Physics 10, 1315 (1977) . \n[82] A. M. K. Hofmeister, E. and A. K. Speck, Mon. Not. R. Astron. Soc. 345, 16 (2003). \n[83] B.-W. Dong, L. Baldrati, C. Schneider, T. Niizeki, R. Ramos, A. Ross, J. Cramer, E. Saitoh, \nand M. Kläui, Applied Physics Letters 114 (2019). \n Supplemental Ma terial \n \n \nFIG. S1. Fe|Pt reference stack. For referencing purposes, an Fe layer is grown on top of part of the F|Pt stack \nfor F= 𝛾𝛾-Fe2O3, Fe3O4 and YIG (3µm). The regions with and without Fe can selectively be excited by the laser \nbeam by lateral translat ion of the sample. For GIG, the stacking order of the Fe|Pt reference layers was reversed, \nresulting in two regions with thin films of GIG|Fe|Pt and GIG|Pt on the same substrate. The nanometer -thick \nYIG|Pt samples do not exhibit a reference layer. \n \n \n \nFIG. S2. Impact of film thicknesses of the YIG|Pt stacks on THz emission . (a) THz emission signals vs pump-\nprobe delay from YIG( 𝑎𝑎)|Pt(𝑏𝑏) with varying YIG thickness ( 𝑎𝑎=3.4 nm and 𝑏𝑏=5 nm, 𝑎𝑎=5-120 nm and 𝑏𝑏=3 nm, \n𝑎𝑎=3 µm and 𝑏𝑏=5 nm). The YIG thin films were grown by pulsed laser deposition , sputtering and liquid- phase \nepitaxy . (b) Amplitude (root mean square) of the THz signals of YIG(𝑎𝑎)|Pt(𝑏𝑏) vs YIG thickness 𝑎𝑎 normalized to \nthe largest peak signal. \n \n \nFIG. S3. Back -to-back comparison of THz signals. E lectrooptic signals of THz pulses emitted from various F|Pt \nstacks with F=YIG ( 3 µm and 3.4 nm), GIG, 𝛾𝛾 -Fe2O3 and Fe 3O4 (also see Fig. 2b). All signals are scaled to \napproximately equal peak amplitude. The time axis has the same origin for all signals and was calibrated by \nusing the signal from the Fe|Pt reference region (see Fig. S1). \n \n \nFIG. S4. THz e lectrooptic signals emitted from Fe 3O4|Pt (blue curve) and the Pt| Fe3O4 sample (orange curve) \nobtained by 180° turning of Fe3O4|Pt about an axis parallel to the magnetization 𝑴𝑴 0. The data was corrected for \npropagation effects of the THz pulse through the substrate and was low-pass filtered with a cut -off frequency of \n6 THz. As the two signals are almost perfect ly reversed versions of each other , magnetic d ipole radiation emitted \nfrom Fe 3O4 is not a dominant contribution to the emitted THz signal. Details on the correction procedure can be \nfound in Ref. [10]. \n 0.4\n0.2\n0\n-0.2\n-0.4Electrooptic signal S(t) (10-6\n)\n-1 0 1\nTime t (ps) YIG(3µm)|Pt(5nm) YIG(3.4nm)|Pt(5 nm)\n GIG(58nm)|Pt(2 nm)\n γ-Fe2O3(10nm)|Pt(2nm) Fe\n3O4(10nm)|Pt(2nm)\n-2 -1 0 1 2\nTi me 𝑡𝑡(ps)-6-4-20246THz signal 𝑆𝑆(𝑡𝑡)(10-7)\nFe3O4\nPt Fe3O4Pt \nFIG. S 5. Impact of the Fe reference layer and growth conditions on the THz emission signal from Fe3O4|Pt. \n(a) THz signal waveforms from Fe 3O4|Pt with and without an additional Fe layer in adjacent lateral sample \nregions (see Fig. S1). As the two signals exhibit almost identical temporal dynamics , we exclude that a sizeable \nnumber of Fe atoms is present on top of the nominally Fe- uncovered Fe 3O4|Pt regions . (b) THz emission signals \nfrom Fe 3O4|Pt stacks for different growth temperatu res of the Pt laye r: 290 K (violet line ) and 720 K (black line). \nFor the latter , the SSE contribution [maximum of the THz electric field at -0.4ps (violet curve ) due to slower spin \ncurrent dynamics] is not observable any more, while the PSE contribution is still present . For comparison , the \nthick blue line shows the reversed THz signal from Fe|Pt. \n \n \nFIG. S6. THz spin currents measured for Fe3O4|Pt samples that were grown on different days . \n 0.6\n0.4\n0.2\n0.0\n-0.2\n-0.4THz signal (10-6\n)\n-1.0 -0.5 0.0 0.5 1.0\nTime t (ps)Fe|Pt × (-0.065) 290 K\n 720 KFe3O4|Pt0.8\n0.4\n0.0\n-0.4THz signal (normalized)\n-2 -1 0 1 2\nTime t (ps) w/ reference layer\n w/o reference layer(a) (b)\n-1.0-0.50.00.5Spin current j s (arb. units)\n1.2 1.0 0.8 0.6 0.4 0.2 0.0 -0.2\nTime t (ps) Sample 1\n Sample 2 \nFe3O4|Pt× (1.2) \nFIG. S 7. Fluence -dependence of the THz emission signal from Fe 3O4|Pt. (a) THz emission waveforms from \nFe3O4|Pt for decreasing pum p power 𝑃𝑃. The pump power is controlled by a gradient -type neutral -density filter . \n(b) Root-mean- square amplitude of the waveforms of panel (a) as a function of pump power. The red line shows \na linear fit . 1.0\n0.5\n0.0\n-0.5THz signal (normalized)\n-2.0 -1.5 -1.0 -0.5 0.0 0.5\nTime (ps)1.0\n0.8\n0.6\n0.4\n0.2\n0.0THz signal (normalized)\n100 80 60 40 20 0\nPump power P (mW)(a) (b)\nFe3O4|Pt\nP" }, { "title": "1711.09814v1.Magnetic_properties__spin_waves_and_interaction_between_spin_excitations_and_2D_electrons_in_interface_layer_in_Y3Fe5O12___AlOx___GaAs_heterostructures.pdf", "content": "arXiv:1711.09814v1 [cond-mat.mes-hall] 27 Nov 2017Magnetic properties, spin waves and interaction between sp in excitations and 2D\nelectrons in interface layer in Y 3Fe5O12/ AlO x/ GaAs-heterostructures\nL.V. Lutsev1, A.I. Stognij2, N.N. Novitskii2, V.E. Bursian1, A. Maziewski3, and R. Gieniusz3\n1Ioffe Physical-Technical Institute, 194021, St. Petersburg , Russia\n2Scientific and Practical Materials Research Centre,\nNational Academy of Sciences of Belarus, 220072, Minsk, Bel arus and\n3Faculty of Physics, University of Bialystok, 15-097, Bialy stok, Poland\n(Dated: June 25, 2018)\nWe describe synthesis of submicron Y 3Fe5O12(YIG) films sputtered on GaAs-based substrates\nand present results of the investigation of ferromagnetic r esonance (FMR), spin wave propagation\nand interaction between spin excitations and 2D electrons i n interface layer in YIG / AlO x/ GaAs-\nheterostructures. It is found that the contribution of the r elaxation process to the FMR linewidth\nis about 2 % of the linewidth ∆ H. At the same time, for all samples FMR linewidths are high.\nSputtered YIG films have magnetic inhomogeneity, which give s the main contribution to the FMR\nlinewidth. Transistor structures with two-dimensional el ectron gas (2DEG) channels in AlO x/\nGaAs interface governed by YIG-film spin excitations are des igned. An effective influence of spin\nexcitations on the current flowing through the GaAs 2DEG chan nel is observed. Light illumination\nresults in essential changes in the FMR spectrum. It is found that an increase of the 2DEG current\nleads to an inverse effect, which represents essential chang es in the FMR spectrum.\nI. INTRODUCTION\nIntegration offerrites with semiconductors offers many\nadvantages and new possibilities in microwave applica-\ntions such as high-speed wireless communications, active\nphased array antennas for radars, astronomy systems,\nauto radars, space electronics and satellite navigation.\nThis integration gives significant advantages in miniatur-\nisation, bandwidth, speed, radioreception selectivity and\nthe production costs of monolithic microwave integrated\ncircuits (MMICs)1. Ferrite film growth on semiconduc-\ntor substrates is very important for development of new\ntypes of spintronic and spin-wave devices such as mi-\ncrowave filters, delay lines, and spin-polarized field-effect\ntransistors (spin-FET).\nAt present, spin-wave devices have been realized\non the basis of Y 3Fe5O12(YIG) films grown on\ngadolinium-gallium garnet (Gd 3Ga5O12, GGG) sub-\nstrates2,3. Narrow-band filtration can be achieved in\nYIG-based one-dimensional magnonic crystals4–6. The\npulsed laser deposition technique has been used to grow\nsubmicron YIG films on GGG substrates for microwave\nspin-wave band pass filter7. Construction of spin-wave\ndevices on the basis of YIG films directly deposited on\nsemiconductors is the next stage in the development of\nspin-wave devices. The recent progress in synthesis of\nnanometer YIG films of high quality on semiconductor\nsubstrates8,9and low relaxation of long-wavelength spin\nwaves in nanometer magnetic films10,11give a possibility\nto construct spin-wave devices on semiconductor chips\noperating in the microwave frequency band.\nActivecontrolandmanipulationofspindegreesoffree-\ndomin spin-FETisoneofthe mainproblemsinspintron-\nics12–20. The spin transport in two-dimensional electron\ngas (2DEG) and large spin-orbit interaction are essen-\ntial for realizing spin transport devices. However, the\nnoneffective spin injection and weak influence of the gateon electron current flowing through the transistor chan-\nnel are known difficulties in spin-FET design. Modulat-\ning the channel conductance by using an electric field\nto induce spin precession is performed at low tempera-\ntures and has remained elusive at higher ones19,20. Fur-\nthermore, poor crystal quality of ferrite films sputtered\non GaAs substrates has a detrimental effect on the de-\nvice performance1,21. At the same time, it needs to note\nthat YIG films are regarded as perspective materials in\nspintronics22.\nIn this paper we describe synthesis of YIG films de-\nposited on GaAs substrates with AlO xlayers by ion-\nbeam sputtering and present results of the characteri-\nzation of YIG film / AlO x/ GaAs heterostructures and\ntheir interfaces (see Sec. 2). Magnetic characteristics of\ndeposited films are deduced from the FMR X-band spec-\ntroscopy (Sec. 3). Spin wave propagation are described\nin Sec. 4. In Sec. 5 we consider the influence of spin\nexcitations in YIG films on the electron current flowing\nthrough the 2DEG channel formed at the AlO x/ GaAs\ninterface. It is found that a high interaction between\nspin excitations and 2DEG channel in GaAs-based sub-\nstrates can be achieved at the ferromagnetic resonance\n(FMR) frequency of YIG films. The inverse effect, the\ninfluence of the electron current on the FMR spectrum,\nis described in Sec. 6. This interaction is studied by\na detection of S-parameters of the transistor channel at\nmicrowavefrequenciesunderthelightexposureandwith-\nout light illumination. The interaction is enhanced with\nthe light exposure of the AlO x/ GaAs interface and with\nthe microwave power increase. It is found that above the\nspin-wave instability threshold the increase of the 2DEG\ndensityinduced bylightresultsinessentialchangesinthe\nFMR spectrum and in the S21-parameter of the channel.2\nII. SAMPLE PREPARATION AND\nCHARACTERIZATION\nYIG films were deposited on GaAs substrates by\nthe two-stage ion-beam sputtering in Ar + O 2atmo-\nsphere23,24. Then-GaAs substrates with thickness of\n0.4 mm had the (100)-orientation. Electrical resistivity\nofGaAschipswasmeasuredbythedcfour-probemethod\nat room temperature and was equal to 0 .9×105Ω·cm. In\norder to reduce elastic deformation and diffusion of Ga\nions into the YIG films and to form 2DEG layer in GaAs\nsubstrates, the deposition process was produced on the\namorphouslike nonstoichiometric aluminium oxide layer\nAlOxwith the thickness of 8–20 nm ion-beam sputtered\npreviously on GaAs. The 2DEG layer was formed at the\nAlOx/ GaAs interface25,26. At the first stage a thin\n(30 nm) buffer YIG layer was sputtered. After anneal-\ning of YIG / AlO x/ GaAs heterostructure the sputtered\nbuffer YIG layer had a polycrystal structure. Annealing\nwas performed in the quasi-impulse regime during 5 min\nat 590◦C in N 2(samples # 3, 5, 6, Table I) and air (sam-\nples # 1, 2, 4) atmospheres with the pressure of 0.1 Torr.\nAfter the deposition and annealing processes at the first\nstage, the buffer YIG layer was polished by a low-energy\n(400 eV) oxygen ion beam. The polish procedure de-\ncreased stress tension and dislocations, smoothed areas\nof inter-crystallite boundaries and led to reduction of the\nbuffer YIG layerthickness to 10-16 nm. After this opera-\ntion the surface ofthe buffer layerwas suitable to deposit\na thicker (main) YIG layer without lattice mismatch and\nstress tension. The main YIG layer was deposited at the\nsecond stage. After annealing during 5 min at 550◦C in\nN2(samples # 3, 5, 6) and air (samples # 1, 2, 4) atmo-\nspheres with the pressure of 0.1 Torr the sputtered main\nYIGlayerobtainedapolycrystalstructure. Cross-section\nofthe YIG film sputteredon GaAs-basedsubstrate(sam-\nple # 5) is presented in Fig. 1a. Cross-section of YIG\nfilm of deposited heterostructure was produced by ion-\nbeam cutting on the FIB Helios NanoLab 600 Station\n(FEI Company, USA). YIG film surface (Fig. 1b) ex-\nposes the roughness caused by large-scale crystallites of\nthe main YIG layer. The size of crystallites was in the\nrange of 50–100 nm.\nThe structure of YIG films was determined by the X-\nray diffraction (XRD CuK α) method and by the energy-\ndispersive X-ray spectroscopy. The XRD spectrum con-\nfirms the existence of the YIG phase in the sample (Fig.\n2). It is found that YIG films are polycrystal and are of\nhomogeneous phase structure. The spectroscopy meth-\nods have shown that the interface layer of GaAs is en-\nriched with Ga due to the volatility of As ions, however,\nthe deposited YIG films are not degraded and are not\nexfoliated from the GaAs substrates.TABLE I: Properties of YIG films sputtered on GaAs-based\nsubstrates.\nMain layer Sublayers\n# Thickness 4 πM−Ha4πM−Ha∆H⊥∆H||\nd(nm) (Oe) (Oe) (Oe) (Oe)\n1 40 1180 1464 155 106\n609\n-64\n2 40 1209 1372 143 129\n3 40 990 1278 245 207\n800\n609\n4 250 1454 1320 73 155\n1081\n5 97 651 554 651\n6 964 666 901 175 221\n402\nFIG. 1: (a) Cross-section of the YIG film sputtered on AlO x\n/ GaAs substrate (# 5). (b) YIG film surface (# 6).\nIII. MAGNETIC CHARACTERISTICS OF YIG\nFILMS\nFerromagnetic resonance of sputtered YIG films was\nstudied by the X-band electronspin resonancetechnique.\nRelating to samples, applied magnetic field had in-plane\nand perpendicular orientations. Using magnetic field3\n30 40 50 60 700200400600800Intensity, I (arb.u.)\n2/c113,□degree* *\n+++ +++ ++\nFIG. 2: XRD spectrum of the sample # 4. ( ∗) marks the\nsubstrate and (+) marks the YIG film.\nsweeping at stabilized frequency F= 9.41 GHz, we have\nread the first derivative of the FMR curve with respect\nto the magnetic field H. FMR spectrum of the YIG film\nwith the thickness of 40 nm (sample # 1, Table I) at per-\npendicular and at in-plane magnetic fields are presented\nin Fig. 3. Arrows correspond to FMR peaks of YIG\nsublayers.\nIn order to find magnetic characteristics of the main\nYIG layer and sublayers, we use the Lorentzian fitting of\nexperimental curves. Experimental curves are fitted by\nthe sum of first derivatives of Lorentzian curves\nA(H) =n/summationdisplay\niC(i)∂L(i)(H)\n∂H, (1)\nwhereiis the peak number ( i= 1 is the number of\nthe main YIG layer and i= 2,3,4,...are numbers of\nsublayers), C(i)is the amplitude,\nL(i)(H) =1\n1+(H−H(i)\n0)2/(∆H(i)/2)2\nis the Lorentzian curve, H(i)\n0is the peak position, ∆ H(i)\nis the FMR linewidth. From the Lorentzianfitting (1) we\nfind peak positions H(i)\n0and the FMR linewidth ∆ H(i).\nDifferences between magnetization and uniaxial\nanisotropy field 4 πM−Ha(effective magnetization) of\nthe main YIG layer and YIG sublayers are found from\nthe FMR peak position H(i)\n0||of the corresponding layer\nat the in-plane magnetic field27\nF=γ/bracketleftBig\nH(i)\n0||(H(i)\n0||+(4πM−Ha))/bracketrightBig1/2\n(2)and from the FMR peak position H(i)\n0⊥at the perpendic-\nular field\nF=γ/bracketleftBig\nH(i)\n0⊥−(4πM−Ha)/bracketrightBig\n, (3)\nwhereγ= 2.83 MHz/Oe is the gyromagnetic ratio. Tak-\ning into account Eqs. (2) and (3), values of the effective\nmagnetization 4 πM−Haof main YIG layers and YIG\nsublayers are found. Effective magnetizations and FMR\nlinewidthes ∆ H⊥and ∆H||of main YIG layers are pre-\nsentedinTableI.Wenotethatsamples#1,2,4annealed\nin the air atmosphere have higher values of the effective\nmagnetization and lower values of the FMR linewidth\nthan samples # 3, 5, 6 annealed in the N 2atmosphere.\nThe reason for the YIG sublayer formation is not well\nclear up to now and it is planned to be clarified in next\nstudy.\nIV. SPIN WAVES\nThe FMR linewidth measurement is not sufficient for\nthe determination of relaxation of spin excitations. The\nlinewidth ∆ His formed by relaxation of spin excitations\nandbymagneticinhomogeneityofamagneticfilm. Inor-\nder to determine the relaxation parameters, one should\nstudy spin wave propagation directly. We studied the\namplitude-frequency characteristicsand the relaxationof\nthe Damon-Eshbach surface spin waves28in the in-plane\noriented magnetic field. The setup is presented in Fig.\n4a. The spin-wave measurement cell contains microstrip\nantennas. The samples are placed on the antenna struc-\nture. Antennas generate and receive spin waves prop-\nagated in YIG films. The studied samples are irregular\ntrapezoidal with sizes of 2 ×6mm. The distance between\nantennas in the cell was set to 1.2 mm. The thickness w\nof antennas is of 30 µm. The excited spin-wave wave-\nlengthkis given by the thickness wand is in the range\n[0,2π/w]. The antenna length is equal to 2 mm. The\nmeasurement setup contains the Rohde-Schwarz vector\nnetwork analyzer ZVA-40, which generates the current\nflowingin the generatingantenna and detects the current\ninduced by spin waves in the receiving one. We measure\namplitude-frequency characteristics which are the trans-\nmission coefficient S21(the scalar gain) in the frequency\nrange of 3.0–4.8 GHz and in the applied magnetic field\nH= 862 Oe with the in-plane orientation. Only for the\nsample # 4 we could detect the transmission coefficient\nS21(Fig. 4b). For other samples, the spin-wave relax-\nationappearedto be muchfaster andwe could not detect\nthe spin-wave signals on the receiving antenna.\nMeasuring the S21-parameter, we can estimate the\nlower bound τ0of the spin-wave relaxation time τ(τ >\nτ0)9,10. For this estimation we take into account the fol-\nlowing approximations.\n(1) We suppose that |Ha| ≪4πM.4\n3 4 5 6\nMagnetic□field□□□H□□(kOe)-1.0-0.50.00.51.0Amplitude A (a.u.)F□=□9.41□GHz (a)\n2.0 2.4 2.8 3.2 3.6 4.0\nMagnetic□field□□□H□□(kOe)-1.0-0.50.00.51.0Amplitude A (a.u.)F□=□9.41□GHz (b)\nFIG. 3: FMR spectrum of the YIG film with the thickness\nof 40 nm (sample # 1, Table I) at (a) perpendicular and (b)\nin-plane magnetic fields. Arrows correspond to FMR peaks\nof YIG sublayers.\n(2) In order to calculate spin-wavevelocity, we substitute\nYIG films with inhomogeneity through thickness by ho-\nmogeneous films with higher velocity of propagating spin\nwaves.\n(3)Theenergytransformationscurrent →spinwavesand\nspin waves →current in antenna structure are perfect\nand have not losses.\nTheS21-parameter with the voltage induced by spin\nwaves on the receiving antenna and without spin waves\ncan be written, respectively, as\nS21=S(0)\n21+B= 10lg(U2\ns+U2\n0)1/2\nUg(b)(a)\nin\noutGaAs\nYIG metalH\nspin□wave\nAl□O23\n3.2 3.6 4.0 4.4 4.8\nFrequency□□□F□□(GHz)-80.02-80.00-79.98-79.96-79.94S - parameter21#□4\nH□=□862□Oe\nB\nS21(0)\nFIG. 4: (a) Block diagram of the setup used for spin wave\npropagation in YIG / AlO x/ GaAs structures. (b) The S21-\nparameter (scalar gain) of spin waves propagated in the sam-\nple # 4 in the magnetic field H= 862 Oe at the microwave\npowerP= 7 dBm.\nS(0)\n21= 10lgU0\nUg, (4)\nwhereUsis the voltage induced by spin waves on the\nreceiving antenna in the magnetic field H= 862 Oe, U0\nis the voltage on the receiving antenna without a mag-\nnetic field and, consequently, without spin waves, Ugis\nthe voltage on the generating antenna. We suppose that\nthe spin-wave signals and the voltage U0are not corre-\nlated. The voltage Usinduced by spin waves is reduced\naccording to\nUs=Ugexp/parenleftbigg−l\nvτ0/parenrightbigg\n, (5)\nwherevis the group velocity of spin waves, lis the dis-\ntance between antennas. The spin-wave velocity is given\nby2,3,27,28\nv=π(γ4πM)2d\n2F, (6)5\nwhereFis the frequency at the spin wave dispersion\ncurve at which the wavevector k→0,dis the thickness\nof the YIG film. Solving the equations (4), (5), and (6),\nwefind thatthe spin-waverelaxationtime τ0= 39µs and\nthe spin-wave damping parameter, which is given by27,29\nδ0=∆ω0\nω0=1\n2πFτ0, (7)\nis equal to 1 ·10−3. In relation (7) ∆ ω0= 1/τ0and\nω0= 2πF. Taking into account this value of the spin-\nwave damping parameter, we can find the contribution\nof the relaxation process to the FMR linewidth, which is\nabout 2 % of the linewidth ∆ H. Thus, we can suppose\nthat the main contribution to the FMR linewidth of the\nsputtered YIG film is due to a magnetic inhomogeneity\nthrough the film thickness. The analogous magnetic in-\nhomogeneityhasbeenobservedinYIGfilmssputteredon\nGaN substrates9. The detailed analysis of the evaluation\nof the spin-wave damping parameter in inhomogeneous\nYIG films is presented in Ref.9.\nV. INFLUENCE OF SPIN EXCITATIONS ON\nTHE 2DEG CURRENT\nTwo-dimensional electron gases are formed at oxide\ninterfaces25,26. In order to study interaction between\nspin excitations in the YIG film and 2DEG in GaAs\nat the AlO x/ GaAs interface, we have performed the\ntransistor structure with 2DEG channel on the samples\n# 3 and # 6. Electrical contacts are formed by us-\ning the silver paste. We measure amplitude–frequency\ncharacteristics which are the transmission coefficient S21\nand the voltage reflection coefficient S11in frequency\nrange of 3.5–5.5 GHz and in applied magnetic fields\nHup to 6 kOe with the in-plane orientation. The S-\nparameter matrix for the 2-port network is defined as\nU(out)\ni=SikU(in)\nk, whereU(out)\niis the voltage wave re-\nflected from the i-contact and U(in)\nkis the incident wave\nat thek-contact30. The electrical resistivity of YIG films\nis considerably higher than the resistivity of the GaAs\nsubstrate (0 .9×105Ω·cm), consequently, the channel\nconductivity between contacts is due to the GaAs 2DEG\ninterface region (Fig. 5a). In the FMR frequency band\nthe YIG-film spin excitations give an influence on the\ncurrent flowing through the GaAs channel. The mea-\nsurement setup contains the Rohde-Schwarz vector net-\nwork analyzer ZVA-40, which generates the current flow-\ningthroughthe2DEGchannelanddetectsreflected( S11)\nand passed ( S21) signals. Normalized S-parametersmea-\nsured in sample # 3 in the magnetic field H= 1.107 kOe\nat the microwave power P= 10 dBm are shown in Fig.\n5b. One can see that the linewidth of the transmission\ncoefficient S21(593 MHz) is less than the linewidth of\nthe reflection coefficient S11(1215 MHz). This differ-\nence can be explained by a magnetic inhomogeneity of\nthe YIG film over the thickness d. TheS11-parameter is3.5 4.0 4.5 5.0 5.5\nFrequency□□□F□□(GHz)0.00.20.40.60.81.0Normalized S - parametersS\nS2111(b)(a)\nYIG\nGaAsS S11 21\n1 2AlOx\n2DEG\nFIG. 5: (a) Cross-section of YIG / AlO x/ GaAs-\nheterostructure with 2DEG and with contacts 1 and 2. (b)\nNormalized S-parameters (the transmission coefficient S21\nand the voltage reflection coefficient S11) measured in the\ntransistor structure with 2DEG channel formed on the sam-\nple # 3 in the magnetic field H= 1.107 kOe and at the\nmicrowave power P= 10 dBm.\nformed by the inner volume, upper and YIG / AlO xin-\nterface regions of the YIG film near the first contact. On\nthe contrary, the S21-parameter is formed by the 2DEG\nchannel and the neighboring YIG / AlO xinterface re-\ngion. In comparison with inner volume and upper re-\ngions, the neighboring interface region of the YIG film\ngivethe greatercontribution to the S21-parameter. Since\nmagnetic parameters of the inner volume, upper and in-\nterface YIG regions can be different, this leads to the dif-\nference between S11andS21parameters. The observed\ninteraction between spin excitations and 2D electrons is\nof the electromagnetic nature. The alternating magnetic\nfield of spin excitations induces an alternating electrical\nfield, which influences on 2D electrons. The observed in-\nfluence ofspin excitationson the 2DEG currentresults in\nmodulation of the current flowing in the 2DEG channel\nand, in this sense, one can say that this modulation is\nanalogous to the action of a gate electrical potential in\nFET-structures.6\nVI. INVERSE EFFECT. INFLUENCE OF THE\nCURRENT ON SPIN EXCITATIONS\nIn order to observe the inverse effect – influence of\nthe current on spin excitations and to enhance this in-\nverse effect, we have carried out the experiment under\nthe following conditions: (1) high channel conductivity,\n(2) low values of the microwave frequency, at which the\nthree-magnon decay occurs, and (3) high values of the\nmicrowave power. Increase of the 2DEG current caused\nby the growthofthe channel conductivity leads to the in-\ncrease of an alternating magnetic field acted on the YIG\nfilm and at high microwave powers results in essential\nchanges in the FMR spectrum. According to27, at the\nthree-magnon decay of the FMR excitation at high mi-\ncrowave powers this influence can be rather high. In the\nin-plane magnetic field spin excitations can decay into\nbackward volume spin waves.\nIn order to increase the channel conductivity in the\ntransistor structure formed on the sample # 6, the chan-\nnel was exposed by a light beam ( λ= 650 nm, ε=\n1.907 eV) with the photon energy εgreater than the\nGaAs energy band gap of 1.424 eV and less than the\nYIG band gap of 2.85 eV31and the AlO xband gap of\n6.5 eV32. The light beam was linearly polarized with the\nintensity W= 81 mW/cm2. The light exposure leads to\nelectron density increase in the GaAs 2DEG channel and\nit is analogous to an action of electric field in FET struc-\ntures. Resistance of the channel is reduced from 28.0MΩ\nto 16.9 MΩ. As a result of the light exposure, the local\nmicrowave intensity at neighbouring YIG / AlO xinter-\nface region increases. This leads to the three-magnon\ndecay of the FMR excitation in the YIG interface layer.\nThe magnon instability process appears at the frequency\nF <1.8 GHz and at the microwave power P >10 dBm.\nThe normalized S21-parameters measured in the sample\n# 6 at the frequency F= 1.8 GHz and at the microwave\npowerP= 14 dBm under the light exposure and without\nlight are shown in Fig. 6. Dependencies are normalized\nby the maximum value of the S21-parameter measured\nunder the light exposure. The increase of electrons in the\n2DEG channel induced by light leads to essential changes\nin the FMR spectrumand in the S21-parameter. Onecan\nsee that an additional FMR peak bappears in applied\nmagnetic field of 1 kOe. One can observe a decrease in\nthe height of the peak aand a growth in the amplitude\nof the peak b, while decreasing frequency of the incident\nmicrowave signal and keeping the microwave power con-\nstant and equal to 14 dBm. Therefore, one can conclude\nthat this leads to an increase of the thickness of the YIG\nlayerb, where the magnon instability process occurs.\nVII. CONCLUSION\nIn summary, we described synthesis of YIG films sput-\ntered on AlO x/ GaAs substrates, determined their mag-\nnetic characteristics, studied properties of the spin wave0.0 0.4 0.8 1.2\nMagnetic□field□□□H□□(kOe)0.00.20.40.60.81.0Normalized S - parameter2\nwith□light1F□=□1.8□GHz21ab\nYIG\nGaAsAlOx2DEGlight\na\nb\nFIG. 6: The normalized S21-parameters measured in the sam-\nple # 6 at the frequency F= 1.8 GHz and at the microwave\npowerP= 14 dBm (1) under the light exposure and (2) with-\nout light. Arrows correspond to FMR peaks of YIG layers a\n(without magnon instability) and b(with magnon instability).\npropagation and the influence of spin excitations in YIG\nfilms and 2DEG channels formed at the AlO x/ GaAs in-\nterface. Itisfound thatthe contributionofthe relaxation\nprocess to the ferromagnetic resonance (FMR) linewidth\nis about 2 % of the linewidth ∆ H. At the same time,\nfor all samples FMR linewidths are high. It is supposed\nthat increasing of the FMR linewidth is due to magnetic\ninhomogeneity of YIG films. High interaction between\nspin excitations and the electron current flowing through\nthe 2DEG channel formed at the AlO x/ GaAs inter-\nface is achieved at the FMR frequency of YIG films. On\nthe other hand, above the spin-wave instability thresh-\nold the growth of the channel conductivity induced by\nthe light illumination results in essential changes in the\nFMR spectrum and in the S21-parameter of the channel.\nThe interaction between the spin excitations in YIG film\nand 2DEG channel current is increased with the light ex-\nposure of the AlO x/ GaAs interface and with microwave\npower growth. The observed interaction is of great im-\nportance for active control and manipulation of spin de-\ngrees of freedom in field-effect transistors at microwave\nfrequencies.\nAcknowledgments\nThis workwassupported bythe RussianScience Foun-\ndation(project17-12-01314)andtheRussianFoundation\nfor Basic Research (project 15-02-06208).7\ne-mail: l lutsev@mail.ru\n1Z. Chen and V.G. Harris, J. Appl. Phys. 112, 081101\n(2012).\n2D.D. Stancil and A. Prabhakar, Spin Waves. Theory and\nApplications (Springer, New York, 2009).\n3P. Kabos and V.S. Stalmachov Magnetostatic Waves and\nTheir Applications (Chapman, New York, 1994).\n4M. Mruczkiewicz, E.S. Pavlov, S.L. Vysotsky, M.\nKrawczyk, Yu.A. Filimonov, and S.A. Nikitov, Phys. Rev.\nB90, 174416 (2014).\n5V.D. Bessonov, M. Mruczkiewicz, R. Gieniusz, U. Gu-\nzowska, A. Maziewski, A.I. Stognij, and M. Krawczyk,\nPhys. Rev. B 91, 104421 (2015).\n6S.L. Vysotskii, Y.V. Khivintsev, V.K. Sakharov, G.M.\nDudko, A.V. Kozhevnikov, S.A. Nikitov, N.N. Novitskii,\nA.I. Stognij, and Y.A. Filimonov, IEEE Magnetic Letters\n8, (2017) 3706104 (2017).\n7S.A. Manuilov, R. Fors, S.I. Khartsev, and A.M. Grishin,\nJ. Appl. Phys. 105(3), 033917 (2009).\n8A.I. Stognij, L.V. Lutsev, V.E. Bursian, and N.N. Novit-\nskii, J. Appl. Phys. 118, 023905 (2015).\n9A. Stognij, L. Lutsev, N. Novitskii, A. Bespalov, O. Go-\nlikova, V. Ketsko, R. Gieniusz, and A. Maziewski, J. Phys.\nD: Appl. Phys. 48, 485002 (2015).\n10L.V. Lutsev, A.M. Korovin, V.E. Bursian, S.V. Gastev,\nV.V. Fedorov, S.M. Suturin, andN.S. Sokolov, Appl.Phys.\nLett.108, 182402 (2016).\n11L.V. Lutsev, Phys. Rev. B 85, 214413 (2012).\n12S. Datta and B. Das, Appl. Phys. Lett. 56, 665 (1990).\n13K. Hall, W.H. Lau, K. Gundogdu, M.E. Flatte, and T.F.\nBoggess, Appl. Phys. Lett. 83, 2937 (2003).\n14J. Schliemann, J.C. Egues, and D. Loss, Phys. Rev. Lett.\n90, 146801 (2003).\n15J.C. Egues, G. Burkard, and D. Loss, Appl. Phys. Lett.\n82, 2658 (2003).\n16I.ˇZutic, J. Fabian, and S. Das Sarma, Rev. Mod. Phys.\n76, 323 (2004).\n17S. Sugahara, Phys. Stat. Sol. 12, 4405 (2006).18X. Lou, Ch. Adelmann, S.A. Crooker, E.S. Garlid, J.\nZhang, K.S.M. Reddy,S.D. Flexner, Ch.J. Palmstrøm, and\nP.A. Crowell, Nature Physics 3, 197 (2007).\n19H.C. Koo, J.H. Kwon, J. Eom, J. Chang, S.H. Han, and\nM. Johnson, Science 325, 1515 (2009).\n20P. Chuang, S.-C. Ho, L.W. Smith, F. Sfigakis, M. Pepper,\nC.-H. Chen, J.-C. Fan, J.P. Griffiths, I. Farrer, H.E. Beere,\nG.A.C. Jones, D.A. Ritchie, and T.-M. Chen, Nature Nan-\notechnology 10, 35 (2015).\n21H. Buhay, J.D. Adam, M.R. Daniel, N.J. Doyle, M.C.\nDriver, G.W. Eldridge, M.H. Hanes, R.L. Messham, and\nM.M. Sopira, IEEE Trans. Magn. 31, 3832 (1995).\n22Solid State Physics. Recent Advances in Magnetic Insula-\ntors – From Spintronics to Microwave Applications , Edd.\nM. Wu and A. Hoffmann (Academic Press, San Diego,\n2013).\n23G.D. Nipan, A.I.Stognij, andV.A. Ketsko, Russian Chem-\nical Reviews 81, 458 (2012).\n24A.I. Stognij, V.V. Tokarev, and Yu.N. Mitin, Mat. Res.\nSoc. Symp. Proc. 236, 331 (1992).\n25H.C. Koo, H. Yi, J.B. Ko, J.D. Song, J. Chang, and S.H.\nHan, J. Magnetics 10, 66 (2005).\n26J. Mannhart, D.H.A. Blank, H.Y. Hwang, A.J. Millis, and\nJ.-M. Triscone, MRS Bulletin 33, 1027 (2008).\n27A.G. Gurevich and G.A. Melkov, Magnetization Oscilla-\ntions and Waves (CRC Press, New York, 1996).\n28R.W. Damon and J.R. Eshbach, J. Phys. Chem. Solids 19,\n308 (1961).\n29M. Sparks, Ferromagnetic-Relaxation Theory (McGraw-\nHill, New York, 1964).\n30J. Chomaand W.K. Chen, Feedback Networks: Theory and\nCircuit Applications (World Scientific, Singapore, 2007).\n31P.K. Larsen and R. Metselaar, J. Solid State Chemistry\n12, 253 (1975).\n32S. Nigo, M. Kubota, Y. Harada, T. Hirayama, S. Kato, H.\nKitazawa, andG. Kido, J. Appl.Phys. 112, 033711 (2012)." }, { "title": "1903.00498v1.Nanoscale_X_Ray_Imaging_of_Spin_Dynamics_in_Yttrium_Iron_Garnet.pdf", "content": "Nanoscale X-Ray Imaging of Spin Dynamics in Yttrium Iron Garnet\nJ. Förster,1S. Wintz,2,3J. Bailey,2,4S. Finizio,2E. Josten,5,6D. Meertens,6C. Dubs,7D. A. Bozhko,8,9H.\nStoll,1,10G. Dieterle,1N. Träger,1J. Raabe,2A. N. Slavin,11M. Weigand,1,12J. Gräfe,1and G. Schütz1\n1Max-Planck-Institute for Intelligent Systems, Stuttgart, Germany\n2Paul Scherrer Institute, Villigen, Switzerland\n3Helmholtz-Zentrum Dresden-Rossendorf, Germany\n4École polytechnique fédérale de Lausanne (EPFL), Lausanne, Switzerland\n5Helmhotz-Zentrum Dresden-Rossendorf, Germany\n6Ernst Ruska-Centrum für Mikroskopie und Spektroskopie mit Elektronen,\nForschungszentrum Jülich GmbH, Jülich, Germany\n7INNOVENT e.V. Technologieentwicklung Jena, Germany\n8Technische Universität Kaiserslautern, Germany\n9University of Glasgow, United Kingdom\n10Institut für Physik, Johannes Gutenberg-Universität Mainz, Germany\n11Oakland University, Rochester, USA\n12Helmholtz-Zentrum Berlin, Germany\n(Dated: March 5, 2019)\nTime-resolved scanning transmission x-ray microscopy (TR-STXM) has been used for the direct\nimaging of spin wave dynamics in thin film yttrium iron garnet (YIG) with spatial resolution in\nthe sub 100 nm range. Application of this x-ray transmission technique to single crystalline garnet\nfilms was achieved by extracting a lamella (13x5x0.185 \u0016m3) of liquid phase epitaxy grown YIG thin\nfilm out of a gadolinium gallium garnet substrate. Spin waves in the sample were measured along\nthe Damon-Eshbach and backward volume directions of propagation at gigahertz frequencies and\nwith wavelengths in a range between 100 nm and 10 \u0016m. The results were compared to theoretical\nmodels. Here, the widely used approximate dispersion equation for dipole-exchange spin waves\nproved to be insufficient for describing the observed Damon-Eshbach type modes. For achieving an\naccurate description, we made use of the full analytical theory taking mode-hybridization effects\ninto account.\nI. INTRODUCTION\nSpinwavesarecollectivemagneticexcitationsinferro-,\nferri- and antiferromagnetic materials and an active re-\nsearch area in the field of magnetism. Recently, it was\ndemonstrated that their quanta, magnons, show specific\nfundamentals of bosonic behaviour such as Bose Einstein\ncondensation and super-fluidity1,2. And, even black hole\nscenarios have been predicted to occur in magnon gases3.\nBesides the fundamental impact of this topic, there has\nalso been increasing interest in potential applications of\nspin waves as information carriers. This has led to the\nemergence of the field of magnonics. Compared to elec-\ntromagnetic waves, spin-wave wavelengths are smaller\nby several orders of magnitude, which fits perfectly to\nthe lateral dimensions of 10nm\u00001\u0016machievable by\nmodern nanotechnology. Spin waves excellently cover\nthe Gigahertz-regime of frequencies, which is common in\ntoday’s communications devices, allowing their creation\nand detection via well-developed microwave techniques.\nFurthermore, and in contrast to conventional electronics,\nspin waves can carry information without power dissipat-\ning charge currents. Therefore spin waves are actively\ndiscussed as high-speed and short-wavelength informa-\ntion carriers for novel spintronic/magnonic devices4,5.\nMagnetic thin film systems exhibit three basic geome-\ntries for lateral spin wave propagation in their spectrum\n(c.f.figure 1). For in-plane magnetized films there is the\nbackwardvolume(BV)geometrywithwavespropagatingalong the equilibrium magnetization direction, as well as\nthe Damon-Eshbach (DE) geometry, in which the waves\npropagateperpendiculartoit. Forwardvolumewavesoc-\ncur in films magnetized out-of-plane propagating isotrop-\nically in any direction in the film plane4,6–9. Finally, in\naddition to the fundamental modes with a quasi-uniform\namplitude profile over the film thickness, all three ge-\nometries possess higher order thickness modes with am-\nplitudeprofilesintheformofperpendicularstandingspin\nwaves (PSSW) between the two film surfaces10. The rel-\nevant energy contributions that determine the dispersion\nrelationsf(k= 2\u0019=\u0015)of the spin waves in these geome-\ntries are the magnetostatic and exchange interactions,\nwhich dictate the long and short wavelength regimes\nrespectively10.\nThe insulating ferrimagnet yttrium iron garnet (YIG)\nis one of the most prominent and extensively studied\nmaterials in the field of magnonics due to its exception-\nally low magnetic damping and high spin wave propa-\ngation length, making it ideal as a model system11–13\nand for possible applications4,7,14–16. Studies become\nsparse, however, for wavelengths and spatial features be-\nlow 250 nm, despite the importance of this regime for\npotential nanoscale spintronic devices and the open ques-\ntions it holds. Factors such as surface effects, crystal de-\nfects, grain sizes orspindiffusion become moreinfluential\non this scale17,18and can change spin wave behaviour\ncompared to the well-studied microscale. For example,\nan increase in spin wave damping as well as the emer-arXiv:1903.00498v1 [cond-mat.mes-hall] 1 Mar 20192\ngence of frequency dependent damping17,18are expected\nat the nanoscale. The main reason for this region be-\ning less well studied lies in its experimental accessibility.\nDirect imaging of spin wave dynamics is conventionally\nperformedbyopticaltechniqueslikeKerrmicroscopy19,20\nand Brillouin light scattering4,5,21, which are inherently\nlimited to a maximum spatial resolution of about 250 nm\nand the scattering of corresponding wavelengths22, re-\nspectively, rendering them unable to access nanoscale\nwaves and devices. Another commonly used experimen-\ntal technique for studying spin waves is all electrical spin-\nwave spectroscopy using vector network analyzers23,24.\nWhile this method is not limited by the wavelength, it\ndoes not allow for a direct imaging of spin waves. It\nalso needs comparably large samples to achieve sufficient\nsignal to noise ratio25, limiting its access to nanoscale de-\nvices. Thus, from both a fundamental and applications\nperspective there is a clear need for the spatially resolved\ndetection of sub-250 nm spin waves.\nTime-resolved scanning transmission x-ray microscopy\n(TR-STXM) is a technique that is able to meet these\nrequirements26–28. Magnetic phenomena can be rou-\ntinelystudiedwithspatialandstroboscopictemporalres-\nolutions down to 20 nm and 50 ps respectively. Spin\nwaves in metallic samples prepared as thin films on x-ray\ntransparentsiliconnitride(SiN)membraneshavealready\nbeen imaged successfully29–33. But the lack of x-ray\ntransparency in the bulk substrates of single-crystalline\nsystems like YIG films on gadolinium gallium garnet\n(GGG) requires an appropriate thinning route for STXM\ninvestigations34,35. Therefore in the present work a thin\nsheet of YIG of the order of 185 nm thickness has been\nsliced out of a YIG thin film and its GGG substrate. The\nlamella was subsequently put onto an x-ray transparent\nSiN membrane ( cf.section II). We present TR-STXM\nmeasurements in YIG, which provide a new view on the\nrich and complex scenario of the spin wave characteris-\ntics, their interactions and coexistence in the nm range of\nthis pivotal model system for design and understanding\nof future magnonic/spintronic applications.\nII. METHODS\nA YIG film of 185 nm thickness was grown by liquid\nphase epitaxy (LPE) on (111)-oriented GGG36. Ferro-\nmagnetic resonance measurements showed a saturation\nmagnetization of MS= (143\u00062) kA=mand a Gilbert\ndamping coefficient of \u000b= 1:3\u000110\u00004, which both agree\nwell with typical values for YIG films in literature4,36–38.\nThe film was subsequently processed using a \"FEI Dual\nBeam System Helios NanoLab 460F1\" focused ion beam\n(FIB). A dedicated Ga+ion milling routine39resulted in\na lamella of 13x5x0.185 \u0016m3of YIG with less then 150\nnm GGG attached to it. Afterwards, an \"Omniprobe\"\nmicromanipulator was used to transfer the lamella to a\nstandard SiN membrane, where it was centered on a cop-\nper microstrip antenna ( 2\u0016mwidth and 200 nm thick-ness) and fixated with carbon. The copper microstrip\nwas fabricated prior to the fixation of the lamella by a\ncombination of electron beam lithography, thermal cop-\nper evaporation and lift-off processing.\nMeasurements have been carried out at the MAXY-\nMUS end station located at the UE46-PGM2 beam\nline at the BESSY II synchrotron radiation facility of\nHelmholtz-Zentrum Berlin. Circularly polarized x-rays\nwere focused to 20 nm by a Fresnel zone plate. The\nX-ray magnetic circular dichroism (XMCD) effect40was\nused as magnetic contrast mechanism for imaging. For\nthe x-ray energy the iron L 3-absorption edge was chosen,\nwhere the maximum magnetic signal fidelity was found\nat(708\u00060:3) eVas a balance between XMCD strength\nand transmitted intensity41. The sample was mounted\nin normal incidence geometry, sensitive to the out-of-\nplane magnetization component. A quadrupole perma-\nnent magnet system provided an in-plane magnetic bias\nfield in the range of \u0006250 mT27. Spin waves were excited\nby the magnetic field of an RF-current flowing through\nthe copper stripline ( cf.figure 2).\nTime-resolution has been achieved by using a strobo-\nscopic pump-and-probe technique that reaches a reso-\nlution of around 50 ps during the synchrotron’s regu-\nlar multibunch mode operation28. The raw movies from\nTR-STXM were normalized to enhance the dynamics.\nA pixel-wise fast Fourier transform (FFT) in the time-\ndomain was subsequently used to obtain the local spin\nwave amplitude and phase42,43, which were then used to\nvisualize the waves in HSV (hue, saturation, value) color\nspace ( c.f.figure 2). A two-dimensional FFT in space\nwas utilized to determine the corresponding wave vec-\ntors. See also the paper of Groß et al.33for more details\non this.\nIII. RESULTS\nA. Experimental results\nAs a first step a continuous RF-current in the fre-\nquency range of 1:4to3:0 GHzwas used for excitation.\nFigure 2 shows the sample architecture and images of\ndynamics measured at different frequencies with an ex-\nternal magnetic field of \u00160Hext= 25 mT applied parallel\nto the stripline. The picture on the left in the upper\nrow shows the raw x-ray intensity image of the lamella.\nNext to it are the frames from a time-resolved normal-\nized movie at 1:6 GHzexcitation frequency arranged in\na time series. The frames show dynamic changes in the\nnormal magnetization component as gray scale contrast.\nThe vertical wavefronts of the BV type waves can clearly\nbe seen, as well as their horizontal propagation between\nthe time frames. From such movies, the visual represen-\ntation shown in the images in the lower row have been\nobtained, showing the color coded Fourier amplitude and\nphase at each pixel ( c.f.section II). As expected for spin\nwaves in a thin film, a transition from wave front orienta-3\ntion normal to the external field (BV geometry) towards\norientation parallel to the external field (DE geometry)\ncan be observed when the frequency is raised10. As is ap-\nparent in the first image ( 1:4 GHz) of the sequence, for\nthelowestfrequenciesthespinwaveswereconfinedtothe\nsample edges due to the locally reduced effective field be-\ncause of demagnetization effects as previously described\ninliterature44–46. Theareaofconfinementextendedfrom\nthe edges to between 0.64 and 1.2 \u0016minto the sample.\nIn the second image (1.6 GHz), which corresponds to the\ntime series above, two coexisting BV waves of different\nwavelengths ( \u00151= 1:9\u0016mand\u00152= 0:37\u0016m) are visible.\nLikewise, in the last two images ( 2:5 and 2:7 GHzrespec-\ntively), where the waves are fully in DE orientation, two\nDE modes of different wavelengths appear, coexisting at\nthe same frequency (at f= 2:7 GHz:\u00151= 2:8\u0016mand\n\u00152= 0:53\u0016m).\nIn a second step, excitation was changed from con-\ntinuous sine wave to short bursts ( cf.figure 3, upper\npart). These bursts excited a broad spectrum of frequen-\nciesand, thus, amultitudeofspinwavemodessimultane-\nously (one normalized movie is attached as supplemental\nmaterial). The center frequency of f= 2 GHz was cho-\nsen corresponding to the previously identified modes and\nthereby to cover a rich spin wave spectrum. The length\nof the burst was set to one sine period, or \u001c= 480 ps ,\nwhile the downtime to the next burst was set to 31 sine\nperiods, or approximately \u001c0= 15 ns. Single frequency\ncomponents were isolated by a Fourier transform and, as\npreviously, visualized in figure 3. The behavior is very\nsimilar to the continuous wave experiments shown in fig-\nure 2, especially for the direct comparison with the series\nin the middle row measured at the same field strength\n(\u00160Hext= 25 mT ). A transition from the BV to the\nDE propagation geometry at higher frequencies can be\nseen at all three magnetic bias field strengths. In agree-\nment with theory4,6, the spin wave spectra, and hence\nthe transition point, shift towards higher frequencies for\nincreasing external fields.\nAs the antenna was oriented for DE geometry excita-\ntion, its field is unlikely to be the primary source of the\nnon-DE modes in the lamella. This point is reinforced by\nthe observation, that those waves do not originate in the\nantenna’s vicinity and rather from the lamella’s edges,\nmaking reflections and the aforementioned edge demag-\nnetization fields the probable causes. Especially for the\nBV geometry waves the observed transition from edge\nconfined modes to sample-wide BV modes hints at the\nedge fields as source. The aforementioned secondary DE\nmode (short wavelength) also appears to originate from\nthe upper and lower sample edge rather than from the\nantenna region.\nB. Analytical theory\nTo identify the specific spin waves that have been mea-\nsured, their dispersion f(k), wherefis the frequency andkis the magnitude of the wavevector k, was determined\nby a two-dimensional FFT ( cf.section II). The focus was\nput on the two dominant wave orientations, namely the\nBV geometry ( \u0012= 0\u000e) and the DE geometry ( \u0012= 90\u000e),\nwith\u0012being the angle between kand the equilibrium\nmagnetization. Pairs of fandkwere accordingly sorted\nby their\u0012-values and compared to analytical models of\nbasic spin wave modes in thin YIG films. A model for\nspin waves in a thin ferromagnetic layer can be found in\nthe work of Kalinikos and Slavin10, taking the following\napproach.\nAn isotropic ferromagnetic film is considered, that is\nlaterally infinite and of finite thickness dalong thezaxis\n(z2[\u0000d=2;d=2]). The film is magnetized in-plane by\na magnetic bias field. A plane spin wave with a non-\nuniform vector amplitude m(z)is assumed to propagate\nin the film plane in the arbitrary \u0010direction:\nm(z;\u0010;t ) =m(z)exp[i(!t\u0000k\u0010)] (1)\nwheretis time and != 2\u0019f. The amplitude m(z)\nis then expanded into an infinite series of complete or-\nthogonal vector functions. For this, the eigenfunctions\nof the second-order exchange differential operator satis-\nfying the appropriate exchange boundary conditions, are\nchosen. For zero surface anisotropy (unpinned surface\nspins), which will be assumed from here on, this gives:\nm(z)/X\nnmncos\u0014\n\u0014n(z+d\n2)\u0015\n(2)\nwhere\u0014n=n\u0019\nd,n2N0, represents a standing wave com-\nponent perpendicular to the film plane. Using equation\n2, the following infinite system of algebraic equations can\nbe obtained from the well-known Landau-Lifshitz equa-\ntion of motion:\n\u0000i!\n!Mmn=X\nn0^Wnn0mn0 (3)\nwhere!M=\rMS,\rthe gyromagnetic ratio and MS\nis the saturation magnetization. This corresponds to\nequation (22) in the source paper10, where details on the\nsquare matrix ^Wcan also be found. The eigenvalues of\nthis system give the frequency of the in-plane propagat-\ning spin wave modes of the film. The mode order nhere\nrepresentsastandingspinwavecomponentalongthefilm\nthickness given by \u0014n. Fork= 0the mode coincides with\nthen-th order PSSW. This results in the amplitude pro-\nfilem(z)havingnnodes along the film thickness. If only\nthe diagonal parts ( n=n0) of ^Ware considered, which\nmeans that interactions between modes of different or-\nders are neglected, an approximate dispersion equation\ncan be explicitly formulated10:4\nfn=\r\u00160\n2\u0019\u001a\u0012\nH+2A\n\u00160MSK2\u0013\n\u0002\n\u0002\u0012\nH+2A\n\u00160MSK2+MSFnn\u0013\u001b 1=2\n(4)\nwithK2=k2+\u00142\nnand the element of the dipole-dipole\nmatrix:\nFnn= 1\u0000Pnncos2\u0012+Pnn(1\u0000Pnn)sin2\u0012MS\nH+2A\n\u00160MSK2\n(5)\nwhereHis the magnitude of the magnetic field, \u00160\nthe vacuum permeability, Athe exchange constant and\n\u0012the angle between the magnetization and k. For the\nfundamental zero-order mode (uniform thickness profile,\nno PSSW-component) P00= 1\u00001\u0000e\u0000kd\nkd(see appendix\nof the original paper10). The zero-order equation gives\nthe dispersions of the fundamental DE and BV modes at\n\u0012= 90\u000eand\u0012= 0\u000e, respectively47.\nC. Comparing theory and experimental data\nIn figure 4 the spin wave dispersion relation as de-\nduced from the experimental data of both continuous\nwave and burst excitations for \u00160Hext= 25 mT is shown.\nAs figure 2 and 3 already suggested, it is not possible\nto distinguish between the dispersions measured for the\ntwo different excitation schemes, as the two data sets\nalmost perfectly overlap. In a first step the correspond-\ning theoretical dispersion curves based on the approxi-\nmate equation (4) were calculated ( MSgiven in section\nII,A= 0:36\u000110\u000011J=m48) and plotted in figure 4 as\ndashed lines. The \u0012= 0\u000e-waves fit very well with the cal-\nculated fundamental BV dispersion curve (dashed black\nline). The influence of the exchange interaction becomes\nclear by means of the curve changing to a positive slope\nbeyondk\u00191\u0001107rad/m (compare to exchange free\ncurves in Ref.4,6). This also explains the two coexisting\nBV waves mentioned in figure 2, as they originate from\nthe branches of the curve left and right of the apex re-\nspectively. Thus, it appears that equation (4) is a valid\napproximation for BV waves in this sample, at least in\nthe wavelength range covered here. There seems to be\nno significant hybridization with higher order BV modes\nand or influence of the confined sample geometry besides\nthemoriginatingfromthelateraledges. Theedgemodes,\nshown by the green dots, come close to the BV dispersion\nwith a downward frequency shift of about 200 MHz. In\norder to explain this difference through edge demagneti-\nzation effects, a reduction of the effective field to about\n15mTwould be necessary. According to micromagnetic\nsimulations of the lamella’s demagnetizing field this is a\nreasonable value at the edges.The\u0012= 90\u000e-waves on the other hand appear to belong\nto two separate dispersion branches that coexist in the\narea between f= 2:5 to 2:9 GHz. As mentioned earlier\n(cf.figure 2) two modes at \u0012= 90\u000ecan be seen simulta-\nneously in the last images ( f\u00152:5 GHz), which already\nhints at this behaviour. While the analytically approxi-\nmated fundamental DE dispersion (dashed red line) fits\nthe longer wavelength mode, the shorter wavelength one\nhas to belong to a different spin wave mode featuring the\nsame propagation direction. Obvious candidates for this\nare DE modes of higher orders. The dashed blue line\nin figure 4 represents the diagonal approximation for the\nfirst order thickness mode ( n= 1) by equation (4). It\nis apparent that this approximation, i.e.neglecting hy-\nbridization between different mode orders, is insufficient\nto describe the DE first order thickness mode in this par-\nticular system.\nThus, in a second step, numerical calculations of the\nzero and first order DE dispersions have been carried out\nusing the more accurate equation system (3) and consid-\nering the non-diagonal terms n6=n0of the matrix. The\nresults are shown as solid lines (red and blue) in figure\n4 and they notably diverge from the dashed analytical\ncurves, while they agree very well with the experimental\ndata. This strongly suggests that the two modes indeed\nhybridize. A closer look to the modes’ crossing point (in-\nsetinfigure4)supportsthis, asthepresenceofhybridiza-\ntion effects results in a band splitting. However, compar-\ning the dashed and solid curves, it can be seen that the\ninfluence of the modes’ mutual interaction reaches well\nbeyond the crossing point. This agrees with observations\nmade previously in 80 to 100 nm thick permalloy films29.\nDue to this, even the dispersion of the fundamental DE\nwave clearly diverges from the approximation of equation\n(4) below wavelengths of 600 nm. This stresses the im-\nportance of using the extended calculation when going\nbelow 1\u0016mwavelength.\nD. Micromagnetic simulation\nFinally,amicromagneticsimulationwascarriedoutus-\ning the \"MuMax 3\" software developed by Vansteenkiste\net al.49. For the simulation, the same material param-\neters as for the dispersion calculations were used, and\nexternal field of \u00160H= 25mT was considered together\nwith an RF-burst excitation similar to the experimental\none with a field amplitude of 3 mT. Figure 5 shows di-\nrect comparisons of Fourier images from simulation and\nexperiment at two different frequencies. Results for the\ndispersion along the main directions \u0012= 0\u000eand\u0012= 90\u000e\naredepictedinthelowerpartoffigure5andshowreason-\nable agreement with the physical measurements (dots)\nand theory accounting for hybridization (dashed lines).\nThis gives reason to assume that the simulation is a good\nrepresentation of the experiment and that the simulation\nresults beyond the experimentally covered region repre-\nsent a viable extrapolation. The agreement of simulation5\nand theory in such advanced regions further supports the\ntheoretical approach taken.\nThe simulation also highlights another important\npoint. As figure 5 shows, the dispersion curves in the-\nory and simulation continue beyond the experimentally\nobserved data range. This is especially apparent for the\nDE-waves, which stay well below the wavenumbers mea-\nsured in the BV-geometry, that themselves reach a limit\natk\u00193\u000110\u00007rad/m (\u0015\u0019200nm). Physically, for every\nantenna-like spin wave source there is a sharply dimin-\nishing efficiency of excitation for wavelengths below the\nsource’s width. This limits the wavevectors that can be\nexcited by the source at a given energy input. Since the\nBV-waves are likely excited by the approximately 1\u0016m\nwidedemagnetizationfieldsonthelateraledges, thelimit\nforthemislowerthanforthezeroorderDE-waves, which\nare excited by the 2\u0016mwide copper antenna. Hence the\noccurence of much shorter waves in BV-geometry.\nIV. CONCLUSIONS\nInsummary,spinwavesofwavelengthsdownto200nm\nhave been directly imaged in YIG using TR-STXM. For\nthis, a nearly freestanding lamella was fabricated from\na YIG film by focused ion beam preparation. Spin wave\nmodesofvariousdirectionsinthesampleplanehavebeen\nrecorded as a function of frequency and external mag-\nnetic field. TR-STXM enabled the simultaneous deter-\nmination of their spatial properties, like wavefront shape,\npropagation direction or confinement to certain regions\n(e.g.the edge), and of the waves time domain features.\nDynamics were excited by continuous single frequency\nRF-fields as well as by broad band RF-bursts. The ob-\nserved BV waves agree very well with a simple diagonal\napproximation of the analytical expression for the dis-\npersion relation10. This approach still held reasonably\nfor the zero order DE mode up to k\u00196\u000110\u00006rad/m.\nHowever, a second DE dispersion branch was observed,\nleading to the coexistence of two DE modes with strongly\ndifferent wavelengths in the frequency range between 2.5\nand 2.9 GHz. The diagonal approximation does not\ncorrectly describe the second mode, neither as DE zero\norder nor as its first higher order thickness mode. A\nmore rigorous numerical calculation based on the full set\nof equations10was necessary and provided an excellent\nmatch with the experimental findings. It can be con-\ncluded that hybridization between different mode orders\nplays a major role in this system for the formation of\nspin waves propagating in the DE geometry. Micromag-\nnetic simulations have been done and fit well with the\nexperimental data and the calculated dispersions, indi-\ncating their potential to predict the observed magnonic\nscenario in the system studied. While all analytic calcu-\nlations assumed a laterally infinite film, it appears that\nthe measured wavelengths were sufficiently small com-\npared to the dimensions of the sample to still warrant\nthis assumption.The presented work demonstrates that TR-STXM is a\npowerful and versatile tool for high resolution imaging of\nmagnetization dynamics in real space and time domain.\nItclearlydemonstratesitsapplicabilitytoYIGthinfilms,\nmaking these accessible to space and time resolved spin\nwave studies beyond optical resolution limits. This opens\nup a pathway to directly image nanoscaled spin dynam-\nics in YIG and other single crystalline materials and will\nhave an important impact for fundamental magnonic re-\nsearch and applications in nano devices.\nACKNOWLEDGMENTS\nWe thank HZB for the allocation of synchrotron radi-\nation beamtime. Michael Bechtel is gratefully acknowl-\nedged for support during beamtimes. J.B. is supported\nfromtheEuropeanUnion’sHorizon2020researchandin-\nnovation programme under the Marie Skłodowska-Curie\ngrant agreement No.66566. C.D. acknowledges the fi-\nnancial support by the Deutsche Forschungsgemeinschaft\n(DU 1427/2-1). A.N.S. was supported by the Grant\nNos. EFMA-1641989 and ECCS-1708982 from the Na-\ntional Science Foundation (NSF) of the USA, and by the\nDefense Advanced Research Projects Agency (DARPA)\nM3IC Grant under Contract No. W911-17-C-0031.6\nFIG. 1. Overview of the basic spin wave mode geometries in\na magnetic thin film of thickness d. Green arrows symbolize\nthe magnetization vector M, while the black ones show the\nwave vector k.7\nFIG. 2. Upper part: Schematics of the sample used for the experiments. Gray: Silicon nitride membrane (Silson Ltd). Red\ncuboid: YIG Lamella, dimensions: 13\u00025\u00020:185\u0016m3. The magnetic bias field Hextwas oriented in the sample plane parallel\nto the copper stripline. Lower part: TR-STXM measurements of the sample at \u00160Hext= 25 mT and frequencies from 1:4\nto2:7 GHz. Picture (a) in the upper row shows a raw x-ray image of the lamella (dark gray rectangle) and the stripline.\nThe image series next to it displays the frames of a time-resolved movie at 1:6 GHz, showing the normalized (see section II)\nout-of-plane magnetization in arbitrary units. The color images in the lower row have been obtained from such movies by\ngaining the local Fourier amplitude and phase ( c.f.section II) of each pixel’s time-evolution and visualizing it in the HSV\ncolor space (color code on the upper left). Wave fronts visibly change from backward volume orientation, through diagonal\nintermediate states, to Damon-Eshbach direction as the frequency increases. Wave vector directions are indicated in the images\nwith the corresponding wave lengths \u0015stated above.\n.8\nFIG. 3. Top part: RF-burst signal used for excitation of the sample (Duration: 480 ps, repetition time: 15.4 ns, voltage\namplitude: 2V). Main part: Results of burst measurements analogue to figure 2 at three different magnetic bias fields Hext.\nSpin waves shift from the backward volume to the Damon-Eshbach propagation geometry as the frequency is raised, the\ntransition point and general spectrum shifting to higher frequencies at greater field strength.9\nFIG. 4. Plot of experimental dispersion data at \u00160Hext= 25 mT for both continuous wave experiments ( c.f.figure 2) and the\nRF-burst experiment ( c.f.figure 3). Dots represent measured data sorted by propagation direction of the waves. Black and\ngreen dots show backward volume (BV) propagation ( \u0012= 0\u000e) with the green dots marking those confined to the sample edges.\nThe red-blue dots represent Damon-Eshbach(DE) propagation ( \u0012= 90\u000e). Dashed lines show theoretical dispersion calculated\nusing the approximate equation 4 (no hybridization). The red and blue lines show the zero and first order DE dispersions,\nwhile the black line stands for the BV mode. The solid lines represent DE dispersion based on numerical calculations according\nto equation 3, taking into account the hybridization of modes. The inset shows a magnification of the avoided crossing region\nof the two branches.10\nFIG. 5. Upper part: Direct comparison of Fourier images of\nthe experiment and the micromagnetic simulation at \u00160H=\n25 mT. Lower part: Heatmap of the spatial Fourier transform\nof the simulation for the two main directions \u0012= 0\u000eand\n\u0012= 90\u000ewith the corresponding experimental data (dots) and\ntheoretical dispersion relations (dashed lines) for the Damon-\nEshbach modes of order zero and one based on equation 3, as\nwell as for the backward volume mode based on equation 4.11\n1S. O. Demokritov, V. E. Demidov, O. Dzyapko, G. A.\nMelkov, A. A. Serga, B. Hillebrands, and A. N. Slavin,\nNature 443, 430 (2006).\n2D. A. Bozhko, A. A. Serga, P. Clausen, V. I. Vasyuchka,\nF. Heussner, G. A. Melkov, A. Pomyalov, V. S. L’vov, and\nB. Hillebrands, Nature Physics 12, 1057 (2016).\n3A.Roldán-Molina, A.S.Nunez, andR.A.Duine,Physical\nReview Letters 118, 061301 (2017).\n4A. A. Serga, A. V. Chumak, and B. Hillebrands, Journal\nof Physics D: Applied Physics 43, 264002 (2010).\n5A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands, Nature Physics 11, 453 (2015).\n6D. D. Stancil; and A. Prabhakar, Spin Waves, Theory and\nApplications , 1st ed. (Springer US, 2009) pp. XII, 348.\n7R. W. Damon and H. v. d. Vaart, Proceedings of the IEEE\n53, 348 (1965).\n8H. J. J. Liu, G. A. Riley, and K. S. Buchanan, IEEE\nMagnetics Letters 6, 1 (2015).\n9S. Klingler, P. Pirro, T. Brächer, B. Leven, B. Hillebrands,\nand A. V. Chumak, Applied Physics Letters 106, 212406\n(2015).\n10B. A. Kalinikos and A. N. Slavin, Journal of Physics C:\nSolid State Physics 19, 7013 (1986).\n11D. A. Bozhko, P. Clausen, G. A. Melkov, V. S. L’vov,\nA. Pomyalov, V. I. Vasyuchka, A. V. Chumak, B. Hille-\nbrands, and A. A. Serga, Physical Review Letters 118,\n237201 (2017).\n12A. J. E. Kreil, D. A. Bozhko, H. Y. Musiienko-Shmarova,\nV. I. Vasyuchka, V. S. L’vov, A. Pomyalov, B. Hillebrands,\nand A. A. Serga, Physical Review Letters 121, 077203\n(2018).\n13A. A. Serga, V. S. Tiberkevich, C. W. Sandweg, V. I.\nVasyuchka, D. A. Bozhko, A. V. Chumak, T. Neumann,\nB. Obry, G. A. Melkov, A. N. Slavin, and B. Hillebrands,\nNature Communications 5, 3452 (2014).\n14M. Kaack, S. Jun, S. A. Nikitov, and J. Pelzl, Journal of\nMagnetism and Magnetic Materials 204, 90 (1999).\n15J. S. Baek, S. Y. Ha, W. Y. Lim, and S. H. Lee, physica\nstatus solidi (a) 201, 1806 (2004).\n16T. Fischer, M. Kewenig, D. A. Bozhko, A. A. Serga, I. I.\nSyvorotka, F. Ciubotaru, C. Adelmann, B. Hillebrands,\nand A. V. Chumak, Applied Physics Letters 110, 152401\n(2017).\n17H. T. Nembach, J. M. Shaw, C. T. Boone, and T. J. Silva,\nPhysical Review Letters 110, 117201 (2013).\n18R. Adur, C. Du, H. Wang, S. A. Manuilov, V. P. Bhal-\nlamudi, C. Zhang, D. V. Pelekhov, F. Yang, and P. C.\nHammel, Physical Review Letters 113, 176601 (2014).\n19A. Talalaevskij, M. Decker, J. Stigloher, A. Mitra, H. S.\nKörner, O. Cespedes, C. H. Back, and B. J. Hickey, Phys-\nical Review B 95, 064409 (2017).\n20J. P. Park, P. Eames, D. M. Engebretson, J. Berezovsky,\nand P. A. Crowell, Physical Review Letters 89, 277201\n(2002).\n21M. Collet, O. Gladii, M. Evelt, V. Bessonov, L. Soumah,\nP. Bortolotti, S. O. Demokritov, Y. Henry, V. Cros,\nM. Bailleul, V. E. Demidov, and A. Anane, Applied\nPhysics Letters 110, 092408 (2017).\n22T. Sebastian, K. Schultheiss, B. Obry, B. Hillebrands, and\nH. Schultheiss, Frontiers in Physics 3, 35 (2015).\n23H. Yu, O. d’ Allivy Kelly, V. Cros, R. Bernard, P. Bor-tolotti, A. Anane, F. Brandl, F. Heimbach, and\nD. Grundler, 7, 11255 (2016).\n24M. Bailleul, D. Olligs, and C. Fermon, Applied Physics\nLetters 83, 972 (2003).\n25G. de Loubens, V. V. Naletov, O. Klein, J. B. Youssef,\nF. Boust, and N. Vukadinovic, Physical Review Letters\n98, 127601 (2007).\n26B. Van Waeyenberge, A. Puzic, H. Stoll, K. W. Chou,\nT. Tyliszczak, R. Hertel, M. Fähnle, H. Brückl, K. Rott,\nG. Reiss, I. Neudecker, D. Weiss, C. H. Back, and\nG. Schütz, Nature 444, 461 (2006).\n27D. Nolle, M. Weigand, P. Audehm, E. Goering, U. Wiese-\nmann, C. Wolter, E. Nolle, and G. Schütz, Review of\nScientific Instruments 83, 046112 (2012).\n28M. Noske, A. Gangwar, H. Stoll, M. Kammerer, M. Sproll,\nG. Dieterle, M. Weigand, M. Fähnle, G. Woltersdorf, C. H.\nBack, and G. Schütz, Physical Review B 90, 104415\n(2014).\n29G. Dieterle, J. Förster, H. Stoll, A. S. Semisa-\nlova, M. Fähnle, I. Bykova, D. A. Bozhko, H. Y.\nMusiienko-Shmarova, V. Tiberkevich, A. N. Slavin, C. H.\nBack, J. Raabe, G. Schütz, and S. Wintz, eprint\narXiv:1712.00681 [cond-mat.mes-hall] (2017).\n30S. Wintz, V. Tiberkevich, M. Weigand, J. Raabe, J. Lind-\nner, A. Erbe, A. Slavin, and J. Fassbender, Nature Nan-\notechnology 11, 948 (2016).\n31J. Gräfe, M. Decker, K. Keskinbora, M. Noske, P. Gawron-\nski, H. Stoll, C. Back, E. Goering, and G. Schütz, eprint\narXiv:1707.03664 [cond-mat.mes-hall] (2017).\n32M. Kammerer, M. Weigand, M. Curcic, M. Noske,\nM.Sproll, A.Vansteenkiste, B.VanWaeyenberge, H.Stoll,\nG. Woltersdorf, C. H. Back, and G. Schuetz, Nature Com-\nmunications 2, 279 (2011).\n33F. Groß, N. Träger, J. Förster, M. Weigand, G. Schütz,\nand J. Gräfe, Applied Physics Letters 114, 012406 (2019).\n34J. Simmendinger, S. Ruoss, C. Stahl, M. Weigand,\nJ. Gräfe, G. Schütz, and J. Albrecht, Physical Review\nB97, 134515 (2018).\n35M. Fohler, S. Frömmel, M. Schneider, B. Pfau, C. M.\nGünther, M. Hennecke, E. Guehrs, L. Shemilt, D. Mishra,\nD.Berger, S.Selve, D.Mitin, M.Albrecht, andS.Eisebitt,\nReview of Scientific Instruments 88, 103701 (2017).\n36C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky,\nU. Brückner, and J. Dellith, Journal of Physics D: Ap-\nplied Physics 50, 204005 (2017).\n37P. Pirro, T. Brächer, A. V. Chumak, B. Lägel, C. Dubs,\nO. Surzhenko, P. Görnert, B. Leven, and B. Hillebrands,\nApplied Physics Letters 104, 012402 (2014).\n38A. Krysztofik, L. E. Coy, P. Kuświk, K. Załęski, H. Głow-\niński, and J. Dubowik, Applied Physics Letters 111,\n192404 (2017).\n39Ernst Ruska-Centre for Microscopy and Spectroscopy with\nElectrons (ER-C) et al., Journal of large-scale research fa-\ncilities 2, A42 (2016).\n40G. Schütz, W. Wagner, W. Wilhelm, P. Kienle, R. Zeller,\nR. Frahm, and G. Materlik, Physical Review Letters 58,\n737 (1987).\n41B. B. Krichevtsov, S. V. Gastev, S. M. Suturin, V. V.\nFedorov, A.M.Korovin, V.E.Bursian, A.G.Banshchikov,\nM. P. Volkov, M. Tabuchi, and N. S. Sokolov, Science and\nTechnology of Advanced Materials 18, 351 (2017).12\n42T. E. Oliphant, Computing in Science & Engineering 9, 10\n(2007).\n43J. D. Hunter, Computing In Science & Engineering 9, 90\n(2007).\n44J. Jorzick, S. O. Demokritov, B. Hillebrands, M. Bailleul,\nC. Fermon, K. Y. Guslienko, A. N. Slavin, D. V. Berkov,\nand N. L. Gorn, Physical Review Letters 88, 047204\n(2002).\n45C. Bayer, S. O. Demokritov, B. Hillebrands, and A. N.\nSlavin, Applied Physics Letters 82, 607 (2003).\n46H. Puszkarski, M. Krawczyk, and J. C. S. Lévy, Physical\nReview B 71, 014421 (2005).47Note that in the original Damon-Eshbach theory a non-\nuniform thickness profile is considered while the influence\nof exchange is neglected7.\n48S. Klingler, A. V. Chumak, T. Mewes, B. Khodadadi,\nC. Mewes, C. Dubs, O. Surzhenko, B. Hillebrands, and\nA. Conca, Journal of Physics D: Applied Physics 48,\n015001 (2015).\n49A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen,\nF.Garcia-Sanchez, andB.V.Waeyenberge,AIPAdvances\n4, 107133 (2014)." }, { "title": "2003.12520v4.Electrical_detection_of_unconventional_transverse_spin_currents_in_obliquely_magnetized_thin_films.pdf", "content": "Electrical detection of unconventional transverse spin-currents in obliquely\nmagnetized thin \flms\nPieter M. Gunnink,1,\u0003Rembert A. Duine,1, 2and Andreas R uckriegel1\n1Institute for Theoretical Physics and Center for Extreme Matter and Emergent Phenomena,\nUtrecht University, Leuvenlaan 4, 3584 CE Utrecht, The Netherlands\n2Department of Applied Physics, Eindhoven University of Technology,\nP.O. Box 513, 5600 MB Eindhoven, The Netherlands\n(Dated: July 7, 2020)\nIn a typical experiment in magnonics, thin \flms are magnetized in-plane and spin waves only\ncarry angular momentum along their spatial propagation direction. Motivated by the experiments\nof Bozhko et al. [Phys. Rev. Research 2, 023324 (2020)], we show theoretically that for obliquely\nmagnetized thin \flms, exchange-dipolar spin waves are accompanied by a transverse spin-current.\nWe propose an experiment to electrically detect this transverse spin-current with Pt strips on top\nof a YIG \flm, by comparing the induced spin-current for spin waves with opposite momenta. We\npredict the relative di\u000berence to be of the order 10\u00004, for magnetic \felds tilted at least 30\u000eout of\nplane. This transverse spin-current is the result of the long range dipole-dipole interaction and the\ninversion symmetry breaking of the interface.\nIntroduction. Magnons, or spin waves, are able to\ntransport angular momentum over long distances along\ntheir propagation direction [1, 2]. This has opened the\nway to novel signal processing devices which could re-\nplace conventional electronic devices [3{5]. In recent\nyears, multiple applications have been explored, such as\nwave-based computing [6, 7], three-terminal transistors\n[8], logic gates [9, 10] and novel non-linear e\u000bects [11, 12].\nThe manipulation of spin waves is still an ongoing area\nof research and a full toolbox for controlling spin waves\nis yet to be developed [13]. In this work we consider\nan alternative approach to control the spin current in a\nmagnetized thin \flm: by tilting the magnetic \feld out of\nplane. This breaks the inversion symmetry and allows a\nspin current to \row transverse to the propagation direc-\ntion of the spin waves, transporting angular momentum\nalong the \flm normal.\nThis mechanism for generating a transverse spin-\ncurrent was \frst proposed by Bozhko et al. [14], who\nused a micromagnetic approach to calculate the exchange\nspin-current in a thin \flm of Y 3Fe2(FeO 4)3(YIG), with-\nout considering spin absorption at the boundaries. They\nargued that this spin current is non-zero if the magnetic\n\feld is tilted out of plane. However, this transverse spin-\ncurrent can only be detected with an attached spin sink,\nsuch as a heavy metal strip. The interaction with the\nspin sink in\ruences the physics of the problem signi\f-\ncantly. Moreover, only the transfer of angular momen-\ntum by the exchange interaction was considered. The\ndipole-dipole interaction is also capable of transporting\nangular momentum and therefore needs to be taken into\naccount for a complete description of this system.\nIn this work we propose an experiment where the trans-\nverse spin-current in an obliquely magnetized thin \flm\nis detected electrically. We consider, within linear spin-\nwave theory, a thin ferromagnetic \flm with two leads at-\ntached, which pick up the transverse spin-current inducedby left- and right-moving spin waves via the inverse spin-\nHall e\u000bect (ISHE) [15]. A transverse spin-current would\ntransport more angular momentum into the right spin\nsink than into the left spin sink, or vice versa. This is\nequivalent to the experimentally harder to realize system\nwith leads attached to the top and bottom. We propose\nto compare the spin current picked up by the left and\nright lead, in order to exclude any usual spin pumping\ne\u000bects, which are also present for an in-plane magnetic\n\feld [16]. In order to further understand the origin of\nthe transverse spin-current we show in the supplemen-\ntal material [17] with a magnetostatic calculation, that\nthe symmetry breaking at the interface is carried by the\ndipole-dipole interaction.\nMethod. The setup we consider is a thin \flm of fer-\nromagnetic YIG, where coherent spin-waves are excited\nusing a coplanar waveguide [18], as depicted in Fig. 1.\nThe wavevector ( k) of the excited magnons is controlled\nby the grating of the antenna and the frequency ( !) of\nthe excited magnons by the frequency of the driving \feld.\nTo the right and left of this antenna two platinum (Pt)\nleads are placed which function as spin sinks via the in-\nverse spin-Hall e\u000bect and pick up the transverse spin-\ncurrent induced by the spin waves with opposite mo-\nmenta. The distance between the Pt leads and the copla-\nnar waveguide is assumed to be such that the signal is\nstrong enough to measure small variations. Structures\nwith a separation distance of 3 mm are possible [19], but\nthe magnon di\u000busion length of \u0015= 9:4\u0016m in YIG [1]\nindicates that shorter distances would be preferable.\nThe spin dynamics are governed by the semi-classical\nLandau-Lifshitz-Gilbert (LLG) equation:\n@tSi=Si\u0002\u0012\n\u0000@H\n@Si+hi(t)\u0000\u000bi\nS@tSi\u0013\n; (1)\nwhere we describe YIG as a Heisenberg ferromagnet with\ne\u000bective spin S, on a cubic lattice. Including both the ex-arXiv:2003.12520v4 [cond-mat.mes-hall] 6 Jul 20202\nFIG. 1. The setup considered, with a coplanar waveguide in\nthe middle, exciting spin waves in two opposite directions in\na thin ferromagnetic \flm with thickness d. Two heavy-metal\nleads pick up the spin current induced by these left- and right-\nmoving spin waves. The magnetic \feld is tilted out of plane at\nan angle\u001eHwith the plane and the magnetization has angle\n\u001eMwith the plane.\nchange and dipole-dipole interactions our e\u000bective Hamil-\ntonian [20] is\nH=\u00001\n2X\nijJijSi\u0001Sj\u0000\u0016He\u0001X\niSi\n\u00001\n2X\nij;i6=j\u00162\njRijj3h\n3\u0010\nSi\u0001^Rij\u0011\u0010\nSj\u0001^Rij\u0011\n\u0000Si\u0001Sji\n;\n(2)\nwhere the sums are over the lattice sites Ri, withRij=\nRi\u0000Rjand ^Rij=Rij=jRijj. We only consider nearest\nneighbour exchange interactions, so Jij=Jfor near-\nest neighbours and 0 otherwise. Here \u0016= 2\u0016Bis the\nmagnetic moment of the spins, with \u0016B=e~=(2mec) the\nBohr magneton. Heis the external magnetic \feld, which\nwe take strong enough to fully saturate the ferromagnet.\nTo the top of the thin \flm we attach a spin sink to\ndetect the spin waves, which introduces an interfacial\nGilbert damping \u000bL\ni, which is only non-zero for sites\nat the top interface of the ferromagnet [16]. The total\nGilbert damping is then \u000bi=\u000bB+\u000bL\ni, where\u000bBis the\nbulk Gilbert damping. Furthermore, hi(t) is the circu-\nlarly polarized driving \feld, which we take to be uniform\nthroughout the \flm. Within linear spin-wave theory, the\nLLG has been shown to be fully equivalent to the non-\nequilibrium Greens function formalism [21].\nWe consider a thin \flm, in\fnitely long in the y;zdi-\nrections and with a thickness d=Nain thexdirection,\nwhereais the lattice constant and Nis the number of\nlayers. The magnetic \feld is tilted at an angle \u001eHwith\nrespect to the \flm, as shown in Fig. 1. The magnetization\nis tilted by an angle \u001eM, as determined by minimizing\nthe energy given by Eq. (2) for a classical, uniform spin\ncon\fguration:\n@\n@\u001eM\u0002\n\u0000MsHecos (\u001eM\u0000\u001eH)\u00002\u0019M2\nscos2\u001eH\u0003\n= 0;\n(3)whereMs=\u0016S=a3is the saturation magnetization and\nHe=jHej.\nWe have two reference frames, one aligned with the\nthin \flm as described above and one where the zaxis\nis aligned with the magnetization M. We work in the\nreference frame of the lattice and rotate the spin opera-\ntors, such that Si!R\u00001\ny(\u001eM)\u0016Si, whereRy(\u001eM) is a\nrotation around the y-axis by angle \u001eMand \u0016Siare the\nrotated spin operators, with the \u0016Sz\nicomponent pointing\nalong the magnetization M.\nWe linearize in the deviations from the ground state,\nbi=1\n2p\n2S\u0000\u0016Sx\ni+i\u0016Sy\ni\u0001\nand assume translational invari-\nance in the yz-plane. The equation of motion for bibe-\ncomes in frequency space:\nG\u00001\nk(!) k(!) =\u0000hk(!); (4)\nwherek= (ky;kz) and we have introduced the driving\n\feld\nhk(!) = (hk(!);:::;h k(!)|{z}\nNelements;h\u0003\n\u0000k(!);:::;h\u0003\n\u0000k(!)|{z}\nNelements)T;(5)\nwherehk(!) =\u0016hx+i\u0016hyis the Fourier transform of the\nrotated driving \feld. Furthermore, the magnon state vec-\ntor is\n k(!) =\u0000\nbk(!;x 1);:::;b k(!;xN);\nb\u0003\n\u0000k(!;x 1);:::;b\u0003\n\u0000k(!;xN)\u0001T(6)\nand the inverse Green's function is\nG\u00001\nk(!) =\u001b3(1 +i\u001b3\u000b)!\u0000\u001b3Hk; (7)\nwhere we have introduced \u001b3= diag (1;:::;1;\u00001;:::;\u00001),\n\u000b= diag (\u000b1;:::;\u000bN;\u000b1;:::;\u000bN) and\nHk=\u0012AkBk\nBy\nkAk\u0013\n; (8)\nwhich is the Hamiltonian matrix within linear spin-wave\ntheory, with the amplitude factors [ Ak]ij=Ak(xi\u0000xj)\nand [Bk]ij=Bk(xi\u0000xj). The dispersion is obtained by\ndiagonalizing the inverse Green's function (4) in the ab-\nsence of damping and spin pumping. The full expressions\nfor the amplitude factors Ak;Bkand the dispersions for\ndi\u000berent tilting angles of the magnetic \feld are given in\nthe supplemental material [17].\nFrom the equation of motion, Eq. (4), the total spin-\ncurrent injected into the lead is obtained from the conti-\nnuity equation for the spin:\n@t\u0016Sz\ni+X\njIex\ni!j+X\njIdip\u0000dip\ni!j =I\u000b\ni+Ih\ni: (9)\nThe explicit form of the terms is given in the supple-\nmental material [17]. We \fnd a source and sink term,3\nTABLE I. Parameters for YIG used in the numerical calcula-\ntions in this work. Note that Sfollows from S=Msa3=\u0016.\nQuantity Value\nN 400\na 12:376\u0017A [22]\nS 14.2\n4\u0019Ms 1750 G [23]\nJ 1:60 K [24]\n\u000bB7\u000210\u00004[25]\n\u000bL7\u000210\u00003[25]\nHe 2500 Oe\nhx;hy 0:01He\nproviding angular momentum via the driving \feld ( Ih\ni)\nand dissipating angular momentum to the lattice and the\nlead via the Gilbert damping ( I\u000b\ni). There are two ways\nangular momentum can be transferred through the \flm.\nFirstly, there is a spin current transferring angular mo-\nmentum between adjacent sites ( Iex\ni!j), which is driven\nby the exchange interaction. The dipole-dipole interac-\ntion also transports angular momentum ( Idip\u0000dip\ni!j), but\nbecause the dipole-dipole interaction is non-local, angu-\nlar momentum is transferred from and to all other sites.\nIt is therefore not possible to write this as a local diver-\ngence and thus as a current. Also note that the dipole-\ndipole interaction couples the magnons to the lattice,\nwhich means that a non-zero dipole-dipole contribution\nis accompanied by a transfer of angular momentum from\nand to the lattice.\nThe measurable quantity is the angular momentum ab-\nsorbed by the spin sink in the attached lead, which is\nproportional to the voltage generated by the ISHE, and\nis given by\nI\u000b\nL(k;!) = 2\u000bLIm [b\u0003\nk(x1)@tbk(x1)]: (10)\nWe are interested in the relative di\u000berence between the\nspin currents induced by the left- and right-moving spin\nwaves in order to show a transverse spin transport, which\nwe de\fne as\n\u0001(jkj;!) =I\u000b\nL(k;!)\u0000I\u000b\nL(\u0000k;!)\nmax [jI\u000b\nL(k;!)j;jI\u000b\nL(\u0000k;!)j]: (11)\nIn the next section we consider this quantity in detail.\nResults. The parameters used throughout this work\nare summarized in Table. I. In Fig. 2 we show the dif-\nference between the spin current induced by left- and\nright-moving spin waves for di\u000berent tilting angles of the\nmagnetic \feld. For a magnetic \feld either completely\nin- or out of plane there is no di\u000berence between the\nleft and right lead (not shown). As we tilt the mag-\nnetic \feld out of plane a small di\u000berence becomes vis-\nible, which peaks at \u0001 = 1 :25\u000210\u00004for\u001eH= 60\u000e\nand 2:5< k < 12:5\u0016m\u00001. As the tilting angle is fur-\nther increased the distribution of \u0001 shifts slightly, withthe most notable change the movement of the maximum,\nwhich moves towards smaller wavevectors. We found that\nthe relative di\u000berence \u0001 increases linearly with the bulk\nGilbert damping constant. In order to measure this ef-\nfect it might therefore be bene\fcial to use a YIG thin\n\flm with deliberately introduced impurities such as rare-\nearth ions, to increase the damping [26], or even use a\ndi\u000berent ferromagnetic material with a higher Gilbert\ndamping.\nNumerically, we found that the relative di\u000berence \u0001 is\nnon-zero even when the exchange coupling is arti\fcially\nturned o\u000b, which indicates that only the dipole-dipole\ninteraction is responsible for this e\u000bect. In the supple-\nmental material [17] we show a full magnetostatic deriva-\ntion of the eigenmodes for an obliquely magnetized thin\n\flm with only dipole-dipole interactions. Even though\nthe energies are inversion-symmetric, we \fnd that the\neigenmodes explicitly depend on\nkzsin (2\u001eM); (12)\nwhich introduces an asymmetry between left- and right-\nmoving spin waves if the magnetic \feld is tilted out of\nplane. A complete description of this problem also re-\nquires the inclusion of the exchange coupling, as was\ndone in our numerical calculations. However, ignoring\nthe exchange coupling allows us to demonstrate that the\norigin of the asymmetry between left- and right-moving\nspin waves lies in the the long range dipole-dipole inter-\naction carrying the inversion symmetry breaking of the\ninterface.\nBozhko et al. [14] suggested a partial-wave picture to\nexplain the transverse spin-current. They reason that\nthe pro\fle along the \flm normal is made up by two par-\ntial waves, which have opposite momenta \u0006kxand equal\nfrequency!if the \flm is magnetized in-plane, thus can-\ncelling any transfer of angular momentum or energy. As\nthe magnetic \feld is tilted out of plane the two partial\nwaves would, in this picture, no longer have opposite mo-\nmenta, but still have the same frequencies. This would\nthen allow for angular momentum transfer, but not en-\nergy transfer. With the magnetostatic calculation we are\nable to show that this picture is incomplete: the am-\nplitudes of the two partial waves are asymmetric, not\ntheir momenta. This therefore allows both energy and\nangular momentum transfer, which we have con\frmed\nnumerically by evaluating h@tEi.\nWe found numerically that the region in k-space where\nthe relative di\u000berence \u0001 is signi\fcant has a lower bound\nrelated to the thickness of the thin \flm. Decreasing the\nthickness shifts the distribution as seen in Fig. 2 towards\nlarger wavevectors. This can be traced to the fact that\nthe long-wavelength magnetostatic magnon modes are\nstanding waves [17], with wavevectors \u0006kx, wherekxis\nproportional to kz. The standing waves need to have\na wavevector big enough to \ft at least one wavelength\ninto the system, thus requiring that kz&kL, where4\n5 10 15\nk(µm−1)24681012ω(GHz)(a)\n5 10 15\nk(µm−1)(b)\n5 10 15\nk(µm−1)(c)\n0.000.250.500.751.001.25∆×10−4\nFIG. 2. The relative di\u000berence \u0001 between the spin current induced by left- and right-moving spin waves, as de\fned in\nEq. (11), as a function of kand!, for three di\u000berent tilting angles of the magnetic \feld. The spin waves travel parallel to\nthe in-plane projection of the magnetic \feld, such that k=k^z. (a)\u001eH= 30\u000e;\u001eM= 18\u000e, (b)\u001eH= 60\u000e;\u001eM= 40\u000eand (c)\n\u001eH= 80\u000e;\u001eM= 64\u000e. The peak di\u000berence is \u0001( k= 7:5µm\u00001;!= 4 GHz) = 1 :25\u000210\u00004, when the \feld is tilted at an angle\n\u001eH= 60\u000e. For a magnetic \feld completely in- or out of plane (not shown) there is no discernible di\u000berence.\nkL= 2\u0019=d. The reason for this coupling of the in-plane\nand out of plane directions is the long-range nature of\nthe dipole-dipole interaction, ensuring that within our\nsystem the divergence of the magnetic \feld is zero, i.e.,\nr\u0001B= 0. The maximum value of \u0001 does not change\ndepending on the thickness of the \flm, only the location\nof the maximum. We have con\frmed this numerically for\nthe range 60\u0014d\u0014480 nm. For even thinner \flms the\nmaximum value of \u0001 becomes lower.\nExcitation of magnons is only possible for values of !\ndetermined by the spin-wave dispersion, with a minimum\ngiven by the lowest mode. We therefore show in Fig. 3\nfor \fxedk= 7:5µm\u00001the evolution of the relative spin-\ncurrent di\u000berence \u0001 as the magnetic \feld is tilted out of\nplane, with a driving at frequency !corresponding to the\nlowest mode in the spin-wave dispersion. Also shown is\nthe frequency of the lowest mode as a function of mag-\nnetic \feld tilt angle. It is clear that up to some critical\nvalue of the magnetic \feld angle \u0001 increases linearly, af-\nter which it falls o\u000b rapidly. It is also clear that the low-\nest mode is capable of transferring angular momentum\nalong the \flm normal. This is contrary to the statements\nmade by Bozhko et al. [14], who predicted that the lowest\nmode, which has an uniform pro\fle, would not induce a\ntransverse spin-current. This is most likely due to the\nfact that in their work only the exchange current is con-\nsidered, whereas we have taken all current contributions\ninto account. Another possible explanation is their ex-\npansion in eigenfunctions of the second-order exchange\noperator, which might have failed to properly take the\ndipole-dipole interaction into account.\nThe di\u000berent contributions to the transverse angular\nmomentum transport, as de\fned in Eq. (9), are shown\nin Fig. 4 for left- and right-moving spin waves. We have\nset the bulk and interface damping to zero in order to\n45678\nω(GHz)\n0 20 40 60 80\nφH(◦)0.000.250.500.751.001.25∆×10−4FIG. 3. Relative di\u000berence between the spin current induced\nby left- and right-moving spin waves, \u0001, as de\fned in Eq. (11),\nas a function of magnetic \feld tilt angle \u001eH, for!correspond-\ning to the lowest mode in the spin-wave dispersion and \fxed\nk= 7:5µm\u00001(solid line). Also shown is the frequency of the\nlowest mode as a function of the tilt angle (dashed line).\nclearly show the exchange, dipole-dipole and driving con-\ntributions to the transfer of spins along the \flm normal.\nFirstly, we can see that there is a transport of angular\nmomentum, even in the case of no spin absorption at\nthe boundary, which agrees with the results by Bozhko\net al. [14]. All contributions are zero in the case of an\nin-plane magnetic \feld (not shown)|if no spin sinks are\nattached. We can see that every contribution switches\nsign between left- and right-moving spin waves, as would\nbe expected from symmetry. From this \fgure it is clear\nthat the exchange spin current is not the only way the\nsystem transfers angular momentum. In fact, the contri-\nbutions from the dipole-dipole interaction are larger than\nthose of the exchange current. This shows that it is nec-\nessary to consider both interactions in order to gain a full5\n0 50 100\nxi0Ii(a.u.)−k\n0 50 100\nxiExchangeDriving\nDipole-dipole+k\nFIG. 4. The di\u000berent contributions to the transfer of angular\nmomentum along the \flm normal, where Ii=P\njIi!jfor the\nexchange and dipole-dipole interaction. The damping plays a\nnegligible role in the transport of angular momentum, so it is\nturned o\u000b to illustrate the e\u000bects of the other contributions.\nThe thickness of the thin \flm is reduced to N= 100 in order\nto better illustrate the variation through the \flm. The mag-\nnetic \feld is tilted out of plane with angle \u001eM= 60\u000eand the\nwavevector and driving frequency are \fxed at k= 30 µm\u00001,\n!= 4 GHz.\nunderstanding of the transport of angular momentum in\nthe transverse direction. Also note that since the dipole-\ndipole contribution is non-zero there is a \fnite torque\non the system, which could be measured in a cantilever\nexperiment [27].\nConclusion and Discussion. In this work we have\nshown, using microscopic linear spin-wave theory, that\nthere is a \row of angular momentum, or spin current,\nalong the \flm normal in obliquely magnetized thin \flms.\nThis can be measured using an antenna-detector setup,\nwhere the spin current induced by the left- and right-\nmoving spin waves will be di\u000berent, proving the existence\nof a transverse spin-current. This e\u000bect can be used as\na way to manipulate the spin current \rowing along the\n\flm normal, for example by controlling the magnetic \feld\nangle. We have also demonstrated that this spin current\nis the result of the dipole-dipole interactions in the \flm,\nwhich carry the inversion breaking at the interface.\nWe have not considered explicitly the interactions of\nthe spin waves with the lattice. The dipole-dipole in-\nteractions couple the magnons to the lattice and there-\nfore angular momentum can be transferred from and to\nthe phonons, which can also transport angular momen-\ntum [28{30]. A more complete description of the system\nshould therefore include these phonon-magnon interac-\ntions, but this is beyond the scope of this article.\nR.D. is member of the D-ITP consortium, a program\nof the Dutch Organization for Scienti\fc Research (NWO)\nthat is funded by the Dutch Ministry of Education, Cul-\nture and Science (OCW). This project has received fund-\ning from the European Research Council (ERC) under\nthe European Unions Horizon 2020 research and inno-vation programme (grant agreement No. 725509). This\nwork is part of the research programme of the Founda-\ntion for Fundamental Research on Matter (FOM), which\nis part of the Netherlands Organization for Scienti\fc Re-\nsearch (NWO). It is a pleasure to thank Alexander Serga\nand Huaiyang Yang for discussions.\n\u0003p.m.gunnink@uu.nl\n[1] L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and\nB. J. van Wees, Nature Physics 11, 1022 (2015).\n[2] B. L. Giles, Z. Yang, J. S. Jamison, and R. C. Myers,\nPhysical Review B 92, 224415 (2015).\n[3] R. L. Stamps, S. Breitkreutz, J. L. Akerman, A. V. Chu-\nmak, Y. Otani, G. E. W. Bauer, J.-U. Thiele, M. Bowen,\nS. A. Majetich, M. Kl aui, I. L. Prejbeanu, B. Dieny, N. M.\nDempsey, and B. Hillebrands, Journal of Physics D: Ap-\nplied Physics 47, 333001 (2014).\n[4] G. Csaba, \u0013A. Papp, and W. Porod, Physics Letters A\n381, 1471 (2017).\n[5] S. Klingler, P. Pirro, T. Br acher, B. Leven, B. Hille-\nbrands, and A. V. Chumak, Applied Physics Letters\n106, 212406 (2015).\n[6] A. Khitun, M. Bao, and K. L. Wang, Journal of Physics\nD: Applied Physics 43, 264005 (2010).\n[7] A. Khitun and K. L. Wang, Journal of Applied Physics\n110, 034306 (2011).\n[8] A. V. Chumak, A. A. Serga, and B. Hillebrands, Nature\nCommunications 5, 1 (2014).\n[9] T. Schneider, A. A. Serga, B. Leven, B. Hillebrands, R. L.\nStamps, and M. P. Kostylev, Applied Physics Letters 92,\n022505 (2008).\n[10] T. Fischer, M. Kewenig, D. A. Bozhko, A. A. Serga, I. I.\nSyvorotka, F. Ciubotaru, C. Adelmann, B. Hillebrands,\nand A. V. Chumak, Applied Physics Letters 110, 152401\n(2017).\n[11] G. A. Melkov, A. A. Serga, V. S. Tiberkevich, A. N.\nOliynyk, and A. N. Slavin, Physical Review Letters 84,\n3438 (2000).\n[12] A. V. Sadovnikov, S. A. Odintsov, E. N. Beginin, S. E.\nSheshukova, Y. P. Sharaevskii, and S. A. Nikitov, Phys-\nical Review B 96, 144428 (2017).\n[13] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and\nB. Hillebrands, Nature Physics 11, 453 (2015).\n[14] D. A. Bozhko, H. Y. Musiienko-Shmarova, V. S. Tiberke-\nvich, A. N. Slavin, I. I. Syvorotka, B. Hillebrands, and\nA. A. Serga, Physical Review Research 2, 023324 (2020).\n[15] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Ap-\nplied Physics Letters 88, 182509 (2006).\n[16] Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys-\nical Review Letters 88, 117601 (2002).\n[17] See Supplemental Material for the full form of the am-\nplitude factors in Eq. (8), the dispersions, the details of\nthe magnetostatic calculation and the explicit form of the\nterms in the continuity equation for the spin in Eq. (9).\n[18] L. Fallarino, M. Madami, G. Duerr, D. Grundler,\nG. Gubbiotti, S. Tacchi, and G. Carlotti, IEEE Trans-\nactions on Magnetics 49, 1033 (2013).\n[19] A. V. Chumak, A. A. Serga, M. B. Jung\reisch, R. Neb,\nD. A. Bozhko, V. S. Tiberkevich, and B. Hillebrands,6\nApplied Physics Letters 100, 082405 (2012).\n[20] V. Cherepanov, I. Kolokolov, and V. L'vov, Physics Re-\nports229, 81 (1993).\n[21] J. Zheng, S. Bender, J. Armaitis, R. E. Troncoso, and\nR. A. Duine, Physical Review B 96, 174422 (2017).\n[22] S. Geller and M. A. Gilleo, Journal of Physics and Chem-\nistry of Solids 3, 30 (1957).\n[23] B. R. Tittmann, Solid State Communications 13, 463\n(1973).\n[24] A. Kreisel, F. Sauli, L. Bartosch, and P. Kopietz, The\nEuropean Physical Journal B 71, 59 (2009).\n[25] M. Haertinger, C. H. Back, J. Lotze, M. Weiler,\nS. Gepr ags, H. Huebl, S. T. B. Goennenwein, andG. Woltersdorf, Physical Review B 92, 054437 (2015).\n[26] V. Sharma and B. K. Kuanr, Journal of Alloys and Com-\npounds 748, 591 (2018).\n[27] K. Harii, Y.-J. Seo, Y. Tsutsumi, H. Chudo, K. Oy-\nanagi, M. Matsuo, Y. Shiomi, T. Ono, S. Maekawa, and\nE. Saitoh, Nature Communications 10, 1 (2019).\n[28] S. Vonsovskii and M. Svirskii, Soviet Physics, Solid State\n3, 1568 (1962).\n[29] A. T. Levine, Il Nuovo Cimento 26, 190 (1962).\n[30] L. Zhang and Q. Niu, Physical Review Letters 112,\n085503 (2014).\n[31] J. H. P. Colpa, Physica A: Statistical Mechanics and its\nApplications 93, 327 (1978).1\nSupplemental Material: Electrical detection of unconventional transverse spin\ncurrents in obliquely magnetized thin \flms\nMAGNETOSTATIC CALCULCATIONS\nOur goal is to derive the eigenfunctions for the thin \flm geometry as depicted in Fig. 1 in the main text. We\nknow from the numerics that the dipole-dipole interaction alone is su\u000ecient to give a transverse spin-current, so we\nignore the exchange interaction in this derivation. This considerably simpli\fes the work needed and allows us to \fnd\na completely analytical expression for the eigenfunctions.\nWe start from the Landau-Lifshitz-Gilbert equation (LLG)\n@tS(x;r;t) =S(x;r;t)\u0002h\nHe\u000b\u0000\u000b\nS@tS(x;r;t)i\n; (S1)\nwherer= (y;z). The classical ground state is\n^n=hSi\nS= sin\u001eM^x+ cos\u001eM^z; (S2)\nwith the angle \u001eMis determined by Eq. (3) in the main text. We write the solution to the LLG as \ructuations on\nthis ground state with\n =1\nSp\n2\u0010\n^a+i^b\u0011\n\u0001S(r;t); (S3)\nwhere ^a;^bare orthogonal unit vectors chosen such that by ^a\u0002^b=^n. The e\u000bective magnetic \feld is given by\nHe\u000b=H+HD;HD=H(0)\nD+r\u001f; (S4)\nwhereHDis the dipolar \feld with a static component H(0)\nDand a dynamic component, r\u001f.His the external \feld.\nWe transform to Fourier space with the relations\n (x;r;!) =Zd2k\n(2\u0019)2eik\u0001r (x;k;!); \u001f (x;r;!) =Zd2k\n(2\u0019)2eik\u0001r\u001f(x;k;!): (S5)\nWe only consider the situation where ky= 0, sok=k^z. Outside the \flm the dynamics of the dipolar \feld are\ngoverned by\n\u0000\n\u0000k2+@2\nx\u0001\n\u001f(x;k;!) = 0; x\u0015d\n2(S6)\nwhich has solutions\n\u001f(x;k;!) =(\n\u001f\u0000d\n2;k;!\u0001\ne\u0000jkj(x\u0000d=2); x\u0015d\n2;\n\u001f\u0000\n\u0000d\n2;k;!\u0001\nejkj(x+d=2); x\u0014d\n2:(S7)\nThe boundary conditions for \u001fat the top and bottom of the thin \flm are\n@x\u001f(x;k;!)\f\f\f\nx=\u0006d\n2\u00070++ 4\u0019MS1p\n2\"\n^x\u0001\u0010\n^a\u0000i^b\u0011\n \u0012\n\u0006d\n2;k;!\u0013\n+^x\u0001\u0010\n^a+i^b\u0011\n \u0003\u0012\n\u0006d\n2;\u0000k;\u0000!\u0013#\n=\u0007jkj\u001f\u0012\n\u0006d\n2;k;!\u0013\n(S8)\nand the bulk equation of motion for \u001fis\n\u0000\n\u0000k2+@2\nx\u0001\n\u001f(x;k;!) + 4\u0019MS1p\n2h\u0010\n^a\u0000i^b\u0011\n\u0001(ik+^x@x) (x;k;!)\n+\u0010\n^a+i^b\u0011\n\u0001(ik+^x@x) \u0003(x;\u0000k;\u0000!)i\n= 0;jxj\u0014d\n2:(S9)2\nFor the magnon \feld we have the bulk equation of motion\nh\n(1 +i\u000b)!\u0000H\u0001^n\u00004\u0019MS(^x\u0001^n)2i\n (x;k;!) +hD(x;k;!) = 0: (S10)\nThis gives the solution\n (x;k;!) =G(!)hD(x;k;!); (S11)\nwhere\nG(!) =h\n\u0000(1 +i\u000b)!+H\u0001^n+ 4\u0019MS(^x\u0001^n)2i\u00001\n: (S12)\nFor brevity we de\fne\n\u0001G(!)\u0011G(!) +G\u0003(\u0000!): (S13)\nFrom the bulk equation of motion the solution for the potential is\n\u001f(x;k;!) =\u001f+eqx+\u001f\u0000e\u0000qx; (S14)\nwhere\nq=jkzjs\na(k;!)\nb(k;!); (S15)\nwith\na(k;!) = 1 + 2\u0019Ms\u0001G(!) sin2\u001eM; (S16)\nb(k;!) = 1 + 2\u0019MS\u0001G(!) cos2\u001eM: (S17)\nFrom the boundary conditions in Eq. (S8) we then have the matrix equation\n \n(F+(k;!) +jkj)eqd\n2(F\u0000(k;!) +jkj)e\u0000qd\n2\n(F+(k;!)\u0000jkj)e\u0000qd\n2(F\u0000(k;!)\u0000jkj)eqd\n2!\u0012\u001f+\n\u001f\u0000\u0013\n= 0 (S18)\nwhere\nF\u0006(k;!) =\u0000i\u0019MS\u0001G(!)kzsin (2\u001eM)\u0006q\u0000\n2\u0019MS\u0001G(!) cos2\u001eM+ 1\u0001\n: (S19)\nThe solutions for the potential are then\n\u001f+=\u0000\u001f\u0000(F\u0000(k;!) +jkj)\n(F+(k;!) +jkj)e\u0000qd(S20)\nwhich gives for the magnon \feld\n (x;k;!) =\u0000G(!)\"\nq\u001f\u0000cos\u001eM\u0012(F\u0000(k;!) +jkj)\n(F+(k;!) +jkj)eqx\u0000qd+e\u0000qx\u0013\n+ikz\u001f\u0000sin\u001eM\u0012\n\u0000(F\u0000(k;!) +jkj)\n(F+(k;!) +jkj)eqx\u0000qd+\u001f\u0000e\u0000qx\u0013#\n:(S21)\nBecauseF\u0006(k;!) depends linearly on kzsin (2\u001eM), the eigenfunctions for magnons travelling in \u0006kzdirections\ndi\u000ber whenever sin (2 \u001eM)6= 0. This behaviour is in agreement with our numerics, which show that the di\u000berence\nbetween the transverse spin-current induced by left- and right-moving spin waves vanishes if the magnetization is\neither completely in- or out of plane. Ultimately the source of the linear term is therefore the boundary conditions\nin Eq. (S8). Because the dipole-dipole interaction is a long-range interaction the boundary conditions interact with\nall the spin-waves in the thin \flm, carrying the inversion breaking at the interface. This thus allows a transverse spin\ncurrent to \row.3\n0 10 20\nk(µm−1)24681012E(GHz)(a)\n0 10 20\nk(µm−1)24681012(b)\n0 10 20\nk(µm−1)24681012(c)\n0 10 20\nk(µm−1)24681012(d)\nFIG. S1. Spin wave dispersion of a YIG \flm with thickness d= 400a\u00190:48\u0016m for increasingly tilted magnetic \feld. The\nspin waves travel parallel to the in-plane projection of the magnetic \feld, such that k=k^z. (a)\u001eH=\u001eM= 0\u000e, (b)\n\u001eH= 30\u000e;\u001eM= 18\u000e, (c)\u001eH= 60\u000e;\u001eM= 40\u000eand (d)\u001eH= 80\u000e;\u001eM= 64\u000e.\nDISPERSION\nWe diagonalize the Hamiltonian in Eq. (8) in the main text, in the absence of damping and spin pumping, from\nwhich we obtain the spin-wave energies [31]. The spin-wave spectra are shown in Fig. S1 for multiple tilt angles of\nthe magnetic \feld, for spin waves propagating parallel to the in-plane projection of the magnetic \feld, along the kz\ndirection. The parameters used for these spectra are summarized in Table. I in the main text. We show the regime\nof wavevectors where both dipole-dipole interactions and the exchange interaction are of roughly equal magnitude.\nThe exchange interaction dominates for large wavevectors and gives a quadratic wavevector dependence, curving the\nbands upwards. For small wavevector the dipole-dipole interaction is the dominant term in the Hamiltonian, which\nsuppresses the quadratic behavior. Comparing these dispersion with both the numerical and experimental results [14]\nthe general shape of the dispersions matches well, and the same shift down in energy is observed as the magnetic \feld\nis tilted.\nCOMPLETE AMPLITUDE FACTORS\nThe amplitude factors in Eq. (8) in the main text are\nAk(xij) =X\nrije\u0000ik\u0001rA(xi\u0000xj;r);\n=\u000eij\"\ncos (\u001eH\u0000\u001eM)h+SX\nn\u0000\nsin2\u001eMDxx\n0(xin) + cos2\u001eMDzz\n0(xin) + sin\u001eMcos\u001eMDxz\n0(xin)\u0001#\n\u0000S\n2\u0002\ncos2\u001eMDxx\nk(xij) +Dyy\nk(xij) + sin2\u001eMDzz\nk(xij)\u00002 sin\u001eMcos\u001eMDxz\nk(xij)\u0003\n+SJk(xij);(S22)\nBk(xij) =X\nrije\u0000ik\u0001rB(xi\u0000xj;r);\n=\u0000S\n2h\ncos2\u001eMDxx\nk(xij)\u0000Dyy\nk(xij) + sin2\u001eMDzz\nk(xij)\u0000cos\u001eMsin\u001eMDxz\nk(xij)\n+isin\u001eMDyz\nk(xij)\u0000icos\u001eMDxy\nk(xij)i\n; (S23)\nwhere\nJk(xij) =J[\u000eij(6\u0000\u000ej1\u0000\u000ejN\u00002 cos(kya)\u00002 cos(kza))\u0000\u000eij+1\u0000\u000eij\u00001] (S24)\nandrij= (yij;zij).4\nThe dipole-dipole interaction is written as a tensor\nD\u000b\f\nk(xij) =X\nrije\u0000ik\u0001rijD\u000b\f\nij; (S25)\nwhere\nD\u000b\f\nij=\u00162(1\u0000\u000eij)@2\n@R\u000b\nij@R\f\nij1\njRijj: (S26)\nFor small wavevectors the sums in Eq. (S25) are slowly converging, so we use the Ewald summation method as\noutlined by Kreisel et al. [24]. With this method the sums are split in two parts: one sum over real space and a one\nsum over reciprocal space. These sums are much faster to converge. We \frst write the sums as a derivative of\nIk(xij) =\u00162X\nyij;zije\u0000i(kyyij+kzzij)\n(x2\nij+y2\nij+z2\nij)5=2; (S27)\nsuch that we have\nDxx\nk=\u0014@2\n@k2z+@2\n@k2y+ 2x2\nij\u0015\nIk(xij); (S28)\nDyy\nk=\u0014@2\n@k2z\u00002@2\n@k2y\u0000x2\nij\u0015\nIk(xij); (S29)\nDzz\nk=\u0014@2\n@k2y\u00002@2\n@k2z\u0000x2\nij\u0015\nIk(xij); (S30)\nDxy\nk= 3ixij@\n@kyIk(xij); (S31)\nDxz\nk= 3ixij@\n@kzIk(xij); (S32)\nDyz\nk= 3@\n@kz@kyIk(xij): (S33)\nNote the symmetries Dyy\nk=Dzz\nk(ky!kz;kz!ky) andDxz\nk=Dxy\nk(ky!kz;kz!ky), so we need not derive the\nfull form of all dipolar sums. Then, after applying the Ewald summation, we have\nDxx\nk(xij) =\u0019\u00162\na2X\ng\u00128p\"\n3p\u0019e\u0000p2\u0000q2\u0000jk+gjf(p;q)\u0013\n\u00004\u00162\n3r\n\"5\n\u0019X\nr\u0000\njrijj2\u00003x2\nij\u0001\ncos (kyyij) cos (kzzij)'3=2(jrijj2\"); (S34)\nDyy\nk(xij) =\u0019\u00162\na2X\ng\u00124p\"\n3p\u0019e\u0000p2\u0000q2\u0000(ky+gy)2\njk+gjf(p;q)\u0013\n\u00004\u00162\n3r\n\"5\n\u0019X\nr\u0000\njrijj2\u00003y2\nij\u0001\ncos (kyyij) cos (kzzij)'3=2(jrijj2\"); (S35)\nDxy\nk(xij) =i\u0019\u00162\na2sig(xij)X\ng(ky+gy)f(p;q)\n+i4\"5=2\u00162\np\u0019xijX\nrsin(kyyij) cos(kzzij)'3=2(jrijj2\"); (S36)\nDyz\nk(xij) =\u0000\u0019\u00162\na2X\ng(ky+gy)(kz+gz)\njk+gjf(p;q)\n+ 4\"5=2\u00162\np\u0019X\nryijzijsin(kyyij)sin(kzzij)'3=2(jrijj2\"); (S37)5\nwhere\n'3=2(x) =e\u0000x3 + 2x\n2x2+3p\u0019Erfc (px)\n4x5=2(S38)\nandq=xijp\",p=jk+gj=(2p\") andf(p;q) =e\u00002pqErfc(p\u0000q) +e2pqErfc(p+q). The sums are either over the\nreal space lattice or the reciprocal lattice, where the reciprocal lattice vectors are gy= 2\u0019m,gz= 2\u0019n,fm;ng2Z.\n\"determines the ratio between the reciprocal and real sums. We choose \"=a\u00002, such that 2 pq\u00191 and exp[\u00062pq]\nconverges quickly.\nCURRENT CONTRIBUTIONS\nIn the continuity equation for the angular momentum in the main text, Eq. (9), the explicit form of the terms is\nI\u000b\ni(k;!) = 2\u000biIm [b\u0003\nk(xi)@tbk(xi)] (S39)\nIh\ni(k;!) =\u0000p\n2SIm [hib\u0003\nk(xi)]; (S40)\nIex\ni!j(k;!) =i(1\u0000\u000eij)SJk(xij)b\u0003\nk(xi)bk(xj): (S41)\nIdip\u0000dip\ni!j(k;!) =i\"\n(1\u0000\u000eij)Adip\nk(xij)b\u0003\nk(xi)bk(xj)\n\u0000Bk(xij)\n2b\u0000k(xi)bk(xj) +B\u0003\nk(xij)\n2b\u0003\nk(xi)b\u0003\n\u0000k(xj)#\n; (S42)\nwhereAdip\nk(xij) =Ah=J=0\nk (xij), i.e., only the contributions from the dipole-dipole interaction. Note that Bk(xij)\nalready includes only dipole-dipole interactions." }, { "title": "1711.07517v1.Temperature_dependent_relaxation_of_dipole_exchange_magnons_in_yttrium_iron_garnet_films.pdf", "content": "arXiv:1711.07517v1 [cond-mat.mtrl-sci] 20 Nov 2017Temperature dependent relaxation of dipole-exchange magn ons\nin yttrium iron garnet films\nLaura Mihalceanu,1,∗Vitaliy I. Vasyuchka,1Dmytro A. Bozhko,1Thomas Langner,1\nAlexey Yu. Nechiporuk,2Vladyslav F. Romanyuk,2Burkard Hillebrands,1and Alexander A. Serga1\n1Fachbereich Physik and Landesforschungszentrum OPTIMAS,\nTechnische Universit¨ at Kaiserslautern, 67663 Kaisersla utern, Germany\n2Faculty of Radiophysics, Electronics and Computer Systems ,\nTaras Shevchenko National University of Kyiv, 01601 Kyiv, U kraine\n(Dated: August 31, 2021)\nLow energy consumption enabled by charge-free information transport, which is free from ohmic\nheating, and the ability to process phase-encoded data by na nometer-sized interference devices at\nGHz and THz frequencies are just a few benefits of spin-wave-b ased technologies. Moreover, when\napproaching cryogenic temperatures, quantum phenomena in spin-wave systems pave the path\ntowards quantum information processing. In view of these ap plications, the lifetime of magnons—\nspin-wave quanta—is of high relevance for the fields of magno nics, magnon spintronics and quantum\ncomputing. Here, the relaxation behavior of parametricall y excited magnons having wavenumbers\nfrom zero up to 6 ·105radcm−1was experimentally investigated in the temperature range f rom 20K\nto 340K in single crystal yttrium iron garnet (YIG) films epit axially grown on gallium gadolinium\ngarnet (GGG) substrates as well as in a bulk YIG crystal—the m agnonic materials featuring the\nlowest magnetic damping known so far. As opposed to the bulk Y IG crystal in YIG films we have\nfound a significant increase in the magnon relaxation rate be low 150K—up to 10.5 times the refer-\nence value at 340K—in the entire range of probed wavenumbers . This increase is associated with\nrare-earth impurities contaminating the YIG samples with a slight contribution caused by coupling\nof spin waves to the spin system of the paramagnetic GGG subst rate at the lowest temperatures.\nThe fields of spintronics and magnonics promote the\nrealization of faster data processing technologies with\nlower energy dissipation by complementing or even\nreplacing electron charge-based technologies with spin\ndegree of freedom based devices [ 1–3]. Simultaneously,\nnovel fascinating magnetic phenomena—such as, e.g.,\nroom-temperature Bose-Einstein magnon condensates\n[4–6], magnon vortices [ 7] and supercurrents [ 8–11]—\nopen a whole new range of research areas [ 3,12] both for\nbasic and applied spin physics. For these purposes many\nnovel materials have been designed and investigated\n[13–15] whereupon one of the most outstanding ones\nso far is the insulating ferrimagnet yttrium iron garnet\n(Y3Fe5O12, YIG).\nSince its discovery in 1956, YIG has served as a prime\nexample material for its microwave, optical, acoustic,\nand magneto-optical properties [ 16] in a wide range of\nexperiments and applications. Nowadays, single crystal\nYIG films epitaxially grown on gadolinium gallium\ngarnet (Gd 3Ga5O12, GGG) substrates [ 17–19] dominate\nin theoretical and experimental studies [ 5–8,20–24].\nTheir pertinence ranges from building of devices like\nmicrowave YIG oscillators, filters, delay lines, phase\nshifters, etc. [ 25] up to the latest high-profile research as\nin magnonics [ 26,27], spintronics [ 1,28] and quantum\ncomputing [ 29,30]. Consequently it has become clear\nthat a deep understanding of the magnetic damping\nproperties, determining the magnon lifetimes, is of\ncrucial importance throughout these fields. Given its\nhigh Curie temperature at 560K, YIG is applicable at\nambient temperatures, where its exceptional low Gilbertdamping parameter of down to 10−5[31] enables a\nlong spin precession lifetime. Furthermore, in quantum\ncomputing YIG is used at very low temperatures for the\ncoupling of single magnons to superconductive qubits in\nmicrowavecavities for the storage of information [ 32–36].\nAll of this in connection with arising demands on minia-\nturization of magnonic devices motivates our studies of\nthe damping behavior in YIG films towards cryogenic\ntemperatures in a wide range of spin-wave wavelengths.\nUp to now the temperature dependence of magnetic\ndamping in YIG has been examined only for long-\nwavelength dipolar magnons with wavenumbers q→0\n[37,38]. There exist numerous ways to measure the\nrelaxation behavior of a precessing magnetic moment\nin different ranges of magnon wavenumbers. Among\nthe most established are the technique of ferromagnetic\nresonance (FMR) [ 39], the measurement of thresholds of\nparametric excitation of magnetic oscillations and waves\n[40], the determination of spin-wave relaxation time\nfrom direct observation of magnetization decay by means\nof time-resolved Brillouin light scattering spectroscopy\n[5,41,42] and the magneto optical Kerr effect [ 43], the\nmagnetic-resonance force microscopy [ 44], and echo-\nmethods [ 45–47]. However, only parametric excitation\ntechniques allow for the effective excitation and probing\nof dipolar-exchange magnons with wavenumbers up to\nq≤106radcm−1[48,49]. For example, the parametric\npumping process of the first order, as described by\nSuhl [50] and Schl¨ omann et al.[51], resembles a form\nof spin-wave excitation when either a magnon of an\nexternally driven magnetization precession or a photon2\nMagnetic field H (Oe)(arb. units)\n 0 1 2 3-3 -2 -1h~ǁ\nωp\nP\u0000q⊥H\nq\u0001H\nFrequency \n(2πGHz)\nωp\n2\nωFMR\nHcy\nzxh~⊥H14\nYIG8 qωp\nq⊥H(a)\n(c)(b)\nFIG. 1. (a) Sketch of the experimental setup. The spin sys-\ntem of a YIG sample, which is placed on top of a microstrip\nresonator, is driven by a microwave Oersted field with com-\nponents oriented perpendicular ( h⊥\n∼) and parallel ( h/bardbl\n∼) to the\nbias magnetic field H. (b) Schematic illustration of the para-\nmetric pumping process in an in-plane magnetized YIG film.\nThe transversal (red curve) and longitudinal (blue curve)\nlowest magnon branches are calculated for H= 1600Oe. The\npurple area contains the magnon branches with wavevectors\nlying in the film plane in the angle range between 0 and 90◦\nrelative to the field H. Two arrows show the splitting of a\nmicrowave photon in two magnons at half of the pumping fre-\nquencyωp/2. For the given bias magnetic field the magnons\nare excited on the transversal dispersion branch. (c) Depen -\ndence of the threshold power Pthrof parametric instability\non the bias magnetic field Hmeasured in a 53 µm-thick YIG\nfilm at 60K. The minima of the threshold curve at H=Hc\ncorresponds to the excitation of magnons with wavenumbers\nq→0 near the frequency of the ferromagnetic resonance.\nAtH < H cdipolar-exchange magnons corresponding to the\ntransversal dispersion branch are directly excited by the\nparallel component h/bardbl\n∼of the pumping Oersted field. For\nH > H cthe magnons from the purple spectral area (panel\n(b)) are excited bythe precessing magnetization drivenby t he\nperpendicular component h⊥\n∼of the pumping Oersted field.\nof a pumping microwave magnetic field with wavenum-\nbersqp≈0 splits into two magnons with opposite\nwavevectors qand−qat half of the pumping frequency\nωp/2. Thus, a rather spatially uniform microwave\nmagnetic field can generate short-wavelength magnons,\nwhose wavenumbers are determined by the applied bias\nmagnetic field Hand are bounded above only by the\nchosen pumping frequency ωp.\nIn our experiments, we investigated parametrically ex-\ncited magnons in in-plane magnetized YIG films of thick-\nnesses of 5.6 µm, 6.7µm and 53 µm, which were epitax-\nially grown in the (111) crystallographic plane on GGG\nsubstrates of 500 µm thickness. In addition, the GGG\nsubstrate was mechanically polished away from the orig-\ninally 53 µm-thick sample down to a 30 µm-thick YIG\nfilm. This sample was used to reveal a possible contribu-tionoftheinteractionbetweentheferrimagneticYIGand\nparamagnetic GGG spin systems to the magnon damp-\ning. The YIG samples with lateral sizes of 1 ×5mm2\nprepared by chemical etching on the 5 ×6mm2large\nGGG substrates were magnetized along their long axis\nto avoid undesirable influence of static demagnetizing on\nthe value of the internal magnetic field.\nThe experimental realization is provided by the mi-\ncrowave setup shown in Fig. 1(a). The setup is attached\non a highly heat-conducting AlN substrate at the bot-\ntom and is allocated inside a closed cycle refrigerator\nsystem. A microwave pumping pulse of 10 µs duration\nat a frequency ωpof 2π·14GHz with a 10ms repetition\nrate and a maximal pumping power Ppof 12W feeds a\n50µm-wide microstrip resonator capacitively coupled to\na microwave transmission line. The microwave Oersted\nfieldhpinduced by the resonator drives the magnetiza-\ntionofaYIG-filmsampleplaced ontopofthe microstrip.\nSubsequently the signal reflected by the resonator is for-\nwarded to an oscilloscope.\nWhen the threshold field condition hp=hthris ful-\nfilled, the action of the microwave Oersted field com-\npensates the spin-wave damping and gives rise to the\nparametric instability process, where a selected magnon\nmode, which has the lowest damping and the strongest\ncoupling to the pumping, grows exponentially in time.\nThe arising mode increasingly absorbs the microwaveen-\nergy accumulated in the pump resonator. This process\ndetunes the resonator and, thus, changes the level of the\nreflected signal passed to the oscilloscope. As a result,\na kink appearing at the end of the reflected pump pulse\nindicates the threshold microwave power Pp=Pthrre-\nquiredfortheparametricexcitationprocess[ 52].Pthrcan\nbedeterminedformagnonmodesoverthewide q-spectral\nrange by changing the magnetic field H, which leads to\na vertical shift of the dispersion curve (Fig. 1(b)) along\nfrequency axis and results in a characteristic threshold\ncurve shown in Fig. 1(c).\nIn order to understand the shape of this curve one\nneeds to consider that the overall threshold power Pthr\nis determined by instabilities of magnons excited by the\ncomponents of the microwaveOersted field oriented both\nperpendicular h⊥\n∼(blue arrow in Fig. 1(a)) and parallel\nh/bardbl\n∼(red arrow in Fig. 1(a)) to the bias magnetic field\nH[52]. At the critical field H=Hcspin waves with\nq→0 are excited near the frequency of the ferromag-\nnetic resonance: ωp/2≈ωFMR. In Fig. 1(c) this situ-\nation corresponds to the minima of the threshold curve\nPthr(H). The threshold power at H≤Hcis dominated\nby direct parametric interaction of the parallel field com-\nponenth/bardbl\n∼with the lowest thickness mode corresponding\nto the transversal magnon dispersion branch (red curve\nin Fig.1(b)) [53]. As this mode is characterized by the\nlargest precession ellipticity, the longitudinal component\nmzoftheprecessingmagneticmomentstronglyoscillates\nalongthedirectionofthemagneticfield Hwithfrequency3\n0.10.1Threshold power Pthr (W) Wavenumber q Threshold power Pthr (W)\n(105 rad cm-1)\nFIG. 2. Threshold curves Pthr(H) at different temperatures in the range 340 −180K (a) and 180 −20K (c). (b) Wavenumber\nin the wide temperature range. All present data is recorded a nd calculated for a 53 µm-thick YIG film grown on top of a GGG\nsubstrate.\nωpand thus effectively couples with the parallel compo-\nnenth/bardbl\n∼of the pumping field. With decreasing external\nmagnetic field, the threshold power slowly increases due\nto an increase in wavenumbers of the excited magnons\nand a related decrease in the precession ellipticity [ 53].\nThe strong increase in Pthrat the magnetic field Hbe-\nlow 100Oe is caused by transition of the homogeneously\nmagnetized YIG film to a multi-domain state.\nAboveHcnomagnonswithwavevectors q⊥Hexistat\nωp/2 and the parametricpumping excites magnonsprop-\nagating at angles θq<90◦relative to the field H. These\nmagnons escape the narrow pumping area above the mi-\ncrostripresonator(Fig. 1(a))andtherelatedenergyleak-\nage results in the sharp jump up in the threshold power\njustabove Hc. Thisconfinementeffecttogetherwithgen-\neral reduction in the precession ellipticity caused by the\ndecrease of θqleads to a further transition from the par-\nallel to the perpendicular pumping regimes for H > H c\n[52]. Finally, the threshold power Pthr→ ∞when the\nbottom of the magnon spectrum is shifted above ωp/2.\nFor determining the magnon relaxation behavior the\npumping regime H≤Hcis of main interest in this re-\nport as the wavenumbers of the parametrically excited\nmagnons can be unambiguously calculated in this case.Henceforth we approximate hp≃h/bardbl\n∼.\nFigure2presents the dependencies Pthr(H) recorded\nfor a number of temperatures in the range from 340K\nto 180K (Fig. 2(a)) and from 180K to 20K (Fig. 2(c))\nfor the 53 µm-thick film. The dotted arrows indicate the\nshift of both the critical threshold power Pthr(Hc) and\nHcwith temperature. One can see that Fig. 2(a) shows\nadecrease in the threshold power with decreasing tem-\nperature from 340K to 180K. On the contrary, Fig. 2(c)\nreveals a strong increase in the threshold power with fur-\nther temperature decrease from 180K to 20K. At the\nsame time, the experimentally determined critical field\nHcmonotonically decreases towards lower temperatures\nalong the whole temperature range.\nThis decrease of Hcrelates to an upward frequency\nshift of the magnon spectrum caused by a temperature\ndependentincreaseinthesaturationmagnetization4 πMs\nas well as by changes of the cubic Hc\naand uniaxial Hu\na\nanisotropy fields of the YIG film [ 54,55]. The field de-\npendenceofthewavevectorspectralrangefortheperpen-\ndicular spin-wave branch can be calculated using Eq.7.9\nfrom Ref. [ 55]:\nω=γ/radicalbig\n(H+Dq2)(H+Dq2+4πMs−Hca−Hua),(1)4\nwhereω=ωp/2,γ= 1.76·107Oe−1s−1the gyro-\nmagnetic ratio, and the nonuniform exchange constant\nD= 5.2·10−9Oecm2are considered to be not varying\nwith temperature [ 56]. The difference 4 πMs−Hc\na−Hu\na\nis defined from the measured values of Hc(T,q= 0). An\nexpected demagnetizing effect caused by a stray mag-\nnetic field induced at low temperatures in YIG films by\nthe paramagneticGGG substrate can be neglected in our\ncase of laterally extended samples [ 57].\nThe calculated dependencies of the magnon wavenum-\nberq=q(H) for different temperatures are shown in\nFig.2(b). The vertical dashed lines in Fig. 2correlate\nthe threshold curves with the corresponding wavenum-\nber atHc. As is shown, in our experiment spin waves are\nprobed by parametric pumping in the wavenumber range\nfrom zero to 6 ·105radcm−1.\nThe variation of the saturation magnetization directly\naffectsthecouplingbetweenthemicrowavepumpingfield\n/vectorh/bardbl\n∼and the longitudinal component mzof the precess-\ning magnetic moment M. As a result, the threshold field\nhthris influenced by two temperature dependent phys-\nical quantities: the spin-wave relaxation rate and the\nparametric coupling strength. These influences can be\nestimated using the relation for the threshold field [ 55]:\nhthr= min/braceleftbiggωp∆Hq\nωMsin2θq/bracerightbigg\n, (2)\nwhereωM=γ4πMs, andθqis the angle between the\nmagnon wavevector qand the magnetization direction.\nFor the parametric excitation near and above the FMR\nfrequency( H≤Hc), we canapproximate θq≈90◦. ∆Hq\nis the width of a linear resonance curve of the parametri-\ncally excited magnon mode with the wavenumber q. It is\ndefined as ∆ Hq= 1/(γTq) = Γq/γ, whereTqand Γqare\nthe magnon lifetime and the spin-wave relaxation rate.\nIt is known that the saturation magnetization 4 πMs\nfor bulk YIG crystals demonstrates a rather non-linear\nchange with temperature [ 58], which can be calculated\nusing the two-sublattice model described in Ref.[ 59] as\nit is shown by the red solid line in Fig. 3(a). By-turn, the\ntemperature behaviorof the cubic anisotropyfield can be\napproximated [ 57] as\nHc\na=Hc\na(0)+αT3\n2, (3)\nwithHc\na(0) =−147Oe and α= 2.175·10−2OeK−1.5.\nThe slopes of the 4 πMs(T)−Hc\na(T)−Hu\na(T) curves\ndetermined for all films at room temperatures are in\ngood agreement with previously reported results [ 49,60].\nHowever, due to the unknown contribution of the uni-\naxial anisotropy [ 61], which is caused by a tempera-\nture dependent mismatch between YIG and GGG crys-\ntal lattices, the calculated temperature dependencies for\nboth 4πMsand for 4 πMs−Hc\na(dashed blue line in\nFig.3(a)) significantlydivergefromthe experimentaldif-\nference 4 πMs−Hc\na−Hu\na(see, e.g., the data for the53µm-thick YIG film shown by red circles in Fig. 3(a)).\nAt the same time, the substrate-free YIG sample of\n30µm thickness prepared from the 53 µm-thick YIG film\ndemonstrates good agreement between experimentally\nmeasured (empty blue circles) and theoretically calcu-\nlated valuesof4 πMs−Hc\na. In the caseofthe thinner YIG\nfilms the experimental data for 4 πMs−Hc\na−Hu\nafollow\nthe general trend of the calculated saturation magnetiza-\ntion 4πMs(see Fig. 3(a)). This agreement evidences the\napplicability of the chosen model for our YIG films and\nallows us to use the theoretical magnetization values for\nthe calculation of the temperature dependent parametric\ncoupling strength.\nBy assuming initially the value of ∆ Hqin Eq.2to\nbe constant over the entire temperature range and tak-\ning into account the theoretical values of 4 πMs(T) we\nhave calculated the normalized (with respect to 340K)\ntemperature dependence of the threshold field, which is\nsolely determined by the change in the parametric cou-\npling strength. This dependence is shown by the circles\nin Fig.3(b).\nTheexperimental threshold field hexp\nthr, which contains\ninformation about the relaxation of parametrically ex-\ncited magnons, can be found from the measured thresh-\nold powers using the relation hexp\nthr=C√Pthr. The value\nofCdepends on the pumping frequency ωp, the geom-\netry and the quality factor of the pumping resonator.\nAs the resonance frequency and the quality factor of our\nmicrostrip resonator do almost not change with temper-\nature we assume Cto be constant.\nTheexperimentalvaluesofthedimensionlessthreshold\nfieldnormalizedtothe referencevalueatthe temperature\nof 340K are plotted in Fig. 3(b) (squares) for magnons\nexcited near the FMR frequency ( H=Hc). Its behav-\nior is visibly non-monotonic: down to 180K the thresh-\nold field hexp\nthrslightly decreases, while below 180K it in-\ncreases up to 6.5 times compared to the reference value.\nThe comparisonof the calculated ( hthr(T), circles)and\nexperimental ( hexp\nthr(T), squares) threshold dependencies\nclearly evidences that at high temperatures ( T≥180K)\nthe experimental dependencies are mostly determined by\nthe variation in the parametric coupling strength. On\nthe contrary, the strong increase of hexp\nthrin the low-\ntemperature range ( T <180K) is caused by the spin-\nwave relaxation.\nFigure3(c) shows the normalized relaxation rate Γ q\ncalculated at Hcwith help of Eq. 2usinghexp\nthr(T) and\ntheoretically calculated 4 πMs(T). It becomes evident\nthat for the temperature decrease from 180K to 20K\nthe relaxation rate Γ qincreases up to about 10.5 times\nfor the 53 µm-thick YIG film while the thinner films ex-\nhibit the same trend. The same relaxation behavior, as\nit is clear from the nearly wavenumber-independent ver-\ntical shift of all threshold curves (see, e.g., Fig. 2), is ob-\nserved in all range of probed magnon wavenumbers up\nto 6·105radcm−1. The strong increase of the relaxation5\nM (T) \u0002 \u0003\nM (T\u0004 \u0005 \u0006\nM (T\u0007 \b \t \n \u000b \f \r )u\n642\n0\n\u000e \u000f \u0010\u0011 \u0012 \u00131 \u0014 \u0015\nYIG(5.6)/GGG(500)\nYIG(6.7)/GGG(500)YIG(53)/GGG(500)\nYIG(30)M\nM (T)\n10(a) (b)\n(d) (c) 10\nYIG(53)/GGG(500)Relaxation rate \nRelaxation rate YIG(bulk) ultrapure\nFIG. 3. (a) Saturation magnetization plotted as a function o f temperature compared to theoretical calculations. (b) Te m-\nperature dependence of the threshold pumping field for magno ns parametrically excited near the FMR frequency at H=Hc.\nSquares – the hexp\nthrvalues are determined using the measured threshold powers Pthr. Circles – the hthrvalues are calculated\nusing Eq. 2for the experimentally determined values of 4 πMS(T) on the assumption that ∆ Hq=const. (a) and (b) are\ndetermined for the 53 µm-thick sample. (c) Normalized relaxation rate obtained fo r YIG films of the thicknesses of 5.6 µm,\n6.7µm and 53 µm epitaxially grown on a GGG substrate of 500 µm thickness. (d) Comparison of the normalized relaxation\nrates of 53 µm-thick YIG on GGG, 30 µm-thick substrate-free YIG and an ultrapure bulk YIG sample measured at H=Hc.\nThe number in brackets corresponds to the material layer thi ckness in micrometers.\nrate is considered to be atypical for pureYIG, for which\na monotonic decrease of Γ qis expected with decreasing\ntemperature [ 62].\nThe revealed relaxation behavior at low temperatures\ncan be related either to the contribution of fast-relaxing\nrare-earth ions contaminating the chemical composition\nof YIG [63,64] or to the magnetic losses caused by the\ndipolar coupling of magnons with the spin-system of the\nparamagnetic GGG substrate [ 65,66]. In order to clar-\nify the origin of the increased relaxation we replicate our\nmeasurements on the 53 µm-thick YIG sample after pol-\nishing the GGG side down to a 30 µm substrate-free YIG\nfilm. The comparison of the relaxation rate is shown in\nFig.3(d). Both YIG film samples demonstrate a strong\nincrease in the magnon relaxation rate for decreasing\ntemperatures, starting from approximately 150K. This\nfortifies the assumption of the prevailing contribution of\nfast-relaxing rare-earth ion impurities in epitaxial YIG\nfilms at lowtemperatures. Belowapproximately80Kthe\nrelaxation rate of the 53 µm-thick YIG sample increases\nfasterincomparisonwiththepolishedsubstrate-freeYIG\nfilm. This difference can be attributed to coupling of\nYIG’s ferrimagnetic spin system with the electron spins\nof Gd3+ions of paramagneticGGG. The coupling is sup-\nposed to be proportional to 1 /T[65] and leads to addi-tional low-temperature energy losses for all YIG films\nplaced on GGG substrates.\nFor comparison, we measured the temperature-\ndependent magnon damping in an impurity- and GGG-\nfree bulk YIG sample. Similar to the experiments with\nYIGfilms, thesemeasurements,whichwereperformedby\nmeansoftheparallelparametricpumpingtechniqueinan\nultrapure YIG crystal of the size of (1 ×1×3)mm3, show\nthe same damping behavior in a wide range of magnon\nwavenumbers. However, in contrast to the experiment\nwith YIG films the relaxation rate Γ qmonotonically de-\ncreases with decreasing temperature. It is clearly shown\nby the line denoted by semi-filled circles in Fig. 3(d). It\nfurther supports our assumption about the significant in-\nfluence of chemical contaminations on magnon damping\nin epitaxial YIG films at cryogenic temperatures.\nIn conclusion, in the temperature range from 20K to\n340K we have investigated the relaxation of parametri-\ncally excited dipole-exchange magnons in YIG films of\n5.6µm, 6.7µm, and 53 µm thickness grown on a GGG\nsubstrate by liquid phase epitaxy. We have found that\nat cryogenic temperatures the magnon lifetime strongly\ndecreases for all film thicknesses. By comparing the\nsubstrate-free YIG with the YIG/GGG samples the ob-\nserved relaxation behavior could be related to the mag-6\nnetic dampingcausedby the couplingofmagnonsto fast-\nrelaxing rare-earth ions inside the YIG film ( T<∼150K)\nand to the paramagnetic spin system of GGG substrates\n(T<∼80K). Comparison of these results with the data\nobtained from the ultrapure bulk YIG crystal shows\nthat in order to sustain a long magnon lifetime low-\ntemperature magnetic experiments in YIG must be per-\nformed in chemically-pure and substrate-free samples.\nFinancial support from the Deutsche Forschungsge-\nmeinschaft (project INST 161/544-3 within SFB/TR49,\nprojects VA 735/1-2 and SE 1771/4-2 within SPP 1538\n“Spin Caloric Transport”, and project INST 248/178-1)\nis gratefully acknowledged.\n∗mihalcea@rhrk.uni-kl.de\n[1] K. Sato and E. Saitoh, Spintronics for Next Generation\nInnovative Devices (Wiley, Chichester, 2015).\n[2] V.V. Kruglyak, S.O. Demokritov, and D. Grundler,\nMagnonics ,J. Phys. D: Appl. Phys. 43, 264001 (2010).\n[3] A.V. Chumak, V.I. Vasyuchka, A.A. Serga, and B. Hille-\nbrands,Magnon spintronics ,Nat. Phys., 11, 453 (2015).\n[4] S.O. Demokritov, V.E. Demidov, O. Dzyapko,\nG.A. Melkov, A.A. Serga, B. Hillebrands, and\nA.N. Slavin, Bose-Einstein condensation of quasi-\nequilibrium magnons at room temperature under\npumping ,Nature443, 430 (2006).\n[5] A.A. Serga, V.S. Tiberkevich, C.W. Sandweg,\nV.I. Vasyuchka, D.A. Bozhko, A.V. Chumak, T. Neu-\nmann, B. Obry, G.A. Melkov, A.N. Slavin, and B. Hille-\nbrands, Bose-Einstein condensation in an ultra-hot gas\nof pumped magnons ,Nat. Commun. 5, 3452 (2014).\n[6] C.Safranski, I.Barsukov, H.K.Lee, T.Schneider,\nA.A.Jara, A.Smith, H.Chang, K.Lenz, J.Lindner,\nY.Tserkovnyak, M.Wu, and I.N.Krivorotov, Spin ca-\nloritronic nano-oscillator ,Nat. Commun. 8, 117 (2017).\n[7] P. Nowik-Boltyk, O. Dzyapko, V.E. Demidov,\nN.G. Berloff, and S.O. Demokritov, Spatially non-\nuniform ground state and quantized vortices in a\ntwo-component Bose-Einstein condensate of magnons ,\nSci. Rep. 2, 482 (2012).\n[8] D.A. Bozhko, A.A. Serga, P. Clausen, V.I. Vasyuchka,\nF. Heussner, G.A. Melkov, A. Pomyalov, V.S. L’vov,\nand B. Hillebrands, Supercurrent in a room-\ntemperature Bose-Einstein magnon condensate ,\nNat. Phys. 12, 1057 (2016).\n[9] K. Nakata, K.A. van Hoogdalem, P. Simon,\nand D. Loss, Josephson and persistent spin cur-\nrents in Bose-Einstein condensates of magnons ,\nPhys. Rev. B 90, 144419 (2014).\n[10] H. Skarsv˚ ag, C. Holmqvist, and A. Brataas, Spin super-\nfluidity and long-range transport in thin-film ferromag-\nnets,Phys. Rev. Lett. 115, 237201 (2015).\n[11] B.Flebus, S.A.Bender, Y.Tserkovnyak,andR.A.Duine,\nTwo-fluid theory for spin superfluidity in magnetic insu-\nlators,Phys. Rev. Lett. 116, 117201 (2016).\n[12] G.E.W. Bauer, E. Saitoh, and B.J. van Wees, Spin\ncaloritronics ,Nat. Mater. 11, 391 (2012).\n[13] V.G. Harris, Modern microwave ferrites ,IEEE Trans.\nMagn.48, 1075 (2012).[14] C. Felser, G.H. Fecher, and B. Balke, Spintronics: A\nchallenge for materials science and solid-state chemistry ,\nAngew. Chem. Int. Ed. 46, 668 (2007).\n[15] A. Hirohata, H. Sukegawa, H. Yanagihara, I. ˇZuti´ c,\nT. Seki, S. Mizukami, and R. Swaminathan, Roadmap\nfor emerging materials for spintronic device applications ,\nIEEE Trans. Magn. 51, 0800511 (2015).\n[16] V. Cherepanov, I. Kolokolov, and V. L’vov, The\nsaga of YIG: Spectra, thermodynamics, interaction\nand relaxation of magnons in a complex magnet ,\nPhys. Rep.—Rev. Sec. Phys. Lett. 229, 81 (1993).\n[17] C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky,\nU. Br¨ uckner, and J. Dellith, Sub-micrometer yttrium\niron garnet LPE films with low ferromagnetic resonance\nlosses,J. Phys. D: Appl. Phys. 50, 204005 (2017).\n[18] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek, M. Jantz,\nW. Schneider, M. Wu, H. Schultheiss, and A. Hoff-\nmann,Growth and ferromagnetic resonance proper-\nties of nanometer-thick yttrium iron garnet films ,\nAppl. Phys. Lett. 101, 152405 (2012).\n[19] H. Chang, P. Li, W. Zhang, T. Liu, A. Hoff-\nmann, L. Deng, and M. Wu, Nanometer-thick yt-\ntrium iron garnet films with extremely low damping ,\nIEEE Mag. Lett. 5, 6700104 (2014).\n[20] A. Hamadeh, O. d’Allivy Kelly, C. Hahn, H. Meley,\nR. Bernard, A.H. Molpeceres, V.V. Naletov, M. Viret,\nA. Anane, V. Cros, S.O. Demokritov, J.L. Prieto,\nM. Muoz, G. de Loubens, and O. Klein, Full control\nof the spin-wave damping in a magnetic insulator using\nspin-orbit torque ,Phys. Rev. Lett. 113, 197203 (2014).\n[21] H. Yu, O. dAllivy Kelly, V. Cros, R. Bernard, P. Bor-\ntolotti, A. Anane, F. Brandl, R. Huber, I. Stasinopou-\nlos, and D. Grundler, Magnetic thin-film insulator with\nultra-low spin wave damping for coherent nanomagnon-\nics,Sci. Rep. 4, 6848 (2014).\n[22] L.J. Cornelissen, J. Liu, R.A. Duine, J. Ben Youssef, an d\nB.J. van Wees, Long-distance transport of magnon spin\ninformation in a magnetic insulator at room temperature ,\nNat. Phys. 11, 1022 (2016).\n[23] M. Schreier, F. Kramer, H. Huebl, S. Gepr¨ ags, R. Gross,\nS.T.B. Goennenwein, T. Noack, T. Langner, A.A. Serga,\nB. Hillebrands, andV.I.Vasyuchka, Spin Seebeck effect at\nmicrowave frequencies ,Phys. Rev. B 93, 224430 (2016).\n[24] J. Barker and G.E.W. Bauer, Thermal spin dynam-\nics of yttrium iron garnet ,Phys. Rev. Lett. 117, 217201\n(2016).\n[25] J.D. Adam, Analog signal processing with microwave\nmagnetics ,Proc. IEEE 76, 159 (1988).\n[26] A.A. Serga, A.V. Chumak, and B. Hillebrands, YIG\nmagnonics ,J. Phys. D: Appl. Phys., 43, 264002 (2010).\n[27] S.O. Demokritov andA.N. Slavin, Magnonics: From fun-\ndamentals to applications (Springer, Berlin, 2013).\n[28] F. Hellman et al.,Interface-induced phenomena in mag-\nnetism,Rev. Mod. Phys. 89, 025006 (2017).\n[29] P. Andrich, C.F. de las Casas, X. Liu, H.L. Bretscher,\nJ.R. Berman, F.J. Heremans, P.F. Nealey, and\nD.D. Awschalom, Long-range spin wave medi-\nated control of defect qubits in nanodiamonds ,\nnpj Quantum Inf. 3, 28 (2017).\n[30] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa,\nR. Yamazaki, K. Usami, Y. Nakamura et al.,Quan-\ntum magnonics: magnon meets superconducting qubit ,\nC. R. Physique 17, 729 (2016).\n[31] S. Klingler, H. Maier-Flaig, C. Dubs, O. Surzhenko,7\nR. Gross, H. Huebl, S.T.B. Goennenwein, and M. Weiler,\nGilbert damping of magnetostatic modes in a yttrium iron\ngarnet sphere ,Appl. Phys. Lett. 110, 092409 (2017).\n[32] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Ya-\nmazaki, K. Usami, and Y. Nakamura, Coherent coupling\nbetween a ferromagnetic magnon and a superconducting\nqubit,Science349, 405 (2015).\n[33] H.Huebl,C.W.Zollitsch,J.Lotze,F.Hocke,M.Greifen -\nstein,A.Marx,R.Gross,andT.B.Goennenwein, High co-\noperativity in coupled microwave resonator ferrimagnetic\ninsulator hybrids ,Phys. Rev. Lett. 111, 127003 (2013).\n[34] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki,\nK. Usami, and Y. Nakamura, Hybridizing ferromagnetic\nmagnons and microwave photons in the quantum limit ,\nPhys. Rev. Lett. 113, 083603 (2014).\n[35] S. Kosen, R.G.E. Morris, A.F. van Loo, and A.D. Karen-\novska,Measurement of a magnonic crystal at millikelvin\ntemperatures ,(2017), arXiv:1711.00958.\n[36] R.G.E. Morris, A.F. van Loo, S. Kosen, and A.D. Karen-\novska,Strong coupling of magnons in a YIG sphere to\nphotons in a planar superconducting resonator in the\nquantum limit ,Sci. Rep. 7, 11511 (2017).\n[37] E.G. Spencer, R.C. LeCraw, and R.C. Linares, Jr., Low-\ntemperature ferromagnetic relaxation in yttrium iron gar-\nnet,Phys. Rev. 123, 1937 (1961).\n[38] C. Vittoria, P. Lubitz, P. Hansen, and W. Tolksdorf,\nFMR linewidth measurements in bismuth–substituted\nYIG,J. Appl. Phys. 57, 3699 (1985).\n[39] S.S. Kalarickal, P. Krivosik, M. Wu, and C.E. Pat-\nton,Ferromagnetic resonance linewidth in metallic\nthin films: Comparison of measurement methods ,\nJ. Appl. Phys. 99, 093909 (2006).\n[40] T. Kasuya and R.C. LeCraw, Relaxation mechanisms in\nferromagnetic resonance ,Phys. Rev. Lett. 6, 223 (1961).\n[41] M.B. Jungfleisch, A.V. Chumak, V.I. Vasyuchka,\nA.A. Serga, B. Obry, H. Schultheiss, P.A. Beck,\nA.D. Karenowska, E. Saitoh, and B. Hillebrands,\nTemporal evolution of inverse spin Hall effect voltage\nin a magnetic insulator-nonmagnetic metal structure ,\nAppl. Phys. Lett. 99, 182512 (2011).\n[42] T. Sebastian, Y. Ohdaira, T. Kubota, P. Pirro,\nT. Br¨ acher, K. Vogt, A.A. Serga, H. Naganuma,\nM. Oogane, Y. Ando, and B. Hillebrands, Low-\ndamping spin-wave propagation in a micro-\nstructured Co2Mn0.6Fe0.4SiHeusler waveguide ,\nPhys. Lett. 100, 112402 (2012) .\n[43] I. Razdolski, A. Alekhin, U. Martens, D. B¨ urstel,\nD. Diesing, M. M¨ unzenberg, U. Bovensiepen,\nand A. Melnikov, Analysis of the time-resolved\nmagneto-optical Kerr effect for ultrafast mag-\nnetization dynamics in ferromagnetic thin films ,\nJ. Phys.: Condens. Matter 29, 174002 (2017).\n[44] O. Klein, V. Charbois, V.V. Naletov, and C. Fer-\nmon,Measurement of the ferromagnetic relaxation in a\nmicron-size sample ,Phys. Rev. B 67, 220407(R) (2003).\n[45] D.E. Kaplan and C.F. Kooi, Magnetostatic echoes ,\nJ. Appl. Phys. 37, 1005 (1966).\n[46] V.V. Danilov and A.V. Tychinski ˘i,Magnetostatic echo in\nferrite films ,JETP Lett. 38, 319 (1983).\n[47] G.A. Melkov, V.I. Vasyuchka, Yu.V. Kobljanskyj, and\nA.N. Slavin, Wave-front reversal in a medium with\ninhomogeneities and an anisotropic wave spectrum ,\nPhys. Rev. B 70, 224407 (2004).[48] A.G. Gurevich and A.N. Anisimov, Intrinsic spin\nwave relaxation processes in yttrium iron garnets ,\nSov. Phys. JETP 41, 336 (1975).\n[49] T. Langner, A. Kirihara, A.A. Serga, B. Hillebrands,\nand V.I. Vasyuchka, Damping of parametrically excited\nmagnons in the presence of the longitudinal spin Seebeck\neffect,Phys. Rev. B 95, 134441 (2017).\n[50] H. Suhl, The theory of ferromagnetic resonance at high\nsignal powers ,J. Phys. Chem. Solids, 1, 209 (1957).\n[51] E. Schl¨ omann, J.J. Green and U. Milano, Recent devel-\nopments in ferromagnetic resonance at high power levels ,\nJ. Appl. Phys. 31, S386 (1960).\n[52] T. Neumann, A.A. Serga, V.I. Vasyuchka, and B. Hille-\nbrands,Field-induced transition from parallel to perpen-\ndicular parametric pumping for a microstrip transducer ,\nAppl. Phys. Lett. 94, 192502 (2009).\n[53] A.A. Serga, C.W. Sandweg, V.I. Vasyuchka,\nM.B. Jungfleisch, B. Hillebrands, A. Kreisel, P. Kopi-\netz, and M.P. Kostylev, Brillouin light scattering\nspectroscopy of parametrically excited dipole-exchange\nmagnons ,Phys. Rev. B 86, 134403 (2012).\n[54] V.B.Bobkov, I.V.Zavislyak,andV.F.Romanyuk, Micro-\nwave spectroscopy of magnetostatic waves in epitaxial fer-\nrite films , J.Commun.Technol.Electron. 48, 196 (2003).\n[55] A.G. Gurevich and G.A. Melkov, Magnetization oscilla-\ntions and waves , (CRC Press, Boca Raton, 1996).\n[56] R.C. LeCraw and L.R. Walker, Temperature depen-\ndence of the spin-wave spectrum of yttrium iron garnet ,\nJ. Appl. Phys. 32, S167 (1961).\n[57] V.V. Danilov, D.L. Lyfar’, Yu.V. Lyubon’ko,\nA.Yu. Nechiporuk, and S.M. Ryabchenko Low-\ntemperature ferromagnetic resonance in epi-\ntaxial garnet films on paramagnetic substrates ,\nSoviet Physics Journal 32, 276 (1989).\n[58] E.E. Anderson, Molecular field model and the magnetiza-\ntion of YIG ,Phys. Rev. 134, A1581 (1964).\n[59] P. Hansen, P. R¨ oschmann, and W. Tolksdorf, Saturation\nmagnetization of gallium-substituted yttrium iron garnet ,\nJ. Appl. Phys. 45, 2728 (1974).\n[60] B. Obry, V.I. Vasyuchka, A.V. Chumak,\nA.A. Serga, and B. Hillebrands, Spin-wave prop-\nagation and transformation in a thermal gradient ,\nAppl. Phys. Lett. 101, 192406 (2012).\n[61] M. Kaack, S. Jun, S.A. Nikitov, and J. Pelzl,\nMagnetostatic spin wave modes excitation in\nyttrium-iron-garnet film under various temperatures ,\nJ. Magn. Magn. Mater. 204, 90 (1999).\n[62] M. Sparks, Ferromagnetic relaxation theory , (McGraw-\nHill, New York, 1964).\n[63] G.F. Dionne and G.L. Fitch, Temperature dependence\nof spin-lattice relaxation in rare-earth iron garnets ,\nJ. Appl. Phys. 87, 4963 (2000).\n[64] P. Hansen, K. Witter, and W. Tolksdorf, Magnetic and\nmagneto-optic properties of lead- and bismuth-substitute d\nyttrium iron garnet films ,Phys. Rev. B 27, 6608 (1983).\n[65] M.G. Balinskii, V.V. Danilov, A.Yu. Nechiporuk, and\nV.M. Talalaevskii, Damping of magnetostatic spin\nwaves in substrates ,Radiophys. Quantum Electron. 29,\n954 (1986).\n[66] V.V. Danilov, A.Yu. Nechiporuk, and L.V. Chevnyuk,,\nTemperature dependences of paramagnetic excitation\nthreshold and relaxation parameter of spin waves in gar-\nnet structures , Low Temp. Phys. 22, 802 (1996)." }, { "title": "2305.09270v1.Tunable_all_optical_logic_gates_based_on_nonreciprocal_topologically_protected_edge_modes.pdf", "content": "arXiv:2305.09270v1 [physics.optics] 16 May 2023Tunable all-optical logic gates based on nonreciprocal top ologically\nprotected edge modes\nJie Xu1,2,7, Panpan He3,4, Delong Feng1,2, Yamei Luo1,2,\nSiqiang Fan5, Kangle Yong1,2,8, Kosmas L. Tsakmakidis6,9\n1School of Medical Information and Engineering,\nSouthwest Medical University, Luzhou 646000, China\n2Medical Engineering & Medical Informatics Integration and Transformational\nMedicine of Luzhou Key Laboratory, Luzhou 646000, China\n3Luzhou Key Laboratory of Intelligent Control and Applicati on of Electronic Devices,\nLuzhou Vocational & Technical College, Luzhou 646000, Chin a\n4School of Electrical and Electronic Engineering,\nLuzhou Vocational & Technical College, Luzhou 646000, Chin a\n5Chongqing Key Laboratory of Photo-Electric Functional Mat erials, Chongqing 401331, China\n6Section of Condensed Matter Physics Department of Physics N ational and\nKapodistrian University of Athens Panepistimioupolis, At hens GR-157 84, Greece\n7xujie011451@163.com\n8Kangle@swmu.edu.cn and\n9ktsakmakidis@phys.uoa.gr\nAbstract\nAll-optical logic gates have beenstudiedintensively fort heirpotential toenablebroadband,low-loss,\nand high-speed communication. However, poor tunability ha s remained a key challenge in this field.\nIn this paper, we propose a Y-shaped structure composed of Yt trium Iron Garnet (YIG) layers that\ncan serve as tunable all-optical logic gates, including, bu t not limited to, OR, AND, and NOT gates,\nby applyingexternal magnetic fields to magnetize the YIG lay ers. Our findingsdemonstrate that these\nlogic gates are based on topologically protected one-way ed ge modes, ensuring exceptional robustness\nagainst imperfections and nonlocal effects while maintainin g extremely high precision. Furthermore,\nthe operating band of the logic gates is shown to be tunable. I n addition, we introduce a straightfor-\nward and practical method for controlling and switching the logic gates between ”work”, ”skip”, and\n”stop” modes. These findings have important implications fo r the design of high-performance and\nprecise all-optical integrated circuits.\n1I. INTRODUCTION\nSince the invention of the transistor in 1947, human society has exp erienced an unprece-\ndented boom in electronic communications based on electrical signals to meet the needs of\neveryday life and scientific research [1, 2]. However, with the deve lopment of integrated cir-\ncuits, transistors are becoming increasingly miniaturized, resulting in increased energy waste.\nAdditionally, electronic communication still suffers from defects suc h as high error rates and\ncross-talk [3]. On the other hand, optical communication has advan tages such as high-speed\nsignal processing, error-free transmission [4], parallel computat ion [5], and low loss [6], making\nit a potential candidate for the next-generation communication te chnology. In recent decades,\nthe concept of integrated optical circuits has been introduced, g reatly developed, and studied.\nAll-optical logic gates (LGs) are an important component of integra ted optical circuits\nand have received considerable attention in recent years, with inte resting results in this field.\nResearchers have constructed various types of all-optical LGs, such as photonic crystal and\nMach-Zehnder interferometer structures, using nonlinear proc esses [7–9] and/or interferome-\ntry [10–13], and have implemented all basic logic operations. However , most LGs suffer from\nlow contrast ratios (CRs), typically less than 30 dB. This is understa ndable because reflec-\ntions are unavoidable in conventional optical LGs, and imperfection s in their manufacturing\naffect the accuracy of the gates to some extent, particularly in no nlinearity-based LGs [14–16].\nIn many studies on sub-wavelength all-optical LGs, researchers o ften neglect the impact of\nnonlocal effects on logical operations. While this is generally true in ne ar-wavelength cases,\nnon-local effects should be considered when the device’s scale is sub wavelength or even deep-\nsubwavelength. In fact, the impact of nonlocal effects on nonrec iprocal/one-way surface mag-\nnetoplasmons (SMPs) has been widely discussed in the past several years [17–19]. SMPs are\nedge modes sustained in magneto-optical (MO) heterostructure s, and many interesting and\nmeaningful results, such as slow light [20–22], overcoming the time- bandwidth limit [23], and\nrainbow trapping [24, 25], have been discovered. Recently, we prop osed a method to imple-\nment (sub-wavelength) all-optical logic operations using one-way S MP modes [26]. This type of\none-way electromagnetic (EM) mode has been proven to be topolog ically protected [27, 28] in\nthe microwave regime by several research groups, and no significa nt impact of non-local effects\nhas been observed. Therefore, in this paper, we focus on such no nlocality-immune SMPs to\nstudy tunable LGs. Additionally, since guided wave modes have only on e transmission direc-\ntion, the problem of preparation process defects is well overcome , and unidirectional modes\nare immune to backscattering. More importantly, all-optical LGs ba sed on unidirectional EM\nmodes theoretically have an infinite contrast ratio, which means unp aralleled accuracy.\nNote that in Ref.[26], the designed all-optical LGs relied on Yttrium Iro n Garnet (YIG)\nwith remanence. Consequently, although unidirectional SMPs-bas ed all-optical LGs were im-\nplemented using MO heterostructures, their lack of tunability hinde red their application in\nfuture integrated optical circuits. In this paper, we propose a Y- shaped structure composed of\nthree YIG layers under different bias magnetic fields and theoretica lly analyze the dispersion\nrelation in the three arms, which are all YIG-YIG heterostructure s. We observe interesting\n2phenomena, such as reverse propagation direction, and close and /or reopen one-way regions.\nMore importantly, we discover highly tunable characteristics of the Y-shaped structure and\nthe LGs, which are confirmed by full-wave simulation. Our proposed ( subwavelength) tunable\nLGs have the potential to be applied in the design of high-performan ce and programmable\nintegrated optical circuits.\nII. PHYSICAL MODEL AND TOPOLOGICALLY PROTECTED SMPS\nThe Y-shaped configuration is a commonly used physical model in the field of all-optical\nLGs, which has been extensively studied in recent decades [11, 29–3 2]. In Fig. 1(a), we propose\na Y-shaped YIG-based model that enables tunable all-optical logic o perations. The model\ncomprises three straight arms, each containing two layers of YIG. Unlike our previous work\n[26], where YIG with remanence was used, all the YIG layers in this stu dy are subjected to\nan external magnetic field (H 0) to further enhance the tunability of the LGs. It should be\nnoted that metals can always be considered as perfect electric con ductor (PEC) walls in the\nmicrowave regime [33]. For simplicity, as shown in Fig. 1(b), the arm with YIG layers having\nthe same magnetization is referred to as ’EYYE-s’, where ”E” repre sents the PEC boundary,\n”Y” represents YIG, and ”s” symbolizes the same magnetization dir ection. Similarly, the\nstructure with YIG layers having opposite magnetization directions is labeled ’EYYE-r’. To\nachieve basic logic operations based on one-way modes, the key is to establish two separate\none-way channels that allow efficient transfer of the EM wave/signa l. The question then arises\nas to how to design suitable arms and how to efficiently tune the struc ture according to our\nneeds.\ninput-1\ninput-2outputd\nOne-way channel-1\nOne-way channel-2(a)(b)\n(c)EYYE-rEYYE-s\nmetal \nYIGxy\nd\nH0\nA\nBCAB\nC\nA C\nB C\nFIG. 1. (a) The schematic of the Y-shaped structure of all-op tical logic operations. (b) Two types of\narms are shown, i.e. the ’EYYE-s’ and the ’EYYE-r’. (c) Pre-d esigned two one-way channels in our\nproposed structure. Note that, in this paper, we use ωa\n0,ωb\n0, andωc\n0to clarify the procession angular\nfrequencies ( ω0) for green-colored YIG, yellow-colored YIG and blue-color ed YIG layers, respectively.\nTo achieve this, one must first study the dispersion relation of the S MPs in those arms. The\n’EYYE-r’ contains two layers of YIG with two different relative perme ability and for the lower\n3(¯µa) and upper (¯ µb) YIG, we have\n¯µa=\nµa\n1−iµa\n20\niµa\n2µa\n10\n0 0 1\n,¯µb=\nµb\n1iµb\n20\n−iµb\n2µb\n10\n0 0 1\n(1)\nwhereµ1= 1+ωm(ω0−ivω)\n(ω0−ivω)2−ω2andµ2=ωmω\n(ω0−ivω)2−ω2.ω,ωm,νandω0=µ0γH0refer respectively\nto the angular frequency, the characteristic circular frequency , the damping factor, and the\nprocession angular frequency[33]. Please note that the superscr ipts ’a’ and ’b’ represent the\nlower and upper layers, respectively. In this paper, we assume tha t the magnetic-field direction\nin the lower layer is permanently oriented in the -z direction. By applyin g Maxwell’s equations\nand three boundary conditions in the ’EYYE-r’ arm, one can easily ca lculate the dispersion\nrelation of the SMPs sustained on the YIG-YIG interface. The dispe rsion relation takes the\nfollowing form\nµa\nv/bracketleftbiggµb\n2\nµb\n1k+αb\ntanh(αbd)/bracketrightbigg\n+µb\nv/bracketleftbiggµa\n2\nµa\n1k+αa\ntanh(αad)/bracketrightbigg\n= 0 (2)\nwhereαa=/radicalbig\nk2−εmµa\nvk2\n0,αb=/radicalbig\nk2−εmµb\nvk2\n0, andµv=µ1−µ2\n1/µ2. Equation (2) re-\nveals that the SMPs in the ’EYYE-r’ arm exhibit different propagation properties for opposite\nwavenumbers, i.e., k1=−k2, which is a well-known nonreciprocity effect. More importantly,\nadjusting the external magnetic field can create a special one-wa y region where the waves prop-\nagate in only one specific direction. The asymptotic frequencies (AF s) of the SMPs in the\n’EYYE-r’ arm can be derived and calculated from Eq. (2). We found f our AFs, which can be\ndescribed by the following equations:\nω(+)\nsp=\n\nω(+1)\nsp=ωa\n0+ωm\nω(+2)\nsp=ωb\n0+ωm(3)\nω(−)\nsp=\n\nω(−1)\nsp=(ωa\n0+ωb\n0+ωm)+√\n(ωa\n0+ωb\n0+ωm)2−2(2ωa\n0ωb\n0+ωa\n0ωm+ωb\n0ωm)\n2\nω(−2)\nsp=(ωa\n0+ωb\n0+ωm)−√\n(ωa\n0+ωb\n0+ωm)2−2(2ωa\n0ωb\n0+ωa\n0ωm+ωb\n0ωm)\n2(4)\nω+\nspandω−\nspindicate the AF as k→+∞andk→ −∞, respectively. In fact, the value of ω+\nsp\ncorresponds to the zero point of µa\nvorµb\nv. Similarly, the dispersion relation of the SMPs in the\n’EYYE-s’ arm can be directly obtained from Eq. (2) by replacing µb\n2,µb\n1,µb\nv, andαbwith−µc\n2,\nµc\n1,µc\nv, andαc, respectively. In this case, the permeability (¯ µc) of the upper YIG has the same\nform as ¯µa, and the corresponding dispersion equation can be written as follow s:\nµa\nv/bracketleftbigg−µc\n2\nµc\n1k+αc\ntanh(αcd)/bracketrightbigg\n+µc\nv/bracketleftbiggµa\n2\nµa\n1k+αa\ntanh(αad)/bracketrightbigg\n= 0 (5)\n4k/km50 /g90//g90m\n0 -50012\n/g90/g3 s/g90/g3 sp (+)\n/g90/g3 sp (-)\nk/km50 /g90//g90m\n0 -50012(a)\nk/km50 0 -50(b)\n(c)\n[0.4,0.3,r]\n[0.6,0.3,r][0.6,0.4,s]\n10 010 210 410 6\n0 10 20 30 |E| (V/m) \nx (mm)(d)/g90//g90m\n012\nair hole[0.6,0.4,s][0.4,0.3,r] [0.6,0.3,r]\nA\nBC\nFIG. 2. (a-c) The dispersion diagrams of three arms are shown , in which the lower YIG has ω0values\nof 0.6ωm, 0.4ωm, and 0.6ωm, respectively, while the upper YIG has ω0values of 0 .3ωm, 0.3ωm, and\n0.4ωm”, respectively. Note that ’r’ and ’s’ indicate the ’EYYE-r’ arm and ’EYYE-s’ arm, respectively,\nand the magnetization orientation of the lower YIG is perman ently -z. The cyan lines represent\nthe edge of the bulk zones, while the black arrows indicate th e location of ω=ωs. Stars show the\ncorresponding asymptotic frequencies in each case. (d) The simulated electric field distributions of\nthe three cases are shown for f= 0.8fm. The other parameters are (a-c) d= 0.02λm,ν= 0 and (d)\nν= 0.001ωm.\nThere are also four potential AFs in the ’EYYE-s’ arm, which have th e following form:\nω(+)\nsp=\n\nω(+1)\nsp=ωa\n0+ωm\nω(+2)\nsp=(ωc\n0−ωa\n0)+√\n(ωc\n0−ωa\n0)2+2(2ωa\n0ωc\n0+ωa\n0ωm+ωc\n0ωm)\n2(6)\nω(−)\nsp=\n\nω(−1)\nsp=ωc\n0+ωm\nω(−2)\nsp=(ωa\n0−ωc\n0)+√\n(ωc\n0−ωa\n0)2+2(2ωa\n0ωc\n0+ωa\n0ωm+ωc\n0ωm)\n2(7)\nBased on Eqs. (4) and (5), we plot the dispersion curves for the SM Ps in both the ’EYYE-r’\nand’EYYE-s’ arms as d= 0.02λm(λm= 2πc/ωm=c/fm)andν= 0 (lossless condition). Three\ndifferent values of ω0(H0) are applied in the three arms, and for convenience, we introduce a\nsimple notation- ’[ α,β,θ]’ - inwhich αandβrepresent the absolute values of the normalized ω0\n( ¯ω0=ω0/ωm) for the lower and upper YIG, while θcould be either ’r’ referring to the ’EYYE-r’\narm or ’s’ referring to the ’EYYE-s’ arm. For example, [0.6, 0.3, r] in F ig. 2(a) implies that\nthe dispersion curve is calculated in the ’EYYE-r’ arm, where ωa\n0= 0.6ωmandωb\n0= 0.3ωm. In\n5Fig. 2(a), the green and blue stars represent ω(+)\nspandω(−)\nsp, respectively. The red and black\nlines indicate the dispersion curves of SMPs on the YIG-YIG interfac e, and due to the deep-\nsubwavelength thickness of the YIG layers, the bulk zones are sign ificantly compressed [24, 34].\nTherefore, it is believed that almost all the SMPs on the red and black lines are one-way EM\nmodes except for the SMPs located near the resonant frequencie s of YIG ( ωs=/radicalbig\nω2\n0+ω0ωm),\nwhich are marked by black arrows. As depicted in the inset of Fig. 2(a ), the case of [0.6, 0.3,\nr] can be treated as one of the input arms (arm ’A’) of the Y-shaped heterostructure. We also\ncalculate the dispersion curves for the other arms in Figs. 2(b) and 2(c). As a result, similar\nto the first case, there are two one-way regions in both cases. Ho wever, in the case of [0.6, 0.4,\ns], the EM waves within the lower one-way region have negative group velocities ( vg<0).\nBased on Eqs. (3), (4), (6), and (7) as mentioned earlier, the one -way regions are defined\nby the AFs (green and blue stars in Fig. 2). For the three cases disc ussed above, the regions\nare: (a) [0.428 fm, 1.3fm] and [1.472 fm, 1.6fm], (b) [0.3475 fm, 1.3fm] and [1.3525 fm, 1.4fm],\nand (c) [0.766 fm, 0.966fm] and [1.4 fm, 1.6fm]. Therefore, to design two one-way channels,\nthe frequencies used must be located within the [0.766 fm, 0.966fm] region (the red line region\nin Fig. 2(c)). In addition, the loss effect and the robustness of the one-way propagation of\nSMPs are examined using full-wave simulations, as illustrated in Fig. 2(d ). In this case, we\nconsider ν= 0.001ωmandf= 0.8fm, and air holes with a radius of r= 0.5 mm (∼0.008λm)\nare placed on the YIG-YIG interface. The simulation results show go od agreement with the\ntheoretical analysis, and the imperfections have a negligible impact o n the one-way SMPs. It\nis also worth noting that recent studies have questioned the robus tness of one-way SMPs, with\nthe nonlocal effect being a major focus of these works[17, 18]. He re, we emphasize that the\none-way SMPs studied in this paper are theoretically topologically pro tected, which has been\ntheoretically demonstrated[35, 36] and experimentally proved[27, 37] by many groups. This\nnonlocality-immune property is particularly evident in cases where th e waveguide is relatively\nthick or the wavenumber (k) is relatively small[19]. Our proposed logic g ates in this paper are\nbelieved to be largely unaffected by nonlocal effects, given the tuna bility of the SMPs, which\nwill be discussed in the next subsection.\nIII. TUNABLE ALL-OPTICAL LOGIC GATES\nInourtheoreticalanalysis, wehaveshownthataY-shapedstruc tureconsistingofmagnetized\nYIG layers can support two independent one-way channels, making it suitable as a logical\ngate[26]. More importantly, benefiting from the tunability of the top ologically protected one-\nway SMPs, the proposed LGs should be easily tunable by changing the bias magnetic fields.\nIn the following sections, we demonstrate the tunability of our prop osed logical gates in detail.\nFirstly, we study the impact of H 0on AFs, which always define the one-way regions. As\ndisplayed in Fig. 3(a), four AFs in the ’EYYE-r’ arm ’A’ (’C’), are plotte d as a function of\nωa\n0(ωc\n0) andωb\n0. Figure 3(b) depicts the similar relationship between AFs and ωaandωc. To\ndifferentiate between the four distinct AFs in Eqs. (3, 4) (’EYYE-r’) and (6, 7) (’EYYE-s’),\n6/g90//g90m\n01sp 2\n01\n10.2 0.4 0.6 0.8 0.5\n/g90/g3/g3/g3/g3//g90m 0a/c /g90/g3/g3/g3/g3//g90m 0b 01\n10.2 0.4 0.6 0.8 0.5\n/g90/g3/g3/g3/g3//g90m 0a /g90/g3/g3/g3/g3//g90m 0c/g90sp (-1)/g90sp (-2)/g90sp (+1)/g90sp (+2)(a) (b)\n(c)/g90//g90m sp \n012\n/g90/g3/g3/g3/g3//g90m 0b[0.6,x,r]\n0 1 0.2 0.4 0.6 0.8\n/g90//g90m sp \n012\n/g90/g3/g3/g3/g3//g90m 0c0 1 0.2 0.4 0.6 0.8[0.6,x,s]\n/g90//g90m sp \n01\n/g90/g3/g3/g3/g3//g90m 0b0 0.1 0.02 0.04 0.06 0.08[0.1,x,r]\n2(d) (e)EYYE-r EYYE-s\nV > 0g\nV < 0g/g90/g3/g3/g3/g3 //g90m 0c\n/g90/g3/g3/g3/g3//g90m 0b0 0.6(f) /g90/g3/g3/g3/g3 = 0.60a/g90m\n0.10.6\n0.10.6\n0.1\n-0.02\n-0.22\n-0.15\n-0.45\n0 0.60.10\n-0.2\n0\n-0.25\n(f-1)\n(f-2)(f-3)\n(f-4)\nFIG. 3. (a,b) The asymptotic frequencies (AFs) are plotted a s a function of ωa\n0(orωc\n0) andωb\n0for (a)\nthe ’EYYE-r’ arm and (b) the ’EYYE-s’ arm. (c-e) AFs are plott ed as a function of ωb\n0when (c,d)\nωa\n0= 0.6ωmand (e)ωc\n0= 0.1ωm. (f) Four constructed equations ( y1,y2,y3, andy4) as shown in Eq.\n(8) are plotted as functions of ωb\n0andωc\n0whenωa\n0= 0.6ωm.\nwe use the names ω(+1)\nsp,ω(+2)\nsp,ω(−1)\nsp, andω(−2)\nsp. Notably, as ω0(H0) changes, the values of AFs\nand their numerical relationships may also change. This can lead to a r eversal of the group\nvelocity and the transmission direction of EM signals in LGs. Therefor e, any changes in the\nAFs can affect the functionality of the LGs.\nTo illustrate the changes in AFs and one-way regions, we set the lowe r YIGω0to 0.6ωm\nand assume 0 < ω0< ωmfor the upper YIG in both ’EYYE-r’ (Fig. 3(c)) and ’EYYE-s’ (Fig.\n3(d)) arms. As ω0(ωb\n0) of the upper YIG varies from 0 to 0 .6ωm, the lower one-way region\ngradually widens, while the upper one-way region becomes smaller and eventually closes at\nωb\n0= 0.6ωm. The black dashed line represents the [0.6, 0.3, r] case discussed ea rlier in Fig.\n2(a), in which two clear one-way regions are present (excluding the local area near ω=ωs).\nAsωb\n0increases further, for the ’EYYE-r’ arm, the first one-way regio n is compressed slightly,\nwhile a new one-way region bounded by ω(−1)\nspandω(+2)\nspemerges with a forward propagation\ndirection ( vg>0).The inset of Fig. 3(c) displays a zoomed-in dispersion curve for th e case of\nωb\n0= 0.8ωm(blue line). In contrast, the ’EYYE-s’ arm behaves differently. As s hown in Fig.\n3(d), when ωc\n0(in the upper YIG) is increased, the propagation direction of SMPs in the lower\none-way region changes from backward ( vg<0) to forward ( vg>0), and the one-way region\ncloses and reopens. Similar phenomena of reversing propagation dir ection and close-reopen\none-way regions are observed in the higher regime as well. The black a nd blue dashed lines\nin Fig. 3(d) indicate cases where ωc\n0= 0.4ωmandωc\n0= 0.8ωm, respectively, with ωa\n0= 0.6ωm\nin both cases. The insets in Fig. 3(d) show the reversed one-way re gions and the dispersion\ncurves of SMPs.\n7The question of whether the group velocity will reverse in one-way s ystems can be answered\nby determining if the system’s symmetry or chirality is broken. As dem onstrated in Figure\n3(c), within the lower one-way region of the ’EYYE-r’ arm, the SMPs can propagate only\nin the forward direction, regardless of which layer has a higher H 0(ω0). We consider two\nconditions, [0.6, 0.4, r] and [0.4, 0.6, r], where the propagation direct ions are the same. This is\nbecausethesecondcasecanbetreatedastheentiresystemoft hefirstcaserevolving180degrees\naround the propagation direction, and thus the system’s symmetr y/chirality is conserved. In\ncontrast, [0.6, 0.4, s] and [0.4, 0.6, s] have opposite propagation dir ections because they cannot\nbe obtained by simply rotating each other, and thus the system’s sy mmetry/chirality is broken\nwhen changing ω0accordingly.\nToachieve arelatively broadone-wayband, itisnecessary that ωc\n0inarm’B’issmall enough,\nas shown in Figure 3(d). Thus, we select ωc\n0= 0.1ωm(marked by the red dashed line in Figure\n3(d)), and Figure 3(e) depicts the corresponding AFs and one-wa y regions as functions of ωb\n0.\nFor this case, ω(−2)\nsp≃0.048ωmandω(+2)\nsp=ωm+ωb. Withωa\n0= 0.6ωmandωc\n0= 0.1ωmfixed,\nthe only remaining unknown parameter in the Y-shaped structure is ωb\n0. Ideally, we aim for the\nwhole one-way region with vg<0 in arm ’B’ to be the working band of the LGs. Based on our\ncalculations, we can achieve this goal if 0 < ωb\n0<0.31ωm. However, in most cases, to ensure\nthat the entire one-way region of arm ’B’ is the working band of LGs, we need to ensure that\nω(−2)\nsp(the blue line in Figure 3(d)) and ω(+2)\nsp(the green line in Figure 3(d)) are both inside the\none-way regions with vg>0 in arms ’A’ and ’C’. To accomplish this, we construct the following\nequations: \n\ny1= (ω(−2)\nspB−ω(−2)\nspA)(ω(−2)\nspB−ω(+2)\nspA)\ny2= (ω(−2)\nspB−ω(−2)\nspC)(ω(−2)\nspB−ω(+2)\nspC)\ny3= (ω(+2)\nspB−ω(−2)\nspA)(ω(−2)\nspB−ω(+2)\nspA)\ny4= (ω(+2)\nspB−ω(−2)\nspC)(ω(−2)\nspB−ω(+2)\nspC)(8)\nwhere ’A/B/C’ represent arm ’A’/’B’/’C’. In this context, it is worth no ting that arms ’A’ and\n’C’ belong to the ’EYYE-r’ type, while arm ’B’ belongs to the ’EYYE-s’ t ype. The AFs are\nrepresented by ω(+)\nspandω(−)\nsp, which are given by Eqs. (3), (4), (6), and (7). Equation (8)\ndetermines whether ω(−2)\nspin arm ’B’ lies within the one-way region of arm ’A’, which occurs\nfory1<0. Ify1<0,y2<0,y3<0, andy4<0 at the same time, it means that the entire\none-way region with vg<0 in arm ’B’ lies within the one-way regions of both arms ’A’ and ’C’.\nFigure 3(f) represents the functions of y1((f-1)),y2((f-2)),y3((f-3)), and y4((f-4)) based on\nωb\n0andωc\n0whenωa\n0= 0.6ωm. We observe that y1,y2, andy4are always negative, while y3can\nbe positive for relatively large ωb\n0and small ωc\n0. Therefore, we set ωa\n0= 0.6ωmandωc\n0= 0.1ωm,\nand keep ωb\n0relatively small, such as ωb\n0= 0.1ωm(marked by red balls in Fig. 3(f)), to ensure\nthat the entire one-way region in arm ’B’ corresponds to the workin g band of LGs.\nFigures 4(a)-4(c) present dispersion curves for the scenario wh ereωa\n0= 0.6ωmandωb\n0=\nωc\n0= 0.1ωm. Similar to Fig. 2, there is a one-way region with vg>0 in arm ’A’ (depicted\nas a red-line region in Fig. 4(a)) and arm ’C’ (depicted as a red-line reg ion in Fig. 4(b)).\n8Additionally, there is a one-way region with vg<0 in arm ’B’ (depicted as a red-line region\nin Fig. 4(c)). Moreover, the backward one-way region is much large r than that illustrated in\nFig. 2(c). Consequently, the working band of the LGs in this situatio n should be significantly\nbroader. Figs. 4(d) and 4(f) show the coupling effect between arm s whenf= 0.8fm, which falls\nwithin the one-way regions of interest. Consequently, two one-wa y channels (’A-C’ and ’B-C’)\nare established, while the EM signal cannot propagate from arm ’A’ t o arm ’B’. It should be\nnoted that the first part ([0.1, 0.6, s]) of the ’B-C’ channel differs f rom that of the ’A-B’ channel\n([0.6, 0.1, s]) due tothe geometrical relationship between the arms. As per symmetry, the SMPs\nin the [0.1, 0.6, s] and [0.6, 0.1, s] structures must have opposite pro pagation directions. In the\nsimulations, the EM signal can transfer efficiently in the one-way cha nnels, while the forward\ntransferring signal halts at the interface of arm ’A’ and arm ’B’.\nk/km50 /g90//g90m\n0 -50012\n(a) (b)\n(c)[0.6,0.1,r] [0.1,0.1,r]\n[0.6,0.1,s]\nk/km50 /g90//g90m\n0 -50012k/km50 /g90//g90m\n0 -50012\n(d)\n[0.6,0.1,r]-[0.6,0.1,s][0.6,0.1,r]-[0.1,0.1,r]\n10 010 210 410 6\n0 10 20 30 |E| (V/m) \nx (mm)[0.1,0.6,s]-[0.1,0.1,r]\n[0.6,0.1,s]\n[0.1,0.1,r]\n[0.1,0.1,r](e)\n[0.6,0.1,r]\n[0.6,0.1,r]\n[0.1,0.6,s]BC\nA\nA B\nC\nBA\nC\nFIG. 4. (a,b) Dispersion diagrams of three arms with optimiz ed parameters, ωa\n0= 0.6ωm,ωb\n0= 0.1ωm\nandωc\n0= 0.1ωm. (d,e) The simulated electric field distribution obtained f rom coupling simulations\ncontaining two arms, with each arm being either the ’EYYE-r’ type or ’EYYE-s’ type.\n9(a) (c)\ninput-1\ninput-2output(b)\ninput-1\ninput-2outputOR operation AND operation NOT operation\n‘1’: robust one-way signal \n‘0’: no signal ‘0’: robust one-way signal \n‘1’: no signal \nPositive logic! Negative logic! input: positive logic\noutput: negative logica\noutput: positive logic\ninput: negative logicbOR operation\ninput-1 input-2 output\n‘1’ ‘0’ ‘1’\n‘1’ ‘1’ ‘0’\nAND operation\ninput-1 input-2 output\n‘0’ ‘1’ ‘0’\n‘0’ ‘0’ ‘1’\nNOT operation-a\ninput-1 input-2 output\n‘1’ ‘0’\n‘1’ ‘0’air hole\nFIG. 5. (a) Theory of all-optical logic operation using the p ositive and/or negative logic. (b)\nNumerical simulations in the Y-shaped module as f= 0.8fm, and air holes with r= 0.5 mm were set\non the YIG-YIG interfaces to verify the robustness of logic o perations. (c) The truth tables of the\nOR, AND, and NOT operations.\nIV. REALIZATION OF BASIC LOGIC GATES\nThe Y-shaped structure that is designed canfunction within the on e-way region andperform\nas basic logic gates, including, but not limited to, OR, AND, and NOT gat es, as presented in\nFig. 5. During logical operations, arms ’A’ and ’B’ are considered as t wo input ports, with\narm ’C’ regarded as the output port. Primarily, the structure ope rates as a natural OR gate,\nwhere any input one-way EM signal can and must propagate to the o utput port. If we consider\nthe presence of the EM signal as logic ’1’ and the absence of the EM s ignal as logic ’0,’ i.e.,\npositive logic, then the Y-shaped structure functions as a broad O R gate that can be adjusted\nby external magnetic fields. Negative logic (where the presence of the EM signal is recognized\nas logic ’0’) is used for the AND gate and NOT gate. In the AND operatio n, any input EM\nsignal is treated as logic ’0,’ resulting in the output EM signal also being logic ’0.’ However,\nthe NOT operation employs negative logic in either the input or output port, with positive\nlogic used in the remaining port. Figure 5(b) depicts simulations for th e Y-shaped structure\nwithf= 0.8fmwhen the EM signal is excited in only one of the input ports. Air holes wit h\nr= 0.5 mm were set as imperfections on the YIG-YIG interface to show th e robust function\nof our proposed LGs. As a result, the LGs work fine and perform ex tremely high CR which\nis larger than 200 dB (infinity in theory). Figure 5(c) shows the corr esponding truth tables of\nthe OR, AND, and NOT operations.\nThe Y-shaped structure is designed to function within the one-way region and can serve as\nbasic logic gates, including but not limited to OR, AND, and NOT gates, a s illustrated in Fig.\n5. During logical operations, arms ’A’ and ’B’ are the input ports, wh ile arm ’C’ is the output\nport. The structure operates as a natural OR gate, where any in put one-way EM signal must\n10+0.6 /g90/g3 m\n-0.1 /g90/g3 m+0.1 /g90/g3 m(a) (b) \nlogic gate (“work”) logic gate (“stop”) \nlogic gate (“stop”) logic gate (“skip”) \n+0.6 /g90/g3 m\n+0.6 /g90/g3 m+0.6 /g90/g3 m+0.1 /g90/g3 m\n+0.1 /g90/g3 m+0.1 /g90/g3 m-0.1 /g90/g3 m\n-0.1 /g90/g3 m-0.1 /g90/g3 m\n/g90/g3/g3/g3/g30c/g90/g3/g3/g3/g30a /g90/g3/g3/g3/g30b(c) \nlogic gate (“work”) logic gate (“stop”) \nlogic gate (“skip”) logic gate (“stop”) ‘0’\n‘1’‘1’\n‘0’‘0’ \n‘0’ \n‘1’ ‘1’\nFIG. 6. (a) Switch theory for tunable LGs. The red arrow refer s to the electromagnetic wave path\nthat allows passage. (b,c) Simulation for tunable LGs by swi tching the external magnetic fields for\ninput signals (b) [’1’, ’0’] and (c) [’0’, ’1’]. Input ’1’ cou ld be alternatively programmed to ’0’ (”stop”\nmode) or ’1’ (”skip” or ”work” mode.)\npropagate to the output port. If we assume that the presence o f the EM signal is logic ’1’\nand its absence is logic ’0’ (positive logic), then the Y-shaped struct ure functions as a versatile\nOR gate that can be externally adjusted by magnetic fields. Howeve r, the AND and NOT\ngates use negative logic, where the presence of the EM signal is rec ognized as logic ’0.’ In the\nAND operation, any input EM signal is treated as logic ’0,’ resulting in th e output EM signal\nalso being logic ’0.’ On the other hand, the NOT operation employs nega tive logic in either\nthe input or output port, with positive logic used in the remaining port . Figure 5(b) shows\nsimulations of the Y-shaped structure with f= 0.8fmwhen the EM signal is excited in only\none of the input ports. Imperfections on the YIG-YIG interface w ere introduced as air holes\nwithr= 0.5 mm to demonstrate the robustness of our proposed LGs. As a re sult, the LGs\nexhibits high CR of over 200 dB (infinity in theory). Figure 5(c) prese nts the corresponding\ntruth tables for the OR, AND, and NOT operations.\nAs mentioned earlier in Fig. 3, we can control the LGs based on the Y- shaped structure\nwith external magnetic fields (H 0). By changing the direction(s) of H 0, we can reverse the\npropagation direction of the one-way SMPs, as illustrated by the low er one-way regions in Fig.\n3(c,d), such as [0.6, 0.4, r] transitioning to [0.6, 0.4, s]. Another nota ble case is that changing\nthe direction(s) of H 0cancause the previous one-way regionto close, such as[0.6, 0.6, r] shifting\ntowards [0.6, 0.6, s]. In this scenario, there areno one-way regions , andthe entire band becomes\na band gap, preventing the propagation of EM signals. Additionally, a ltering the value of H 0,\neither by increasing or decreasing it, can significantly affect the logic operations. Therefore, the\noperating band of our proposed LGs can be easily tunned by changin g the external magnetic\nfields.\nBesides, we suggest an innovative approach to tune LGs, as demon strated in Fig. 6. This\nmethod achieves three modes of LGs by switching H 0orω0, namely the ”work,” ”stop,” and\n11”skip” modes. As initial magnetic-field parameters, we set ωa\n0= 0.6ωm,ωb\n0=−0.1ωm, and\nωc\n0= 0.1ωm. It is noteworthy that the ’-’ sign indicates the external magnetic field is in the\n+z direction. Upon exchanging ωb\n0(Hb\n0) andωc\n0(Hc\n0), it is easy to calculate that SMPs with\nf= 0.8fmhave opposite propagation directions in the original arms. Similarly, e xchanging ωc\n0\n(Hc\n0) andωa\n0(Ha\n0) reverses the propagation direction of SMPs in arm ’B,’ whereas the direction\nremains unchanged in other arms. Moreover, exchanging ωa\n0(Ha\n0) andωb\n0(Hb\n0) reverses the\npropagation direction of SMPs in arm ’A,’ whereas the direction remain s unaltered in other\narms. The simulation of the three modes of LG is illustrated in Figs. 6(b ) and 6(c). The orig-\ninal mode is designated the ”work” mode since it can work as LGs. Two methods can achieve\nthe ”stop” mode with EM signals being halted, and one method can acc omplish the ”skip”\nmode with EM signals skipping the present calculation. Therefore, ou r proposed Y-shaped\nLGs offers rich manipulation possibilities and is promising for programma ble optical commu-\nnication/devices. In contrast, traditional all-optical LGs typically operate at fixed frequencies\nand can be challenging to tune.\nV. CONCLUSION\nIn summary, we have devised a Y-shaped structure made of YIG lay ers with distinct mag-\nnetizations. The arms of the structure were categorized into two types: the ’EYYE-r’ type\nwith opposing magnetization directions and the ’EYYE-s’ type with ide ntical magnetization\ndirections. Our theoretical analysis of the ’EYYE-r’ and ’EYYE-s’ a rms led to the construction\nof two one-way channels capable of supporting topologically protec ted one-way SMPs. Further-\nmore, the implementation of basic logic gates, such as OR, AND, and N OT gates, is achieved\nthrough these broadband and topological one-way SMPs, resultin g in highly robust (resistant\nto backscattering and imperfections) and precise (theoretically in finite contrast ratio) LGs. In\naddition, we explored the tunability of these LGs. By adjusting exte rnal magnetic fields, the\none-way region can be easily modulated, either broadened or narro wed, the propagation direc-\ntions of the SMPs within the region can be completely reversed, or th e region can be closed.\nGiven the intriguing tunability of the operating band of the Y-shaped LGs. In addition, we\nproposed a potential application for the structure/LGs: by switc hing external magnetic fields,\nthree switchable modes (”work”, ”skip”, and ”stop”) can be achie ved. Our proposed LGs,\nbased on magnetized YIG, may pave the way for tunable all-optical lo gic operations and hold\npromise for high-efficiency programmable optical communication circ uits.\nACKNOWLEDGEMENT\nThis work was supported by the National Natural Science Foundat ion of Sichuan Province\n(No. 2023NSFSC1309), andtheopenfundofLuzhouKey Laborat oryof Intelligent Control and\nApplication of Electronic Devices (No. ZK202210), Sichuan Science a nd Technology Program\n(No. 2022YFS0616), the Science and Technology Strategic Coope ration Programs of Luzhou\n12Municipal People’s Government and Southwest Medical University (N o. 2019LZXNYDJ18).\nJ.X., K.Y., and Y.L. thanks for the support of the Innovation Labora tory of Advanced Medical\nMaterial&PhysicalDiagnosisandTreatmentTechnology. K.L.T.was supportedbytheGeneral\nSecretariat for Research and Technology (GSRT) and the Hellenic F oundation for Research and\nInnovation (HFRI) under Grant No. 4509.\n[1] Michael Riordan, Lillian Hoddeson, and Conyers Herring . The invention of the transistor. Rev.\nMod. Phys. , 71(2):S336–S345, 1999.\n[2] Saptarshi Das, Amritanand Sebastian, Eric Pop, Connor J . McClellan, Aaron D. Franklin, Tibor\nGrasser, Theresia Knobloch, Yury Illarionov, Ashish V. Pen umatcha, Joerg Appenzeller, Zhihong\nChen, Wenjuan Zhu, Inge Asselberghs, Lain-Jong Li, Uygar E. Avci, Navakanta Bhat, Thomas D.\nAnthopoulos, and Rajendra Singh. Transistors based on two- dimensional materials for future\nintegrated circuits. Nat. Electron. , 4:786–799, 2021.\n[3] Igor L. Markov. Limits on fundamental limits to computat ion.Nature, 512(7513):147–154, 2014.\n[4] Thomas Mueller, Fengnian Xia, and Phaedon Avouris. Grap hene photodetectors for high-speed\noptical communications. Nat. Photonics , 4:297–301, 2010.\n[5] D. Pierangeli, G. Marcucci, and C. Conti. Large-scale ph otonic ising machine by spatial light\nmodulation. Phys. Rev. Lett. , 122:213902, 2019.\n[6] Limin Tong, Rafael R. Gattass, Jonathan B. Ashcom, Saili ng He, Jingyi Lou, Mengyan Shen, Iva\nMaxwell, and Eric Mazur. Subwavelength-diameter silica wi res for low-loss optical wave guiding.\nNature, 426:816–819, 2003.\n[7] Vilson RAlmeida, Carlos A Barrios, RobertoR Panepucci, andMichal Lipson. All-optical control\nof light on a silicon chip. Nature, 431(7012):1081–1084, 2004.\n[8] Lei Wang and Baowen Li. Thermal logic gates: computation with phonons. Phys. Rev. Lett. ,\n99(17):177208, 2007.\n[9] Vakhtang Jandieri, Ramaz Khomeriki, and Daniel Erni. Re alization of true all-optical and\nlogic gate based on nonlinear coupled air-hole type photoni c crystal waveguides. Opt. Express ,\n26(16):19845–19853, 2018.\n[10] L He, WX Zhang, and XD Zhang. Topological all-optical lo gic gates based on two-dimensional\nphotonic crystals. Opt. Express , 27(18):25841–25859, 2019.\n[11] Yulan Fu, Xiaoyong Hu, Cuicui Lu, Song Yue, Hong Yang, an d Qihuang Gong. All-optical logic\ngates based on nanoscale plasmonic slot waveguides. Nano Lett. , 12(11):5784–5790, 2012.\n13[12] Xinxin Gao, Bao Jie Chen, Kam-Man Shum, Qing Le Zhang, Qi an Ma, Wen Yi Cui, Tie Jun\nCui, and Chi Hou Chan. Logic gates of terahertz spoof surface plasmons. Adv. Mater. Technol. ,\n8(4):2201225, 2023.\n[13] Yuhui Wang, Wenjing Liu, Zhurun Ji, Gaurav Modi, Minsoo Hwang, and Ritesh Agarwal. Co-\nherent interactions in one-dimensional topological photo nic systems and their applications in\nall-optical logic operation. Nano Lett. , 20(12):8796–8802, 2020.\n[14] Akihiro Fushimi and Takasumi Tanabe. All-optical logi c gate operating with single wavelength.\nOpt. Express , 22(4):4466–4479, 2014.\n[15] Ming-Hao Chao, Bo Cheng, Qing-Song Liu, Wen-Jing Zhang , Yun Xu, and Guo-Feng Song.\nNovel optical xor/or logic gates based on topologically pro tected valley photonic crystals edges.\nJ. Optics , 23(11):115002, 2021.\n[16] Zhen Chai, Xiaoyong Hu, Feifan Wang, Xinxiang Niu, Jing ya Xie, and Qihuang Gong. Ultrafast\nall-optical switching. Adv. Opt. Mater. , 5(7):1600665, 2017.\n[17] S. Ali Hassani Gangaraj and Francesco Monticone. Do tru ly unidirectional surface plasmon-\npolaritons exist? Optica, 6(9):1158, 2019.\n[18] SiddharthBuddhiraju,YuShi, Alex Song, Casey Wojcik, Momchil Minkov, IanA. D.Williamson,\nAvik Dutt, and Shanhui Fan. Absence of unidirectionally pro pagating surface plasmon-polaritons\nat nonreciprocal metal-dielectric interfaces. Nat. Commun. , 11:674, 2020.\n[19] Kosmas L. Tsakmakidis, Konstantinos Baskourelos, and Tomasz Stefa´ nski. Topological, non-\nreciprocal, and multiresonant slow light beyond the time-b andwidth limit. Appl. Phys. Lett. ,\n119(19):190501, 2021.\n[20] Jie Xu, Sanshui Xiao, Chiaho Wu, Hang Zhang, Xiaohua Den g, and Linfang Shen. Broadband\none-way propagation and rainbow trapping of terahertz radi ations.Opt. Express , 27(8):10659–\n10669, 2019.\n[21] Bin Hu, Qi Jie Wang, and Ying Zhang. Slowing down teraher tz waves with tunable group\nvelocities in a broad frequency range by surface magneto pla smons.Opt. Express , 20(9):10071–\n10076, 2012.\n[22] Bin Hu, Ying Zhang, and Qi Jie Wang. Surface magneto plas mons and their applications in the\ninfrared frequencies. Nanophotonics , 4(4):383–396, 2015.\n[23] K. L. Tsakmakidis, L. Shen, S. A. Schulz, X. Zheng, J. Uph am, X. Deng, H. Altug, A. F. Vakakis,\nand R. W. Boyd. Breaking lorentz reciprocity to overcome the time-bandwidth limit in physics\nand engineering. Science, 356(6344):1260–1264, 2017.\n[24] JieXu, PanpanHe, Delong Feng, KangleYong, LujunHong, Yun Shen, andYunZhou. Slow wave\nand truly rainbow trapping in a one-way terahertz waveguide .Opt. Express , 29(7):11328–11341,\n142021.\n[25] Qian Shen, Linfang Shen, Weidong Min, Jie Xu, Chiaho Wu, Xiaohua Deng, and Sanshui Xiao.\nTrapping a magnetic rainbow by using a one-way magnetostati c-like mode. Opt. Mater. Express ,\n9(11):4399, 2019.\n[26] Jie Xu, Fengwen Kang, Yamei Luo, Sanshui Xiao, and Kosma s L Tsakmakidis. All-optical digital\nlogic based on unidirectional modes. Adv. Opt. Mater. , 11(1):2201836, 2023.\n[27] Z. Wang, Y. Chong, J. D. Joannopoulos, and M. Soljaˇ ci´ c . Observation of unidirectional\nbackscattering-immune topological electromagnetic stat es.Nature, 461(7265):772–775, 2009.\n[28] MudiWang, Ruo-Yang Zhang, Lei Zhang, Dongyang Wang, Qi nghuaGuo, Zhao-QingZhang, and\nChe Ting Chan. Topological one-way large-area waveguide st ates in magnetic photonic crystals.\nPhys. Rev. Lett. , 126(6):067401, 2021.\n[29] Xinmei Song, Cuiyun Yang, Ruo Yuan, and Yun Xiang. Elect rochemical label-free biomolecular\nlogic gates regulated by distinct inputs. Biosensors and Bioelectronics , 202:114000, 2022.\n[30] Long Gao, Li Chen, Hong Wei, and Hongxing Xu. Lithograph ically fabricated gold nanowire\nwaveguides for plasmonic routers and logic gates. Nanoscale , 10(25):11923–11929, 2018.\n[31] Deng Pan, Hong Wei, and Hongxing Xu. Optical interferom etric logic gates based on metal slot\nwaveguide network realizing whole fundamental logic opera tions.Opt. Express , 21(8):9556–9562,\n2013.\n[32] TF Assun¸ c˜ ao, EM Nascimento, ASB Sombra, and ML Lyra. P hase-shift-controlled logic gates in\ny-shaped nonlinearly coupled chains. Phy. Rev. E , 93(2):022218, 2016.\n[33] David M Pozar. Microwave Engineering . John Wiley & Sons, New York, 2011.\n[34] Yun Zhou, Panpan He, Sanshui Xiao, Fengwen Kang, Lujun H ong, Yun Shen, Yamei Luo, and Jie\nXu. Realization of tunable index-near-zero modes in nonrec iprocal magneto-optical heterostruc-\ntures.Opt. Express , 30(15):27259–27272, 2022.\n[35] M´ ario G. Silveirinha. Chern invariants for continuou s media. Phy. Rev. B , 92(12):125153, 2015.\n[36] ZhengWang, YD Chong, John D Joannopoulos, and Marin Sol jaˇ ci´ c. Reflection-free one-way edge\nmodes in a gyromagnetic photonic crystal. Phys. Rev. Lett. , 100(1):013905, 2008.\n[37] Alexander M. Holmes, Mohsen Sabbaghi, and George W. Han son. Experimental realization of\ntopologically protected unidirectional surface magnon po laritons on ceramic YIG ferrites. Phy.\nRev. B, 104(21):214433, 2021.\n15" }, { "title": "1904.10517v1.Current_induced_switching_of_YIG_Pt_bilayers_with_in_plane_magnetization_due_to_Oersted_fields.pdf", "content": "Current-induced switching of YIG/Pt bilayers with in-plane magnetization\ndue to Oersted \felds\nJohannes Mendil,1,\u0003)Morgan Trassin,1Quingquing Bu,1Manfred Fiebig,1and Pietro Gambardella1\nDepartment of Materials, ETH Zurich, 8093 Zurich, Switzerland\n(Dated: 25 April 2019)\nWe report on the switching of the in-plane magnetization of thin yttrium iron garnet (YIG)/Pt bilayers\ninduced by an electrical current. The switching is either \feld-induced and assisted by a dc current, or current-\ninduced and assisted by a static magnetic \feld. The reversal of the magnetization occurs at a current density\nas low as 105A/cm2and magnetic \felds of \u001840\u0016T, two orders of magnitude smaller than in ferromagnetic\nmetals, consistently with the weak uniaxial anisotropy of the YIG layers. We use the transverse component\nof the spin Hall magnetoresistance to sense the magnetic orientation of YIG while sweeping the current. Our\nmeasurements and simulations reveal that the current-induced e\u000bective \feld responsible for switching is due\nto the Oersted \feld generated by the current \rowing in the Pt layer rather than by spin-orbit torques, and\nthat the switching e\u000eciency is in\ruenced by pinning of the magnetic domains.\nThe possibility of manipulating the magnetization of\nplanar structures using electrical currents opens excit-\ning perspectives in spintronics. Electrical currents can\na\u000bect the magnetization of thin \flms through the Oer-\nsted magnetic \feld,1{5spin transfer torques,6and spin-\norbit torques.7Previous work has focused on magneti-\nzation switching and domain wall dynamics induced by\nspin-orbit torques in metallic ferromagnets adjacent to a\nheavy metal layer.8{15Recently, investigations extended\ntowards insulating ferrimagnetic garnets, which, owing to\nthe low magnetic damping, are particularly appealing for\ngenerating and transmitting spin waves16{19as well as for\nmagnetization switching.20{22The most prominent expo-\nnent of this material class is yttrium iron garnet (YIG).\nExtensive work on the interplay of current-induced ef-\nfects and magnetization dynamics in YIG/Pt bilayers\ndemonstrated e\u000ecient spin-wave excitations,23{27spin-\nwave ampli\fcation,28,29and the control of magnetiza-\ntion damping.30So far, however, no attempt at current-\ninduced magnetization switching of YIG has been re-\nported. Two plausible reasons for the scarcity of results\nin this area are the extreme sensitivity of YIG to mag-\nnetic \felds, which makes it di\u000ecult to control the in-\ntermediate magnetization states, as well as to the need\nto utilize YIG \flms with uniaxial in-plane anisotropy,\nwhich is required to achieve binary switching. Indeed,\nthe electrical switching of garnet insulators has been re-\nported only for thin \flms with relatively large perpen-\ndicular anisotropy, such as thulium iron garnet layers in\ncombination with either Pt or W.20{22\nIn this paper, we investigate the reciprocal e\u000bects of\ncurrent and magnetic \feld on the switching of YIG/Pt\nbilayers with in-plane magnetic anisotropy. We demon-\nstrate \feld-induced switching assisted by a dc cur-\nrent as well as current-induced switching assisted by a\nstatic magnetic \feld at extremely low current density\n(\u0018105A/cm2) and bias \felds (40 \u000060\u0016T). We fur-\nther show that the magnetization reversal can be sensed\n\u0003)Electronic mail: johannes.mendil@mat.ethz.chelectrically by measuring the transverse component of the\nspin Hall magnetoresistance (SMR)31{33and adding an\nac modulation to the dc current inducing the switching.\nCurrent and thickness dependent measurements reveal\nthat the e\u000bective switching \feld is consistent with the\nOersted \feld generated by the current \rowing in the Pt\nlayer. No signi\fcant e\u000bect of spin-orbit torques was de-\ntected in the current range from 1 to 8 \u0002105A/cm2in-\nvestigated in this work. Our results are relevant for the\noperation of YIG-based spintronic devices at very low\ncurrent density in the thin \flm regime.\nYIG layers with thickness between 6 and 7 nm were\ngrown epitaxially by pulsed laser deposition on (111)-\noriented gadolinium gallium garnet substrates, followed\nby in-situ magnetron sputtering of a 3 nm thick poly-\ncrystalline Pt \flm with a sheet resistance of 160 \n. For\nelectrical measurements, the samples were patterned into\nHall bars using optical lithography followed by Ar-ion\nmilling [Fig. 1 (a)]. The current line is 50 \u0016m wide and is\noriented along the [1 \u001610] crystal direction of the substrate.\nThe separation between two consecutive Hall arms is\n500\u0016m. The YIG layers have in-plane magnetization\nwith saturation value Ms= (1:0\u00060:2)\u0002105A/m, which\nis smaller by about 30% compared to the Msof bulk YIG.\nThis reduced Ms, typical for very thin YIG, is assigned to\nthe di\u000busion of Gd atoms from the substrate into YIG.34\nIn addition to the shape anisotropy, the layers have a\nrather strong easy plane anisotropy, corresponding to an\ne\u000bective isotropic anisotropy \feld of about 75 mT, and\na weaker in-plane uniaxial anisotropy, corresponding to\nan in-plane anisotropy \feld BK\u001940\u000050\u0016T, which is\nnot correlated to a speci\fc crystal direction. The ori-\ngin of the uniaxial in-plane anisotropy in the epitaxial\nYIG(111) layers is attributed to local strain variations in-\ntroduced during the microfabrication process. A detailed\nstructural and magnetic characterization of our samples\nis reported in Ref. 34.\nTo sense the magnetic orientation and current-induced\ne\u000bective \felds, we performed harmonic Hall voltage\nmeasurements,7,35whereby an ac current with a fre-\nquency of 10 Hz and current density j= 105A/cm2isarXiv:1904.10517v1 [cond-mat.str-el] 23 Apr 20192\nsent through the Hall bar while the transverse resistance\nis acquired and decomposed into its harmonic compo-\nnents. To derive the orientation of the in-plane magneti-\nzation, it is su\u000ecient to consider the \frst harmonic Hall\nresistanceRxyas a function of the direction of the ex-\nternal magnetic \feld Bext. The azimuthal angles of Bext\nand magnetization are 'Band', respectively, de\fned\nwith respect to the current direction. The correspond-\ning polar angles are \u0012Band\u0012[see Fig. 1 (a)]. Bextis\nmeasured by a calibrated Hall sensor placed next to the\nsample, without correction for the earth's magnetic \feld.\nAll experiments are performed at room temperature.\nFigure 1 (b) shows Rxyof YIG(6 nm)/Pt(3 nm) mea-\nsured as a function of 'BforBext= 7 mT (green curve)\nand 60\u0016T (black curve). As \u0012=\u0012B=\u0019=2, the Hall\nresistance is determined by the planar Hall-like contribu-\ntion from the SMR31,32\nRxy=R?sin(2'); (1)\nwhereR?denotes the transverse SMR coe\u000ecient. If\nthe magnetization is saturated parallel to the \feld, we\nhave that'='BandRxy=R?sin(2'B), in agreement\nwith the measurement performed at Bext= 7 mT. Con-\nversely, for Bext= 60\u0016T, that is, comparable or smaller\nthanBK, we observe signi\fcant deviations from the sat-\nurated behavior. These deviations consist in a reduction\nof the signal amplitude, due to '6='B, and two abrupt\njumps separated by 180\u000e. We attribute these jumps to\nthe sudden switch of the magnetization from the positive\nto the negative direction (relative to the easy axis) as\nBextcrosses the hard axis, consistently with the uniaxial\nin-plane anisotropy of our \flms.\nIn order to support this hypothesis and quantify BK,\nwe performed macrospin simulations based on the mag-\nnetic energy functional\nE=\u0000M\u0001Bext+MsBKsin2('\u0000'EA)\u0000M\u0001BI;(2)\nwhere the \frst two terms on the right hand side cor-\nrespond to the Zeeman energy and uniaxial in-plane\nanisotropy energy, respectively, and the last term repre-\nsents the interaction between the magnetization Mand\nthe current-induced magnetic \feld BI, which we will dis-\ncuss later on. Minimization of Efor a given set of 'Bat\nconstantBextandBI= 0 yieldsBKand a set of values ',\nwhich we use to simulate Rxyusing Eq. (1). The best \ft\nbetween simulations and data is achieved for BK= 40\u0016T\nand an easy axis 'EA= 63\u000e. TheRxycurves calculated\nusing these parameters are shown in Fig. 1 (c) for di\u000ber-\nent values of Bext. The simulations reproduce fairly well\nthe main features of the Hall resistance measurements,\nnamely the lineshape, the amplitude and position of the\njumps, and their separation by 180\u000e. We thus conclude\nthat the macrospin model is appropriate to describe the\nbehavior of the magnetization, at least in the Hall cross\nregion probed by Rxy.\nSinceBextandBKare in the range of tens of \u0016T,\nwe expect that any additional current-induced \feld BIshould have a pronounced impact on the orientation of\nthe magnetization, even for very small current densities.\nTo prove this point, we added a dc o\u000bset to the ac cur-\nrent and measured Rxyat low \feld as a function of 'B.\nFor a dc o\u000bset of 8 \u0002105A/cm2, we observe that the\nangle'Bat which the magnetization switches shifts by\nan amount \u0001 '. The sign of \u0001 'depends on the polarity\nof the dc current, as shown by the red and blue curves\nin Fig. 1 (b). Such a shift is attributed to the action of a\ndc \feldBI, which assists Bextsuch as to favor or hinder\nthe switching of the magnetization in proximity of the\nhard axis [Fig. 1 (d)]. Accordingly, in the \frst hemicycle\n(0\u000e\u0014'B<180\u000e), a negative (positive) current shifts\nthe magnetization reversal towards smaller (larger) 'B,\nwhereas, in the second hemicycle (180\u000e\u0014'B<360\u000e),\nthe opposite e\u000bect occurs.\n(d)\njB\nBIDj\nBextMx\nyz(a)\n0 90 180 270 360\nj (deg)B-20R (mW) xy20(b)\n0\n20\n10\n150 170330 350\nj (deg)B(e)5 2\nj(10 A/cm ) dc\n-8-7-6-5 +5+6+7+8 0\nI-\nI+I-0 90 180 270 360\nj (deg)B-20R (mW) xy20(c)\n0\n20\n10\n150 170330 350\nj (deg)B(f) B(mT)I\n-20-15-10-5 5101520 0\nB<0I\nB>0IB<0IHAR (mW) xy\nFIG. 1. (a) Schematics of the YIG/Pt Hall bar with the\ncoordinate system. (b) Rxyof YIG(6 nm)/Pt(3 nm) measured\nas a function of 'BatBext= 7 mT (green line) and 60 \u0016T\n(black line). The red an blue lines are measured at Bext=\n60\u0016T in the presence of a dc o\u000bset of 8 and -8 \u0002105A/cm2,\nrespectively. (c) Macrospin simulations of the data shown\nin (b). (d) Diagram showing the combined e\u000bect of BIand\nBexton magnetization switching in proximity of the hard axis\n(HA). (e) Detail of the shift of Rxyas a function of dc o\u000bset\nand (f) macrospin simulations.\nIn order to quantify BI, we performed a series of mea-\nsurements for positive and negative dc o\u000bsets, shown in3\nFig. 1 (e). We then \ftted the Rxycurves using the en-\nergy functional from Eq. (2) while keeping BKand'EA\nequal to the values determined in the absence of a dc cur-\nrent andBIas the only free parameter. The simulations,\nshown in Fig. 1 (f), reproduce well the current-dependent\nswitching observed in Fig. 1 (e). Overall, the model sup-\nports the presence of a \feld BIk\u0006yfor a dc current\njdck\u0006x, which has the same symmetry as the Oersted\n\feld expected from the current \rowing in the Pt layer.\nThe current dependence of BI, reported in Fig. 2, further\nshows that BIscales linearly as a function of jdcand that\nits amplitude is comparable with the Oersted \feld calcu-\nlated from Amp\u0012 ere's law as BOe=\u00160jdctPt=2\u00190:19 mT\nforjdc= 107A/cm2(thin black line), where tPtis the\nthickness of Pt and \u00160denotes the vacuum permeability.\n0 2 4 6 8 10\n|j| dc5 2\n(10 A/cm )B (mT)I10\n0\n-10\n4 6 8 29 9000.10.20.3\nt (nm)YIG7 -2\nB /j (mT/10 Acm ) FL+Oe DC induced shift\nBOe(b)\nj >0dc\n BOe\n fitsj <0dc harmonic Hall\nFIG. 2. Current dependence of BIin YIG(6 nm)/Pt(3 nm)\nfor positive and negative dc o\u000bsets. The red and blue lines are\nlinear \fts to the data. The thin black lines show the Oersted\n\feld calculated from Amp\u0012 ere's law.\nThe presence of uniaxial in-plane anisotropy and the\n\fniteBIallow us to switch the YIG magnetization by\nramping the dc current in Pt. To enable the current-\ninduced switching, we select a con\fguration in which the\nmagnetization is bistable, namely the hysteretic region\nofRxyshown by the red curve in Fig. 3 (a). We thus\n\fxBext= 34\u0016T at'B= 160\u000ewhen sweeping from\n360\u000eto 160\u000ewhich corresponds to the point indicated\nby the dashed line in Fig. 3 (a). In this con\fguration,\nthe magnetization is tilted towards the hard axis. We\nthen ramp the dc current towards positive values and si-\nmultaneously record Rxy[red curve in Fig. 3 (b)]. From\nour former analysis, we expect that BIinduces a tilt\n\u0001'that will eventually lead to switching. Indeed, when\nreachingjdc= 5\u0002105A/cm2, we observe a step-like\ndecrease of Rxyindicating the reversal of the magneti-\nzation, followed by a parabolic-like increase of Rxyat\nhigher current, which we assign to a tilt of the magne-\ntization in areas close to the Hall cross that have not\nswitched. When sweeping the current back to zero, Rxy\nremains in the low resistance level (black curve). More-\nover, the resistance switches back to the initial value at\njdc=\u00002\u0002105A/cm2. This behavior is similar to that\nreported for the current-induced switching of strained\nGaMnAs layers, with the di\u000berence that BIin GaMnAsoriginates from spin-orbit coupling rather than by the\nOersted \feld.36\nFigures 3 (c) and (d) further show that the switching\nis reproducible for a sequence of positive and negative\ncurrent pulses. In particular, the high and low levels\nofRxyreproduce the full excursion of the Rxysignal\natBext= 34\u0016T [red curve in Fig. 3 (a)] and persist\nat zero dc current con\frming the remanent character\nof the switching. Moreover, applying two consecutive\npulses with the same current polarity does not lead to an\nadditional increase or decrease of Rxy, suggesting that\nthe switching occurs between well-de\fned magnetization\nstates, suggesting that the reversal process involves a ma-\njoritary and reproducible portion of the magnetic layer\nin the proximity of the Hall cross. Additional e\u000bects due\nto Joule heating are neglected, since the temperature in-\ncrease derived from measurements of the resistivity dur-\ning current injection is lower than 1 K.\n0 90 180 270 360-4-202\n-10 -5 0 5 101234\n-808R (mW) xy\nj (deg) B 4.8 mT\n 34 mT fwd\n bkw(b)\nc)(\n0 8 16 24 32\ntime (sec)24(d)(a)\n0 90 180 270 360-4-2024\n-10 -5 0 5 101234\n24\n0 8 16 24 32-808Rxy (mW)\njB (deg) 4.8 mT\n 340 mT\njdc (105 A/cm2) up\n down\n upRxy (mW) jdc (105 A/cm2)\ntime (sec)\nR (mW) xy5 2\nj (10 A/cm ) xc5 2\nj (10 A/cm ) dc\nFIG. 3. (a) Rxyof YIG(7 nm)/Pt(3 nm) as a function of 'B\natBext= 4:8 mT (black line) Bext= 34\u0016T (red line). The\ndashed line indicates the value of 'Bused for current-induced\nswitching. (b) Rxyduring a forward (red curve) and backward\ndc current sweep (black curve). (c,d) Current sequence and\nRxymeasured at 'B= 160\u000eandBext= 34\u0016T.\nBefore concluding, we discuss the origin of the current-\ninduced \feld BI. As seen in Fig. 2, BIis only slightly\nsmaller than BOe, suggesting that BIis dominated by\nthe Oersted \feld, with possibly a small opposing spin-\norbit e\u000bective \feld at the interface with Pt.7This con-\nclusion is consistent with earlier work on the current-\ninduced ferromagnetic resonance of YIG/Pt bilayers.37\nAs the Oersted \feld acts on the entire magnetic vol-\nume of YIG, we also expect that BIdoes not depend\non the YIG thickness ( tYIG). Measurements of the an-\ngular shifts \u0001 'as a function of tYIG, however, give val-4\nues ofBIthat vary signi\fcantly between tYIG=3.5 nm\nand 7 nm, and \fnally saturate to about 0.05 mT/(107\nAcm\u00002) fortYIG\u00159 nm (dotted circles in Fig. 4),\nwhich is much smaller than BOe\u00190:19 mT/(107Acm\u00002)\n(gray line in Fig. 4). Whereas the increase of BIbe-\ntweentYIG=3.5 nm and 4.5 nm can be attributed to\na reduction of the interfacial spin-orbit e\u000bective \feld,\nwhich has opposite direction relative to BOeand scales\nas 1/tYIGMs, the monotonic decrease of BIobserved at\ntYIG>4:5 nm has apparently no explanation. Further-\nmore, harmonic Hall voltage measurements7,35ofBIper-\nformed on thick YIG samples yield values of BIthat are\nconsistent with BOe(dotted triangles in Fig. 4), in clear\ncontrast with BIdetermined from the angular shifts of\nthe hysteretic Rxycurves. This apparent discrepancy can\nbe reconciled by taking into account the pinning of do-\nmain walls, which in\ruences the magnetization reversal\nand hence the values of BIdetermined using the angular\nshift method. Indeed, x-ray photoelectron emission mi-\ncroscopy shows that the domain morphology of YIG un-\ndergoes a transition around tYIG= 9 nm, changing from\nan irregular elongated pattern to 100 \u0016m-wide pinned\nzigzag domains.34We therefore conclude that BIorigi-\nnates mostly from the Oersted \feld, and that its e\u000bect\non the magnetization is highly sensitive to the local pin-\nning \feld, which depends strongly on tYIG. Finally, we\nnote that the harmonic Hall voltage measurements were\nnot feasible in the thinner samples due to additional ef-\nfects overlapping with the Oersted \feld and spin-orbit\ntorques, which are likely due to the small coercivity of\nthe layers and prevent a reliable analysis of the data.\n0 2 4 6 8 10\n|j| DC5 2\n(10 A/cm )B (mT) FL+Oe10\n0\n-10\n4 6 8 29 9000.10.20.3\nt (nm)YIGB (mT)I DC induced shift\nBOe(b)j>0DC\nj<0DCj >0DC\n BOe\n fitsj <0DC\n harmonic Hall(a)\nFIG. 4. Thickness dependence of the current-induced e\u000bective\n\feldBImeasured by the angular shift method (dotted circles)\nand harmonic Hall voltage measurements (dotted triangles).\nThe data are shown for a current density jdc= 107A/cm2.\nIn summary, we have shown that the current-induced\ne\u000bective \feld BIis su\u000ecient to reversibly manipulate the\ndirection of the magnetization in YIG/Pt bilayers with\nin-plane anisotropy in the presence of a weak static ex-\nternal \feld. In YIG \flms thicker than 4 nm, BIis con-\nsistent in sign and magnitude with the Oersted \feld gen-\nerated by the current \rowing in the Pt layer. Current-\ninduced switching is achieved at an extremely small cur-\nrent density (2\u0002105A/cm2), which is two orders of mag-nitude smaller compared to the dc current switching of\nmetallic ferromagnets such as Pt/Co38, ferrimagnets such\nas Pt/GdCo39, and even thulium iron garnet/Pt.20We\nattribute this di\u000berence to the extremely small uniax-\nial anisotropy and depinning \feld of YIG compared to\nferro- and ferrimagnets with perpendicular anisotropy.\nThe switching e\u000eciency decreases in \flms thicker than\n7 nm, which we attribute to a change of the domain mor-\nphology and increased pinning of the magnetic domain\nwalls.34Strain engineering of YIG thin \flms may be used\nto further tailor the magnetic anisotropy40and hence the\nswitching behavior of YIG in response to current-induced\n\felds of either Oersted or spin-orbit origin. Our results\nshould also be taken as a cautionary warning about the\npossible undesired switching of YIG at current densities\ncommonly used to excite and sense the magnetization of\nPt/YIG bilayers.\nACKNOWLEDGMENTS\nWe acknowledge \fnancial support by the Swiss Na-\ntional Science Foundation under grant no. 200020-\n172775. We thank Can Onur Avci for valuable discus-\nsions.\n1D. Morecroft, I. A. Colin, F. J. Casta~ no, J. A. C. Bland, and\nC. A. Ross, Phys. Rev. B 76, 054449 (2007).\n2L. Yuan, D. S. Wisbey, S. T. Halloran, D. P. Pappas, F. C. S.\nda Silva, and H. Z. Fardi, Journal of Applied Physics 106, 113919\n(2009).\n3V. Uhl\u0013 \u0010\u0014 r, S. Pizzini, N. Rougemaille, V. Cros, E. Jim\u0013 enez,\nL. Ranno, O. Fruchart, M. Urb\u0013 anek, G. Gaudin, J. Camarero,\nC. Tieg, F. Sirotti, E. Wagner, and J. Vogel, Phys. Rev. B 83,\n020406 (2011).\n4C. Nam and B.-K. Cho, Applied Physics Express 4, 113004\n(2011).\n5A. Fuhrer, S. Alvarado, G. Salis, and R. Allenspach, Applied\nPhysics Letters 98, 202104 (2011).\n6A. Brataas, A. D. Kent, and H. Ohno, Nature Materials 11, 372\n(2012).\n7K. Garello, I. M. Miron, C. O. Avci, F. Freimuth, Y. Mokrousov,\nS. Bl ugel, S. Au\u000bret, O. Boulle, G. Gaudin, and P. Gambardella,\nNature Nanotechnology 8, 587 (2013).\n8A. Manchon, I. Miron, T. Jungwirth, J. Sinova, J. Zelezn\u0012 y,\nA. Thiaville, K. Garello, and P. Gambardella, arXiv preprint\narXiv:1801.09636 (2018).\n9I. M. Miron, G. Gaudin, S. Au\u000bret, B. Rodmacq, A. Schuhl,\nS. Pizzini, J. Vogel, and P. Gambardella, Nature Materials 9,\n230 (2010).\n10I. M. Miron, K. Garello, G. Gaudin, P. J. Zermatten, M. V.\nCostache, S. Au\u000bret, S. Bandiera, B. Rodmacq, A. Schuhl, and\nP. Gambardella, Nature 476, 189 (2011), arXiv:1111.1216.\n11C. O. Avci, K. Garello, I. Mihai Miron, G. Gaudin, S. Au\u000bret,\nO. Boulle, and P. Gambardella, Applied Physics Letters 100,\n212404 (2012).\n12L. Liu, C.-F. Pai, Y. Li, H. W. Tseng, D. C. Ralph, and R. A.\nBuhrman, Science 336, 555 (2012).\n13S. Emori, U. Bauer, S.-M. Ahn, E. Martinez, and G. S. D. Beach,\nNature Materials 12, 611 (2013).\n14K.-S. Ryu, L. Thomas, S.-H. Yang, and S. Parkin, Nature Nan-\notechnology 8, 527 (2013).\n15M. Baumgartner, K. Garello, J. Mendil, C. O. Avci, E. Grimaldi,\nC. Murer, J. Feng, M. Gabureac, C. Stamm, Y. Acremann,5\nS. Finizio, S. Wintz, J. Raabe, and P. Gambardella, Nature\nNanotechnology 12, 980 (2017).\n16K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and\nE. Saitoh, Applied Physics Letters 97, 172505 (2010).\n17L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and B. J.\nVan Wees, Nature Physics 11, 1022 (2015).\n18S. T. Goennenwein, R. Schlitz, M. Pernpeintner, K. Ganzhorn,\nM. Althammer, R. Gross, and H. Huebl, Applied Physics Letters\n107, 172405 (2015).\n19M. Evelt, V. E. Demidov, V. Bessonov, S. O. Demokritov, J. L.\nPrieto, M. Muoz, J. Ben Youssef, V. V. Naletov, G. de Loubens,\nO. Klein, M. Collet, K. Garcia-Hernandez, P. Bortolotti, V. Cros,\nand A. Anane, Applied Physics Letters 108, 172406 (2016).\n20C. O. Avci, A. Quindeau, C.-F. Pai, M. Mann, L. Caretta, A. S.\nTang, M. C. Onbasli, C. A. Ross, and G. S. D. Beach, Nature\nMaterials 16, 309 (2017).\n21C. O. Avci, E. Rosenberg, M. Baumgartner, L. Beran, A. Quin-\ndeau, P. Gambardella, C. A. Ross, and G. S. D. Beach, Applied\nPhysics Letters 111, 072406 (2017).\n22Q. Shao, C. Tang, G. Yu, A. Navabi, H. Wu, C. He, J. Li,\nP. Upadhyaya, P. Zhang, S. A. Razavi, et al. , Nat. Comm. 9,\n3612 (2018).\n23M. Schreier, T. Chiba, A. Niedermayr, J. Lotze, H. Huebl,\nS. Gepr ags, S. Takahashi, G. E. W. Bauer, R. Gross, and S. T. B.\nGoennenwein, Physical Review B 92, 144411 (2015).\n24J. Sklenar, W. Zhang, M. B. Jung\reisch, W. Jiang, H. Chang,\nJ. E. Pearson, M. Wu, J. B. Ketterson, and A. Ho\u000bmann, Phys-\nical Review B 92, 174406 (2015).\n25V. Lauer, M. Schneider, T. Meyer, T. Brcher, P. Pirro, B. Heinz,\nF. Heussner, B. Lgel, M. C. Onbasli, C. A. Ross, B. Hillebrands,\nand A. V. Chumak, IEEE Magnetics Letters 8, 1 (2017).\n26M. Collet, X. de Milly, O. d'Allivy Kelly, V. V. Naletov,\nR. Bernard, P. Bortolotti, J. Ben Youssef, V. E. Demidov,\nS. O. Demokritov, J. L. Prieto, M. Mu~ noz, V. Cros, A. Anane,\nG. de Loubens, and O. Klein, Nature Communications 7, 10377\n(2016).\n27V. Demidov, M. Evelt, V. Bessonov, S. Demokritov, J. Prieto,\nM. Mu~ noz, J. B. Youssef, V. Naletov, G. De Loubens, O. Klein,et al. , Scienti\fc reports 6, 32781 (2016).\n28E. Padr\u0013 on-Hern\u0013 andez, A. Azevedo, and S. Rezende, Applied\nPhysics Letters 99, 192511 (2011).\n29V. Lauer, D. Bozhko, T. Br acher, P. Pirro, V. Vasyuchka,\nA. Serga, M. Jung\reisch, M. Agrawal, Y. V. Kobljanskyj,\nG. Melkov, et al. , Applied Physics Letters 108, 012402 (2016).\n30Z. Wang, Y. Sun, Y.-Y. Song, M. Wu, H. Schulthei\u0019, J. E.\nPearson, and A. Ho\u000bmann, Applied Physics Letters 99, 162511\n(2011).\n31H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida, Y. Kaji-\nwara, D. Kikuchi, T. Ohtani, S. Gepr ags, M. Opel, S. Takahashi,\nR. Gross, G. E. W. Bauer, S. T. B. Goennenwein, and E. Saitoh,\nPhysical Review Letters 110, 206601 (2013).\n32Y.-T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T. B.\nGoennenwein, E. Saitoh, and G. E. W. Bauer, Physical Review\nB87, 144411 (2013).\n33N. Vlietstra, J. Shan, V. Castel, J. B. Youssef, G. E. W. Bauer,\nand B. J. van Wees, Applied Physics Letters 103, 032401 (2013).\n34J. Mendil, M. Trassin, Q. Bu, J. Schaab, M. Baumgartner,\nC. Murer, P. T. Dao, J. Vijayakumar, D. Bracher, C. Bouil-\nlet, C. A. F. Vaz, M. Fiebig, and P. Gambardella, Phys. Rev.\nMaterials 3, 034403 (2019).\n35C. O. Avci, K. Garello, M. Gabureac, A. Ghosh, A. Fuhrer, S. F.\nAlvarado, and P. Gambardella, Physical Review B 90, 224427\n(2014).\n36A. Chernyshov, M. Overby, X. Liu, J. K. Furdyna, Y. Lyanda-\nGeller, and L. P. Rokhinson, Nature Physics 5, 656 (2009).\n37Z. Fang, A. Mitra, A. Westerman, M. Ali, C. Ciccarelli, O. Ces-\npedes, B. Hickey, and A. Ferguson, Applied Physics Letters 110,\n092403 (2017).\n38K. Garello, C. O. Avci, I. M. Miron, M. Baumgartner, A. Ghosh,\nS. Au\u000bret, O. Boulle, G. Gaudin, and P. Gambardella, Applied\nPhysics Letters 105, 212402 (2014).\n39R. Mishra, J. Yu, X. Qiu, M. Motapothula, T. Venkatesan, and\nH. Yang, Physical Review Letters 118, 167201 (2017).\n40H. Wang, C. Du, P. C. Hammel, and F. Yang, Phys. Rev. B 89,\n134404 (2014)." }, { "title": "1802.03176v2.Monocrystalline_free_standing_3D_yttrium_iron_garnet_magnon_nano_resonators.pdf", "content": "Monocrystalline free standing 3D yttrium iron garnet magnon\nnano resonators\nF. Heyroth,1C. Hauser,2P. Trempler,2P. Geyer,2F. Syrowatka,1\nR. Dreyer,2S.G. Ebbinghaus,3G. Woltersdorf,2and G. Schmidt2, 1,∗\n1Interdisziplin¨ ares Zentrum f¨ ur Materialwissenschaften,\nMartin-Luther-Universit¨ at Halle-Wittenberg, D-06120 Halle, Germany\n2Institut f¨ ur Physik, Martin-Luther-Universit¨ at Halle-Wittenberg, D-06120 Halle, Germany\n3Institut f¨ ur Chemie, Martin Luther Universit¨ at Halle-Wittenberg, D-06120 Halle, Germany\nAbstract\nNano resonators in which mechanical vibrations and spin waves can be coupled are an intriguing\nconcept that can be used in quantum information processing to transfer information between\ndifferent states of excitation. Until now, the fabrication of free standing magnetic nanostructures\nwhich host long lived spin wave excitatons and may be suitable as mechanical resonators seemed\nelusive. We demonstrate the fabrication of free standing monocrystalline yttrium iron garnet\n(YIG) 3D nanoresonators with nearly ideal magnetic properties. The freestanding 3D structures\nare obtained using a complex lithography process including room temperature deposition and lift-\noff of amorphous YIG and subsequent crystallization by annealing. The crystallization nucleates\nfrom the substrate and propagates across the structure even around bends over distances of several\nmicrometers to form e.g. monocrystalline resonators as shown by transmission electron microscopy.\nSpin wave excitations in individual nanostructures are imaged by time resolved scanning Kerr\nmicroscopy. The narrow linewidth of the magnetic excitations indicates a Gilbert damping constant\nof onlyα= 2.6×10−4rivalling the best values obtained for epitaxial YIG thin film material. The\nnew fabrication process represents a leap forward in magnonics and magnon mechanics as it provides\n3D YIG structures of unprecedented quality. At the same time it demonstrates a completely new\nroute towards the fabrication of free standing crystalline nano structures which may be applicable\nalso to other material systems.\nKeyword: Magnonics, 3D nano-fabrication, Magnon resonators, Magnon mechanics, Spin cavit-\nronics, YIG nanostructures\n∗Correspondence to G. Schmidt: georg.schmidt@physik.uni-halle.de\n1arXiv:1802.03176v2 [cond-mat.mes-hall] 5 Apr 2019I. INTRODUCTION\nNanomechanical oscillators are useful tools for quantum information processing. Over\nthe past decade numerous groups have for example demonstrated the conversion of quan-\ntum information from the microwave to the optical regime by means of a micromechanical\nresonator[1–4] . By coupling of electrical excitations in superconducting qubits to mechan-\nical oscillators[5] even readout of quantum information has been demonstrated[6, 7] . The\nnecessary interaction was often obtained by electric fields as in capacitive drum resonators.\nAnother suitable mechanism for information transfer, however, can make use of the cou-\npling of magnetic fields to spin wave modes in a magnon resonator. Indeed the coupling of\na magnon mode in a macroscopic yttrium iron garnet (YIG) sphere to a single qubit has\nalready been demonstrated in 2015[8] . For downscaling and integration, however, smaller\nYIG structures are needed. Taking these results into account it is a promising perspective\nto realize a new transfer mechanism by coupling magnons to mechanical oscillations in a\nnanomechanical resonator via magnetoelastic coupling. Obviously, YIG would be an ideal\ncandidate for these resonators since YIG is the material with the lowest known Gilbert\ndamping[9] and it exhibits extremely long lifetimes for spin waves (magnons) in the µs\nregime. As a single crystalline garnet material with a Young’s modulus of the same order\nof magnitude as that of silicon carbide it is expected to also provide low losses for me-\nchanical waves (phonons) and may yield nanoresonators with high quality factors. Again\nin macroscopic YIG spheres in the sub-mm range the coupling of magnons to phonons\nhas already been demonstrated[10] . However, up to now no method was known to shape\nthree-dimensional nanostructures from monocrystalline YIG. Nanopatterning of thin films\nwith reasonable quality has been demonstrated[11–15] , but no patterning of nano-sized free\nstanding resonators has been put forward. Nevertheless, it would be extremely attractive if\nmicron- or sub-micron sized YIG bridges or cantilevers were available. The mechanical res-\nonance frequencies in such structures may be easily engineered to fall in the range of typical\nmagnon frequencies[16] . As a first step in this direction we have realized the fabrication of\nfreely suspended YIG microbridges with very low damping for spin waves. Although the\nmechanical properties could not yet be investigated in detail, mechanical resonance frequen-\ncies calculated for their dimensions using the elastic properties of YIG fall into the range of\nseveral hundred MHz and may even reach the GHz regime.\n2II. 3D NANO FABRICATION\nFabrication techniques for suspended single crystal nanostructures mostly use subtractive\nprocessing by removing material from a single crystal (bulk or layer). The most straight\nforward method uses focused ion beam (FIB) lithography to directly shape the desired\nstructure from bulk or thin film[17] . Although very flexible in terms of possible geometries\nthis technique suffers from the possible damage to the crystal structure by extended beam\ntails which might be detrimental for the magnetic properties of YIG. Also it requires lateral\naccess for the beam in order to remove the material underneath the suspended structure\npreventing the creation of multiple structures in close vicinity. Alternatively a crystalline\nfilm (resonator material) may be deposited on top of a sacrificial layer. The resonator itself is\nshaped by lithography and dry etching and only becomes free-standing when the underlying\nsacrificial layer is removed by highly selective wet chemical etching[18, 19] . The resulting\ngeometry, however, has several limitations. It is not truly three dimensional but only a\npartly suspended two dimensional structure. Also the suspended resonator must be more\nnarrow than the un-suspended pads to which it is attached. Otherwise the pads are under-\netched during the removal of the sacrificial layer. Unfortunately no sacrificial layers are\nknown for high quality crystalline YIG films which can only be deposited on garnet surfaces\n(especially gallium gadolinium garnet, GGG) and no selective wet etchants are available for\nthese materials.\nOn the other hand nanoscale additive fabrication of polycristalline materials is achieved\nby electron beam lithography, evaporation, and lift-off. A typical example is the fabrication\nof metallic air bridges, well known since more than a decade [20–22] . The process allows for\ndensely packed structures with high flexibility in terms of geometry. However, it requires low\ntemperature deposition of the material because of the limited thermal stability of electron\nbeam resists. This prevents its use for the patterning of monocrystalline materials such as\nYIG, which in most cases need to be deposited at elevated temperatures.\nA new kind of deposition method for thin film YIG has recently been demonstrated.\nAmorphous YIG films are deposited at room temperature on GGG using either pulsed laser\ndeposition[23, 24] or sputtering[25] . In a subsequent annealing step the material adapts\nto the lattice structure of the substrate resulting in thin single-crystalline YIG films. Sur-\nprisingly, the quality of these films in terms of damping surpasses the quality of thin films\n3deposited at high temperature[23–25] . Because deposition is done at room temperature this\ndeposition method is compatible with electron beam lithography. In this way the fabrication\nof laterally nanopatterned YIG with reasonably small Gilbert damping constants has been\ndemonstrated recently[11, 12, 14] . Theoretically, this process also allows the fabrication of\nbeams and bridges when it is adapted to the patterning process used for metal bridges de-\nscribed above. Nevertheless, the higher kinetic energies of the deposited particles in pulsed\nlaser deposition compared to evaporation may necessitate a specially adapted resist profile\nto guarantee a successful lift-off. Further on the recrystallization is more challenging. In\na thin film, crystallization needs to progress only vertically from the substrate to the film\nsurface (with a typical distance of 100 nm or less). In a bridge structure, however, the\ncrystallization starts at the base of the supporting pillars which are in contact with the sub-\nstrate and then needs to progress around bends across the entire span of the bridge in order\nto achieve a monocrystalline structure. Any additional nucleation site for crystallization\nmay disturb the process and introduce an additional grain boundary. As we show in the\nfollowing, it is possible to realize such a 3D lift-off process for YIG with the crystallization\n(which indeed starts at the substrate) extending throughout the complete bridge structure\neven over distances of several micrometers.\nIII. PROCESSING\nFigs. 1a-d schematically show the applied process flow. A thick PMMA layer on a\n<111>oriented GGG substrate is patterned using electron beam lithography at different\nelectron acceleration voltages for the span (low voltage/LV) and pillars (high voltage/HV)\nof the bridges, respectively (Fig. 1a). Further details are provided in the methods section.\nThe resulting structure after development of the e-beam resist is shown in Fig. 1b. It exhibits\nholes down to the substrate for the pillars and a groove for the span of the bridge. At the\nsides the groove has a slight undercut which later facilitates the lift-off process. Onto the\ndeveloped structure the amorphous YIG material is deposited by PLD at room temperature\n(Fig. 1c). Subsequent lift-off and resist removal results in a bridge structure (Fig. 1d) which\nis finally annealed. Fig. 2a shows a scanning electron microscopy (SEM) image of a YIG\nbridge prior to (a) and after annealing (b). The bridge has a nominal span length of 2 µm\nand a YIG layer thickness of approximately 110 nm. The length of the span does not change\n4during the annealing step within the measurement accuracy of the SEM. For the experi-\nment shown here the pillars are not placed at the end of the bridges. This design yields an\noverhang at the end to combine the investigation of short cantilevers fixed on one end only\nwith that of bridge structures which are clamped at both ends. The resulting bridges and\ncantilevers are flat and strain free after the lift-off. Subsequent to annealing the bridge itself\nremains mostly unchanged, however, the overhang is bent upward (Fig. 2b) indicating the\npresence of strain.\nDuring the crystallization at more than than 800◦C the lattice can reorder and a structure\nwith very little or no strain is created. During cool-down, however, the difference in thermal\nexpansion coefficient of YIG and GGG can lead to a small deformation. The YIG now\nexhibits tensile strain. While in a continuous layer on a substrate this strain would lead\nto a change in lattice constant the bridge can now follow the strain by deformation. By\ntilting the feet inward, the length of the span can be decreased while the tilting can of the\nfeet can lead to the small upward bend of the overhang. The thermal expansion coefficients\nfor YIG is smaller than that of GGG by ∼2×10−6K−1. By cooling from 800◦C to room\ntemperature the contraction of the YIG lattice would be approximately 0.1% larger than\nfor GGG. It should be noted that any resulting shortening of the bridge is too small to be\nmeasured with the accuracy of our electron microscope.\nFig. 2c shows a close up view of an annealed YIG bridge with a span of 750 nm also after\nannealing. The deposited YIG has a nominal thickness of 110 nm. The edges of this bridge\nare quite rough and show a lot of residue from the lift-off process. Obviously, these can be\ndetrimental for the quality of mechanical resonances. As we show later, these residues can\nmostly be avoided or removed.\nIV. STRUCTURAL CHARACTERIZATION\nWhile the SEM images show that the molding of the material is successful, the local\ncrystalline quality can only be assessed by transmission electron microscopy (TEM). Atomic\nresolution TEM has been performed on different bridges after annealing (details described\nin the methods section). Fig. 3a shows a cross-sectional view of a small bridge with a span\nof approximately 850 nm and a height between span and substrate of 75 nm. The sample\nwas prepared using a focused ion beam and cut along a {011}plane perpendicular to the\n5surface. The viewing direction of the TEM is along <011>with a small tilt angle.\nThe pillars which are in direct contact with the substrate show an epitaxial monocrys-\ntalline lattice as also observed for large area deposition by Hauser et al. [23] . The transition\nto the span where the material is thinner shows a number of defects likely due to partially\nrelieved shear strain that can be expected in this location.\nThe span of the bridge, however, appears monocrystalline and of perfect crystallinity\nexcept for a single defect in the center (Fig. 3b). This defect is a consequence of the crystal-\nlization process as described below. To investigate possible differences in lattice orientation\nof substrate and bridge FFts of TEM images were taken at different spots of the sample. A\ncomparison of FFTs from the substrate and the bridge shows that except for a minute lattice\nrotation the lattice parameter and orientation are identical for substrate and bridge. This is\nexpected due to the excellent lattice match between YIG and GGG. (mismatch ∼0.06%).\nIn addition FFTs from different points of the bridge are superimposed to see whether the\nlattice orientation varies along the bridge (Fig. 4). A color coded overlay of the FFTs on left,\nright, and center of the span shows that the crystal orientations on both sides are tilted with\nrespect to each other with a tilt angle of about 1◦. A similarly small rotation is observed\nwhen comparing FFTs from bridge and substrate. From these results we can deduce that\ncrystallization starts simultaneously at both pillars, where the material is strained. Thus\nthe two crystallization fronts may be slightly tilted with respect to each other. When they\nmeet at the center of the span the resulting mismatch can only be compensated for by the\nformation of the crystal defect such as a small angle grain boundary observed at the center\nof the bridge. In addition, this mechanism explains the small rotation of left and right hand\npart of the bridge with respect to each other and with respect to the substrate.\nTo investigate the influence of the bridge size on crystallinity also cross-sectional TEM\nimages of longer bridges are studied (Fig. 3c). Even for a length of 2 .8µm a similar quality\nof the span (which is the functional part of the resonator) is obtained.\nV. SPIN DYNAMICS\nBecause of the reduced amount of material it is not possible to measure the saturation\nmagnetization M Sof the bridges directly with magnetometry methods. From previous exper-\niments we know that YIG layers fabricated by room temperature deposition and annealing\n6under similar conditions exhibit M Sup to 27 % below the bulk value of µ0MS≈180mT[26] .\nWe would like to note that the M S-value used for the micromagnetic simulations (132 mT)\nis in excellent agreement with these results.\nIn order to obtain a detailed and accurate measurement of the local dynamic properties\nwe perform time-resolved scanning Kerr microscopy (TR-MOKE) experiments on a 110 nm\nthick YIG-bridge. Using this method it is possible to image directly the different resonant\nmagnon modes in individual bridge structures. To achieve the necessary high frequency\nexcitation of the YIG structures an impedance matched coplanar wavegude (CPW) is de-\nposited by electron beam lithoghraphy and lift-off processes onto the sample. The CPW is\npositioned such that an array of bridges is located in the gap between signal line and ground\nplane (inset of Fig. 8a). The investigated bridge has a width of 600 nm and a span length\nof 3µm. The thickness of the deposited YIG film is 110 nm and the gap under the span is\n100 nm. The sample was deposited using the parameters described in the methods section.\nThe spatially resolved measurements are performed with the external magnetic field ori-\nented along the bridge allowing for the excitation of the backward volume modes (BVM)\nwith k-vectors along the bridge and the Damon Eshbach modes (DEM) with k-vectors at\nan angle of 90◦. Fig. 5 (top row) shows a number of different modes for increasing magnetic\nfield. The fundamental mode with only one antinode is shown in Fig. 5b. Three standing\nBVM with nodes distributed along the bridge are shown in Fig. 5c-e, while a DEM mode\nshows a node extending along the bridge (Fig. 5a). It is clearly visible that the magnons\nare localized in the span of the bridge and no direct coupling to the pillars or beyond is\nobserved.\nWe have also modelled the different magnon modes using MuMax3[27] . Fig. 5\n(bottom row) shows the respective simulations, which are in good agreement with our ex-\nperiments. Like the bridge investigated by TRMOKE the simulated bridge has a width of\n600 nm and a span length of 3 µm. The thickness of the deposited YIG film is 110 nm and\nthe gap under the span is 100 nm. The gyromagnetic ratio γobtained in the simulations is\n178 GHz/T which is close to the value obtained from the MOKE data (171 GHz/T, Fig. 6).\nThe saturation magnetization was fitted to match the spin wave patterns resulting in a value\nofµ0MS≈132 mT which is in good agreement with that of large area films deposited by\nthe same method[23] .\nIn order to obtain a better understanding in terms of the magnetization dynamics in\n7the YIG nano bridges FMR spectra are measured by TRMOKE on a single spot in the\ncenter of the bridge for several frequencies. Such a resonance spectrum is shown in Fig. 8a\nwhere the main resonance peak has a line width of approximately 140 µT at 8 GHz. This\nvalue is among the smallest values reported for PLD grown thin film material so far. Only\nmaterial grown by liquid phase epitaxy exhibits smaller linewidths. From our data we find\na Gilbert damping value of the main resonance of α≈(2.6±0.7)×10−4(Fig. 8b). Also this\nvalue is lower than all values reported for YIG grown by PLD at elevated temperatures. The\ninhomogeneous line width at zero field is µ0∆H0= 75±10µT which is lower than anything\nreported for PLD grown thin film YIG so far. For the given configuration these numbers\ncan also be translated into spin wave life times resulting in 220 ns (3.2 GHz), 160 ns (5.2\nGHz), and 120 ns (8.4 GHz).\nWe also determine the effective saturation magnetization M effwhich also contains any\nanisotropy and the gyromagnetic ratio γthe resonance fields of the main FMR line are\ndetermined as a function of frequency (Fig. 6) and the data is fitted by the Kittel formula:\nω=µ0γ/radicalBig\nHFMR(HFMR+ M eff) (1)\nThe fit yields γ=(180.3±0.6) GHz/T and µ0Meff=(0.125±0.003) T.\nIn addition to the dynamic properties TR-MIKE also allows to investigate the static\nswitching behavior of individual nanobridges. For this we use the method in an off-resonant\nfashion around zero field (Fig. 7). Here the phase and the magnitude of the rf-susceptibility\nare used to detect the switching as first demonstrated in [28] . For the measurement the\nmicrowave frequency is set to 1 GHz. The probing light spot is placed at the center of the\nsame bridge. The magnitude of the response depends on the internal magnetic field and\nis therefore sensitive to the relative alignment of magnetization and applied magnetic field.\nHysteretic behavior is found when magnetization and applied magnetic field are antiparallel.\nFrom this we determine a coercive field of µ0HC≈2 mT for the bridge (lateral dimensions of\nthe span: 600 nm×3µm) when the magnetic field is aligned with the long axis of the bridge\nstructure (easy axis). For the magnetic field aligned along the short axis (hard axis) of the\nbridge we find no hysteretic behavior as expected. This coercive field is considerably larger\nthan for comparable continuous YIG films of the same thickness where we find coercive\nfields of less than 0.1 mT [23] . The enhanced coercive fields in the YIG nano bridges are\nexpected and a consequence of the shape anisotropy and the increased contribution of the\n8domain wall nucleation energy to the magnetization reversal in nanostructures.\nIn the FMR spectrum also a second line is visible which partly overlaps with the main\npeak. Spatially resolved measurements indicate that the two halves of the span which are\nseparated by the central crystalline defect differ in resonance field by approx. 100 µT at 8\nGHz. This can be explained by the rotation of the two sides observed in transmission electron\nmicroscopy. When the field is applied exactly along one half of the bridge, the small tilt of\nthe other half can shift the resonance field in the order of 100 µT at 8 GHz simply because\na very small demagnetizing field is added to the external field. For one degree of tilt this\nmodification can be as large as 0.05% of the resonance field which is sufficient to explain the\nobserved resonance line shift.\nIn addition the spatial resolution of the TR-MOKE also allows to investigate the variation\nof the resonance field between different bridges and between different parts of a single bridge\n(namely span and overhang), respectively. Fig. 9 shows TR-MOKE images of five different\nbridges obtained simultaneously and repeated for two different magnetic fields but at the\nsame excitation frequency. For individual bridges the main resonance (only one antinode)\nappears at fields that vary by almost 0.8 mT, respectively.\nThe resonance in the overhang of a bridge can only be imaged by sweeping the field over\na wider range. Fig.10 shows that the overhang also exhibits a localized resonance. The\nresonance field, however, is offset by approx. 7 mT from the main resonance field of the\ncorresponding span. This shift can be caused by the different strain in the span which is\npinned on both sides and the overhang which is pinned only on one side as well as by the\ndifferent size of the two regions. As the resonance does not extend into the foot of the bridge\nthe k-vector is determined by the length of the area on resonance as we no longer observe a\ntrue uniform mode but a standing spin wave with zero nodes.\nIt should be noted that the fabrication process is not limited to simple bridge geometries\nbut highly flexible and can be extended to more complex structures as shown in the examples\nof Fig. 11 paving the way to a number of applications and experiments. Again, also the\nmagnetic excitations are well defined and can be directly imaged. SEM image and MOKE\ndata in Fig. 11c are obtained from the very same structure. We have also tried to reduce\nedge and surface roughness which may deteriorate the mechanical resonance properties by\nusing an optimized multi-layer resist and a post-annealing wet-etch step. As a result an\nimproved bridge with smoother edges is shown in Fig. 11d.\n9VI. DISCUSSION\nIt is possible to fabricate 3D YIG nanobridges using electron beam lithography, room\ntemperature PLD and lift-off. The structural characterization shows that crystallization\nduring the annealing process progresses throughout the bridge on a length scale of more\nthan oneµm leading to an undisturbed lattice with only very few defects. The span of the\nbridge typically contains a single crystal defect. To the best of our knowledge, until now\nthis kind of long range crystallization process throughout a 3D nanostructure has not been\nreported. The damping does not reach the record values of low temperature grown YIG\nlayers but is still in the range of high quality PLD grown YIG films. The minimum line\nwidth of 140 µT at 8 GHz for a single bridge is well in the range of high quality thin film\nmaterial and various resonant magnon modes can be identified in scanning TR-MOKE. Both\nline width and damping thus rival those obtained for large area thin films deposited at higher\ntemperatures. The mechanical resonances of the YIG bridges have yet to be characterized,\nnevertheless, an estimate of possible resonance frequencies can be given. According to Yang\net al. [29] the resonance frequency of a so called doubly clamped beam which corresponds to\nthe span of our bridge is approximately\nfres≈1.03t\nL2/radicalBigg\nE\nρ(2)\nwith E the Young’s modulus of YIG (2 ×1011Pa)[30] ,ρthe density (5.17 g/cm2)[30] ,t\nthe thickness and Lthe length of the beam. Using these parameters with a thickness of 150\nnm and a length of 1 µm a resonance frequency of 964 MHz is expected while the same beam\nwith a length of 500 nm resonates at 3.86 GHz, which is well in the range of typical magnons\nas measured in our experiments. For further development of the method the next steps will\nbe to fabricate more complex resonators that can not only host magnons with high quality\nfactors but are also suitable for the characterization of mechanical vibrational modes. In\naddition statistics need to be obtained by TR-MOKE on the variation and reproducibility\nof resonance frequencies in nominally identical resonators, which are crucial for applications\nwhere the exact behavior needs to be predictable.\nPossible applications for the nanoresonators can be found in various areas. Spin cavit-\nronics for example investigates strong coupling of cavity resonator modes to magnon modes\nin macroscopic magnetic samples (typically YIG). In these experiments current technology\n10uses large volume YIG samples coupled to macroscopic planar superconducting microwave\nresonators [31] or large cavities [32] . Our YIG nano resonators might be deposited over\nmicron sized superconducting coplanar waveguides allowing for more complex experiments.\nIn spin caloritronics YIG bridges may be used to create large and extremely and well de-\nfined temperature gradients because the span of the bridge is thermally decoupled from the\nsubstrate. It should be noted that a temperature difference of only 1 K over a bridge with\na length of 1 µm corresponds to a temperature gradient of 106K/m. If coupling between\nphonons and magnons in the nanoresonators can be established even an application for read-\nout of qubits or conversion of quantum information between the microwave and the optical\nregime may be possible. Therefore the new technology platform presented here may pave\nthe way for downscaling allowing these schemes to be realized on the micron scale or below\nand facilitating future integration of qubits.\nVII. METHODS\nA. Electron beam lithography\nThe pillars and the span of the bridge are exposed using PMMA as a resist and two\ndifferent respective acceleration voltages. The span is exposed at 2.8 kV while the acceler-\nation voltage for the span is 4.5 kV. For both exposures the area dose is 100 µC/cm2. The\nstructures are developed for 60 s in isopropanol.\nB. Pulsed laser deposition of YIG\nThe YIG is deposited in 0.025 mbar of oxygen from a home-made target. Laser parameters\nare 248 nm wavelength, fluence of 2.5 J cm−2, and a repetition rate of 5 Hz. Annealing is\nperformed in an oxygen atmosphere (99.997%) at ambient pressure and 800◦C for 3 hours.\nC. TEM preparation\nTEM samples from bridges are prepared using a focused gallium ion beam âĂŸFEI\nVERSA 3DâĂŹ dual beam microscope by the classical FIB in-situ lift-out technique as\ndescribed for instance by Bals et al. [33] . Due to the electrically isolating substrate this pro-\n11cedure is extended for the preparation of the sample after thermal treatment by depositing\na thin conductive carbon layer via ion sputtering before transferring the sample to the FIB.\nAs the first step in the preparation procedure inside the FIB a 200 nm thick carbon layer is\ndeposited locally using the electron beam at 5 kV from the top through the bridge to fill the\nspace under the bridge with carbon. The hole under the bridge is filled by locally cracking\nthe organometallic complex gas from the platinum Gas Injection System of the FIB with a\n5 kV electron beam. After lift-out the TEM lamellae are mounted to a grid, thinned down\nto a thickness below 150 nm, and stepwise cleaned on both sides from amorphous material\nby operating the ion beam of the FIB at 5 kV, 2 kV and 1 kV. HRTEM images from these\nsamples are obtained using a JEOL JEM-4010 TEM operated at 400 kV.\nD. TR-MOKE\nFor the time resolved magneto optic Kerr (TR-MOKE) measurements we use a frequency\ndoubled fs-laser operating at 520 nm to illuminate the sample in a scanning optical micro-\nscope with polarization analysis. A detailed description of this method is presented in the\nwork of Farle et al.[34] . In our TR-MOKE measurements the magnetization is excited by\ncontinuous wave microwave magnetic field which is phase synchronized to the optical probe\npulses, i.e. the sampling is stroboscopic. In order to allow for lock-in amplification of the\nmagneto-optical signal the rf-excitation is modulated[35, 36] . The spatial resolution of the\nmeasurements presented in this manuscript is diffraction-limited to about 300 nm.\nE. Micromagnetic simulation\nThe simulations were carried out using MuMax3. The simulated structure is a bridge\nwith a rectangular span of 2700 nm x 600 nm x 110 nm (l x w x h). The pillars are 300 nm\nx 600 nm x 110 nm. After relaxing magnetization of the structure in the external magnetic\nfield H 0in x-direction a small field step perpendicular to the surface (z) is applied. The\nfollowing precession in the z/y-plane is recorded and a FFT is performed. In the FFT the\nmain oscillations are identified as peaks in the amplitude. The images are obtained by locally\nevaluating the amplitude and phase of the precession and transforming them into a color\n(intensity = amplitude, positive phase: red, negative phase: blue).\n12F. Acknowledgment\nThis work was supported by the German research foundation (DFG) via collaborative\nresearch centers SFB 762 (TP B9) and TRR 227 (TP B02). We thank the Max-Planck-\nInstitut f¨ ur Mikrostrukturphysik for making the JEOL JEM-4010 TEM available for our\nexperiments.\n[1] A. P. Reed, K. H. Mayer, J. D. Teufel, L. D. Burkhart, W. Pfaff, M. Reagor, L. Sletten,\nX. Ma, R. J. Schoelkopf, E. Knill, and K. W. Lehnert, Nature Physics 13, 1163 (2017).\n[2] R. W. Andrews, A. P. Reed, K. Cicak, J. D. Teufel, and K. W. Lehnert, Nature Communi-\ncations 6, 10021 (2015), arXiv:1506.02296 [quant-ph].\n[3] F. Lecocq, J. B. Clark, R. W. Simmonds, J. Aumentado, and J. D. Teufel, Physical Review\nLetters 116, 043601 (2016), arXiv:1512.00078 [quant-ph].\n[4] C. F. Ockeloen-Korppi, E. Damsk¨ agg, J.-M. Pirkkalainen, T. T. Heikkil¨ a, F. Massel, and\nM. A. Sillanp¨ a¨ a, Physical Review X 6, 041024 (2016), arXiv:1602.05779 [cond-mat.mes-hall].\n[5] A. D. O’Connell, M. Hofheinz, M. Ansmann, R. C. Bialczak, M. Lenander, E. Lucero, M. Nee-\nley, D. Sank, H. Wang, M. Weides, J. Wenner, J. M. Martinis, and A. N. Cleland, Nature\n464, 697 (2010).\n[6] M. D. LaHaye, J. Suh, P. M. Echternach, K. C. Schwab, and M. L. Roukes, Nature 459, 960\n(2009).\n[7] Y. Chu, P. Kharel, W. H. Renninger, L. D. Burkhart, L. Frunzio, P. T. Rakich, and R. J.\nSchoelkopf, Science 358, 199 (2017), arXiv:1703.00342 [quant-ph].\n[8] Y. Tabuchi, S. Ishino, A. Noguchi, T. Ishikawa, R. Yamazaki, K. Usami, and Y. Nakamura,\nScience 349, 405 (2015), arXiv:1410.3781 [quant-ph].\n[9] T. Kasuya and R. C. Lecraw, Physical Review Letters 6, 223 (1961).\n[10] X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, Science Advances 2, e1501286 (2016),\narXiv:1511.03680 [quant-ph].\n[11] M. B. Jungfleisch, W. Zhang, W. Jiang, H. Chang, J. Sklenar, S. M. Wu, J. E. Pearson,\nA. Bhattacharya, J. B. Ketterson, M. Wu, and A. Hoffmann, Journal of Applied Physics\n117, 17D128 (2015), arXiv:1412.4032 [cond-mat.mes-hall].\n13[12] S. Li, W. Zhang, J. Ding, J. E. Pearson, V. Novosad, and A. Hoffmann, Nanoscale 8, 388\n(2015), arXiv:1512.00286 [cond-mat.mes-hall].\n[13] M. Collet, X. de Milly, O. D’Allivy Kelly, V. V. Naletov, R. Bernard, P. Bortolotti, J. Ben\nYoussef, V. E. Demidov, S. O. Demokritov, J. L. Prieto, M. Mu˜ noz, V. Cros, A. Anane,\nG. de Loubens, and O. Klein, Nature Communications 7, 10377 (2016), arXiv:1504.01512\n[cond-mat.mtrl-sci].\n[14] N. Zhu, H. Chang, A. Franson, T. Liu, X. Zhang, E. Johnston-Halperin, M. Wu, and H. X.\nTang, Applied Physics Letters 110, 252401 (2017), arXiv:1704.03056 [cond-mat.mes-hall].\n[15] M. Collet, O. Gladii, M. Evelt, V. Bessonov, L. Soumah, P. Bortolotti, S. O. Demokritov,\nY. Henry, V. Cros, M. Bailleul, V. E. Demidov, and A. Anane, Applied Physics Letters 110,\n092408 (2017), arXiv:1610.08756 [cond-mat.mes-hall].\n[16] X. M. Henry Huang, C. A. Zorman, M. Mehregany, and M. L. Roukes, Nature 421, 496\n(2003).\n[17] T. M. Babinec, J. T. Choy, K. J. M. Smith, M. Khan, and M. Lonˇ car, Journal of Vac-\nuum Science Technology B: Microelectronics and Nanometer Structures 29, 010601 (2011),\narXiv:1008.1431 [quant-ph].\n[18] M. P. Schwarz, D. Grundler, I. Meinel, C. Heyn, and D. Heitmann, Applied Physics Letters\n76, 3564 (2000).\n[19] D. W. Carr and H. G. Craighead, Journal of Vacuum Science Technology B: Microelectronics\nand Nanometer Structures 15, 2760 (1997).\n[20] A. Yacoby, M. Heiblum, D. Mahalu, and H. Shtrikman, Physical Review Letters 74, 4047\n(1995).\n[21] M. E. Sherwin, J. A. Simmons, T. E. Eiles, N. E. Harff, and J. F. Klem, Applied Physics\nLetters 65, 2326 (1994).\n[22] T. Borzenko, C. Gould, G. Schmidt, and L. Molenkamp, Microelectronic Engineering 75, 210\n(2004).\n[23] C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt, M. Qaid, H. Deniz, D. Hesse, M. Saw-\nicki, S. G. Ebbinghaus, and G. Schmidt, Scientific Reports 6, 20827 (2016).\n[24] C. Hauser, C. Eisenschmidt, T. Richter, A. M¨ uller, H. Deniz, and G. Schmidt, Journal of\nApplied Physics 122, 083908 (2017).\n14[25] H. Chang, P. Li, W. Zhang, T. Liu, A. Hoffmann, L. Deng, and M. Wu, IEEE Magnetics\nLetters 5, 6700104 (2014).\n[26] P. Hansen, P. R¨ oschmann, and W. Tolksdorf, Journal of Applied Physics 45, 2728 (1974).\n[27] A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen, F. Garcia-Sanchez, and B. Van Waeyen-\nberge, AIP Advances 4, 107133 (2014), arXiv:1406.7635 [physics.comp-ph].\n[28] G. Woltersdorf and C. H. Back, Physical Review Letters 99, 227207 (2007).\n[29] Y. T. Yang, K. L. Ekinci, X. M. H. Huang, L. M. Schiavone, M. L. Roukes, C. A. Zorman,\nand M. Mehregany, Applied Physics Letters 78, 162 (2001).\n[30] A. E. Clark and R. E. Strakna, Journal of Applied Physics 32, 1172 (1961).\n[31] H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifenstein, A. Marx, R. Gross, and S. T. B.\nGoennenwein, Physical Review Letters 111, 127003 (2013), arXiv:1207.6039 [quant-ph].\n[32] Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and Y. Nakamura, Physical\nReview Letters 113, 083603 (2014), arXiv:1405.1913 [quant-ph].\n[33] S. Bals, W. Tirry, R. Geurts, Z. Yang, and D. Schryvers, Microscopy and Microanalysis 13,\n80 (2007).\n[34] M. Farle, T. Silva, and G. Woltersdorf, “Spin dynamics in the time and frequency domain,”\ninMagnetic Nanostructures: Spin Dynamics and Spin Transport (Springer Berlin Heidelberg,\nBerlin, Heidelberg, 2013) pp. 37–83.\n[35] G. Woltersdorf, O. Mosendz, B. Heinrich, and C. H. Back, Physical Review Letters 99, 246603\n(2007).\n[36] J. Stigloher, M. Decker, H. S. K¨ orner, K. Tanabe, T. Moriyama, T. Taniguchi, H. Hata,\nM. Madami, G. Gubbiotti, K. Kobayashi, T. Ono, and C. H. Back, Physical Review Letters\n117, 037204 (2016), arXiv:1606.02895 [cond-mat.mes-hall].\n15FIG. 1. Schematic drawing of the patterning process. (a) A resist (green) is exposed with two\ndifferent acceleration voltages. A low voltage exposure is used for the span of the bridge (yellow) and\na high acceleration voltage (red) exposes the pillars down to the substrate. (b) After development\nthe void in the resist has the shape of the bridge and a slight undercut which later facilitates the\nlift-off. (c) The YIG is deposited and the shape of the bridge becomes visible. It is important\nthat the YIG on the resist surface is well separated from the bridge itself. (d) After lift-off a\nfree-standing bridge is obtained.\n16FIG. 2. SEM images of two different bridges. (a) and (b) show a larger bridge before and after\nannealing , respectively. (c) shows a smaller bridge after annealing. The deposited YIG has a\nnominal thickness of 110 nm.\n17FIG. 3. Transmission electron micrographs for bridges with a nominal thickness of the span of\n110 nm. (a) Shows a bridge with a span of approximately 850 nm length and a height of 75\nnm underneath the span. (b) Higher magnification shows single crystalline material with a single\ndefect in the center of the bridge. (c) TEM cross section of a bridge with increased length. Even\nfor a length of 2.8 µm the bridge is free of defects except for the central defect. Above and below\nthe bridge a carbon film is visible which has been deposited using the electron beam during TEM\npreparation to protect the surface of the bridge.\n18FIG. 4. Fast Fourier transforms of different parts of the lattice of a single bridge. (a) shows a TEM\nimage of a bridge with three different square areas color coded in red, green, and blue. (b) shows\nan FFT of the substrate. (c) For the color coded areas the FFT of the lattice is superimposed\nusing the same color code. A zoom into the superposition (frame) shows that a very small rotation\nof the lattice has taken place which is in the range of ∼1◦. All FFTs are obtained from images\nwith the same orientation and magnification.\n19FIG. 5. Time-resolved scanning Kerr microscopy (TR-MOKE) images of standing spin-wave modes\nand simulations. The top row shows TR-MOKE results for the main mode (b), one Damon\nEshbach mode (a), and three different backward volume modes (c-e). Measurement parameters are\n(magnetic field/excitation frequency) 11.96 mT/2 GHz (a), 21.95 mT/2 GHz (b), 25.61 mT/2 GHz\n(c), 89.72 mT/4 GHz (d), and 92.52 mT/4 GHz (e). The modes were imaged at the peak amplitude\nof the respective resonance. The bottom row shows the corresponding simulation results from\nsimulations at fixed respective magnetic fields (see also methods section). Simulation parameters\nare 19.4 mT/2.32 GHz (a), 19.4 mT/2.00 GHz (b), 19.4 mT/1.85 GHz (c), 83.8 mT/3.73 GHz (d),\nand 83.8 mT/3.66 GHz (e). The coordinate system on the left hand side shows the orientation of\nthe external magnetic field H 0.\n20FIG. 6. Resonance frequency plotted as a function of applied magnetic field. The results nicely\nagree except for small deviations at low magnetic fields. The red circles show the measured data\nwhile the blue line is the respective fit using the Kittel formula.\n21FIG. 7. TRMOKE measurement of the static switching behavior. While sweeping the field through\nthe static hysteresis the magnitude of the rf-suceptibility is determined as a function of the applied\nmagnetic field. The hysteretic part of the measurement represents the hysteresis of the static\nswitching of the magnetization.\n22FIG. 8. (a) FMR spectrum obtained by TR-MOKE at the center of a single bridge, excited at 8\nGHz. The red circles show the measured data while the blue line is a fit using three Lorentzian\nline shapes. The arrows are a guide to the eye showing an upper limit for the full width at\nhalf maximum which is 2 µ0∆H. The half width at half maximum µ0∆H is mostly referred to in\nliterature as the line width. The measurement which is performed on a single spot with a diameter\nof approx. 300 nm shows two very sharp lines with a small overlap. The line width µ0∆H is\nsmaller than 140 µT. The insert shows a sketch of an array of bridges located between signal line\nand ground of a CPW. (b) Line width plotted versus frequency. A least mean square fit yields a\nslope corresponding to a Gilbert damping of (2.6 ±0.7)−4.\n23FIG. 9. Two TR-MOKE images obtained at a frequency of 2 GHz showing five adjacent bridges\nat two different magnetic fields, respectively. In both images at least one of the bridges shows an\nintense resonance of the mode with one antinode only. Apparently the resonance field between\nbridges can vary at least by 0.8 mT.\n24FIG. 10. Optical topography image of several bridges (a) and two TRMOKE images of the same\narea acquired at a frequency of 6 GHz at different respective magnetic fields (b-c). In the topogra-\nphy image we can clearly discern the span of the bridge, the base which is slightly darker and the\noverhang at the end. (b) Shows the main resonance of the span with one antinode while in (c) a\nsimilar mode for the overhang of the same bridge is observed. The resonance fields differ by 7 mT.\n25FIG. 11. SEM images of more complex resonators. The process allows to fabricate various shapes\nsuch as open squares (a) or disks and triangles (b). (c) TR-MOKE image of a standing backward\nvolume mode measured on a disk resonator overlayed to an SEM image of the same structure. For\nthese structures the nominal YIG thickness is 210 nm. (d) shows a bridge on which a post-annealing\nwet-etch was applied. The artifacts at the seam of the structure are strongly reduced.\n26" }, { "title": "1912.13111v1.Hybrid_nanophotonic_nanomagnonic_SiC_YiG_quantum_sensor__II__optical_fiber_based_ODMR_and_OP_PELDOR_experiments_on_bulk_HPSI_4H_SiC.pdf", "content": "arXiv:1912.13111v1 [quant-ph] 30 Dec 2019Hybrid nanophotonic-nanomagnonic\nSiC-YiG quantum sensor:\nII/ optical fiber based ODMR and OP-PELDOR\nexperiments on bulk HPSI 4H-SiC.\nJ´ erˆ ome Tribollet∗\nInstitut de Chimie de Strasbourg, Strasbourg University, U MR 7177 (CNRS-UDS),\n4 rue Blaise Pascal, CS 90032, F-67081 Strasbourg Cedex, Fra nce\nE-mail: tribollet@unistra.fr\nAbstract\nHere I present my first fiber based coupled optical and EPR expe riments associated\nto the development of a new SiC-YiG quantum sensor that I rece ntly theoretically de-\nscribed (arXiv:1912.11634). This quantum sensor was desig ned to allow sub-nanoscale\nsingle external spin sensitivity optically detected pulse d electron electron double reso-\nnance spectroscopy, using an X band pulsed EPR spectrometer , an optical fiber, and a\nphotoluminescence setup. First key experiments before the demonstration of ODPEL-\nDOR spectroscopy are presented here. They were performed on a bulk 4H-SiC sample\ncontaining an ensemble of residual V2 color centers (spin S= 3/2). Here I demon-\nstrate i/ optical pumping assisted pulsed EPR experiments, ii/ fiber based ODMR and\noptically detected RABI oscillations, and iii/ optical pum ping assisted PELDOR ex-\nperiments, and iv/ some spin wave resonance experiments. Th ose experiments confirm\nthe feasability of the new quantum sensing approach propose d.\n1Introduction\nI recently presented the theory1of a new SiC-YiG quantum sensor and the associated state\nof art optically detected pulsed double electron electron spin reson ance spectroscopy (OD-\nPELDOR), allowing sub-nanoscale single external spin sensing. This n ew methodology re-\nquires only the use of a standard X band pulsed EPR spectrometer,2as well as an optical\nfiber and a new SiC-YiG quantum sensor. The fiber and the quantum s ensor can be both\nintroduced in a standard EPR tube.\nHere I present my first combined pulsed EPR and optical experiment s, all performed on a\ncommercially available bulk 4H-SiC HPSI sample, naturally containing a dilu ted ensemble\nof V2 color centers spin probes.3–7The aim of those first experiments is to demonstrate\nthe relevance and feasability of interfacing a standard optical set up for photoluminescence\nexcitation and collection with a commercial pulsed EPR/ pulsed ELDOR E LEXYS E 580\nspectrometer operating at X band from Bruker, by means of a sing le optical fiber (or a\nfiber bundle). This setup allows to perform ODMR and optical pumping assisted PELDOR\n(puulsed electron electron double resonance) experiments,2which are key intermediate ex-\nperiments to perform, before the demonstration of pulsed ODPEL DOR experiments with\na SiC-YiG quantum sensor. The whole experimental setup I used cor responds to the one\ndescribed on fig.2 of my previous theoretical work,1the coupler between the SiC sample\nand the optical fiber being here a GRIN microlens. The optical fiber, the GRIN microlens\nand the 4H-SiC sample are all introduced in an EPR tube, which itself is in serted inside\nthe pulsed EPR resonator, a flexline resonator from Bruker (MD5 o r MS3 depending on\nexperiments). The pulsed EPR resonator itself is introduced inside a n Oxford CF935 con-\ntinuousflowcryostat forpulsedEPR spectroscopy atvariabletem perature(4K-300K).When\nnecessary, a 785 nm laser was used for optical pumping of V2 spins a nd for optical excita-\ntion of the V2 color center photoluminescence, centered around 9 15 nm at low temperature.\nThis photoluminescence was detected, after optical filtering, by a silicon photodiode, in all\npresented ODMR experiments. Excitation and collection of the phot oluminescence of the\n2SiC sample was performed using the same optical fiber by means of a d ichroic mirror. A\nlock in amplifier or a transient recorder were used for data acquisitio n, which were visualized\nontheXEPR softwareofBruker providedwiththeELEXYSE580puls edEPRspectrometer.\nOptical pumping assisted EPR and ODMR characteri-\nzation of V2 spins in bulk 4H-SiC\nFirst, I demonstrate on fig.1 that the Electron Paramagnetic Reso nance (EPR) rotational\npattern of the V2 color centers spins in bulk 4H-SiC can be recorded , under optical pumping\nconditions with this experimental setup, allowing to check the zero fi eld splitting andg factor\nof those paramagnetic centers,3–7and finally to identify them.\n3310 3320 3330 3340 3350 3360 3370\nB0(G)angle (°)55°\n35°\n20°\n0°90°\n80°85°\nFigure 1: CW EPR rotational pattern of the V2 spins in bulk HPSI 4H-S iC recorded at\nroom temperature and at X band (f=9.369 GHz) under continuous o ptical pumping, with\na laser at 785 nm providing a power of P=39 mW at the outpout of optic al fiber. The nul\nangle correspond to the external magnetic field aligned along the c a xis of 4H-SiC.\nThis rotational pattern was obtained here at X band and room temp erature, using cw\n3EPR under continuous optical pumping with a 785 nm laser. The optica l pumping effect\nis clearly seen on the shape of the EPR spectrum of fig.1: the left side positive signal\ncorrespondtoanEPRtransitionwithinducedabsorption,whilethen egativeoneonrightside\ncorrespondstostimulatedemissionassociatedtopopulationinvers iononthisEPRtransition.\nThe rotational pattern of fig.1 can be well reproduced (except th e optical pumping effects)\nby a numerical simulation with Easyspin,8as shown on fig.2, considering a spin S=3/2 with\na zero field splitting D = 35 MHz and an isotropic g factor g = 2.0028, con firming previously\nobtained magnetic parameters of the V2 spin hamiltonian in 4H-SiC.3–7\nFigure 2: Numerical simulation with Easyspin of the V2 rotational pat tern in 4H-SiC,\nconsidering a spin S=3/2 with a zero field splitting D= 35 MHz and an isotr opic g factor\ng=2.0028; f=9.369 GHz; the linewidth is 3G here. The nul angle corres pond to the external\nmagnetic field aligned along the c axis of 4H-SiC. Derivative of absorpt ion curves are shown\nhere. The spin state populations assumed here are those of the th ermal equilibrium.\nSecondly, I demonstrate on fig.3, that several EPR experiments o n V2 color centers spins\nin bulk 4H-SiC and under continuous optical pumping are possible with t his fiber based\nODMR setup, that is, from top to bottom, room temperature cw EP R, room temperature\n4pulsed EPR, room temperature ODMR, and 90K ODMR. The two first e xperiments benefits\nfrom the fact that a large ensemble of V2 spins is present in this 4H SiC HPSI sample\nallowing adirect detection oftheEPR signal here, without anyuseof thephotoluminescence,\nthe optical fiber being however used for optical pumping of the V2 s pins. However, the\nlast two experiments presented on fig.3 are true ODMR experiments , meaning that the\nphotoluminescence of the V2 spin probe is the recorded signal along the vertical axis of\nthose two ODMR experiments.\n3290 3300 3310 3320 3330 3340 3350 3360 3370-0.500.5EPR (a.u.)\n3270 3280 3290 3300 3310 3320 3330 3340 3350 3360-50510EPR (a.u.)106\n3400 3450 3500 35500510ODMR (a.u.)105\n3400 3450 3500 3550\nB0(G)01020ODMR (a.u.)106\nFigure 3: From top to bottom and under continuous optical pumping at 785 nm: a/room\ntemperaturecwEPRspectrum(f=9.320GHz, MS3, 36mWat785nm) , b/roomtemperature\nfield sweep pulsed EPR spectrum (f=9.308 GHz, MS3, 36 mW at 785 nm, recorded at\n2τ≈2.4µs), c/ room temperature ODMR spectrum (f=9.743 GHz, MD5, 36 mW a t 785\nnm), and d/ 90K ODMR spectrum (f=9.746 GHz, MD5, 30 mW at 785 nm) . The static\nmagnetic field B0 is applied along the c axis of 4H-SiC.\nNote that the two ODMR spectrum of the V2 spins in 4H SiC presented here have been\nobtained under continuous optical pumping. To sensitively detect t he ODMR spectrum,\na train of periodic microwave pulses is send on the sample, such that in stead of having\na constant rate of photoluminescence under continuous optical e xcitation, one obtains a\nperiodic modulation of the photoluminescence signal following the per iod of the microwave\n5pulses, but onlywhen aparamagnetic resonance oftheV2spins is ex cited by themicrowaves.\n0 50 100 150 200 250 300 350\ntime (ns)012EPR (a.u.)106\n0 50 100 150 200 250 300 3500123EPR (a.u.)106\n0 50 100 150 200 250 300 3500123EPR (a.u.)106\nFigure 4: ODMR detected coherent RABI oscillations of V2 spins in 4H S iC recorded at\ndifferent microwave power attenuation (from top to bottom: 10 dB , 5 dB, 0 dB attenuation,\nMD5, B0= 3457 G, f= 9.746 GHz), for various duration of the nutatio n microwave pulse\napplied (time). Periodically cycling this experiment, again allows to obta in a modulated\namount of photoluminescence easily detected by a lock in amplifier. Th ose curves demon-\nstrate the quantum coherent control of V2 spins by microwave pu lses (nutation or single\nqubit quantum gate performed over a spin ensemble) and also their o ptical detection by\nphotoluminescence, in a single ODMR nutation experiment.\nSuch paramagnetic resonance induces a change of spin state popu lations in the ground\nstate, further converted in a change of the amount of photolumin escence collected under\noptical excitation. Such periodic photoluminescence signal is then c ollected by a photodiode\nand then send into a lock in amplifier allowing an efficient extraction of th e amount of pho-\ntoluminescence modulated at a frequency inversely proportionnal to the period between two\nsuccessive microwave Pi pulses applied on V2 spins. Note that EPR nu tation experiments,\nalso called RABI oscillations measurements, were generally performe d on V2 spins ensemble\nunder optical pumping before such experiments, either using direc t detection (not shown) or\nphotoluminescence detection of the RABI oscillation as shown on fig.4 , in order to check the\nappropriate microwave Pi pulse parameters (choosing microwave p ower and pulse duration).\n6Once the train of microwave Pi pulses resonant with the V2 spins has been adjusted, the\nODMR measurement is easy to perform with the lock in amplifier.\nSpin decoherence and spin relaxation time of bulk V2\nspins\nThirdly, I demonstrate on fig.5 how to measure at room temperatur e the spin coherence time\nT2, the longitudinal relaxation time T1 and the optical pumping time To p of the bulk V2\nspins with appropriate experiments combining an optical pumping puls e and appropriately\nsynchronized and time delayed microwave pulses. At room temperat ure and with those\noptical pumping conditions, I find Top= 139µs,T1= 354µs, andT2= 48µs.\nThose values of T1andT2are in good agreement with values reported for bulk V2 spins\nin 4HSiC at room temperature.3–7One note that it was also previously reported that the\noptical pumping time Topdepends on the optical power send on the V2 spins9(and thus\non the laser and coupler used) and on the temperature which contr olsT1. One can also\nnote here that for using optimally the SiC-YIG quantum sensor which I described in my\nprevious theoretical work,1a spin coherence time for an isolated sub-surface V2 spin probe\nofT2= 12.5µswas assumed. Thus, ODPELDOR spectroscopy should be feasible if t he SiC\nsurface defects can be made sufficiently silent, either by surface p assivation or by cryogenic\ncooling of the whole quantum sensor, in order that the T2 of sub sur face V2 has a value on\nthe order of the one of bulk V2 spins found here.\n70.5 1 1.5 2 2.5 3 3.5 4 4.5\n106-5051015EPR sig. (a.u.)106\n0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5\n105-50510EPR sig. (a.u.)106Top(RT) = 139 µs\nT1(RT) / 2 = 177 µs\ntime (ns)time (ns)\nT2e(RT) = 48 µs\nFigure 5: Top curve: measurement of TopandT1of bulk V2 spins in 4H-SiC at room\ntemperature. A long optical pumping pulse of 1 ms at 785 nm and 36 mw optical power\nat the fiber output is used and has a fixed temporal position in the se quence. A standard\ndirect detection spin echo sequence (π\n2τ πτ echo ) is synchronized with the optical pumping\npulse and globally translated in time through the optical pumping pulse , allowing to follow\nthe time evolution of the spin state populations associated to a given EPR transition of the\nV2 spins (B0= 3297 G , f=9.308 GHz, MS3), before , during and after the optical pumping\npulse. The data are in blue, the two monoexponential fit are in red, p roviding: Top= 139µs\nandT1= 354µs. Bottom curve: Standard Hahn spin echo decay curve (π\n2τ π τ echo )\nrecorded on one transition of the V2 (B0= 3297 G , f=9.308 GHz, MS3 ), where the spin\necho is recorded at various delays 2 τ, the first microwave pulse starting 20 µsafter a long\noptical pumping pulse of 900 µsat 785 nm and with 36 mw of optical power at the fiber\noutput. The data are in blue, the monoexponential fit is in red, prov iding:T2= 48µs.\nInset: pulses sequences applied: pink: optical pulse; red: microwa ve pulse; dark:spin echo\nfor direct detection. The third arrow compared to second one sho ws which delay parameter\nvary.\nOptical pumping assisted PELDOR spectroscopy and\nquantum sensing of carbon related defects by bulk V2\nspins\nFinally, thelastkey experiment combining opticalandEPRtoolswhich is demonstratedhere\nandwhichallowstogoastepfurthertowardsfiberbasedODPELDOR quantumsensing with\na SiC-YIG quantum sensor, is optical pumping assisted PELDOR expe riment, as shown on\nfig.6. It is a pump-probe like two microwave frequencies experiment c ombined with optical\n8pumping, as explained in my previous theoretical work.1It is conveniently implemented here\nby interfacing, through an optical fiber, the capabilities of the com mercial pulsed ELDOR\nspectrometer (ELEXYS E580) and the ones of the outside optical setup. The two PELDOR\nexperiments presented here are four-pulse DEER (double electro n electron resonance) exper-\niments2combined, either with a continuous optical pumping and direct EPR de tection of\nthe stimulated echo (top spectrum), or with a transient optical pu mping pulse and a direct\ndetection of the refocused echo (bottomspectrum). When the p ump frequency fp is resonant\nwith any spin specy present in the sample and physically close to the V2 spins probe, then\na driven decoherence effect occurs producing a reduced spin echo of the V2 spins probes,\nand thus a dip in the PELDOR spetrum. As the stimulated echo has a lar ger amplitude\nthan the refocused echo, the PELDOR spectrum of fig.6 a/ (top) h as a better signal to noise\nratio than the one of fig.6 b/ using the refocused echo, which is gene rally used in structural\nbiology.2The comparison also shows that continuous optical pumping seems n ot to induce\na decrease of the signal to noise ratio of such PELDOR experiment.\nThe two obtained PELDOR spectrum correspond to the one expect ed. The preparatory\nexperiment was the field sweep spectrum of fig.3 b/, thus the PELDO R spectrum (versus\nfp) should reflect somehow this field sweep spectrum (versus B0), but with of course an\ninverted order of the resonance lines. Of course, when fp=fs=9.3 08 GHz, the V2 spins feel\nan accelerated driven decoherence due to themselves, such that the probing EPR line chosen\nis always seen in such a PEDLOR spectrum versus fp. However, one c an also distinguished\non both PELDOR spectrum another dip occuring at fp= 9.243 GHz. Th is resonance line is\n65 MHz below fs, corresponding to a resonnace line 23.2 G above the lo w field EPR line of\nthe V2 shown on the field sweep spectrum of fig.3 b/. This line was thus also present on fig.3\nb/ and correspond to other kinds of intrinsic defects in 4H-SiC havin g a g factor also very\nclose to the one of the V2, that is close to g=2.0028. This line is often a ttributed to carbon\nrelated defects in bulk 4H-SiC. That means that the dip seen at fp= 9 .243 GHz corresponds\nto the additionnal decoherence effect felt by the V2 spins probes d ue to the microwave\n99.15 9.2 9.25 9.3 9.35 9.4246810EPR sig. (a.u.)106\n9.15 9.2 9.25 9.3 9.35 9.4-2024EPR sig. (a.u.)106\nfpump (GHz)fpump (GHz)\nFigure 6: PELDOR experiments of the type four-pulse DEER experim ents combined, either\nwith a continuous optical pumping and direct EPR detection of the st imulated echo (a/ top\nspectrum), or with a transient optical pumping pulse and a direct de tection of the refocused\necho (b/ bottom spectrum). B0 is parallel to the c axis of 4H-SiC. In both PELDOR\nexperiments, B0=3297 G, T= 300K, and the probe microwave frequ ency is fs= 9.308 GHz,\nsuch that the low field EPR line of the V2 spins seen on fig.3 b/ is here res onantly excited at\nfs. The microwave pump frequency fp is varied in the range [9.15; 9.4] GHz, thus over 250\nMHz, in 250 steps of 1 MHz. Inset: pulses sequences applied: pink: o ptical pumping (first\narrow); red: microwave pulse at fs (second arrow) and fp (third a rrow); dark: the spin echo\nused for direct detection, ie the one integrated.\ndriven manipulation of the carbon related defects located nearby t hem, producing through\ndipolar couplings, a fluctuating local magnetic field on the sites of the V2 spins probes.\nThis is exactly the principle at the heart of quantum sensing as explain ed in my previous\ntheoretical work on the SiC YIG quantum sensor.1The main difference is that here the\ntarget spin bath is 3D, whereas in ODPELDOR spectroscopy applied t o structural biology,\nthe target spin bath is 2D. Here also, direct detection is used instea d of the highly sensitive\nphotoluminescence detection.\nAs a last remark, one notes that the third EPR line seen on the field sw eep spectrum of\nfig.3 b/ is not seen here in the PELDOR spectrum. The reason is assum ed to be the limited\n10bandwidth available in the presented PELDOR experiments performe d with a standard\nMS3 flexline resonator from Bruker. The MS3 cavity is known to have a bandwidth at\nhalf maximum of its microwave reflexion curve nearly equal to 100 MHz . As the central\nfrequency of the cavity is here set equal to the resonant freque ncy of the V2 spins probes at\nfs=9.308 GHz under B0=3297 G, then any other resonant EPR line loc ated much beyond\n+/- 50 MHz from fs=9.308 GHz can not be observed in the PELDOR spe ctrum by lack of\nmicrowave power at the associated pump frequency entering the c avity at those frequencies\n(the microwave power is reflected). The high field EPR line of the V2 sp ins occurs at B0=\n3345Gonfig.3b/ andshouldthusappearsat9243-65=9178MHz, wh ichisthusnot possible\nhere. Those two room temperature optical pumping assisted PELD OR experiments thus:\ni/ clearly show that V2 spins probes, which are photoluminescent and which can thus be\noptically detected in an ultra sensitive manner by ODMR, can sense by microwave driven\ndecoherence effectssomeparamagneticcenters locatednearby themwhicharethemselves not\nphotoluminescent, thus providing a way to considerably increase th e sensitivity of standard\npulsed EPR spectrometers if the proposed SiC-YIG quantum senso r can be fabricated; ii/\nthey also demonstrate that using one single V2 EPR line and targeting a spin label EPR\nline located nearby the V2 EPR line, it should be clearly possible to perfo rm ODPELDOR\nspectroscopy applied to structural biology with the SiC-YIG quant um sensor I previously\nproposed.1\nSpin wave resonance experiments on model ferromag-\nnetic nanostripes of Permalloy\nFinally, I present in this section some test spin wave resonance expe riments, performed not\non YIG nanostripes at X band, but on the more easily accessible Perm alloy nanostripes at\nQ band (34 GHz). Those experiments were numerically simulated follow ing the theoretical\napproach I previously presented in the context of quantum compu ting with an array of spin\n11qubits in SiC located nearby a permalloy ferromagnetic nanostripe.10\n1 1.1 1.2 1.3 1.4 1.5\nB0 (G) 104-1-0.500.51EPR (a.u.)\nFigure 7: Spin Wave Resonance (SWR) spectrum of an ensemble of Pe rmalloy ferromag-\nnetic nanostripes (thickness T=100 nm, width w= 300 nm, and length L= 100µm): in red:\nderivative spectrum, as measured at Q band (f= 34 GHz) with a magn etic field applied in\nthe plane of the nanostripes, along the width w; in blue: absorption s pectrum, as numeri-\ncally simulated without any free parameter (and without considering the different oscillator\nstrengths of the various SWR).\nFor the numerical simulation, I used the saturation magnetization ( 11700 G) and g fac-\ntor (2.00) known for Permalloy and the dimension of the Py nanostrip es (thickness T=100\nnm, width w= 300 nm, and length L= 100µm). As it can be seen on fig.7, the experi-\nmentally observed spin wave resonance spectrum and the theoret ical one match quite well,\nthe six main spin wave resonance being obtained and having resonant magnetic fields close\nto the experimental ones, with an error on the order of one or few spin wave resonance\nlinewidth. This is quite satisfactory considering the fact that there are no free parameter in\nthe theoretical simulation presented here.\n12Conclusion\nIn this article, I have presented my first experiments towards the development of a new SiC-\nYiG hybrid quantum sensor compatible with a standard X band pulsed E PR spectrometer\nwidely used worldwide. The measured T2 spin coherence time of 48 µsat room temperature\nfound here for bulk V2 spins in 4H-SiC confirm the high potential of th ose solid state spin\nqubits for quantum sensing application. My successful optically det ected magnetic reso-\nnance experiments, as well as my optical pumping assisted PELDOR e xperiments confirm\nthe relevance of this new experimental approach for state of art quantum sensing at the\nsingle spin sensitivity and with sub nanoscale resolution, interfacing a standard photolumi-\nnescence setup with a standrad pulsed ELDOR spectrometer by me ans of an optical fiber.\nThis experimental approach and the related SiC-YIG quantum sens or should thus be of\ngreat interest for all the biophysicists, chemists and physicists wh ich are already worldwide\npulsed EPR user. The next challenges towards the practical demon stration of such a new\nexperimental approach for state of art quantum sensing are i/ th e fabrication by ion im-\nplantation of sub-surface quantum coherent isolated V2 spin prob es, ii/ the fabrication of\n4H-SiC nanophotonic structures for the efficient excitation and co llection of V2 spin probes\nphotoluminescence, and iii/ the fabrication of appropriate YIG nano magnonic structures for\nthe investigation of the depth profile of sub surface V2 color cente r spins created by ion\nimplantation.\nAcknowledgments\nThe author thanks the University of Strasbourg and CNRS for the reccurent research fund-\nings. The author also thanks the STNano central of technology in S trasbourg for fabricating\nand providing the model permalloy nanostripes studied here.\n13References\n(1) J. Tribollet, Hybrid nanophotonic-nanomagnonic SiC-YiG quantu m sensor: I/ theo-\nretical design and properties, Arxiv:1912.11634; submitted on 25 1 2 2019, 39 pages\n(2019).\n(2) A. Schweiger et al., Principles of pulse electron paramagnetic res onance, Oxford Uni-\nversity Press, Oxford UK; New York (2001).\n(3) H. Kraus et al., Scientific Reports 4, 5303 (2014).\n(4) M. Widmann et al., Nature Materials 14, 164 (2015).\n(5) P.G. Baranov et al., Materials Science Forum 740, 425 (2013).\n(6) Franzsiska Fuchs, PhD thesis Wurzburg University (2017).\n(7) S.A. Tarasenko et al., Phys. Status Solidi B 255, 1700258 (2018).\n(8) S. Stoll et al., Journal of Magnetic Resonance 178, 42 (2006).\n(9) M. Fischer et al., Phys. Rev. Applied 9, 54006 (2018).\n(10) J. Tribollet et al., Eur. Phys. J. B. 87, 183 (2014).\nCompeting financial interests\nThe author declare that he has no competing financial interests.\n14" }, { "title": "1709.03940v1.Direct_observation_of_magnon_phonon_coupling_in_yttrium_iron_garnet.pdf", "content": "Direct observation of magnon-phonon coupling in yttrium iron garnet\nHaoran Man,1,\u0003Zhong Shi,2, 3,\u0003Guangyong Xu,4Yadong Xu,2Xi Chen,5\nSean Sullivan,5Jianshi Zhou,5Ke Xia,6Jing Shi,2,yand Pengcheng Dai1, 6,z\n1Department of Physics and Astronomy, Rice University, Houston, Texas 77005, USA\n2Department of Physics and Astronomy, University of California, Riverside, California 92521, USA\n3School of Physics Science and Engineering, Tongji University, Shanghai 200092, China\n4NIST Center for Neutron Research, National Institute of Standards and Technology, Gaithersburg, Maryland 20899, USA\n5Materials Science and Engineering Program, Texas Materials Institute,\nThe University of Texas at Austin, Austin, Texas 78712, USA\n6Department of Physics, Beijing Normal University, Beijing 100875, China\n(Dated: September 13, 2017)\nThe magnetic insulator yttrium iron garnet (YIG) with a ferrimagnetic transition temperature of\n\u0018560 K has been widely used in microwave and spintronic devices. Anomalous features in the spin\nSeeback e\u000bect (SSE) voltages have been observed in Pt/YIG and attributed to the magnon-phonon\ncoupling. Here we use inelastic neutron scattering to map out low-energy spin waves and acoustic\nphonons of YIG at 100 K as a function of increasing magnetic \feld. By comparing the zero and 9.1\nT data, we \fnd that instead of splitting and opening up gaps at the spin wave and acoustic phonon\ndispersion intersecting points, magnon-phonon coupling in YIG enhances the hybridized scattering\nintensity. These results are di\u000berent from expectations of conventional spin-lattice coupling, calling\nfor new paradigms to understand the scattering process of magnon-phonon interactions and the\nresulting magnon-polarons.\nSpin waves (magnons) and phonons are propagating\ndisturbance of the ordered magnetic moment and lat-\ntice vibrations, respectively. They constitute two fun-\ndamental quasiparticles in a solid and can couple to-\ngether to form a hybrid quasiparticle [1, 2]. Since our\ncurrent understandings of these quasiparticles are based\non linearized models that ignore all the high-order terms\nthan quadratic terms and neglect interactions among the\nquasiparticle themselves [3], magnons and phonons are\nbelieved to be stable and unlikely to interact and break-\ndown for most purposes [4]. Therefore, discovering and\nunderstanding how the otherwise stable magnons and\nphonons can couple and interact with each other to in-\n\ruence the electronic properties of solids are one of the\ncentral themes in modern condensed matter physics.\nIn general, spin-lattice (magnon-phonon) coupling can\nmodify magnon in two di\u000berent ways. First, the static\nlattice distortion induced by the magnetic order may af-\nfect the anisotropy of magnon exchange couplings, as\nseen in the spin waves of iron pnictides with large in-\nplane magnetic exchange anisotropy [5]. Second, the\ndynamic lattice vibrations interact with time-dependent\nspin waves may give rise to signi\fcant magnon-phonon\ncoupling [6, 7]. One possible consequence of such cou-\npling is to create energy gaps in the magnon dispersion\nat the nominal intersections of the magnon and phonon\nmodes [8, 9], as seen in antiferromagnet (Y,Lu)MnO 3\n[10]. Alternatively, magnon-phonon coupling may give\nrise to spin-wave broadening at the magnon-phonon\ncrossing points [11]. In both cases, we expect the in-\ntegrated intensity of hybridized excitations at the inter-\nsecting points to be the sum of separate magnon and\nphonon scattering intensity without spin-lattice coupling[8]. Finally, if magnon and phonon lifetime-broadening\nis smaller than their interaction strength, the resulting\nmixed quasiparticles can form magnon polarons [6, 7].\nHere we use inelastic neutron scattering to study low-\nenergy ferromagnetic magnons and acoustic phonons in\nthe ferrimagnetic insulator yttrium iron garnet (YIG)\nwith chemical formula Y 3Fe5O12[Figs. 1(a)-1(d)] [12{\n14]. At zero \feld and 100 K, we con\frm the quadratic\nwave vector dependence of the magnon energy, E=Dq2,\nwhereDis the e\u000bective spin wave sti\u000bness constant and\nqis momentum transfer (in \u0017A\u00001or 1010m\u00001) away from\na Bragg peak [Fig. 1(e)] [13{19]. We also con\frm the\nlinear dispersion of the TA phonon mode [Fig. 1(e)].\nUpon application of a magnetic \feld H0, a spin gap of\nthe magnitude gH0(g\u00192 is the Land\u0013 e electron spin g-\nfactor) opens and lifts up spin waves spectra away from\nthe \feld-independent phonon dispersion [Figs. 1(f) and\n1(g)] [13, 14]. By comparing the zero and 9.1 T \feld wave\nvector dependence of the spin wave spectra, we \fnd that\ninstead of splitting and opening up gaps at the spin wave\nand acoustic phonon dispersion intersecting points, hy-\nbridized magnon polaron scattering at the intersecting\npoints has larger intensity at zero \feld and magnons re-\nmain unchanged at other wave vectors as shown schemat-\nically in the bottom panels of Figs. 1(f) and 1(g). This is\ndi\u000berent from the expectations of conventional magnon-\nphonon interaction, where hybridized polaronic excita-\ntions at the crossing points should have the sum of sep-\narate magnon and phonon scattering intensity, and be-\ncome broader in energy due to the repulsive magnon-\nphonon dispersion curves [8{11]. Our results thus reveal\na new magnon-phonon coupling mechanism, calling for\na new paradigm to understand the scattering process ofarXiv:1709.03940v1 [cond-mat.str-el] 12 Sep 20172\naaaa/2\nH0\nQ = (2,2,0) + qQ = (4,0,0) + q\nmagnon scan\nphonon scan\nKHL\nFe ‘d’Fe ‘a’\nY ‘c’\n0 2 4 6 8 10 1202468\nEnergy (meV)\nq (108 m-1)magnon 9.1 Tmagnon 0 Tmagnon\nEnergy scan\nQ = (2,2,0) + q\nphonon\nEnergy scan\nQ = (4,0,0) + qLA phonon \nTA phonon \nmagnon phonon\nEnergy Energy9.1 T0 T\n9.1 T0 TEnergy\nq q χ’’ \nEnergy EnergyEnergy\nq q χ’’ 9.1 T 0 T 9.1 T 0 T\nTALA\nTA TA\nTALALA\nmagnon phonon\n9.1 T0 T\n9.1 T0 Te\nf ga b\nd\nc\nH\n32333435\n28293031\nV (µV)LAV (µV)TA\nµ0H (T) µ0H (T)µ0H (T) µ0H (T)\n1.5 2.0 2.5 3.0 3.5 8.0 8.5 9.0 9.5 10.02.0 2.5 3.0 8.5 9.0 9.5 10.00.2\n0.10.00.1\n0.0V (µV)\nFIG. 1: (a) The full unit cell of YIG comprises eight cubes\nthat are related by glide planes to the basic cube shown in\nthe \fgure. (b) The corresponding reciprocal space with the\n[H;K; 0] scattering and vertical magnetic \feld H0. The red\nand green solid circles mark the positions of reciprocal space\nwhere we probe spin waves and acoustic phonons, respectively.\n(c) A picture of the Pt/YIG device used for SSE measure-\nments. (d) SSE voltage in the \feld ranges where anomalous\nfeatures appear at 100 K. (e) Magnon and phonon disper-\nsions of YIG at 100 K and di\u000berent magnetic \felds. The\nblack squares and solid red circles are data from 0 T and 9.1\nT measurements, respectively. The q\u00194:5\u0002108m\u00001point\ncorresponds to \u0001 Q= 0:062 in Fig. 2(d). The black and\nred solid lines are quadratic ferromagnetic spin wave \ft to\nthe data. The blue and red boxes indicate magnon-phonon\ncrossing points. The green and blue solid lines are TA (with\nphonon velocity C?\u00193:9\u0002103m/s)and LA ( Cjj\u00197:2\u0002103\nm/s) phonons, respectively [31]. (f,g) The expanded view of\nthe blue and red boxes in (e), respectively. The bottom panels\nin (f,g) summarize the results obtained in our measurements\non the magnetic \feld e\u000bect on spin waves, hybridized excita-\ntions, and TA phonons.\nmagnon-phonon interactions and the resulting magnon\npolarons [31].\nWe chose to study magnon-phonon coupling in YIG\nbecause it is arguably the most important material used\nin microwave and recent spintronic devices [20]. In ad-\ndition to having a ferrimagnetic ordering temperature\nof\u0018560 K suitable for room temperature applications,\nYIG can be grown with exceptional quality, and has the\nlowest Gilbert damping of any known materials and a\nnarrow magnetic resonance linewidth allowing transmis-\nsion of spin waves over macroscopic distances [21{23].The spin Seebeck e\u000bect (SSE), which allows spin cur-\nrents produced by thermal gradients in magnetic mate-\nrials to be transmitted and converted to charge voltages\nin a heavy metal such as Pt, is one of the most techno-\nlogically relevant thermoelectric phenomena to be used\nin `spin caloritronic' devices [24{29]. In the case of a Pt\n\flm on the surface of a polished single-crystalline YIG\nslab (Pt/YIG) [Fig. 1(c)] [30], anomalous features in\nmagnetic \feld dependence of the SSE voltages at low\ntemperatures are attributed to the magnon-phonon in-\nteraction at the \\touching\" points between the magnon\nand transverse acoustic (TA) and longitudinal acoustic\n(LA) phonon as magnon dispersion curve is lifted by the\napplied \feld while phonon is not a\u000bected by the \feld\n[Fig. 1(d)] [31]. While we \fnd no anomaly at the magnon\nand TA/LA acoustic phonon touching points, our data\nreveal clear evidence for magnon-phonon interaction at\nzero \feld, consistent with the formation of magnon po-\nlarons.\nOur neutron scattering experiment was carried out at\nNIST center for neutron research, Gaithersburg, Mary-\nland [32]. The full body-centered-cubic unit cell of YIG\nwith space group Ia3dcomprises eight cubes that are re-\nlated by glide planes to the basic cube as shown in Fig.\n1(a), where the metallic atomic sites are labelled as `a',\n`d', and `c' [13]. Using the cubic lattice parameter of\na=b=c= 12:376\u0017A, we de\fne momentum transfer\nQin three-dimensional (3D) reciprocal space in \u0017A\u00001as\nQ=Ha\u0003+Kb\u0003+Lc\u0003, whereH,K, andLare Miller\nindices and a\u0003=^a2\u0019=a,b\u0003=^b2\u0019=a,c\u0003=^c2\u0019=a[Figs.\n1(a) and 1(b)]. Consistent with Ref. [31], the magnetic\n\feld dependence of SSE voltage on our Pt \flm on YIG\ncontains two anomalous features at 2.5 T and 9.1 T [Figs.\n1(c)-1(e)] [32{36].\nThe sample for neutron scattering experiments was ori-\nented withaandb(a)-axis of the crystal in the horizontal\n[H;K; 0] scattering plane [Fig. 1(b)] and mounted inside\na 10 T vertical \feld magnet. In this geometry, we mea-\nsured magnon dispersion around (2 ;2;0) and phonon dis-\npersion around (4 ;0;0). The momentum transfers Qat\nthese wave vectors are Qmagnon = (2 + \u0001Q;2 + \u0001Q;0)\nandQphonon = (4;\u0001Q;0) for TA phonon [Fig. 1(b)].\nFor convenience, we calculate relative momentum trans-\nfer asq= 2\u0019p\n2\u0001Q=a for magnon and q= 2\u0019\u0001Q=a for\nphonon. We chose (2 ;2;0) for magnetic and (4 ;0;0) for\nphonon measurements because of their huge di\u000berences\nin nuclear structure factors [4.75 at (2 ;2;0) versus 50.5 at\n(4;0;0)], which is directly related to the acoustic phonon\nintensity. Although we expect to \fnd mostly magnetic\nscattering at (2 ;2;0) and phonon scattering at (4 ;0;0),\nthe \fnite Fe3+magnetic form factor of jF(Q)jmeans\nthat there are still magnetic contributions to the phonon\nscattering at (4 ;0;0) (jF(2;2;0)j2=jF(4;0;0)j2\u00191:86).\nMagnetic neutron scattering directly measures the\nmagnetic scattering function S(Q;E), which is propor-\ntional to the imaginary part of the dynamic susceptibil-3\n9.1 T\n0 T9.1T 100K 0T 100K9.1 T\n0 T 24\n0Energy (meV) \n0 5 10 0 5 10\n9.1 T 0 T\nQ=(2+/uni0394Q, 2+/uni0394Q, 0)/uni0394Q = 0.062/uni0394Q = 0.112\n/uni0394Q = 0.092/uni0394Q = 0.152\n/uni0394Q = 0.062/uni0394Q = 0.112\n/uni0394Q = 0.092/uni0394Q = 0.132/uni0394Q = 0.152\n/uni0394Q = 0.032\n/uni0394Q = 0.0120123 5K 100K χ’‘(q, E) (a.u.)0T 5K0 T\n0 2 4 6 8 100.00.20.40.60.81.01.21.41.61.82.0\n0 2 4 6 8 100.00.20.40.60.81.01.21.41.61.82.0\nEnergy (meV) Energy (meV)Instrument Resolutiona b c\nd eq (108 m-1) q (108 m-1)0 2 4 6 8\nEnergy (meV)/uni0394Q = 0.092 χ’’ (a.u.)\n χ’’ (a.u.) χ’‘(q, E) theoretical (a.u.)\n/uni0394Q = 0.1320 0.05 0.10 0.15 0 0.05 0.10 0.15/uni0394Q (r.l.u) /uni0394Q (r.l.u)\nq = (/uni0394Q, /uni0394Q, 0)χ’‘(q, E-gH0)\nFIG. 2: (a) Schematic illustration of the expected magnon\ndispersions at 0 T and 9.1 T for a simple ferromagnet. (b)\nThe expected temperature, magnetic \feld dependence of low-\nenergy\u001f00(Q;E) for simple ferromagnet obtained from SpinW\nsoftware package [39]. Here the magnetic \feld induced spin\ngapgH0has been subtracted in the 9.1 T \u001f00(q;E\u0000gH0) (red).\nThe upper and bottom units are \u0001 Qandq, respectively. (c)\nOur estimated \u001f00(Q;E) with Q= (2:092;2:092;0) at 5 K and\n100 K after correcting measured S(Q;E) for the background\nand Bose-population factor. (d,e) The estimated \u001f00(Q;E) at\n0 T and 9.1 T, respectively, after correcting for background\nand Bose population factor. Scans at di\u000berent wave vectors\nare lifted up by 0.3 sequentially. The black and red arrows\nmarks the peak positions at 0 T and 9.1 T, respectively.\nity\u001f00(Q;E) throughS(Q;E)/jF(Q)j2\u001f00(Q;E)=[1\u0000\nexp(\u0000E\nkBT)], whereEis the magnon energy, kBis the\nBoltzmann constant [2]. Although YIG is a ferrimag-\nnet, its low-energy spin waves can be well described as\na simple ferromagnet [17]. In the hydrodynamic limit of\nlong wavelength (small- q) and small energies, we expect\nE= \u0001 0+gH0+Dq2for spin wave dispersion, where \u0001 0is\nthe possible intrinsic spin anisotropy gap, gH0is the size\nof the magnetic \feld induced spin gap, and Dis in units\nof meV \u0017A2[Fig. 2(a)] [13{16]. In addition, for a pure\nmagnetic ordered system without spin-lattice interaction,\nwe expect that \u001f00(Q;E) to be independent of temper-\nature at temperatures well below the magnetic ordering\ntemperature and applied magnetic \feld after correcting\nfor the \feld-induced spin gap gH0[Fig. 2(b)] [37{39].\nTo determine if temperature and magnetic \feld de-\npendence of spin waves in YIG follow these expecta-\ntions, we measured wave vector dependence of magnon\n-2 0 2 4 60.00.51.01.52.02.53.03.5\n0 2 4 6 8 100.00.51.01.52.02.53.03.5\n0 2 4 6 8 100.00.51.01.52.02.53.03.5\n2 4 6 8 100.00.51.01.52.02.5/uni0394Q = 0.062 /uni0394Q = 0.112\n/uni0394Q = 0.132 /uni0394Q = 0.1529.1 T0 TIntensity (a.u.)Intensity (a.u.)\nIntensity (a.u.) Intensity (a.u.)Q=(2+/uni0394Q, 2+/uni0394Q, 0)\n1.05 meVa b\nc dEnergy (meV) Energy (meV)\nEnergy (meV) Energy (meV)FIG. 3: (a,b,c,d) Comparison of the estimated \u001f00(Q;E) as\na function of increasing wave vector at 0 T (black) and 9.1\nT (red). The 9.1T data is shifted by 1.05 meV to accommo-\ndate the \feld induced energy shift. Light red dots represents\nthe original data position of the 9.1 T data. The horizontal\nbars are estimated instrumental energy resolution based on\nmagnon dispersion at 100 K.\nenergy of YIG at di\u000berent temperatures and magnetic\n\felds. Figure 2(c) shows our estimated constant- Qscans\n[Q= (2:092;2:092;0) or \u0001Q= 0:092 rlu] of\u001f00(Q;E) at 5\nK (\flled black squares) and 100 K (\flled orange circles).\nConsistent with the expectation, we see that \u001f00(Q;E)\nat these two temperatures are identical within the er-\nrors of the measurement. Figure 2(d) shows constant-\nQscans of spin waves of YIG at 100 K and 0 T. At\nQ= (2:062;2:062;0) or \u0001Q= 0:062,\u001f00(Q;E) has a\nclear peak in energy that is slightly larger than the in-\nstrumentation resolution (horizontal bar). With increas-\ning \u0001Q, the peak in \u001f00(Q;E) moves progressively to\nhigher energies. We have attempted but failed to \ft the\nspin wave spectra with a simple harmonic oscillator gen-\nerally used for a ferromagnet [38]. This may be con-\nsistent with recent inelastic neutron scattering study of\nYIG that reveals the need to use long range magnetic\nexchange couplings to \ft the overall spin wave spectra\n[19]. By \ftting the spin wave spectra at zero \feld with\nan exponentially modi\fed Gaussian peak function [32],\nwe obtain the magnon dispersion curve as shown in Fig.\n1(e). Fitting the dispersion curve with E= \u0001 0+Dq2\nyields \u0001 0\u00190 andD= 580\u000660 meV \u0017A2, consistent with\nearlier work giving D\u0019533 meV \u0017A2[14].4\n0.00 0.05 0.10 0.15012345\nFWHM 0 T\nFWHM 9.1T\n ∆Q (r.l.u)0 2 4 6 8 100.00.51.01.52.0Energy (meV) Energy (meV)9.1 T0 T\nQ=(4, 0.2, 0)\n9.1 T0 T\n2.5 TQ=(4, 0.1, 0)\n9.1 T0 T\n2.5 T5 T\nMagnetic Field (T)Q=(2+/uni0394Q, 2+/uni0394Q, 0)Q=(4, 0.1, 0)a b\nc d\nIntegrated Area\nCNTS/105 monitorCNTS/105 monitor\nEnergy(meV)0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.00.00.51.01.52.02.53.0\n1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.00.00.20.40.60.81.01.2\nFIG. 4: (a) Energy scan of S(Q;E) atQ= (4;0:2;0), a\nposition far away from magnon-phonon crossing points, and\n100 K to probe TA phonon at 0 T and 9.1 T (b) Energy scan\nofS(Q;E) to probe magnon-phonon hybridized excitations at\nQ= (4;0:1;0) near magnon-phonon crossing point at 0 T, 2.5\nT, and 9.1 T. (c) Magnetic \feld dependence of the integrated\nintensity of magnon-phonon hybridized excitations at 100 K\nandQ= (4;0:1;0). (d) FWHM of the magnon at 0 T and\n9.1 T as a function of \u0001 Q.\nUpon application of a 9.1 T \feld at 100 K, we expect\nthe magnon dispersion curve to be lifted by gH0\u00191\nmeV. This would be consistent with the observation of\na sharp gap below 1.05 meV in constant- Qscan at Q=\n(2:012;2:012;0) (\u0001Q= 0:012) [Fig. 2(e)]. Constant-\nQscan at Q= (2:032;2:032;0) shows similar behavior.\nFigure 2(e) also shows constant- Qscans at identical wave\nvectors as those in Fig. 2(d) at 0 T. Using data in Fig.\n2(e), we plot the magnon dispersion at 9.1 T \feld in Fig.\n1(e). Consistent with the expectation, we see a clear gH0\nupward shift in magnon energy but the spin wave sti\u000bness\nDremains unchanged.\nTo quantitatively determine the magnetic \feld e\u000bect\non\u001f00(Q;E) of YIG, we compare \u001f00(Q;E) at 0 T with\nthose at 9.1 T. Figure 3(a)-3(d) summarizes the energy\ndependence of \u001f00(Q;E) after down shifting the 9.1 T\ndata bygH0= 1:05 meV. At \u0001 Q= 0:062, the scan along\nthe red arrow direction near the magnon-phonon cross-\ning point as shown in Fig. 1(f), we see that \u001f00(Q;E) at\n9.1 T \feld is lower in intensity compared with those at\n0 T. On moving to \u0001 Q= 0:10 with no magnon-phonon\ncrossing,\u001f00(Q;E) at 0 T and 9.1 T are virtually iden-tical as expected. At the second magnon-phonon cross-\ning point with \u0001 Q\u00190:13 [see red arrow in Fig. 1(g)],\nthe di\u000berences between \u001f00(Q;E) at 0 T and 9.1 T are\neven more obvious, with intensity at 0 T considerably\nlarger than that at 9.1 T [Fig. 3(c)]. Finally, on moving\nto \u0001Q= 0:152 well above the magnon-phonon crossing\npoint wave vectors [Fig. 1(e)], we again see no obvious\ndi\u000berence in \u001f00(Q;E) between 0 T and 9.1 T.\nFigure 3 shows that magnetic \feld dependence of\n\u001f00(Q;E) is highly wave vector selective, revealing clear\nmagnetic \feld induced intensity reduction in \u001f00(Q;E)\nat wave vectors associated with magnon-phonon cross-\ning points while having no e\u000bect at other wave vectors.\nTo con\frm the presence of TA phonon and determine its\nmagnetic \feld e\u000bect, we carried out TA phonon mea-\nsurements near (4 ;0;0), which has a rather large nu-\nclear structure factor compared with (2 ;2;0). Figure 4(a)\nshows energy scans of at Q= (4;0:2;0) and 100 K, which\nis along the green arrow direction in Fig. 1(g) and far\naway from the magnon dispersion. The spectra reveal\na clear magnetic \feld independent peak at E\u00193 meV,\ncon\frming the TA phonon nature of the scattering. Fig-\nure 4(b) shows similar energy scan at Q= (4;0:1;0) and\n100 K, which is along the green arrow direction and near\nthe magnon-phonon crossing point in Fig. 1(f). At 0\nT, we see a peak around E\u00191:7 meV consistent with\ndispersions of magnon and TA phonon. With increas-\ning \feld to 2.5 T and 9.1 T, the intensity of the peak\ndecreases, but its position in energy remains unchanged\n[Fig. 4(b)]. Figure 4(c) shows magnetic \feld dependence\nof the integrated intensity, con\frming the results in Fig.\n4(b). Since the energy of the magnon should increase\nwith increasing magnetic \feld, the \feld independent na-\nture of the peak position in Fig. 4(b) suggests that the\nmode cannot be a simple addition of magnon and phonon,\nbut most likely arises from hybridized magnon polarons\n[6, 7]. Figure 4(d) shows the full width at half maximum\n(FWHM) of the magnon width at 0 T and 9.1 T. Within\nthe errors of our measurements, we see no energy width\nchange in the measured wave vector region.\nOur results provided compelling evidence for the pres-\nence of magnon-phonon coupling in YIG at the magnon-\nphonon crossing points at zero \feld. This is clearly dif-\nferent from the SSE measurements, where anomalies are\nonly seen at the critical \felds that obey \\touch\" condition\nat which the mangnon energy and group velocity agree\nwith that of the TA/LA phonons. When the applied\n\feld is less than the critical \feld, the magnon disper-\nsion has two intersections with TA/LA phonon modes.\nWhen the applied \feld is larger than the critical \feld, the\nmagnon dispersoin is separated from the TA/LA phonon\nmodes. In the theory of hybrid magnon-phonon excita-\ntions [6, 7], the SSE anomalies occur at magnetic \felds\nand wave vectors at which the phonon dispersion curves\nare tangents to the magnon dispersion, where the ef-\nfects of the magnon-phonon coupling are maximized [40].5\nWhile our \fndings of a novel magnon-phonon coupling at\nzero \feld are consistent with the formation of magnon-\npolarons in YIG [6, 7], they are not direct proof that\nmagnon-polaron formation alone causes anomalous fea-\ntures in the magnetic \feld and temperature dependence\nof the SSE. Other e\u000bects, such as spin di\u000busion length,\nacoustic quality of the YIG \flm, and magnon spin con-\nductivity also play an important role in determining the\nSSE anomaly [41]. Regardless of the microscopic origin\nof the SSE anomaly, our discovery suggests the need to\nunderstand why magnon-phononok interaction and the\nresulting magnon polarons enhance the hybridized exci-\ntations at the magnon-phonon intersection points.\nThe neutron scattering work at Rice is supported by\nthe U.S. DOE, BES de-sc0012311 (P.D.). The materials\nwork at Rice is supported by the Robert A. Welch Foun-\ndation Grant No. C-1839 (P.D.). The work at UCR (J.S.\nand Z.S.) is supported as part of the SHINES, an En-\nergy Frontier Research Center funded by the U.S. DOE,\nBES under Award No. SC0012670. YIG crystal growth\nat UT-Austin is supported by the Army Research O\u000ece\nMURI award W911NF-14-1-0016.\n\u0003These authors made equal contributions to this paper\nyElectronic address: jings@ucr.edu\nzElectronic address: pdai@rice.edu\n[1] W. Heisenberg, Z. Phys. 49, 619 (1928).\n[2] S. W. Lovesey, Theory of Thermal Neutron Scattering\nfrom Condensed Matter (Clarendon, Oxford, 1984), Vol.\n2, Chap. 9.\n[3] L. D. Landau, Sov. Phys. JETP 3, 920 (1957).\n[4] M. E. Zhitomirsky and A. L. Chernyshev, Rev. Mod.\nPhys. 85, 219 (2013).\n[5] Jun Zhao, D. T. Adroja, Dao-Xin Yao, R. Bewley, Shil-\niang Li, X. F. Wang, G. Wu, X. H. Chen, Jiangping Hu,\nand Pengcheng Dai, Nat. Phys. 5, 555 (2009).\n[6] A. Kamra, H. Keshtgar, P. Yan, and G. E. W. Bauer,\nPhys. Rev. B 91, 104409 (2015).\n[7] K. Shen and G. E. W. Bauer, Phys. Rev. Lett. 115,\n197201 (2015).\n[8] E. Anda, J. Phys. C: Solid State Phys. 9, 1075 (1976).\n[9] S. C. Guerreiro and S. M. Rezende, Phys. Rev. B 92,\n214437 (2015).\n[10] Joosung Oh, Manh Duc Le, Ho-Hyun Nahm, Hasung\nSim, Jaehong Jeong, T.G. Perring, Hyungje Woo, Kenji\nNakajima, Seiko Ohira-Kawamura, Zahra Yamani, Y.\nYoshida, H. Eisaki, S.-W. Cheong, A.L. Chernyshev, and\nJe-Geun Park, Nat. Comm. 7, 13146 (2016).\n[11] Pengcheng Dai, H. Y. Hwang, Jiandi Zhang, J. A.\nFernandez-Baca, S.-W. Cheong, C. Kloc, Y. Tomioka,\nand Y. Tokura Phys. Rev. B 61, 9553 (2000).\n[12] S. Geller and M. A. Gilleo, Acta Cryst. 10, 239 (1957).\n[13] J. S. Plant, J. Phys. C: Solid State Phys. 10, 4805 (1977).\n[14] V. Cherepanov, I. Kolokolov and V. L'Vov. Phys. Reps.\n229, 81 (1993).\n[15] A. B. Harris, Phys. Rev. 132, 2398 (1963).\n[16] C. M. Srivastava and R. Aiyar, J. Phys. C: Solid StatePhys. 20, 1119 (1987).\n[17] J. Barker and G. E. W. Bauer, Phys. Rev. Lett. 117,\n217201 (2016).\n[18] L. S. Xie, G. X. Jin, L. X. He, G. E. W. Bauer, J. Barker,\nand K. Xia, Phys. Rev. B 95, 014423 (2017).\n[19] A. J. Princep, R. A. Ewings, S. Ward, S. T\u0013 oth, C. Dubs,\nD. Prabhakaran, A. T. Boothroyd, arXiv:1705.06594.\n[20] A.V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands. Nature Physics 11, 453461 (2015);\n[21] A. A. Serga, A.V. Chumak, and B. Hillebrands. J. Phys.\nD: Appl. Phys. 43 264002 (2010).\n[22] A.V. Chumak, , A. A. Serga, and B.Hillebrands. Nat.\nCommun. 5, 4700 (2014).\n[23] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando, K.\nTakanashi, S. Maekawa, and E. Saitoh, Nature (London)\n464, 262 (2010).\n[24] Gerrit E. W. Bauer, Eiji Saitoh, and Bart J. van Wees,\nNat. Mater. 11, 391 (2012).\n[25] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature 455, 778\n(2008).\n[26] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J.\nIeda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai, G.\nE. W. Bauer, S. Maekawa, E. Saitoh, Nat. Mater. 9, 894\n(2010).\n[27] Ken-ichi Uchida, Hiroto Adachi, Takeru Ota, Hiroyasu\nNakayama, Sadamichi Maekawa, and Eiji Saitoh, Appl.\nPhys. Lett. 97, 172505 (2010).\n[28] C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom,\nR. C. Myers, and J. P. Heremans Phys. Rev. Lett. 106,\n186601 (2011).\n[29] A. Ho\u000bmann and S. D. Bader. Opportunities at the Fron-\ntiers of Spintronics. Phys. Rev, Appl. 5, 047001 (2015).\n[30] Z. Qiu, K. Ando, K. Uchida, Y. Kajiwara, R. Takahashi,\nH. Nakayama, T. An, Y. Fujikawa, and E. Saitoh, Appl.\nPhys. Lett. 103, 092404 (2013).\n[31] T. Kikkawa, K. Shen, B. Flebus, R. A. Duine, Ken-ichi\nUchida, Z. Qiu, G. E.W. Bauer, and E. Saitoh, Phys.\nRev. Lett. 117, 207203 (2016).\n[32] For additional data and analysis, see supplementary in-\nformation.\n[33] Z. Jiang, C.-Z. Chang, M. R. Masir, C. Tang, Y. Xu, J. S.\nMoodera, A. H. MacDonald, and J. Shi, Nat. Commun.\n7, 11458 (2016).\n[34] Y. D. Xu, B. Yang, C. Tang, Z. Jiang, M. Schneider, R.\nWhig, and J. Shi, Appl. Phys. Lett. 105, 242404 (2014).\n[35] S. M. Wu, F. Y. Fradin, J. Ho\u000bman, A. Ho\u000bmann, and\nA. Bhattacharya, J. Appl. Phys. 117, 17C509 (2015).\n[36] M. Collet, L. Soumah, P. Bortolotti, M. Muoz, V. Cros,\nand A. Anane, AIP Adv. 7, 055924 (2017).\n[37] Pengcheng Dai, Rev. Mod. Phys. 87, 855 (2015).\n[38] F. Ye, P. C. Dai, J. A. Fernandez-Baca, D. T. Adroja,\nT. G. Perring, Y. Tomioka, and Y. Tokura, Phys. Rev.\nB75, 144408 (2007).\n[39] S. Toth and B. Lake, J. Phys.: Condens. Matt. 27,\n166002 (2015).\n[40] B. Flebus, K. Shen, T. Kikkawa, K. Uchida, Z. Y. Qiu.,\nE. Saitoh, R. A. Duine, and G. E. W. Bauer, Phys. Rev.\nB95, 144420 (2017).\n[41] L. J. Cornelissen, K. Oyanagi, T. Kikkawa, Z. Qiu, T.\nKuschel, G. E. W. Bauer, B. J. van Wees, and E. Saitoh,\narXiv: 1706.04373v1." }, { "title": "2010.12732v1.Octave_Tunable_Magnetostatic_Wave_YIG_Resonators_on_a_Chip.pdf", "content": "Octave-Tunable Magnetostatic Wave YIG\nResonators on a Chip\nSen Dai, Sunil A. Bhave ,Senior Member, IEEE , and Renyuan Wang ,Member, IEEE\nAbstract —We have designed, fabricated, and charac-\nterized magnetostatic wave (MSW) resonators on a chip.\nThe resonators are fabricated by patterning single-crystalyttrium iron garnet (YIG) film on a gadolinium galliumgarnet (GGG) substrate and excited by loop-inductor\ntransducers. We achieved this technology breakthroughby developing a YIG film etching process and fabricatingthick aluminum coplanar waveguide (CPW) inductor looparound each resonator to individually address and excite\nMSWs. At 4.77 GHz, the 0.68-mm\n2resonator achieves a\nquality factor ( Q)>5000 with a bias field of 987 Oe. We also\ndemonstrate YIG resonator tuning by more than one octave\nfrom 3.63 to 7.63 GHz by applying an in-plane externalmagnetic field. The measured quality factor of the resonatoris consistently over 3000 above 4 GHz. The micromachiningtechnology enables the fabrication of multiple single-and two-port YIG resonators on the same chip with allresonators demonstrating octave tunability and high\nQ.\nIndex Terms —Magnetostatic wave (MSW), micromachin-\ning, resonator, spin wave, yttrium iron garnet (YIG).\nI. INTRODUCTION\nTHE advent of 5G and the desire for large bandwidth\nhas brought the 3–30-GHz band into prominence [1].\nRF MEMS piezoelectric film bulk acoustic resonators\n(FBARs) [2], the gold standard of 4G filter technology,\ndo not scale favorably with 5G RF communication [3]\nbecause of reduced thickness, high metal resistance, and\nchallenging lithography. On the other hand, electromagnetic\n(EM) wave-based resonators, such as microstrip lines, 3-Dmicromachined coaxial lines, and evanescent cavities [4], [5],\nare too large for chip-scale integration.\nMagnetostatic wave (MSW) resonators and filters are a\npromising technology to fill this gap [6]. MSWs exist in\nManuscript received January 27, 2020; accepted June 1, 2020.\nDate of publication June 4, 2020; date of current version October 26,\n2020. This work was supported by Defense Advanced ResearchProjects Agency (DARP A) under Contract HR0011-19-C-0017. Purdueco-authors also acknowledge s upport under Semiconductor Research\nCorporation (SRC) Contract 2018-LM-2830. The views, opinions and/orfindings expressed are those of the author and should not be interpretedas representing the official views or policies of the Department of Defense\nor the U.S. Government. This manuscript was approved for public\nrelease; distribution statement A; distribution unlimited. This manuscriptis not export controlled per ES-FL-011720-0016.\n(Corresponding author:\nRenyuan Wang.)\nSen Dai is with the Department of Physics and Astronomy, Purdue\nUniversity, West Lafayette, IN 47907 USA.\nSunil A. Bhave is with the School of Electrical and Computer Engineer-\ning, Purdue University, West Lafayette, IN 47907 USA.\nRenyuan Wang is with FAST Labs, BAE Systems, Inc., Nashua,\nNH 03060 USA (e-mail: renyuan.wang\n.@ .baesystems.com).ferromagnetic/ferrimagnetic materials. It is a lattice wave\nwhere the lattice consists of electron spin precessions. These\nwaves possess two salient features making them attractive forrealizing chip-scale resonators and filters in the super-high-\nfrequency (SHF) band. First, the group velocity is on the\norder of 1000 km/s and is a strong function of magneticbias applied to the material [7]. Therefore, the device size\ndoes not scale to extremely small dimensions with increased\noperating frequency. Second, the material loss limited Qof\nMSW resonator is theoretically frequency independent. Single-\ncrystal yttrium iron garnet (YIG) exhibits the lowest dampingfor MSW, with a material loss limited Q>10 000 for\nfrequencies in the UHF to Kabands [8], [9] has demonstrated\na YIG MSW resonator can reach Q>3000 in the X-band.\nState-of-the-art MSW resonators are constructed from bond-\ning a YIG-on-gadolinium gallium garnet (GGG) substrate onto another low dielectric loss substrate with λ/4 orλ/2 planar\ntransmission lines to excite the MSW resonant mode [6], [9].Such an approach leads to a centim eter-scale resonator forfeit-\ning the YIG’s advantage over a conventional EM resonator.\nThis poses challenges in monolithic integration and miniatur-\nization of multiple MSW devices and limits its applicationin higher order MSW filters and multiplexers. In this article,\nwe designed a novel MSW resonator that consists of a YIG\nthin-film mesa as well as a new loop-inductor transducer struc-\nture to efficiently excite the MSW [see Fig. 1(a) ], leading to\nsignificantly reduced resonator size. We also developed a new\nmicrofabrication process to fabricate multiple MSW resonators\non the same chip, as shown in Fig. 1(b) . The combination of\nnovel microfabrication process with the significantly reduced\nresonator size provides the freedom to design different MSW\nresonators with different wavelengths on a single chip so\nthat they have different resonant frequencies even with the\nsame magnetic bias. This provides the potential of monolithic\nhigh-order MSW filters and multiplexers with a small externalmagnet.\nII. R\nESONATOR DESIGN AND MODELING\nIn this work, 3- μm liquid-phase epitaxy (LPE) YIG film\ngrown on 500- μm (111)-oriented GGG substrate was used.\nThe saturation magnetization ( Ms)of YIG is ∼1750 G, and\nthe gyromagnetic ratio γμ 0is 2.8 MHz/G.\nA thin-film ferromagnetic/ferrimagnetic structure can sup-\nport three different types of MSW—magnetostatic forward\nvolume wave (MFVW), magnetostatic backward volume wave\n(MBVW), and magnetostatic surface wave (MSSW) [7]. Withthe in-plane external magnetic bias field applied in parallelFig. 1. (a) Top view of the chip with multiple MSW YIG resonators\nthat are designed to operate from 4.54 to 4.58 GHz with the same\n900-Oe dc magnetic bias [Devices ①–⑥].(b)Schematic of MSW res-\nonator ①as marked in red.\nwith the wavenumber k, MBVW will be excited in the YIG\nfilm. Suppose that the YIG film has an infinite lateral size, thus\nignoring the in-plane boundary, the wave amplitude distributes\nsinusoidally through the volume of the film, and the dispersionrelation of the lowest order mode ( n=1) is\nω\n2=ω0/bracketleftbigg\nω0+ωM/parenleftbigg1−e−kd\nkd/parenrightbigg/bracketrightbigg\n(1)\nwhere ω0=μ0γHdc\neff,ωM=μ0γMS,ωis the frequency\nof the MBVW, kis the wave vector of the MBVW and\nhas an in-plane direction, dis the film thickness, γis the\ngyromagnetic ratio that is fundamentally related to electroncharge to mass ratio, μ\n0is the vacuum permeability, Hdc\neffis the\nmagnitude of the effective torque-exerting dc bias field internalto the material, and M\nSis the magnitude of the saturation\nmagnetization [10]. For the lowest order mode considered inthis manuscript, kd\u00031. Therefore, for a fixed wavelength,\nthe frequency tuning sensitivity is\ndω\ndHdc\neff=dω\ndω0dω0\ndHdc\neff≈1\n22ω0+ωM\n/parenleftbigω2\n0+ω0ωM/parenrightbig1\n2μ0γ. (2)\nThe saturation magnetization of YIG film is ∼1750 G [11],\nand the gyromagnetic ratio γμ 0is 2.8 MHz/G.In contrast with conventional method of exciting MBVW\nthrough centimeter long transmission lines, a simple planar\nloop-inductor design is proposed. The inductor loop generates\nan RF magnetic field perpendicular to the YIG film. As theexcitation efficiency of MSW depends on the overlap integral\nbetween the RF H-field and the MSW mode profile, the induc-\ntor loop provides strong coupling as well as realizes a much\nsmaller resonator size.\nA simple analogy between bulk acoustic wave and MBVW\ncould be used to further illustrate the working principle of\nMBVW resonator and the function of inductor loop as follows.\n1)An external magnetic bias Habove the saturation field\nis needed to align the magnetic spin momentum ( M)\nwith the external magnetic bias, which corresponds to\nthe poling process of piezomate rial using an electric field\nEto reach saturation polarization ( P)regime.\n2)An open circuit, as a capacitor, is used to excite theacoustic wave and the driving force is the charge-\ninduced electric field Eperturbation on the polariza-\ntion P. In comparison, a short circuit, as an inductor\nloop we proposed here, is used to excite the spin waveand the driving force is the RF current-induced magnetic\nfield Hperturbation on the magnetic momentum M.\n3)In piezomaterial, t his electric field ( E)-induced pertur-\nbation on Pinduces a coherent movement of atoms of\nthe lattice out of their equilibrium positions, which is\ncalled an acoustic wave. In ferromagnetic/ferrimagnetic\nmaterial, the magnetic field ( h)-induced perturbation on\nMinduces a coherent spin precession, which is called\nMSW.\nDespite the similarities between acoustic wave and MSW,\nMSW resonator possesses a few advantages over acoustic\nwave resonators in the SHF band. First, typical magnetic wave\nvelocity is around 1 ×10\n5to 1×106m/s, leading to a device\nsize of a few millimeters to submillimeters and is easily tunedby an external magnetic bias field to fit different sizes and dif-ferent frequencies. However, a typical acoustic wave velocity\nis around 5000–10 000 m/s, requiring a submicrometer-level\nfabrication and is hard to tune because it requires changingYoung’s modulus of the piezomaterial. Second, in many types\nof acoustic resonators, the material loss limited resonator\nf×Qproduct is generally a constant, resulting in intrinsic\nquality factor degradation at higher frequency [12]. For ferri-\nmagnetic/ferromagnetic material, the material loss limited Q\nof MSW resonator is theoreti cally frequency independent,\nas no thermoelastic damping exists in coherent spin pre-cession. Finally, while the acoustic wave exists in all solidmaterials, MSW only exists in ferromagnetic/ferrimagnetic\nmaterials. Therefore, the material and design of the transducer\nfor acoustic wave devices need to be carefully optimizedto achieve a balance between material acoustic loss, energy\nconfinement, and electrical resi stance. On the other hand, for\nMSW, many low resistivity metal materials do not supportMSW transportation. This significantly relaxes the constraint\nfor optimizing toward low parasitic electrical resistance fromthe transducers.\nThe designed MSW resonator devices consist of two YIG\nfilm mesas wrapped around by electrode loop inductorsFig. 2. Device performance simulation using HFSS with the magnetic\nbias of 950 Oe. The simulated structure mimics the exact physical\nconstruct of actual MBVW resonator where two YIG islands sit on a GGG\nsubstrate with loop inductors wrapped around them. Inset: schematic of\nthe simulated structure.\n(seeFig. 2 ). As will be described in Section III, the YIG mesas\nare formed by a unique ion mill etching technique. Because\nthe GGG substrate does not support spin-wave transportation,\nthis provides 3-D energy confinement of the MSW energy\n(Fig. 3 ) similar to that of AlN FBARs. A single YIG film\nisland and its associated loop inductor can be considered as\na single MSW resonator, and the device in Fig. 2 can be\nconsidered as two MSW resonators connected in parallel. Sucha balanced structure is to facilitate the characterization of thesedevice, to avoid the parasitics from converting the balanced RF\nsignal from the coplanar waveguide (CPW) to a single-ended\nresonator structure. The width of the YIG resonator is 200 μm,\nand the length of the YIG resonator is 1700 μm. The width of\nthe CPW trace is 100 μm. As submicrometer-thick epitaxial\nYIG films tend to exhibit higher intrinsic damping for MSW\ndue to the crystal defects [13], we opt for a 3-μ m-thick\nYIG film to optimize toward high Qoperation. In addition,\nthe transducer is intentionally separated from the YIG mesaby 5μm to prevent potential MSW damping caused by spin-\nwave pumping from YIG to metallic electrode material [14].\nIII. F\nABRICATION\nThe key process steps for the MSW resonator fabrication\nare shown in Fig. 4 . A layer of photoresist was patterned\non the YIG film as mask for ion mill etching. As the\netching selectivity between photoresist and YIG was tested\nto be close to 1:1, the photoresist thickness was chosen to\nbe 5μm in order to ensure sufficient masking as well as\nprevent the transfer of PR surface morphology to the YIG\netching sidewall. With an op timized recipe, we were able\nto achieve an etching rate of 32.6 nm/min and at the sametime retain a vertical sidewall angle with intermissive cooling\ncycles to avoid PR burning. After ion milling, the chip wastransferred to acetone and sonicated for 30 min to remove the\nhardened PR mask. The hardening of PR was due to Ar plasma\nexposure and high-temperature during etching. Another 30 min\nFig. 3. Mode profile (time-varying component of the magnetization\nvector) of the MSW in the proposed structure.\nFig. 4. (a) 3-µm single-crystal (111) YIG is grown on the GGG substrate.\n(b)Photoresist with 5- µm thickness has been patterned as a mask\nfor YIG ion milling. (c)YIG is etched by ion milling at an etching rate\nof 32.6 nm/min with cooling cycles. (d)PR mask and resputtered YIG is\nremoved for clean YIG patterning. (e)Thick photoresist mask has been\npatterned through lithography. (f)2µm of Al is e-beam deposited followed\nby a liftoff process.\nof phosphoric acid soak at 80◦C was implemented to remove\nmost of the resputtered YIG around the sidewall. The SEMof the etched YIG sidewall is shown in Fig. 5 .A2 -μm-thick\nAl electrode was defined by the liftoff process to minimizeelectrical resistive loss. To ensure the proper lift-off of the2-μm-thick metal, we developed an ultrathick PR recipe.\nThe Al electrode is deposited using e-beam evaporation with10-min cooling cycles between each of the 400-nm depositionintervals to avoid PR overheating and repeated metal soak\nprocess to facilitate source metal reflow. Fig. 5 shows the SEM\nof the fabricated MW resonator device as well as the top viewof one resonator device.\nIV. M\nEASUREMENT\nA three-axis projection magnet (GMW Magnet System\n5201 Model) is used to generate the magnetic bias field and thedevice is placed 2 mm above the center of the magnet projector\nto ensure that only in-plane magnetic field is applied to theFig. 5. SEM images of YIG ion milling etching sidewall view (top) and\ntop view of the MSW resonator (bottom).\nFig. 6. Photograph of the MSW resonator testing setup (left) and\nzoomed-in view of RF probe station (right).\ndevice. The magnetic field is calibrated using a three-axis\nHall sensor. The measured magnetic field uniformity is within\n±1% over an area of 20 mm2, where the device is placed to\nensure that it is uniformly biased. The scattering parameters of\nthe devices were measured using a network analyzer (Agilent\nPNA-L N5230A) with 20 000 sampling points in a 2-GHz scan\nwith a resolution bandwidth of 100 kHz (see Fig. 6 ).\nThe impedance (as measured, no deembedding was\nperformed) of a one-port resonator from 4.0 to 5.5 GHzwith a magnetic bias of 987 Oe is measured and shown in\nFig. 7 . The measured response matches with our simulations.\nThe main resonant frequency is at 4.770 GHz and the\nFig. 7. Magnitude (top) and phase (bottom) of the impedance of the\none-port MSW resonator.\n3-dB bandwidth is 0.907 MHz, resulting in a quality factor\nQ=fresonance /\u0005f3d Bof 5259. To the best of our knowledge,\nthis is the highest Qdemonstrated by MSW devices to date.\nThe impedance at resonance is 237 \u0006, which translates to\nan impedance of 474 \u0006for single YIG island resonator. The\nfigure of merit (FOM) f×Qis 2.51×1013surpasses that\nof acoustic wave resonator counterparts [15].\nFrom the measured 4.770-GHz resonance under 987-Oe\nmagnetic bias, we could back-calculate that at 950 Oe, the\nresonance should be at 4.653 GHz using (1). The mismatch inresonant frequency is due to the fact that the effective magnetic\nbias from shape anisotropy and magnetocrystalline anisotropy\nwas not accounted for in the simulation. The resonator can bemodeled as a parallel RLC circuit in series with a resistance\nand an inductance, as shown in Fig. 8 . The series inductance\nand resistance are from the electrical loop inductance and\nthe electrical resistance of the transducer, respectively. The\nparallel RLC is the equivalent circuit for the resonance in\nthe MSW domain. The extracted coupling factor is 0.206%\n(k\n2\nt=(π2/4)((fp−fs)/fp))with a resistance of 4.66 \u0006\nfrom the inductor loop. This higher-than-expected electricalFig. 8. Curve fitting of the resonant peak. Inset: equivalent circuit model.\nFig. 9. Measured |Z11|of the MSW resonator under different magnetic\nbias fields from 987 to 1860 Oe.\nresistivity causes additional loading of the quality factor and\nexplains the deviation of measured impedance (237 \u0006)from\nthe simulation result (810 \u0006).\n|Z11|of the one-port MSW resonator under different\nmagnetic biases is measured and plotted in Fig. 9 . The dc\nmagnetic bias was stepped from 705 to 1860 Oe, the frequencyof the main resonance increased accordingly by more than oneoctave from 3.630 to 7.626 GHz. Qcalculated from the 3-dB\nbandwidth as a function of magnetic bias is plotted in Fig. 10 ,\nas well as the frequency of the main resonance a functionof magnetic bias. As shown in Fig. 10 , the tuning efficiency\nchanges from 3.367 to 2.96 MHz/Oe as the magnetic biasincreases from 705 to 1860 Oe, which is consistent with (2).\nThe parasitic resonance from the pad’s parasitic capacitance\nand the inductance of the loop ge nerates a strong resonating\ncurrent in the inductor, thus boosting the coupling of\nMSW. As the electrical impedance of MSW is determined by\ncoupling and Q, this leads to large impedance variation, which\nFig. 10. MSW resonator resonance frequenc y and extracted quality\nfactor.\nis also reflected in Fig. 9 . Interestingly, Qvaries with applied\nmagnetic bias. At low bias, the device structure supportsspin-wave modes that are half of the frequency of the main\nMBVW mode, and therefore, parametric spin-wave pumping\nby the MBVW is allowed, which degrades Q[16]. As the bias\nincreases, these half-frequency spin-wave modes are no longerpermitted, and Qincreases. On the other hand, due to the\nunexpected high resistivity from the electrodes and the contact\nresistance, at even higher bias (therefore higher resonant\nfrequency), the electrical resist ance becomes significant and\nstarts to limit Q.This can be prevented by switching to a\ndifferent electrode material an d better process control. Except\nin the spin-wave pumping regime, the extracted Qvaluers are\nconsistently above 3000, and the highest Qvalue achieved\nis 5259.\nAs predicted in simulations, a salient feature of MBVW\nresonators is that the spurious modes appear at the lowerfrequency side of the main re sonance due to the abnormal\ndispersion of MBVW, which is quite similar to bulk acoustic\nwave devices with a type II dispersion [17].\nThe measured temperature coefficient of frequency (TCF) of\nthe MSW resonator under different biases is shown in Fig. 11 .\nInterestingly, the TCF varies from −996 to −1440 ppm/K as\nthe dc magnetic bias decreases. This is because among theeffects that contribute to TCF (such as temperature depen-\ndence of magnetocrystalline anisotropy, thermal stress-inducedmagnetocrystalline anisotropy, and magnetization), the domi-\nnating effect is the temperature dependence of the saturation\nmagnetization. As shown previ ously, the resonant frequency\ncan be approximated by ω=(ω\n0(ω0+ωM))1/2forkd\u00031.\nTherefore, the TCF can be approximated by\ndω\ndT=1\n2\n1+ωM\nω0·dωM\ndT. (3)\nAs shown in (3), the TCF increases as the dc bias decreases.Although the TCF of MSW devices is higher than that of\ntypical BAW and SAW devices, which typically ranges from\na few tens of ppm/K to ∼100 ppm/K, the temperature stabilityFig. 11. Measured relationship between resonant frequency and\ntemperature under different biases within the operating frequency range\n(with linear fitting).\nTABLE I\nCOMPARISON OF THISWORK WITHSTATE -OF-THE-ART\nMSW R ESONATORS\ncan be improved by leveraging the tunability of MSW devices\nwith the tradeoff with system complexity. Table I summarizes\nthe performance of the device presented in this article, and itscomparison with state of the art. With our novel design and\nfabrication method, much higher Qis achieved with much\nsmaller sizes, and similar tuning efficiency and TCF.\nV. C\nONCLUSION\nA novel MSW resonator structure consists of patterned\nYIG film and inductor loop transducer has been designed and\nfabricated with a novel microfa brication process on a chip.\nThe lateral dimension of a single-patterned YIG structure is\n1700μm×200μm. The designed MSW resonator is tuned\nfrom 4.787 to 7.626 GHz, with measured quality factor atresonance frequency higher t han 3000 across the whole tuning\nrange. The f×Qproduct of these devices is significantly\nhigher than their acoustic counterparts. The small device sizemade possible by our novel fabrication process and transducer\ndesign enables single-chip integration of multifrequency\ndevices, which facilitates the realization of chip-scalehigh-order MSW filters, multiplexers, circulators [22],microwave-to-optical converters [23], and quantum coherent\nspin-magnon transducer [24]. The YIG micromachining\nprocess and resonator design are not limited to the GGGsubstrate. They can be directly ported to layer-transferred YIG\nthin-film technologies [25]. Lev eraging the recent advances in\nintegrated magnetic materials, a small permanent magnet (such\nas a screen-printed magnet [26]) is sufficient for providing\nhomogeneous magnetic bias for these devices to operate in\nSHF bands because of the small size of these resonators, thus\nenabling a chip-scale SHF multiplexing solution.\nA\nCKNOWLEDGMENT\nThe authors would like to thank the staff at Purdue’s\nBirck Nanotechnology Center for their technical support.\nThey would also like to thank Tingting Shen for discussionsabout fabrication and Yiyang Feng for discussions about SEM\nimaging.\nR\nEFERENCES\n[1]World Radiocommunication Conference 2019 . Accessed: Nov. 25, 2019.\n[Online]. Available: https://www.itu .int/en/ITU-R/conferences/wrc/2019/\nPages/default.aspx\n[2]R. Ruby, “The ‘how & why’a deceptively simple acoustic resonator\nbecame the basis of a multi-billion dollar industry,” in Proc. IEEE 30th\nInt. Conf. Micro Electro Mech. Syst. (MEMS) , Jan. 2017, pp. 308–313.\n[3]S. Mahon, “The 5G effect on RF filter technologies,” IEEE Trans.\nSemicond. Manuf. , vol. 30, no. 4, pp. 494–499, Nov. 2017.\n[4]C. Hermanson, R. Reid, and W. Stacy, “Ultra-compact four-channel\n5–18 GHz switched filter bank utilizing polystrata microfabrication\nand 3D packaging,” in Proc. Int. Symp. Microelectron. , 2017, no. 1,\npp. 000040–000045.\n[5]M. S. Arif, W. Irshad, X. Liu, W. J. Chappell, and D. Peroulis, “A high-\nQ magnetostatically-tunable all-silicon evanescent cavity resonator,” inIEEE MTT-S Int. Microw. Symp. Dig. , Jun. 2011, pp. 1–4.\n[6]G.-M. Yang, J. Wu, J. Lou, M. Liu, and N. X. Sun, “Low-loss\nmagnetically tunable bandpass filters with YIG films,” IEEE Trans.\nMagn. , vol. 49, no. 9, pp. 5063–5068, Sep. 2013.\n[7]W. S. Ishak and K.-W. Chang, “Tunabl e microwave resonators using\nmagnetostatic wave in YIG films,” IEEE Trans. Microw. Theory Techn. ,\nvol. MTT-34, no. 12, pp. 1383–1393, Dec. 1986.\n[8]W. J. Keane, “Narrow-band YIG filters aid wide-open receivers,”\nMicroWaves , vol. 17, pp. 50–54, Sep. 1978.\n[9]R. Marcelli, P. De Gasperis, and L. Marescialli, “A tunable, high Q\nmagnetostatic volume wave oscillator based on straight edge YIG res-\nonators,” IEEE Trans. Magn. , vol. 27, no. 6, pp. 5477–5479, Nov. 1991.\n[10] D. D. Stancil, Theory of Magnetostatic Waves . New York, NY , USA:\nSpringer, 2012.\n[11] J. L. V ossen, Thin Films for Advanced Electronic Devices: Advances in\nResearch and Development . New York, NY , USA: Academic, 2016.\n[12] R. Tabrizian, M. Rais-Zadeh, and F. Ayazi, “Effect of phonon inter-actions on limiting the f.Q product of micromechanical resonators,” in\nProc. TRANSDUCERS-Int. Solid-State Sensors, Actuat. Microsyst. Conf. ,\nJun. 2009, pp. 2131–2134.\n[13] G. Gurjar, V . Sharma, S. Patnaik, and B. K. Kuanr, “Structural and\nmagnetic properties of high quality single crystalline YIG thin film:A comparison with the bulk YIG,” in Proc. DAE Solid State Phys.\nSymp. Melville, NY , USA: AIP Publishing LLC, 2019, vol. 2115, no. 1,\nArt. no. 030323.\n[14] S. A. Manuilov et al. , “Spin pumping from spinwaves in thin film YIG,”\nAppl. Phys. Lett. , vol. 107, no. 4, Jul. 2015, Art. no. 042405.\n[15] M. Ghatge, G. Walters, T. Nishida, a nd R. Tabrizian, “High-Q UHF and\nSHF bulk acoustic wave resonators with ten-nanometer Hf\n0.5Zr0.5O2\nferroelectric transducer,” in Proc. 20th Int. Conf. Solid-State Sensors,\nActuat. Microsyst. Eurosensors XXXIII (TRANSDUCERS EUROSEN-SORS XXXIII), Jun. 2019, pp. 446–449.\n[16] D. M. Pozar, Microwave Engineering . Hoboken, NJ, USA: Wiley, 2009.\n[17] H. Suhl, “The nonlinear behavior of ferrites at high microwave signal\nlevels,” Proc. IRE, vol. 44, no. 10, pp. 1270–1284, Oct. 1956.[18] J. P. Castéra and P. Hartemann, “Magnetostatic wave resonators\nand oscillators,” Circuits, Syst., Signal Process. , vol. 4, nos. 1–2,\npp. 181–200, Mar. 1985.\n[19] K.-W. Chang and W. S. Ishak, “Magnetostatic forward volume wave\nstraight edge resonators,” in IEEE MTT-S Int. Microw. Symp. Dig. ,\nJun. 1986, pp. 473–475.\n[20] J. M. Owens, C. V . Smith, E. P. Snapka, and J. H. Collins, “Two-port\nmagnetostatic wave resonators utilizing periodic reflective arrays,” in\nIEEE MTT-S Int. Microw. Symp. Dig. , Jun. 1978, pp. 440–442.\n[21] W. R. Brinlee, J. M. Owens, C. V . Smith, and R. L. Carter, “‘Two-port’\nmagnetostatic wave resonators utilizing periodic metal reflective arrays,”\nJ. Appl. Phys. , vol. 52, no. 3, pp. 2276–2278, 1981.\n[22] N. Zhu, X. Han, C.-L. Zou, M. Xu, and H. X. Tang, “Magnon-photonstrong coupling for tunable m icrowave circulators,” P h y s .R e v .A ,G e n .\nPhys., vol. 101, no. 4, Apr. 2020, Art. no. 043842.\n[23] N. Zhu et al. , “Waveguide cavity optomagnonics for broadband\nmultimode microwave-to-optics conversion,” 2020, arXiv:2005.06429 .\n[Online]. Available: http://arxiv.org/abs/2005.06429\n[24] D. R. Candido, G. D. Fuchs, E. Johnst on-Halperin, and M. E. Flatté,\n“Predicted strong coupling of solid-state spins via a single magnonmode,” 2020, arXiv:2003.04341 . [Online]. Available: http://arxiv.org/\nabs/2003.04341\n[25] H. S. Kum et al. , “Heterogeneous integration of single-crystalline\ncomplex-oxide membranes,” Nature , vol. 578, no. 7793, pp. 75–81,\nFeb. 2020.\n[26] T. Speliotis et al. , “Micro-motor with screen-printed rotor magnets,”\nJ. Magn. Magn. Mater. , vol. 316, no. 2, pp. e120–e123, Sep. 2007.\nSen Dai received the B.Sc. degree in physics\nfrom the University of Science and Technologyof China, Hefei, Anhui, China, in 2014. He is\ncurrently pursuing the Ph.D. degree with the\nDepartment of Physics and Astronomy, PurdueUniversity, West Lafayette, IN, USA.\nHe joined Prof. Sunil Bhave’s OxideMEMS Lab\nin January 2017. His research is focused onRF MEMS resonators and micromachining ferritecomponents.\nSunil A. Bhave (Senior Member, IEEE)\nreceived the B.S. and Ph.D. degrees in electricalengineering and computer sciences from the\nUniversity of California at Berkeley, Berkeley,\nCA, USA, in 1998 and 2004, respectively.\nHe was a Professor with Cornell University,\nIthaca, NY , USA, for ten years and worked at\nAnalog Devices, Woburn, MA, USA, for five\nyears. In April 2015, he joined the Departmentof Electrical and Computer Engineering, Purdue\nUniversity, West Lafayette, IN, USA, where\nhe is currently the Associate Director of operations at the Birck\nNanotechnology Center. He is a Co-Founder of Silicon Clocks, Fremont,CA, USA, that was acquired by Silicon Labs, Austin, TX, USA, in\nApril 2010. His research interests focus on the interdomain coupling in\noptomechanical, spin-acoustic, and color center-MEMS devices.\nDr. Bhave received the NSF CAREER Award in 2007, the DARP A\nY oung Faculty Award in 2008, the IEEE Ultrasonics Society’s Y oungInvestigator Award in 2014, and the Google Faculty Research Awardin 2020. His students have received best paper awards at the IEEEPhotonics 2012, the IEEE Ultrasonics Symposium 2009, and IEDM 2007.\nRenyuan Wang (Member, IEEE) received the\nB.S. degree from the Harbin Institute of Technol-\nogy, Harbin, China, in 2007, the M.S. degree from\nthe University of Massachusetts at Dartmouth,North Dartmouth, MA, USA, in 2010, and the\nPh.D. degree from Cornell University, Ithaca,\nNY , USA, in 2014.\nFrom 2015 to 2017, he was a Research\nand Development Engineer with the BAW RnD\nGroup, Qorvo, Apopka, FL, USA. He then joined\nthe FAST Labs, BAE Systems, Inc., Nashua,\nNH 03060 USA, where he is currently a Senior Scientist. He worked\nin developing high-dynamic-range coherent RF photonic radar front\nends, lithium niobate thin-film devices for applications in RF MEMS,optomechanics, nonlinear optics, and inertial measurement units, as wellas aluminum nitride bulk acoustic w ave resonators and filters for personal\nmobile wireless devices. His current research interests focus on MEMSdevices exploiting ferroelectric, ferro/ferrimagnetic materials, and theirintercouplings." }, { "title": "2312.15107v1.Magnon_assisted_magnetization_reversal_of_Ni81Fe19_nanostripes_on_Y3Fe5O12_with_different_interfaces.pdf", "content": "Magnon-assisted magnetization reversal of\nNi81Fe19nanostripes on Y 3Fe5O12with different\ninterfaces\nAndrea Mucchietto,†Korbinian Baumgaertl,‡and Dirk Grundler∗,‡,¶\n†´Ecole Polytechnique F´ ed´ erale de Lausanne (EPFL), 1015 Lausanne, Switzerland,\nLaboratory of Nanoscale Magnetic Materials and Magnonics, Institute of Materials (IMX )\n‡´Ecole Polytechnique F´ ed´ erale de Lausanne (EPFL), 1015 Lausanne, Switzerland,\nLaboratory of Nanoscale Magnetic Materials and Magnonics, Institute of Materials (IMX)\n¶’Ecole Polytechnique F´ ed´ erale de Lausanne (EPFL), 1015 Lausanne, Switzerland,\nInstitute of Electrical and Micro Engineering (IEM)\nE-mail: dirk.grundler@epfl.ch\nAbstract\nMagnetic bit writing by short-wave magnons without conversion to the electri-\ncal domain is expected to be a game-changer for in-memory computing architectures.\nRecently, the reversal of nanomagnets by propagating magnons was demonstrated.\nHowever, experiments have not yet explored different wavelengths and the nonlinear\nexcitation regime of magnons required for computational tasks. We report on the\nmagnetization reversal of individual 20-nm-thick Ni 81Fe19(Py) nanostripes integrated\nonto 113-nm-thick yttrium iron garnet (YIG). We suppress direct interlayer exchange\ncoupling by an intermediate layer such as Cu and SiO 2. Exciting magnons in YIG with\nwavelengths λdown to 148 nm we observe the reversal of the integrated ferromagnets\nin a small opposing field of 14 mT. Magnons with a small wavelength of λ= 195 nm,\n1arXiv:2312.15107v1 [cond-mat.mes-hall] 22 Dec 2023i.e., twice the width of the Py nanostripes, induced the reversal at an unprecedentedly\nsmall spin-precessional power of about 1 nW after propagating over 15 µm in YIG.\nConsiderations based on dynamic dipolar coupling explain the observed wavelength\ndependence of magnon-induced reversal efficiency. For an increased power the stripes\nreversed in an opposing field of only about 1 mT. Our findings are important for the\npractical implementation of nonvolatile storage of broadband magnon signals in YIG\nby means of bistable nanomagnets without the need of an appreciable global magnetic\nfield.\nKeywords\nspin waves, magnetization reversal, YIG, broadband spectroscopy, magnetic interfaces\n2Collective spin excitations in a magnetically ordered material are called spin waves (SWs)\nor, in quantum-mechanical terms, magnons. By means of SWs, angular momentum is trans-\nferred without electrical charge motion, hence no Joule heating is generated. Therefore, SWs\nrepresent a new paradigm for signal processing at low power consumption and for a non-\ncharge-based beyond-CMOS technology.1–3In magnonic applications, microwave signals are\napplied to integrated coplanar waveguides (CPWs) and excite coherent SWs in the adjacent\nmagnetic layer. Grating couplers consisting of ferromagnetic nanoelements [Fig. 1(a)] have\nproven to enhance the microwave-to-magnon coupling at GHz frequencies if integrated to\nCPWs.4–7They emit and detect magnons with wavelengths λdown to below 50 nm in ferri-\nmagnetic yttrium iron garnet (YIG).8,9Wang et al. explored the spin wave emission from a\nferromagnetic stripe into YIG.10They explained the strong spin-wave signal in the underlying\nYIG by prominent dipole-dipole interaction without assuming spin currents. Recently, it has\nbeen reported that dipolar SWs reversed 100-nm-wide ferromagnetic nanostripes deposited\ndirectly on YIG after propagating over 25 micrometers.11The magnon-induced switching of\nNi81Fe19(Py) nanostripes on YIG occurred in the linear excitation regime at low microwave\npower. However, the wavelength λused for switching remote nanostripes was a few microm-\neters long. Such value of λis not adequate for nanomagnonic in-memory computing in either\nthe linear or nonlinear excitation regime.12–14\nIn this work we report remote switching of 100-nm-wide Py nanostripes by magnons with\nλdown to 148 nm in YIG. We explore different interfaces and, both, the linear and nonlinear\nexcitation regime. The Py nanostripes were integrated on an intermediate layer of either\nCu or SiO 2on YIG. Thereby we suppressed the direct exchange coupling15between Py and\nYIG. Using the identical nanostripe design, we compare our results to Ref.11in which an\nintermediate layer between Py and YIG was avoided. Using broadband spectroscopy [Fig.\n1(d) to (f)] and spatially resolved Brillouin light scattering (BLS) we acquire magnon spectra\nbefore and after exciting propagating magnons of different λin YIG. We observe irreversible\nchanges in BLS spectra which indicate reversed states of Py magnetization vectors MPy\n3xzCPW1SW\nirf\nYIGCPW2\nΔS ΔS\n-40\n1f [GHz]Py\nstripes\nhrf(a)\n(b)\n(c)yx\nBare \nYIG\n→MYIG→MPy\nHµ→\n→MYIG→MPyPy stripes21 1112\n0\n-140\nField [mT]-40\n5 -5Field [mT]40\nk1k1k1 +G\n0Hµ→\n0µ H0c212\n0\n12\n0Hµ→\n0\nµ H0c1(d)\n(e)\n(f)k1+PSSW1 µ H0c2\nµ H0c1\nµ H0c2Figure 1: (a) Schematic device with the two CPWs, Py stripes (gratings) and microwave tips\nconnected to a VNA. A current irfat frequency firris injected into CPW1. The generated\nfieldhrfexcites magnons. Sketches of the (b) anti-parallel (AP) and (c) parallel (P) magnetic\nconfiguration of Py nanostripes and YIG. Color-coded spectra ∆ S11(left) and ∆ S21(right)\ntaken as a function of field from -90 mT to +90 mT on sample A for powers Pirrof (d)\n−30 dBm, (e) −15 dBm, and (f) 0 dBm. The horizontal arrow in (d) indicates the magnetic\nfield sweep direction. We display ∆ S, i.e., the difference of scattering parameters Sthat\nare taken at subsequent field values. Intense and dark colors indicate magnon resonances.\nLabels and symbols highlight specific resonances and critical fields. The black (red) vertical\nlines indicate 24 mT (40 mT). In (f, right) the AP branch is not resolved indicating HC1is\n(close to) zero.\n4which we attribute to magnon-induced switching in a small opposing field. We analyze the\npower absorbed by the precessing spins in YIG and find that propagating magnons whose\nwavelength is twice the nanostripe width show the minimum power level of about 1 nW\nrepresenting the highest reversal efficiency. Our findings go beyond earlier reports in that we\n(i) demonstrate experimentally that dynamic dipolar coupling between Py and YIG is suffi-\ncient for magnon-induced reversal, (ii) explain the wavelength dependent reversal efficiency,\nand (iii) report switching by propagating magnons with a wavelength of only 148 nm. (iv)\nOur BLS data reveal the magnon-induced reversal in the non-linear excitation regime which\nwe attribute to parametrically pumped magnons. Our findings pave the way for future in-\nmemory computation in linear and non-linear nanomagnonics with materials combinations\nwhich do not require direct exchange coupling between the magnetic elements.\nResults\nWe fabricated one-dimensional (1D) periodic arrays of Py nanostripes (gratings) on 113-nm-\nthick YIG which was commercially available from the same supplier as in Refs.7,16,17The\nstripes consisted of 20-nm-thick Py and were 100 nm wide. They are arranged with a period\nofp= 200 nm. The stripe lengths were consistent with Ref.11and alternated between 25\nand 27 µm. The total width of a grating amounted to wGC= 10 µm. They were fabricated\non YIG with a 5-nm-thick intermediate layer of either Cu (sample A) or SiO 2(sample B).\nWe introduced the intermediate layers to intentionally modify the coupling between Py and\nYIG compared to Ref.11where Py had been deposited directly on YIG. The intermediate\nlayers suppress the exchange coupling. Moreover, in sample B the SiO 2spacer avoids the spin\npumping mechanism thus allowing for only dipolar coupling between Py and YIG. In sample\nA, the Cu spacer thickness is smaller than the spin diffusion length18and a spin pumping\nrelated torque could occur in addition to dipolar coupling.19In the following we denote the\n5sample without an intermediate layer used in Ref.11as sample C. In the coplanar waveguides\n(CPWs) [Fig. 1(a)], the Au lines (gaps) were 2.1 µm (1.4 µm) wide. The distance between\nsignal lines of two parallel CPWs was 15 µm. A finite element analysis using COMSOL\nMultiphysics provided the inhomogeneous radiofrequency (rf) field hrfof the CPWs (Fig.\nS1). Without the lattice of nanostripes, they excited and detected most efficiently spin waves\nwith a wave vector kofk1= 0.87 rad /µm. An in-plane magnetic field Hwas applied to\nrealize specific magnetic histories and controlled different relative orientations of magnetiza-\ntion vectors in Py ( MPy) and YIG ( MYIG) [Fig. 1(b) and (c)]. We performed broadband\nmeasurements (Methods) of scattering parameters ∆ S11(reflection) and ∆ S21(transmis-\nsion) with port 1 and port 2 of a vector network analyzer (VNA) connected to CPW1 and\nCPW2, respectively. We observed several resonant branches above the k1excitation in Fig.\n1(d) to (f). Considering Refs.,5,7,8the additional high-frequency branches reflected grating\ncoupler modes such as k1+G, with G= 2π/p, different orders of perpendicular standing\nspin waves (PSSWs), and the magnetic resonance in the Py nanostripes. The latter one was\nthe prominent high-frequency branch in ∆ S11which started at about 10.5 GHz at -90 mT\nin Fig. 1(d). In Figs. S2 and S3 we report further spectra from which we extracted the\nquasi-static characteristics of samples A and B. We applied BLS microscopy ( µBLS) in that\nwe focussed laser light for inelastic light scattering on Py nanostripes in the different gaps of\na CPW. The laser spot diameter was about 400 nm. We note that the resonance frequency\nof Py nanostripes was high (low) if MPywas parallel (anti-parallel) to the applied field H\n(see below).20The BLS microscopy was used to gain spatially resolved information about\nPy nanostripe reversal and explore the non-linear regime which was not achieved in Ref.11\nFor obtaining the spectra ∆ S11and ∆ S21of sample A in Fig. 1(d) to (f) we applied H\nalong the y-direction of sample C. We measured the scattering parameters in the following\norder: S11,S21,S22andS12. In the following we focus on spin waves which were excited\nat CPW1 and propagated to CPW2, i.e., we report spectra S11andS21, respectively. The\nspectra S22andS12showed consistent features when considering nonreciprocity and apply-\n6ing an inverted magnetic history. We varied µ0Hfrom -90 mT to +90 mT [indicated by\nthe black horizontal arrow in Fig. 1(d)] in steps of 2 mT. The nonreciprocal spin wave\ncharacteristics led to the large signal-to-noise ratios at positive H. The same measurement\nprotocol was repeated for different VNA powers Pirr=−30,−15 and 0 dBm in Fig. 1 (from\ntop to bottom). At small power, we interpreted the branches of Fig. 1(d) such that at small\npositive µ0Hbelow µ0HC1= 26 mT [Fig. 2(a)] the magnetization vectors of YIG and Py\nnanostripes were anti-parallel (AP) [Fig. 1(b)], in agreement with Co nanostripes on YIG\nreported in Ref.8In this field regime, the branch with negative slope d f/dH in ∆S11[marked\nby a grey circle in Fig. 1(d)] was attributed to the ferromagnetic resonance inside the Py\nnanostripes. Their magnetization vectors MPypointed still in −y-direction and against the\napplied positive field H. They were anti-parallel also with MYIGas YIG had a coercive\nfield≤2 mT. At small applied power Pirr=−30 dBm, several of the grating coupler modes\ngained abruptly a pronounced signal strength at 40 mT (indicated by the red dashed line).\nWe attributed this observation to the critical field µ0HC2[Fig. 2(a)] at which the reversal of\nthe Py nanostripes underneath CPW2 (i.e., the detector CPW) occurred. For µ0H > 40 mT,\nall the detected branches in Fig. 1(d) were similar to the ones at the correspondingly large\nnegative fields. These branches indicated that the magnetization vectors of Py nanostripe\nlattices underneath both CPWs were now parallel (P) with HandMYIG. Correspondingly,\nthe transmission data showed the richest spectra of grating coupler modes [Fig. 1(d) on the\nright].\nFor the spectra ∆ S11shown in Fig. 1(e), we used a larger power Pirrof -15 dBm. In\nthe transmission spectra ∆ S21[right panel in Fig. 1(e)], the AP branch ended at a smaller\nfield value µ0HC1= 14 mT and the region P started near µ0HC2= 22 mT instead of 40\nmT. The Py nanostripes underneath CPW1 and CPW2, respectively, experienced a smaller\nfield region of anti-parallel alignment with MYIGcompared to Fig. 1(d). This observation\nindicated that the larger VNA power Pirrused for broadband spectroscopy led to the reversal\nof Py nanostripes underneath both CPW1 and CPW2.\n7The onset of the P region occurred at an even smaller Hin Fig. 1(f) when Pirrof 0 dBm\nwas used. The branches attributed to grating coupler modes in the P region showed a weak\nsignal strength already at µ0H= 2 mT which increased with increasing H. This means\nthat close to zero field the magnetization vector MPyunderneath CPW1 pointed into the\n+y-direction (P configuration), i.e., µ0HC1≤2 mT. The reversal field of the Py nanostripes\nunder CPW1 of sample A was hence reduced by about 26 mT when applying Pirr= 0 dBm\n(1 mW) compared to Pirr=−30 dBm (1 µW). Such a large reduction of µ0HC1was not\nreported in Ref.11(sample C) which had Py directly deposited on YIG.\nTo characterize the power-dependent switching field distribution for samples A and B\n50\n0\nμ\n0H\nC [mT](a) (b)\n25\nPirr [dBm]-30 -15 0\nPirr [dBm]-30 -15 0μ0HC2\nμ0HC1μ0HC2\nμ0HC1\nFigure 2: For samples (a) A and (b) B the critical fields µ0HC1andµ0HC2realizing 50% of\nthe maximum signal strengths of the two relevant magnon branches are shown as a function\nofPirr. The error bar refers to fields needed to achieve 30% and 70% of the maximum signal\nstrengths of branches AP and P.\n(Fig. 2) we adopted the methodology developed in Ref.11We evaluated VNA spectra taken\nat many different powers Pirrand analyzed the field-dependent signal strengths of the first\nGC branch in the AP and P state. In such experiments, we applied irfcovering a broad\nfrequency regime from about 10 MHz to 20 GHz. Assuming that the magnon mode for\nmost efficient switching resided in this frequency regime, we obtained the minimum critical\nfield values for reversal at a given Pirr. At each value of Pirr, we extracted the critical field\nvalues µ0HC1andµ0HC2that corresponded to 50% of the maximum signal strengths of the\nAP and P branches, respectively (symbols in Fig. 2). The difference ( HC2−HC1) reflected\n8the distribution of switching fields of nominally identical Py nanostripes underneath the two\nCPWs. In sample A (Fig. 2a), the switching fields were distributed over a larger field range\nthan in sample B (Fig. 2b) for Pirr<−20 dBm.\nWe first consider the critical fields µ0HC1extracted from the AP branches of both sam-\nples A and B. At low power, Pirr≤-25 dBm, µ0HC1is comparable within error bars in both\nsamples. µ0HC1decreases to 0 ( ±1) mT in sample A (B) when Pirr≥-5 dBm (-10 dBm). We\nnow focus on the critical fields of the P branch, i.e. µ0HC2. For Pirr<−20 dBm the critical\nfieldµ0HC2is larger for sample A than for sample B (cf. Fig. 2a and 2b). µ0HC2decreases\nasPirrincreases up to -5 dBm. µ0HC2is reduced to 2 mT in sample B when Pirr≥-10 dBm\nand it maintains this small value at larger Pirr. This is the smallest value so far detected for\nreversal of Py nanostripes on YIG induced by propagating magnons. This finding is one of\nthe key achievements of this work. Near-zero critical fields were not be observed in Ref.11\nFor comparison, in sample A, the critical field µ0HC2is about 15 mT at −5 dBm. At the\nlargest Pirr, it has increased again (Fig. 2a) and reaches ≈22 mT. Considering Ref.11we\nattribute this increase in critical fields of Py nanostripes underneath CPW2 at high Pirrto\nthe nonlinear regime of magnon excitation underneath CPW1 with enhanced magnon scat-\ntering. Because of the scattering processes the magnon amplitudes after 15 µm are below\nthe threshold for complete reversal of the nanostripe array under CPW2. The incomplete\nreversal at high power is observed for both samples A and C where spin pumping is allowed.\nIn sample B (Fig. 2b) we do not observe an increase in critical fields at large powers. Instead,\nwe find the largest reduction in critical fields HC1andHC2in sample B. Here, a 5-nm-thick\nSiO 2spacer rules out that spin pumping is relevant for the efficient magnon-induced reversal.\nWe note that the insertion of both the SiO 2and Cu spacer excludes the transfer of exchange\nmagnons which was assumed in Refs.21–24Our experiments highlight the importance of dy-\nnamic dipolar coupling between Py and YIG when developing a microscopic understanding\nof the magnon-induced reversal mechanism.\nTo quantify the power level at which a specific spin wave mode in YIG reversed nanos-\n9P\nP37\n37 fsens [GHz] fsens [GHz]-20 5Pirr [dBm]\n-20 5Pirr [dBm]2 8firr [GHz]-226-226 Pirr [dBm] Pirr [dBm]\n0MAX MAX\n0AP branch P branch (a)\n(d)(b) (c)\n(e) (f)\n2 8firr [GHz]Figure 3: (a) Mag( S21) recorded on sample C (Cu spacer) between fsens= 3 and 7 GHz at\n+14 mT with a power of -25 dBm after applying a microwave signal with firr= 1.75 GHz to\nCPW1 for increasing power Pirr. Switching yield maps at +14 mT for sample C displaying\ncolor-coded (b) Mag( S11) and (c) Mag( S21) integrated as a function of fsensfor the AP and P\nbranch respectively. The frequency integration range for the P branch is highlighted by the\nred dashed lines in (a). To extract the switching yield map for the AP branch, the first GC\nmode branch in the Mag( S11) spectrum is used. Panels (d) to (f) show the corresponding\ndataset for sample B (SiO 2spacer). Arrows indicate local minima in the power threshold\ninducing stripe reversal by specific magnons discussed in the text.\ntripes we followed the concept of switching yield maps (Methods) introduced in Ref.11\n(Fig. 3). The samples were first saturated at -90 mT applied along the y-axis. Then,\nthe field was gradually increased to +14 mT and kept constant. We provided powers Pirr\nranging from -25 to +6 dBm with +1 dBm steps within a 0.25-GHz-wide frequency window\nstarting at a specific frequency firr. After each power step and corresponding irradiation\nfor 1 msec, the VNA power level was reduced to −25 dBm and the transmitted signal ( S21)\nwas recorded as a function of frequency fsensranging from 3 to 7 GHz. Figure 3 displays\nsuch datasets in panels (a) and (d) as well as gray-scaled switching yield maps performed\nat +14 mT for sample A (top row) and sample B (bottom row). The maps labelled by AP\n(P) branch in Fig. 3b and e (Fig. 3c and f) reflect the reversal of Py nanostripes under-\n10neath CPW1 (CPW2) of sample A and B, respectively. From these maps, we extracted the\ncritical power levels for magnon-assisted switching at CPW1 and CPW2 which we denote\nbyPC1andPC2, respectively. In Fig. 4, we particularly display the critical power values\nextracted near the local minima indicated by arrows in Fig. 3 reflecting modes k1,k1+G\nandk1+G+kPSSW1 (from left to right). When exciting the k1mode near 2 GHz in sample\n103PC,prec [nW]k1+G+kPSSW1\n10-2k1+G\nk1100\n10-2PC [mW]\nfirr [GHz]2 5\nfirr [GHz]2 5(a) (b)\n(c) (d)\nFigure 4: For samples A (red), B (blue), and C (black, magenta) the critical powers (a)\nPC1and (b) PC2, (c) PC1,precand (d) PC2,precare depicted for irradiation frequencies firrin\nhalf-logarithmic graphs. In (a) we label magnon modes by k1,k1+Gandk1+G+kPSSW1 .\nIn (d) the values of sample C (magenta symbols) are scaled by a factor ρto correct for the\ndifferent propagation path length and decay of magnon amplitudes between CPWs (Methods,\nparagraph C). Connecting lines are guide to the eyes.\nA and B at +14 mT, we require PC1between 30 and 40 µW for the reversal of 50% of the\nnanostripes below CPW1 [red symbols in Fig. 4(a)]. This power value is only about a factor\nof three larger than the one of sample C published in Ref.11[black symbol near 1.5 GHz\nin Fig. 4(a)]. For all samples, PC1andPC2increase with increasing mode frequency. For\nthe reversal underneath CPW1 by means of the GC mode k1+G(excited between 2.75 and\n3.5 GHz) VNA powers PC1of 400 to 800 µW are required. The reversal of Py nanostripes\n11underneath CPW2 is achieved at a further increased power level PC2of up to 2.5 mW. For\nsample C, PC2was larger than 3 mW and not determined.\nTo compare different samples, it is instructive to consider the power values PC1,prec[Fig.\n4(c)] and PC2,prec[Fig. 4(d)] which quantify the power absorbed by the spin-precessional\n(prec) motion in YIG at the emitter CPW (Methods). These values consider that only part\nof the rf power applied by the VNA is absorbed by the spin system and converted into\nmagnons. These values, taken at the same field, allow us to compare the different samples\nindependent of the individual efficiency of microwave-to-magnon transduction. In case of\nthe long-wavelength modes k1existing near 2 GHz in samples A and B, we observe reversal\nat power levels PC1,precbetween 4 to 10 nW [Fig. 4(c)]. PC2,precfor modes k1is only slightly\nlarger attributed to a weak decay of the magnon mode between emitter and detector CPW.\nAt larger excitation frequencies firrbetween 3 and 3.5 GHz corresponding to the first GC\nmode resonance k1+G, power values PC1,precare smaller by up to two orders of magnitude\ncompared to modes k1in Fig. 4(c). Here, sample B realizes the smallest values PC1,precdown\nto about 0.5 nW. Note that despite larger coercivities in sample A the magnon-induced re-\nversal underneath CPW1 via mode k1+Gis realized at a smaller power than in sample C\nwith the direct interface between Py and YIG. A similar small value of about 1 nW is found\nin Fig. 4(d) for reversal underneath CPW2, suggesting a weak decay of magnon amplitudes\nafter a path of 15 µm. The key finding of Fig. 4(d) is that the mode k1+ 1Gin sample B\nis most efficient in terms of PC2,precand nanostripe reversal underneath CPW2. Considering\nits intermediate layer to be an insulator (SiO 2) the dipolar coupling between magnons in\nYIG and Py provides the torque for the reversal.\nWe note that in sample B we observe nanostripe reversal by a further mode with wave-\nlength λ= 148 nm corresponding to a wavevector k=p\n(k1+G)2+k2\nPSSW1 (kPSSW1 is the\nfirst quantized magnon mode across the YIG thickness). When exciting this mode in the\nfrequency range 4.75 to 5.25 GHz, we extract PC2,prec= 1.3 to 5.4 nW. These power values\nare increased compared to PC2,precfound near 3 GHz. For sample B, we observe the smallest\n12spin-precessional power PC1,precfor reversal in Fig. 4(c) at 5.25 GHz. We explain the small\nvalue by the combined effect of magnon-induced reversal and microwave-assisted switching\nnear the eigenresonance of the Py nanostripes underneath CPW1 before their reversal at\n+14 mT. For the nanostripes underneath CPW2 ( PC2,prec) the microwave-assisted switching\ndoes not play a role due their large separation from the CPW1 attached to the rf source.\nIn the following we apply micro-focus BLS to sample A [Fig. 5(a)] and gain spatially\ny\nxH\n4\n1\n0 30 k [rad/µm]f [GHz]\n2 4 6 8 101.0E-42.0E-43.0E-4\nFreq. (GHz) f [GHz]2 6 10Y’\nXYirf\nCPW1magnon CPW2Py/Cu \nstripes5.9µm\nPos 2.1\nLaser(a) (b)\n(c)BLS pos. 2.12.2\n24 mT, \n4.3 GHz,\nPos. 2.1\n2 4 6 8 101.0E-42.0E-43.0E-4\nFreq. (GHz)0300 BLS counts\n[arb. units]\nf [GHz]2 6 10XY(d)\n24 mT, \n1.25 GHz,\nPos. 2.1\nFigure 5: (a) Sketched cross-section of the device (top). The CPW lines (yellow) are on top\nof the stripes (dark grey) which have been fabricated on YIG (green). In BLS we detected\nthermally excited magnons in Pos. 2.1 (microscopy image) and 2.2. (b) Magnon dispersions\nin the thin YIG at 24 (solid lines) and 2 (dotted lines) mT calculated via the Kalinikos-Slavin\nformalism for two limiting configurations, i.e. Damon-Eshbach (blue lines) and backward\nvolume (red lines) configuration. The horizontal dashed green line indicates 1.25 GHz which\nis below (inside) the magnon band at 24 mT (2 mT). Magnon spectra in Pos 2.1 at 24 mT\nbefore (black) and after (red) applying irfto CPW1 for Pirr= 16 dBm with (c) 4.3 GHz and\n(d) 1.25 GHz. Labels X, Y and Y’ indicate characteristic resonant modes.\nresolved information about the magnon modes that modify the magnetization vectors MPy\nof Py stripes. We do not evaluate absolute power values here as the BLS setup has not\nallowed for calibration, and CPWs were wire-bonded. We discuss BLS spectra reflecting\nthe incoherent magnons excited thermally at room temperature. We compare spectra taken\n132 4 6 8 101.0E-42.0E-43.0E-4\nFreq. (GHz)2 4 6 8 101.0E-42.0E-43.0E-4\nFreq. (GHz)\n2 4 6 8 101.0E-42.0E-43.0E-4\nFreq. (GHz)2 4 6 8 101.0E-42.0E-43.0E-4\nFreq. (GHz)2 4 6 8 101.0E-42.0E-43.0E-4\nFreq. (GHz)\nPos 2.2\nLaserCPW2\n2 10 f [GHz] 2 10 f [GHz]\n2 10 f [GHz] 2 10 f [GHz] 2 10 f [GHz]BLS counts\n[arb. units] 10030024 mT, 4.3 GHz,\nλ = 195 nm, Pos. 2.224 mT, 5 GHz,parametric p., Pos. 2.22 mT, 1.25 GHz,λ = 7.22 µm, Pos. 2.2(b) (d) (f)(a)24 mT, 5 GHz,\nparametric p., Pos. 2.22 mT, 1.25 GHz,λ = 7.22 µm, Pos. 2.2(c) (e)Figure 6: (a) Optical image when positioning the laser at Pos. 2.2. (b) Magnon spectra taken\nin Pos. 2.2 at 24 mT before (black) and after (red) applying irfto CPW1 with firr= 4.3 GHz.\nThe reversal of Py nanostripes by magnons k1+Gis evidenced. Magnon spectra in (c) Pos.\n2.1 and (d) Pos. 2.2 before (black) and after (red) applying irfwith firr= 5 GHz at CPW1.\nThe black (red) arrows highlight characteristic modes (changes). Thermal magnon spectra\nin (e) Pos. 2.1 and (f) Pos. 2.2 before (black) and after (red) emitting magnons with k1\nby applying irfwith firr= 1.25 GHz at CPW1. In all these experiments the irradiation\npower was Pirr= 16 dBm. The legends list relevant parameters and highlight the parametric\npumping (p.) experiments.\nbefore (black curves) and after (red curves) applying microwaves to CPW1. We explore dif-\nferent fields Hmodifying the spin-wave dispersion relation in YIG [Fig. 5(b)] and different\nfirr. The laser wavelength (power) was 473 nm (0.8 mW). Given the laser spot diameter of\nabout 400 nm, we collected the Stokes’s signal of magnons from up to two Py nanostripes\nand the underlying YIG. Each spectrum in Fig. 5(c) and (d) had an acquisition time of\napproximately 2 hours.\nThe spectrum shown as the black curve in Fig. 5(c) displays magnon resonances existing\nin the gap of CPW2 in Pos. 2.1 for µ0H= 24 mT after saturation along −y-direction using\nµ0H=−84 mT and before applying irfto CPW1. The frequencies of resonances marked X\nand Y indicate that the Py nanostripes are anti-parallel to H[Fig. 1(b)]. They are consis-\n14tent with the frequencies marked by brown and grey circles, respectively, in Fig. 1(d). After\napplying irfatfirr= 4.3 GHz to CPW1 with a nominal irradiation power Pirrof up to 39.8\nmW (16 dBm) the red spectrum was obtained at the same position. Due to wire-bonded\nconnections we expected the power in CPW1 to be a few dB lower than the nominal value.\nThe red spectrum is markedly modified compared to the black curve: the resonance peaks X\nand Y reduced to the noise level, and a higher frequency resonance Y’ was resolved. The new\npeak in the red spectrum was consistent with the branch existing in the P configuration of\nthe sample above the grey circle in Fig. 1(f). The microwave current applied to CPW1 with\nfirr= 4.3 GHz hence led to the reversal of Py nanostripes at the remotely located CPW2.\nTo investigate if heating of CPW1 by irfinitiated the reversal we followed the same mea-\nsurement protocol as applied in Fig. 5(c) but changed the rf signal frequency to 1.25 GHz.\nThe signal irfwas applied for two hours, before taking the red spectrum in Fig. 5(d). The\nred spectrum is found to contain the identical resonances as the black spectrum, i.e., MPy\nwas not changed by applying an rf signal at 1.25 GHz. We explain the different spectra\n(red) in panels (c) and (d) of Fig. 5 by the dispersion relation of YIG at 24 mT displayed\nin Fig. 5(b). At firr= 1.25 GHz (green dashed line), magnons are not emitted into YIG as\nall allowed magnon bands reside at higher frequencies. This is different for firr= 4.3 GHz.\nHere, a Damon-Eshbach (DE) mode is allowed and excited at CPW1. It propagates to\nCPW2 as evidenced by the transmission spectra shown in Fig. 1. The allowed mode is the\ngrating coupler mode k1+Gwith λ= 195 nm. The characteristic resonance Y’ in the red\nspectrum of Fig 5(c) evidences the reversal of Py nanostripes in Pos. 2.1 by the propagating\nmagnon mode. In Pos. 2.2 located a few micrometers further away from CPW1 (Fig. 6a),\nwe detected a modified spectrum (red) at 24 mT as well (Fig. 6b). Hence, the reversal of\nPy nanostripes was induced in both gaps by the short-wave magnon mode k1+Gexcited at\nCPW1 at firr= 4.3 GHz.\nWhen applying a microwave signal with firr= 5 GHz to CPW1 (after again initializing\nsample A at -84 mT), we observed modified spectra (red) taken at Pos. 2.1 [Fig. 6(c)] and\n15Pos. 2.2 [Fig. 6(d)]. Note that the directly excited grating coupler mode did not exist at\n5 GHz. Still, the finding is different from the experiment conducted with firr= 1.25 GHz\nin Fig. 5(d). We attribute the observed reversal of Py nanostripes to magnons which were\nexcited by parametric pumping at CPW1 (Supplementary information). Their frequency\nreads fm=firr/2 = 2 .5 GHz, which was above the k1resonance ( fk1= 2.3 GHz) at 24 mT\nand inside the allowed magnon band for propagation. Such magnons hence reached CPW2\nand could explain the observed reversal. We note that the phase-sensitive voltage detection\nof the VNA experiment does not allow us to evidence the magnons created by parametric\npumping because of their shifted frequency. We resolve them by BLS as presented in detail\nin Fig. S6.\nWe performed experiments also at 2 mT after initializing the sample at -84 mT. In Pos.\n2.1 [Fig. 6(e)] we observed that the magnon spectrum (red) was modified after applying irf\nwith firr= 1.25 GHz. At 2 mT, spin waves at this small frequency were allowed [dotted\nlines in Fig. 5(b)] and possessed a wave vector k1with λ= 7222 nm. Excited at CPW1, the\nmagnon mode changed the Py nanostripes underneath CPW2 at Pos. 2.1, but not at Pos.\n2.2 [Fig. 6(f)]. We assume that at the small field the excitation of the k1mode was in the\nnonlinear regime as well, but additional parametric pumping did not take place at the small\nfrequency. Instead, the amplitude of magnons decayed due to enhanced scattering and was\nbelow the threshold for reversal in Pos. 2.2. The excitation of propagating magnons with a\ntoo high microwave power hence led to an incomplete reversal of nanostripes below CPW2.\nThis finding is consistent with the non-monotonous variation of critical fields with applied\nmicrowave power reported in Ref.11and as shown in Fig. 2. BLS studies on magnon-induced\nreversal in sample B are shown in Fig. S7 and support the findings reported for sample A.\nWe now discuss the roles of the intermediate (spacer) layers. The critical fields displayed\nin Fig. 2 indicate that the insertion of the Cu spacer between the Py and YIG increased the\nswitching field distribution and the coercivity of individual Py nanostripes compared to the\nSiO 2spacer. Our spatially resolved BLS data demonstrate that the precessing magnetiza-\n16tion of allowed spin waves in YIG creates a torque leading to an irreversible change of the\nnanostripe magnetization. The insertion of the Cu spacer excludes the transfer of exchange\nmagnons as the main mechanism in contrast to assumptions made in Refs.21–24Still, the Cu\nspacer thickness is smaller than the spin diffusion length.18In this case, forced spin preces-\nsion in YIG and concomitant spin pumping into Py might introduce an additional torque.19\nWe noticed however significantly larger critical fields and a reduced switching efficiency at\nhigh power for sample A with Cu spacer compared to sample B with SiO 2spacer. The\ndata do not support the spin pumping effect to be relevant for reversal. Strikingly, we find\nthe largest reduction in the critical fields HC1andHC2in sample B with the 5-nm-thick\ninsulating spacer which avoids the spin-pumping torque. Thereby, we assume that dipolar\ncoupling alone allowed for nanostripe reversal at a small power level.\nIn the following we discuss the possible origin for the observed variation of spin-precessional\npower for magnon-induced reversal. We focus on Fig. 4(d), where we exclude direct\nmicrowave-assisted switching of nanostripes by the applied rf signal. In sample B, we observe\nthe smallest spin-precessional power when the propagating magnons exhibit a wavelength\nof 195 nm underneath the gratings.7This value is (very close to) twice the width of a Py\nnanostripe. We argue that such a relation between the magnon wavelength and nanostripe\nwidth ensures the highest possible repetition rate by which a maximum in the dipolar stray\nfield of a DE mode exerts a torque on the Py magnetization vector MPy. For a shorter\nmagnon wavelength a partial cancellation of the dynamic dipolar field occurs underneath a\nnanostripe, and the dynamic dipolar coupling is reduced. For a long wavelength the repe-\ntition rate is small by which the maxima of the dynamic stray field pass by the nanostripe\nand produce the relevant torque. These considerations motivate the observed minimum in\nFig. 4(d) as a function of firr, i.e., magnon wavelength. The slightly increased power levels\nneeded for reversal in sample A incorporating the Cu spacer might indicate that additional\nspin pumping or an eddy current effect reduced the total torque. Further studies on stripes\nwith different spacer layers and of e.g. different lengths and widths are needed to engineer\n17their own eigenresonance frequency and explore in detail the hypothesis of a wavelength-\ndependent reversal mechanism drawn from the presented experiments.\nConclusions\nWe reported magnon-induced reversal in Py/YIG hybrid structures with different interme-\ndiate layers. We quantified and compared the power values for magnon-induced switching.\nReversal of 100-nm-wide Py stripes was achieved by means of propagating magnons with\nwavelengths ranging from 148 nm to 7222 nm. Their excitation was realized both in the lin-\near and non-linear regime. The non-linear parametric pumping was evidenced by local BLS\nmicroscopy. In an opposing field of 14 mT a spin-precessional power of the order of 1 nW\nwas enough to reverse the up to 27 µm long Py nanostripes after magnon propagation over\n15µm. The absence of interlayer exchange coupling due to a spacer layer between Py and\nYIG led to nanostripes with partly enhanced coercive fields compared to Py stripes directly\nintegrated on YIG. The enhanced coercive fields are advantageous in terms of a nonvolatile\nmemory of magnon signals. Importantly, with increasing power, we achieved a reduction\nof switching fields of nanostripes to (nearly) zero mT. Our results promise that nonvolatile\nmagnon-signal storage in magnetic bits is feasible for wave-logic circuits and neural networks\nperforming computational tasks at different magnon frequencies. Considerations based on\ndynamic dipolar coupling suggest that the power for magnon-induced storage might be min-\nimized when the width of the magnetic bit equals half the wavelength of the magnon.\nMethods\nA. Sample fabrication . Devices are fabricated on 113-nm-thick YIG originating from the\nsame wafer. The YIG had been deposited by liquid phase epitaxy on a 3-inch wafer and\npurchased by the company Matesy GmbH in Jena, Germany. The spacer is fabricated by\nDC sputtering of 5-nm-thick Cu on YIG. Then 20-nm-thick Py (Ni 81Fe19) is deposited via\n18electron beam evaporation on the YIG. The gratings were written with electron beam lithog-\nraphy (EBL) using hydrogen silsesquioxane (HSQ) as negative resist and then transferred\ninto the Py/Cu by ion beam etching. We etch both layers of Py and Cu. CPWs are fabri-\ncated via lift-off processing after EBL and Ti/Au (5 nm / 120 nm) evaporation. For sample\nB, the SiO 2layer is deposited by e-beam evaporation and the following steps to fabricate\nstripes and CPW are unchanged.\nB. Broadband VNA spectra . The broadband spectroscopy data ∆ Sαβ(α, β = 1, 2) are\nobtained by nearest-neighbor subtraction of raw linear magnitude signals, i.e. ∆ Sαβ(f, H i) =\nSαβ(f, H i+1)−Sαβ(f, H i). The magnetic field step is 2 mT. The linear magnitude signal\nMag( Sαβ) is obtained from the quadrature sum of real (Re( S)) and imaginary (Im( S)) parts\nof median-subtracted signals. Re( S) (Im( S)) is obtained by the raw real (imaginary) part\nafter removing at each measured frequency its median value across all applied magnetic\nfields.\nC. Switching yield maps . To build switching yield maps (Fig. 3) we have followed the\nmethodology described in Ref.11To get µ0HC= 14 mT by the emission of the magnon mode\nk1(in Ref.11this field HCwas labelled HC2.) We required ( −12±1) dBm (63.1 µW) at\nCPW1. We compare this value to 58.4 µW needed in Ref.11. The separation between CPW1\nand CPW2 was larger by 20 µm in Ref.11compared to the present samples. However the\npreviously reported critical field was only +28 mT compared to +40 mT in Fig. 1(d). This\nlarger coercive field of nanostripes with the Cu underlayer used here might explain that a\nsimilar power level for reversal was needed though the propagation length of magnons was\nshorter. To characterize the critical power levels featuring the switching at CPW1 (CPW2)\nwe focus on the frequency branch 4.5 ÷4.7 (3.9 ÷4.1) GHz of the S11(S21) spectra (cf. Fig.\n3).\nTo evaluate the critical precessional power PC,precwe first extract the minimum critical power\nPCfor the same frequency. The overall irradiation frequency firrrange is divided into sub-\nintervals of 250 MHz width. We record PCand the frequency sub-interval δfPCthat achieves\n19PC. These measurements are conducted with 1 kHz bandwidth and 250 MHz frequency reso-\nlution. Examples of such measurements are reported in Fig. 3. The magnetic field is 14 mT.\nTo obtain the relevant Mag(S 11) signal we acquire field-dependent reflection spectra with 0.1\nkHz bandwidth and 3.3 MHz frequency resolution. The VNA power for these measurements\nis labelled Pb.Pbequals -25 (-10) dBm for datasets that are analysed to evaluate PC1,prec\n(PC2,prec). The magnetic field is swept from -90 mT to positive fields larger than µ0HC2.\nWith these datasets we define for both real and imaginary parts a median value across all\napplied magnetic fields at each frequency point. Then the linear magnitude signal Mag( S11)\nis constructed as described in paragraph B of the Methods section. We focus on the fre-\nquency range defined by the previously found δfPCand consider the reflection spectrum in\nthe same range. Inside this frequency range we identify the frequency value f∗that achieves\nthe local maximum of Mag( S11): (Mag( S11))∗. This represents the maximum absorbed en-\nergy by the spin system. The critical spin precessional power is then evaluated by PC,prec=\nPC·[(Mag( S11))∗]2.\nD. BLS measurement protocol . To acquire the BLS spectra we initialize the system by\napplying -82 mT with a permanent magnet we then gradually increased the field to reach\nthe targeted positive value. In so doing the system reaches the AP state. Thermal magnon\nspectra are acquired before injection of any rf signal at CPW1. We apply rf signal at CPW1\nat a fixed frequency for increasing nominal powers. At each power step, we record the BLS\nsignal while having the rf on. The rf irradiation at each power level is approximately 2 hours\nlong. At the end of the experiment, after switching off the rf generator, the thermal magnon\nspectra is measured again and compared to the one acquired in the ’as-prepared’ AP state.\nTo minimize spatial drift and maintain the same position of the laser spot we used a feedback\nsystem with image recognition acting every 5 minutes. For the BLS experiments the sample\nis wire-bonded to a PCB. The power levels that we discussed for BLS measurements are\nmeant as nominal values.\n20Supporting Information Available\nNumerical evaluation of the excitation spectrum of the CPW inhomogeneous dynamic field\nconducted by combining COMSOL simulation and FFT analysis.\nBroadband reflection spin wave spectra at PVNA= -25 dBm.\nProtocol for evaluation of nearly zero critical fields for nanomagnet reversal.\nExperimental datasets acquired with Brillouin light scattering microscopy ( µBLS) at the\nemitter CPW (CPW1) investigating magnon-induced magnetization reversal of the nano-\nmagnets beneath CPW1.\nµBLS datasets of the Py nanostripes during continous-wave excitation, at different power\nlevels, of multiple magnon modes in the underlying YIG.\nµBLS experiments reporting magnon-induced magnetization reversal for another device\nwith hybrid interface Py/SiO 2/YIG.\nInductive broadband spectroscopy measurements at -25 dBm acquired for samples A, C\nand B for comparison of reflection and transmission spectra.\nAcknowledgments\nThe authors have used the colour maps for visualization of the VNA data provided by Fabio\nCrameri. The Scientific colour map bam25is used in this study to prevent visual distortion of\nthe data and exclusion of readers with colour-vision deficiencies.26The authors acknowledge\nexperimental support by Ping Che and discussions with Shreyas Joglekar and Mohammad\nHamdi.\nFunding\nThe research was supported by the SNSF via grant number 197360.\n21Author contributions\nD.G., K.B. and A.M. planned the experiments and designed the samples. A.M. prepared the\nsamples and performed the experiments together with K.B. A.M. and D.G. analyzed and\ninterpreted the data. A.M. and D.G. wrote the manuscript. All authors commented on the\nmanuscript.\nCompeting interests\nThe authors declare that they have no competing interests.\nData availability\nThe datasets generated and/or analysed during the current study are available from the\ncorresponding author on reasonable request.\nCorrespondence\nCorrespondence and requests for materials should be addressed to D.G.(email: dirk.grundler@epfl.ch).\nReferences\n(1) Khitun, A.; Bao, M.; Wang, K. L. Magnonic logic circuits. J. Phys. D: Appl. Phys.\n2010 ,43, 264005.\n(2) Chumak, A.; Serga, A.; Hillebrands, B. Magnon transistor for all-magnon data process-\ning.Nat. Commun. 2014 ,5, 4700.\n(3) Mahmoud, A.; Ciubotaru, F.; Vanderveken, F.; Chumak, A. V.; Hamdioui, S.; Adel-\nmann, C.; Cotofana, S. Introduction to spin wave computing. J. Appl. Phys. 2020 ,128,\n161101.\n22(4) Yu, H.; Duerr, G.; Huber, R.; Bahr, M.; Schwarze, T.; Brandl, F.; Grundler, D. Omni-\ndirectional spin-wave nanograting coupler. Nat. Commun. 2013 ,4, 2702.\n(5) Yu, H.; Kelly, O. d.; Cros, V.; Bernard, R.; Bortolotti, P.; Anane, A.; Brandl, F.;\nHeimbach, F.; Grundler, D. Approaching soft X-ray wavelengths in nanomagnet-based\nmicrowave technology. Nat. Commun. 2016 ,7, 11255.\n(6) Chen, J.; Yu, T.; Liu, C.; Liu, T.; Madami, M.; Shen, K.; Zhang, J.; Tu, S.; Alam, M. S.;\nXia, K.; Wu, M.; Gubbiotti, G.; Blanter, Y. M.; Bauer, G. E. W.; Yu, H. Excitation\nof unidirectional exchange spin waves by a nanoscale magnetic grating. Phys. Rev. B\n2019 ,100, 104427.\n(7) Baumgaertl, K.; Gr¨ afe, J.; Che, P.; Mucchietto, A.; F¨ orster, J.; Tr¨ ager, N.; Bechtel, M.;\nWeigand, M.; Sch¨ utz, G.; Grundler, D. Nanoimaging of Ultrashort Magnon Emission by\nFerromagnetic Grating Couplers at GHz Frequencies. Nano Lett. 2020 ,20, 7281–7286,\nPMID: 32830984.\n(8) Liu, C. et al. Long-distance propagation of short-wavelength spin waves. Nat. Commun.\n2018 ,9, 738.\n(9) Watanabe, S.; Bhat, V. S.; Mucchietto, A.; Dayi, E. N.; Shan, S.; Grundler, D. Peri-\nodic and Aperiodic NiFe Nanomagnet/Ferrimagnet Hybrid Structures for 2D Magnon\nSteering and Interferometry with High Extinction Ratio. Adv. Mater. 2023 ,\n(10) Wang, H.; Madami, M.; Chen, J.; Sheng, L.; Zhao, M.; Zhang, Y.; He, W.; Guo, C.;\nJia, H.; Liu, S.; Song, Q.; Han, X.; Yu, D.; Gubbiotti, G.; Yu, H. Tunable Damping in\nMagnetic Nanowires Induced by Chiral Pumping of Spin Waves. ACS Nano 2021 ,15,\n9076–9083, PMID: 33977721.\n(11) Baumgaertl, K.; Grundler, D. Reversal of nanomagnets by propagating magnons in\nferrimagnetic yttrium iron garnet enabling nonvolatile magnon memory. Nature Com-\nmunications 2023 ,14, 1490.\n23(12) Islam, R.; Li, H.; Chen, P.-Y.; Wan, W.; Chen, H.-Y.; Gao, B.; Wu, H.; Yu, S.;\nSaraswat, K.; Wong, H.-S. P. Device and materials requirements for neuromorphic\ncomputing. J. Phys. D: Appl. Phys. 2019 ,52, 113001.\n(13) Sebastian, A.; Gallo, M. L.; Khaddam-Aljameh, R.; Eleftheriou, E. Memory devices\nand applications for in-memory computing. Nat. Nanotechn. 2020 ,15, 529–544.\n(14) Papp, ´A.; Porod, W.; Csaba, G. Nanoscale neural network using non-linear spin-wave\ninterference. Nat. Commun. 2021 ,12, 6422.\n(15) Klingler, S.; Amin, V.; Gepr¨ ags, S.; Ganzhorn, K.; Maier-Flaig, H.; Althammer, M.;\nHuebl, H.; Gross, R.; McMichael, R. D.; Stiles, M. D.; Goennenwein, S. T. B.; Weiler, M.\nSpin-Torque Excitation of Perpendicular Standing Spin Waves in Coupled YIG /Co\nHeterostructures. Phys. Rev. Lett. 2018 ,120, 127201.\n(16) Maendl, S.; Grundler, D. Multi-directional emission and detection of spin waves prop-\nagating in yttrium iron garnet with wavelengths down to about 100 nm. Appl. Phys.\nLett.2018 ,112, 192410.\n(17) Watanabe, S.; Bhat, V.; Baumgaertl, K.; Hamdi, M.; Grundler, D. Direct observation\nof multiband transport in magnonic Penrose quasicrystals via broadband and phase-\nresolved spectroscopy. Sci. Adv. 2021 ,7, eabg3771.\n(18) Bass, J.; Pratt, W. P. Spin-diffusion lengths in metals and alloys, and spin-flipping at\nmetal/metal interfaces: an experimentalist’s critical review. Journal of Physics: Con-\ndensed Matter 2007 ,19, 183201.\n(19) Suresh, A.; Bajpai, U.; Petrovi´ c, M. D.; Yang, H.; Nikoli´ c, B. K. Magnon- versus\nElectron-Mediated Spin-Transfer Torque Exerted by Spin Current across an Antiferro-\nmagnetic Insulator to Switch the Magnetization of an Adjacent Ferromagnetic Metal.\nPhys. Rev. Applied 2021 ,15, 034089.\n24(20) Gurevich, A. G.; Melkov, G. A. Magnetization oscillations and waves ; CRC Press, Boca\nRaton, 1996.\n(21) Han, J.; Zhang, P.; Hou, J. T.; Siddiqui, S. A.; Liu, L. Mutual control of coherent spin\nwaves and magnetic domain walls in a magnonic device. Science 2019 ,366, 1121–1125.\n(22) Wang, Y. et al. Magnetization switching by magnon-mediated spin torque through an\nantiferromagnetic insulator. Science 2019 ,366, 1125–1128.\n(23) Guo, C. Y.; Wan, C. H.; Zhao, M. K.; Fang, C.; Ma, T. Y.; Wang, X.; Yan, Z. R.;\nHe, W. Q.; Xing, Y. W.; Feng, J. F.; Han, X. F. Switching the perpendicular magne-\ntization of a magnetic insulator by magnon transfer torque. Phys. Rev. B 2021 ,104,\n094412.\n(24) Zheng, D.; Lan, J.; Fang, B.; Li, Y.; Liu, C.; Ledesma-Martin, J. O.; Wen, Y.; Li, P.;\nZhang, C.; Ma, Y.; Qiu, Z.; Liu, K.; Manchon, A.; Zhang, X. High-Efficiency Magnon-\nMediated Magnetization Switching in All-Oxide Heterostructures with Perpendicular\nMagnetic Anisotropy. Advanced Materials 2022 , 2203038.\n(25) Crameri, F. Scientific colour maps. Zenodo 2018 ,10.\n(26) Crameri, F.; Shephard, G. E.; Heron, P. J. The misuse of colour in science communi-\ncation. Nature communications 2020 ,11, 5444.\n25" }, { "title": "2201.04054v2.Edge_spin_wave_transmission_through_a_vertex_domain_wall_in_triangular_dots.pdf", "content": "Springer Nature 2021 L ATEX template\nEdge spin wave transmission through a\nvertex domain wall in triangular dots\nDiego Caso1and Farkhad Aliev1,2\n1Departamento de F\u0013 \u0010sica de la Materia Condensada C03,\nUniversidad Aut\u0013 onoma de Madrid, Cantoblanco, Madrid, 28049,\nSpain.\n2Instituto Nicol\u0013 as Cabrera (INC) and Condensed Matter Physics\nInstitute (IFIMAC), Universidad Aut\u0013 onoma de Madrid,\nCantoblanco, Madrid, 28049, Spain.\nAbstract\nSpin waves (SWs), being usually re\rected by domain walls (DWs),\ncould also be channeled along them. Edge DWs yield the interesting,\nand potentially applicable to real devices property of broadband spin\nwave con\fnement to the edges of the structure. Here, we investigate\nthrough numerical simulations the propagation of quasi one-dimensional\nspin waves in triangle-shaped amorphous YIG ( Y3Fe5O12) micron\nsized ferromagnets as a function of the angle aperture. The edge spin\nwaves (ESWs) have been propagated over the corner in triangles of 2\nmicrons side with a \fxed thickness of 85 nm. Parameters such as supe-\nrior vertex angle (in the range of 40-75\u000e) and applied magnetic \feld\nhave been optimized in order to obtain a higher transmission coe\u000e-\ncient of the ESWs over the triangle vertex. We observed that for a\ncertain aperture angle for which dominated ESW frequency coincides\nwith one of the localized DW modes, the transmission is maximized\nnear one and the phase shift drops to \u0019=2indicating resonant trans-\nmission of ESWs through the upper corner. We compare the obtained\nresults with existing theoretical models. These results could contribute\nto the development of novel basic elements for spin wave computing.\n1arXiv:2201.04054v2 [cond-mat.other] 18 Apr 2022Springer Nature 2021 L ATEX template\n2 Edge spin wave transmission through a vertex domain wall in triangular dots\n1 Introduction\nDespite the growing success of magnonics in recent years, particularly due to\nits potential application for spin wave computing and signal processing [1],\nthe currently available spin-wave devices based on ferromagnetic strip lines\nare restricted in the frequency span and have none or limited capability in the\nredirection of SWs [1, 2].\nTheir typical operating frequencies are below few GHz, and the propaga-\ntion is con\fned to linear coplanar waveguides. Magnon excitation is usually\nachieved through the coupling between the magnetization and the magnetic\n\feld due to microwave (mw) currents. The \feld is however di\u000ecult to con\fne to\nsub-micrometre volumes. This impedes the use of the traditional inductive cou-\npling methods. Lara et al. [3] proposed a technologically new approach which\ncould lead to a radical enhancement of the coupling in small magnonic struc-\ntures, ultimately promising a full integration of the SW devices into CMOS\ntechnology.\nThis radically new pathway is based on the excitation and propagation of\na new class of localized quasi-one-dimensional spin waves, the so called Win-\nter magnons (WMs) [4]. These spin waves are analogous to the displacement\nwaves of strings and could be excited in a wide class of patterned magnetic\nnanostructures possessing domain walls. Localized WMs have been identi-\n\fed experimentally in di\u000berent ferromagnetic structures with DWs. Winter\nmagnons have been excited in circular magnetic dots in double vortex states,\nbeing localized along DWs connecting vortex cores with half-antivortices [5].\nThe experiments have been supported by numerical modelling and analyt-\nical theory. Garcia-Sanchez et al. [6] evidenced theoretically and through\nmicromagnetic simulations non-reciprocal channeling of WMs in ultrathin fer-\nromagnetic \flms along N\u0013 eel-type DWs arising from a Dzyaloshinskii-Moriya\n(DMI) interaction.\nOther possible application of WMs include spin wave diode [7] or SW\npropagation along DWs using recon\fgurable spin-wave nanochannels or spin\ntextures [8{10]. Park et al. [11] and Osuna Ruiz et al. [12] investigated the\npropagation of WMs in patterned structures involving both DWs and sin-\ngle vortex states. WMs excited in exchange-coupled ferromagnetic bilayers\nhave been suggested for their implementation in emerging spin wave logic and\ncomputational circuits [13].\nAn entirely di\u000berent proposal of SW transmission and processing uses\nWinter magnons con\fned to edge DWs in ferromagnetic geometries such as\ntriangles or rectangles in con\fgurations created by an in-plane (IP) bias \feld\n[3, 14]. The resulting state indeed supports the excitation and detection of\nSWs locally in magnonic logic gates, leading to a natural decrease in device\ndimensions. Control over edge-localized SWs was accomplished by Zhang et\nal. [15] by placing magnetic structures adjacently to a propagating microstrip.\nAdditionally, micromagnetic simulations done by Gruszecki et al. [16] demon-\nstrated that the edge of a structure with locally con\fned SWs could be used to\nexcite plane waves with twice its frequency and less than half its wavelength.Springer Nature 2021 L ATEX template\nEdge spin wave transmission through a vertex domain wall in triangular dots 3\nOur work investigates numerically the excitation, propagation and control\nof edge spin waves in micron sized YIG triangles with di\u000berent apertures. We\nhave observed a resonant enhancement of the ESW transmission for certain\naperture angles accompanied by a \u0019=2 phase shift of the propagated spin wave.\nWe link this e\u000bect to the interaction of the surface spin waves with the vertex\ndomain wall.\n2 Results and Discussion\n2.1 Optimization of the Exchange Energy Channels with\nApplied Bias Field\nTo get the ground state magnetization distribution a static bias \feld is applied\nparallel to the base of the triangle. Once the system is relaxed, the exchange\nenergy distribution will be similar to Fig. 1a. Under a DC \feld parallel to\nthe base of the triangle, the edge magnetic moments rotate and therefore the\ninternal magnetic \feld distribution is minimized near the lateral dot edges.\nEdge spin waves con\fned to this potential well can propagate along the\nnanostructure edges [3].\nDepending on the strength of the magnetic \feld, the exchange energy will\nbe accumulated in a larger or lesser degree in the lateral edges of the triangle,\nshaping two excess exchange energy edge channels [3]. The exchange energy\nchannels boundaries are determined here by performing exchange energy cross\nsections at the middle of the in-plane size of the dot (see inset of Fig. 1a), and\nestablished where the exchange energy density in the channels is reduced by\n90% from its maxima, determining their width, as presented in Fig. 1b). The\nmaximum value of exchange energy density in the channels determines the\nexchange energy in the channel (C exch:), illustrated in Fig. 1c, and the delo-\ncalized energy (D exch:) shown on Fig. 1d is the total summatory of exchange\nenergy outside the channel boundaries. Both quantities are normalized by the\nmaximum value achieved over the applied \feld. The accumulation of exchange\nenergy on the lateral edges of the triangle with magnetic \feld is a phenomena\nre\rected on Figs. 1b - 1d: at small \felds the exchange energy density progres-\nsively transfers from being delocalized (exchange energy outside the channel)\nto being part of the exchange energy channels. Logically, when stronger \feld\nis applied the edge exchange energy channels are being narrowed and there\nis a better localization for the possible propagation of ESWs (see Fig. 1b).\nFor \felds greater than 800 Oe however, the exchange energy localized in the\nedges drops, Fig. 1c. This results in a weakening of the channels, which is not\ndesirable for SW propagation.\nHence, we chose to use 1 kOe applied \feld for our micromagnetic simu-\nlations. The application of this IP \feld results in reasonably high exchange\nenergy in the channels for the SW propagation, well localized at the edges\nof the triangle, as well as reasonably low delocalized exchange energy in the\nbulk of the system Fig. 1d, which implies that the spin waves will less likelySpringer Nature 2021 L ATEX template\n4 Edge spin wave transmission through a vertex domain wall in triangular dots\nFig. 1 (a) Shows the exchange energy density (E exch: dens.) distribution of the YIG triangle\nwith an applied 1 kOe IP magnetic \feld parallel to the base of the geometry. Inset shows\na cross section of the exchange energy density at the middle of the dot, indicating the way\nto evaluate the exchange energy channel width. Part (b) represents the exchange energy\nchannel width vs. the IP applied \feld. (c) Indicates how the normalized to maximum value\nexchange energy in the channel (C exch: ) varies with the intensity of the applied \feld. Part\n(d) shows the normalized to zero \feld delocalized exchange energy (D exch: ) against the\napplied \feld. Dashed lines in (b), (c) and (d) indicate the optimal applied \feld (1 kOe) used\nin the dynamic simulations. Parts (e) and (f) correspond to the zoomed area surrounded\nby the red dashed rectangle in (a). (e) Illustrates the variation of the top corner static M y\ncomponent magnetization pro\fle in the bulk normalized by the saturation magnetization\n(Ms). Part (f) reveals an out-of-plane (OOP) pro\fle, limited by the base of the dashed red\nrectangle in (a), of the M zmagnetization component normalized by M s.\ntravel through the non-edge region of the triangle and cause interferences on\nthe opposite edge from the source.\nRelaxing the magnetic system with no applied \feld yields in a con\fguration\nwith an excess of exchange energy as a perpendicular barrier from the middle\nof the triangles base up to the top corner, which could potentially also be used\nto propagate SWs. When the 1kOe IP \feld is applied and the system is relaxed,\nthe remnants of this barrier survive in the top corner. This is related to a\ndomain wall that is originated in the top corner in this particular con\fguration\n(see Figs. 1e, f).\nAfter the bias \feld is applied and the system is relaxed, the static magneti-\nzation distribution is mostly IP saturated in the direction of the \feld. However,\nclose to the edges of the triangle the magnetization becomes increasingly par-\nallel to them due to the minimization of stray \felds. In the upper corner, this\ntranslates as a complete change of the IP magnetization component perpen-\ndicular to the base (Y axis) from one side to another of the triangle, leading\nto a soft 30\u000eN\u0013 eel-type DW in the top vertex (see Figs. 1e, f). However, this\nstatement is accurate only for the bulk of the structure, since the magnetiza-\ntion has an increasing out-of-plane (OOP) component in the surfaces of the\ntriangle (see Fig. 1f), leading to a state were the DW minimum-energy con\fg-\nuration is intermediate between Bloch and N\u0013 eel. The resulting state is close to\nhaving a weak DMI-like e\u000bect [17]. This topological anomaly has in itself itsSpringer Nature 2021 L ATEX template\nEdge spin wave transmission through a vertex domain wall in triangular dots 5\nown magnetic texture and it is of interest to understand spin wave propagation\nthrough such structures. The DW spin con\fguration is head-to-tail, however,\nextreme high-re\rection and low-transmission e\u000bects that would occur in 90\u000e\nN\u0013 eel-type head-to-tail DWs are negligible due to the softness of the DW, lead-\ning to an almost transparent structure in terms of transmission [18]. The width\nof the DW is measured at \u0018180 nm.\n2.2 Propagation of the Edge Spin Waves\nThe generated DW has its own associated eigenmodes that can be dynamically\nstimulated, this is key to understand SW transmission and further e\u000bects that\nwill be discussed later on. After a 20 ns sinc-shaped pulse (see Methods), we\nfound that the response of the whole system to the pulse resulted in clear\ndistinguishable eigenmodes (bulk modes from now on). For all of the analyzed\nangles, from 40 to 75 degrees, the observed eigenmodes were restricted in the\n3 GHz to 5 GHz range (see Fig. 2a for the modes of a 49\u000etriangle). The mode\nof the highest amplitude, however, is closer to 4.4 GHz, slightly oscillating for\nthe di\u000berent triangle apertures (Fig. 2b).\nThe analysis of the eigenfrequencies is also done for local known speci\fc\nmagnetic structures such as the edges or the upper vertex DW. This allows to\ndi\u000berentiate the propagation of the spin waves through three di\u000berent mag-\nnetic structures with their own modes: bulk, edges, and the DW. Local analysis\nof the eigenmodes displays that generally f(bulk) >f(edges)>f(DW) (f being\nthe frequency of the modes) (see Fig 2c). However, these modes are not over-\nwhelmingly separated (all of them are between 1 and 5 GHz), and one can\nexploit this fact to excite the system at matching frequencies between two -or\nmore- of these structures, resulting in a resonance-like system in which energy\nis being pumped from the magnetic structures to the spin wave to boost and\nperpetuate the propagation.\nLocal excitation of the spin waves is done at the left corner of the triangle\n(see Methods), where the magnetization is con\ricted between being parallel\nto the left edge or to the base, which is the bulk magnetization direction.\nOur micromagnetic simulations indicate that it is possible to excite edge spin\nwaves propagating either from the left or the right vertex with a di\u000berence in\ntransmission below 5% and a di\u000berence in the excited wavelength below 2%.\nInterestingly, the amplitude of the propagated SW was found to be about twice\nlarger when ESWs are excited from the left vertex (con\fguration discussed in\nthis manuscript). The ESW intensity di\u000berence could be due to the di\u000berence\nin the angle between the mw excitation (directed perpendicularly to the tri-\nangle side) and the direction of the static local magnetization in left and right\ncorners.\nThe used frequencies for the mw excitations are the most intense detected\nbulk modes (red line in Fig. 2b). However, these excitations are directed e\u000bec-\ntively perpendicular to the left edge of the triangle. Thanks to this and to theSpringer Nature 2021 L ATEX template\n6 Edge spin wave transmission through a vertex domain wall in triangular dots\nFig. 2 (a) Average modes of the whole YIG triangle's OOP magnetization component\nvisualized in the particular case of a 49\u000eaperture. The most intense mode (in this case 4.39\nGHz) is to be excited in the left corner of the triangle. Inset shows a sketch of magnetic\n\feld distribution in the system: a 1 kOe DC \feld parallel to the base of the triangle and a\nsmaller AC pulse perpendicular to it. (b) Frequency of the mode with the highest frequency\nin the DW and the most intense bulk mode (corresponding to the posterior SWs excitation\nfrequency) against the angle aperture. For a wide range of angles in the high transmission\nregion or fairly close to it, some DW modes frequencies agree with bulk modes, i.e, when\nexciting with this frequency they couple and result on a resonant system, which ampli\fes\nthe transmission through the DW. Inset of (b) is an enhancement in the high transmission\nregime, showing the overlapping of modes. (c) Two most prominent modes in the bulk, edges\nand DW against the corner aperture from 40 to 60 degrees. In light green is highlighted the\nhigh transmission regime. Inset for each graph in (c) corresponds to a typical pro\fle of the\nmost intense mode for the bulk, edges and DW.\nexchange energy channels, we can \"trick\" the spin wave to being almost com-\npletely localized in the edges of the system (see inset of Fig. 3a), even though\nthe excited modes are present in the whole system.\nSpin waves propagated from the left to the right corner of the triangle (see\nSupplementary videos) show a range of aperture angles in which there is a\npeak in transmission (see Methods section for a description of the transmission\nanalysis), even slightly surpassing the value of 1 for 49\u000e, which means the edge\nlocalized spin wave is a bit more intense in the right side of the triangle than\non the left one, where the source is placed (see Fig. 3a). We tentatively explain\nthe transmission coe\u000ecient exceeding one obtained for the aperture angle of\n49 degrees, as a result of ESWs and DW modes excited through their resonant\ninteraction with bulk modes. This is backed up by the fact that at the high\ntransmission regime angles some of the highest frequency DW modes coincide\nwith the most intense main modes of the whole system (Fig. 2b), probably\nproviding an enhanced excitation of the upper vertex DW by the delocalizedSpringer Nature 2021 L ATEX template\nEdge spin wave transmission through a vertex domain wall in triangular dots 7\nFig. 3 (a) Transmission coe\u000ecient and wavelength of the ESW for an upper vertex angle\naperture between 40 and 75 degrees analyzed in the bulk of the triangle. The distinct trans-\nmission peak at 49-50\u000eindicates that the spin wave propagates almost perfectly through the\nedges of the triangle. Inset shows the ESW propagating through the edges a for 49 degree\nangle dot. The excited frequencies correspond in each case to the most intense bulk modes.\n(b) Phase shift of the ESW induced at the upper vertex DW. At the 49-50\u000eaperture angle,\nwhich is the high transmission range, the ESW experiences a \u0019/2 phase shift, indicating\nthe possible existence of resonance. (c) Normalized DW asymmetry between the two lateral\nsides of the triangle in the propagation of the ESW indicates a more asymmetrical propa-\ngation for the high transmission angles. Insets reveal an enhancement of the upper vertex\nDW, showing a snapshot of the SWs once the propagation is steady for three di\u000berent angle\napertures. Enhanced area is marked in red in the top inset, which constitutes approximately\nonly 17.5% of the in-plane length of the whole dot.\nSWs and therefore e\u000bectively boosting the ESWs amplitude at the right side\nof the triangle.\nTo verify the possible resonant transmission for speci\fc frequencies we per-\nformed a simulation of a 49 degree triangle excited at an arbitrary frequency\nof 4.35 GHz (varying less than a 2% the frequency of the resonant mode),\nwhich does not correspond to any mode in the system. Although the ESW\nsignal is propagating (probably because the excitation is close in frequency to\nother modes), the resulting transmission dropped from about 1, obtained for\nthe most intense mode of 4.39 GHz, to 0.36.\nThe strong dependence in ESW transmission on the aperture angle remarks\nthe importance of the upper vertex DW topology in the transmission process.\nAs we mentioned, an increment in transmission of the SWs in a narrow range\nof top corner aperture angle could be due to the concordance between the most\nintense bulk SW modes and the highest frequency DW modes (see Fig. 2b).\nThis correlation is di\u000ecult to disregard, and backs up the expected result in a\nresonant system with two sources. A deep analysis of the process in the upper\nvertex DW when the SW is propagated helps to understand these e\u000bects: for\nthe high transmission angle range, the SWs experiments a phase shift (see\nMethods) close to \u0019/2 (marked in red in Fig. 3b), which is in agreement with\nsome mechanical systems in resonance and previous recorded phase shifts in\nN\u0013 eel-type DWs [19].Springer Nature 2021 L ATEX template\n8 Edge spin wave transmission through a vertex domain wall in triangular dots\nThe calculated DW asymmetry (see Methods section) along an axis parallel\nto the Y axis that divides the upper vertex in two (see inset of Fig. 3c) is\nalso maximum for the angles of high transmission coe\u000ecient, which is highly\ncorrelated to the \u0019/2 phase shift (Fig. 3c). At 49\u000e(see inset of Fig. 3c), the\nincoming and departing signals at the corner do not interfere with each another\n(which happens due to the \u0019/2 phase shift), showing the high e\u000eciency of the\nlocal DW SW propagation for this angles. However, for an angle outside of\nthe high transmission range this statement is not true since the incoming and\ndeparting SWs collide at the corner, resulting in a transmission not as e\u000ecient.\n2.2.1 Dispersion Relations\nAnalyzed SW dispersion (see Methods) reveals two di\u000berent dynamic regimes:\nlow-frequency modes, which are constant in frequency along all wavenumbers,\nand a parabolic mode at higher frequencies (see Fig. 4a). These regimes are\nboth present when the dispersion is represented from the data obtained in the\nedge region. Di\u000berent performed tests reveal that the intensity of the dynamic\nregimes is dependent on the path chosen for the 2D Fourier Transform analysis,\nwhich generates the dispersion relations: a path that crosses through the left\nedge of the structure is associated with the two dynamic regimes being present\n(Fig. 4a). However, they become less intense if the path is separated from the\nedges (see Fig. 4b). If the path crosses the triangle from the base all the way\nto the upper vertex the dispersion relation only shows the constant frequency\nmodes through all wavenumbers (see Fig. 4c). These frequency constant modes\n(coinciding with some of the modes presented in Fig. 2a) most probably origi-\nnate from the localized DW modes along the analyzed vertical line (remarked\nby a dashed line in Fig. 1f) in contrast with delocalized parabolic-type modes\nlinked to edge spin waves. Here, the positive k vector branch of the parabolic\nmode corresponds to the ESWs emitted by the left vertex while the negative\nk branch describes ESWs emitted by the top corner in direction to the left\nvertex. In this con\fguration, these two branches have an equivalent intensity,\nmeaning that the propagation of ESWs is in both directions is comparable.\nTheir approximately parabolic dispersion relation also corroborates the\npredominantly 1D character of SWs along the edge with an IP \feld paral-\nlel to the base. Indeed, the exact solution for SDWs in a 1D ferromagnetic\nchain predicts a parabolic k dispersion [20], valid except in the region of k\n→0, where the dipolar contribution dominates. The parabolic nature of the\ndispersion relation of the ESWs also matches the expected for the analytical\nmodel proposed by Lara et al. [3] (see Methods) if the exchange length in the\nedges is more (an order of magnitude) than the expected value at the bulk\n(see inset of Fig. 4a). This is reasonable since the exchange energy is predomi-\nnantly accumulated in the edges, which would generate a stronger coupling of\nthe magnetic moments in this region, and thus, a longer coupling distance.Springer Nature 2021 L ATEX template\nEdge spin wave transmission through a vertex domain wall in triangular dots 9\nFig. 4 Dispersion relation in a 49 degree angle aperture triangle for (a) the left edge of the\ntriangle from the left vertex all the way to the top. Both dynamical regimes are represented\nin the chosen path: the low-frequency modes constant in frequency and the parabolic ones,\nat higher frequencies. (b) The left side of the dot with a separation of \u001880nmfrom the edge.\nAlthough noticeable, both mode regimes are less intense than in the case of the left edge\nanalysis. The black dashed line indicates the less intense parabolic dispersion. (c) A straight\nline perpendicular to the base of the dot, separating it in two halves. In this particular case\nonly the low-frequency modes are present. These results reveal a direct correlation between\nthe low frequency modes and the top corner of the triangle, associated with the DW, and\nthe parabolic mode with the edge of the system\n2.3 Edge Spin Waves Phase Shift at the Vertex Domain\nWall\nSeveral studies have previously considered theoretically spin wave propagation\nthrough a domain wall. Hertel et al. [22] predicted that there is a proportional-\nity between the SW phase shifted produced by DW and the angle by which the\nmagnetization rotates inside this domain wall (\u0001 \u001e= \u0001f/2), meaning that the\nphase of spin waves with k-vector perpendicular to a domain wall changes by\na factor of \u0019/2 when the wave propagates in a ferromagnetic layer through a\ntransverse wall. The changes of phase shift of the spin wave with upper vertex\naperture angle observed here suggests a possible topological variability of the\nDW magnetic texture with angle aperture once the excitation reaches a steady\nstate, as con\frmed by our results. The change in propagation direction near\nthe vertex could also be a potential cause of the background variation in phaseSpringer Nature 2021 L ATEX template\n10 Edge spin wave transmission through a vertex domain wall in triangular dots\nand amplitude of the transmitted edge waves outside the region where reso-\nnant enhancement of the transmission and abrupt change in the phase shift is\nobserved. However, the model [22] also suggests that at the high transmission\nrange, in which the phase shift is almost exactly \u0019/2, the DW becomes com-\npletely transverse. This scenario must be discarded due to the analysis done at\nthe DW, which does not show a situation with a particularly hard DW, even\nwhen the spin wave is stabilized.\nOn the other hand, Bayer et al. [23] predicted analytically that the spin-\nwave transport through an in\fnitely extended one-dimensional Bloch-type\ndomain wall induces a \fnite phase shift without re\rection. We deduce then\nthat the slight OOP component in the DW plays a role in the transmission of\nthe wave. Indeed, when the excitation stabilizes, the spin wave propagating on\neach side of the dot have opposing OOP magnetization component, meaning\nthat is key for the energy minimization in the system. Further studies involv-\ning more precise control over the magnetic texture of the vertex domain wall,\nfor example using an underlying material with spin orbit coupling (such as a\nPtunderlayer) are needed to explore the direct link between the vertex DW\ninternal magnetic texture and the spin wave transmission through it.\n3 Conclusions\nDetailed investigation on the in\ruence of the upper vertex aperture on trans-\nmission and dephasing of edge spin waves in amorphous YIG ferromagnetic\ntriangles shows the possibility of \fne tuning of the edge spin wave transmis-\nsion between two remote corners. The results suggest that an aperture angle of\n49-50\u000etriggers a high transmission response of the spin wave propagation sys-\ntem. Local analysis of the eigenmodes reveals the following relation: f(bulk) >\nf(edges)>f(DW) for the vast majority of those SW modes branches. In some\ninstances, however, the local modes seemingly overlap each other, resulting in\nan energy pumping into the upper vertex DW structure. The maximum DW\nasymmetry is found at the high transmission range, as well as a phase shift\nof\u0019/2, characteristic of resonant systems and N\u0013 eel-type DWs. The analysis of\nthe SW dispersion relations reveal that the DW-related modes are constant in\nfrequency, whereas the ESWs modes have a parabolic behavior. The best \ft\nto the analytical model [3] suggests a possible increase of the exchange length\nalong the edge DW. We conclude that the high transmission mechanism is\ngreatly due to the speci\fc DW topology on each angle aperture, which could\nindeed raise resonance-like interactions between local and bulk SW modes in\nthe triangular ferromagnetic structure. We believe that this work could con-\ntribute to better understand the SW propagation through topological objects\nand/or magnetic textures.\nMethods The micromagnetic simulations were carried out using the\nMuMax3 code [21]. The typical YIG parameters were used: saturation mag-\nnetization M s= 130 kA/m, exchange sti\u000bness constant A ex= 3.5\u000210\u000012\nJ/m and damping constant \u000b= 2.8\u000210\u00003. The base of the used trianglesSpringer Nature 2021 L ATEX template\nEdge spin wave transmission through a vertex domain wall in triangular dots 11\nwas 2\u0016m and its thickness 85 nm. Discretization was set at 7.8 \u00026.7\u00024.2\nnm per cell (256 \u0002256\u000220 cells) for a standard 60\u000eangle triangle, enough\nto precisely characterize the upper vertex DW. No anisotropies were added to\nour structure. To \fnd the eigenfrequencies of a magnetic system, a 2 Oe, 20\nns, sinc-shaped IP magnetic \feld pulse was applied uniformly perpendicularly\nto both the base of the triangle and the direction of the applied static \feld.\nAfter relaxing the system, the spin wave eigenmode spectrum is obtained using\nthe Fourier Transform of the OOP component of the magnetization. Know-\ning the eigenfrequencies, which appear as peaks in the absorption spectrum, a\nlocal excitation at a single eigenfrequency can be applied locally to observe the\nresponse of the magnetic system (i.e., to observe the propagation of spin waves\naway from the source), for as long as it may be necessary for the spin waves\nto reach a target area. The excitation source was localized in the left vertex\nof the triangle, in a small volume of just three cells over all the thickness of\nthe triangle (in-plane area is 256 \u0002256 cells2). MW excitation is also directed\nperpendicularly to the left edge of the structure to ease the propagation and\nhelp localize the SW into the edges.\nTo characterize the DW asymmetry we \frst re\rect the propagation of one\nside into the other of the DW, thus creating a map in which completely sym-\nmetric SWs maxima or minima cancel each other. Then, we estimate the DW\nasymmetry as the resulting magnetization in the re\rected map as follows: DW\nasymmetry =PjMzj, the summatory being over all the cells in the map.\nDispersion relations are calculated from the simulations using a 2D Fourier\nTransform of the OOP magnetization component along a desired path after the\nsinc-shaped pulse is applied. The proceeding involves using the output magne-\ntization \fles from the pulse simulation to record a 1D path of magnetization\nfor each assigned time (keeping only the magnetization from the path that has\nbeen chosen). An n \u0002m matrix should emerge from this, where n is the number\nof cells in the path and m the number of time points in the pulse simulation.\nThen, the 2D Fourier transform is used to switch it into the reciprocal space,\nwhich is what is presented in Fig. 4.\nSince the two signals from left and right edge-localized propagated spin\nwaves share one fundamental frequency, its phase di\u000berence can be analyzed\nthe same way as two AC signals: we \frst remove the DC part, i.e, the o\u000bset.\nThen we perform a Fourier Transform on both signals. Since the returned\nvalues of the Fourier Transform are in terms of magnitude and phase, the phase\nangle of each signal can be extracted numerically. The phase shift is calculated\nin the manuscript as the phase encountered in the left edge subtracted from\nthe phase at the right edge of the dot once the signal reaches equilibrium.\nTransmission has been computed by analyzing the signals of the ESWs\n(once the steady state in the propagation has been reached) by perform-\ning a Fourier Transform of the edge spin wave signals on both sides of the\ndot (approximately 15 nanometers away from the edge) and determining the\namplitude of the Fourier Transform peaks quotient. This method allows theSpringer Nature 2021 L ATEX template\n12 Edge spin wave transmission through a vertex domain wall in triangular dots\nalmost total elimination of interferences in the process of analysis that would\nbe characterized by undesirable frequencies in the FT.\nThe analytical \ft of the ESWs dispersion relation in Fig. 4a was supported\nby the model proposed by Lara et al. [3] for edge localized spin waves in\nmagnetic dots, valid only when the dot's aspect ratio is (thickness/in-plane\nsize)<<1:\n!2(\u0014) =!2\nM[1 +l2\ne\u00142+ (1\u0000\u00172)h][l2\ne\u00142+ (1\u0000\u00172)h] (1)\nWhere\u0014is the wavevector along the edge of the dot, h=H=4\u0019Mscorre-\nsponds to the reduced bias magnetic \feld parallel to the base of the triangle,\nle=p\nA=2\u0019M2sis the exchange length, and \u0017, which is a parameter of the\nmodel that quanti\fes the ratio of spatial decays between dynamic and static\nmagnetizations, has to be less than one to assure that the frequency of the\nSWs increases with decreasing h.\nAcknowledgments Authors acknowledge Ahmad Awad, C\u0013 esar Gonz\u0013 alez-\nRuano and Antonio Lara for discussions. The work in Madrid was supported\nby Spanish Ministerio de Ciencia (RTI2018-095303-B-C55) and Consejer\u0013 \u0010a de\nEducaci\u0013 on e Investigaci\u0013 on de la Comunidad de Madrid (NANOMAGCOST-\nCM Ref. P2018/NMT-4321) Grants. FGA acknowledges \fnancial support\nfrom the Spanish Ministry of Science and Innovation, through the \"Mar\u0013 \u0010a de\nMaeztu\" Program for Units of Excellence in R&D(CEX2018-000805-M) and\n\"Acci\u0013 on \fnanciada por la Comunidad de Madrid en el marco del convenio\nplurianual con la Universidad Aut\u0013 onoma de Madrid en L\u0013 \u0010nea 3: Excelencia\npara el Profesorado Universitario\". D.C. has been supported by Comu-\nnidad de Madrid by contract through Consejer\u0013 \u0010a de Ciencia, Universidades e\nInvestigaci\u0013 on y Fondo Social Europeo (PEJ-2018-AI/IND-10364)\nReferences\n[1] A. V. Chumak, et al (2022) Roadmap on spin-\nwave computing. IEEE Transactions on Magnetics, 1:1\nhttps://doi.org/10.1109/tmag.2022.3149664\n[2] A. V. Chumak, A. A. Serga, B. Hillebrands (2017) Magnonic\ncrystals for data processing. J Phys D: Appl Phys 50:244001\nhttps://doi.org/10.1088/1361-6463/aa6a65\n[3] A. Lara, J. R. Moreno, K.Y. Guslienko, F. G. Aliev (2017) Information\nprocessing in patterned magnetic nanostructures with edge spin waves. Sci\nRep, 7:5597 https://doi.org/10.1038/s41598-017-05737-8\n[4] J. M.Winter (1961) Bloch wall excitation. Application to\nnuclear resonance in a Bloch wall. Phys Rev 124:452{459\nhttps://doi.org/10.1103/PhysRev.124.452Springer Nature 2021 L ATEX template\nEdge spin wave transmission through a vertex domain wall in triangular dots 13\n[5] F. G. Aliev, A. A. Awad, D. Dieleman, A. Lara, V. Metlushko, K. Y.\nGuslienko (2011) Localized domain-wall excitations in patterned magnetic\ndots probed by broadband ferromagnetic resonance. Phys Rev B 84:224511\nhttps://doi.org/10.1103/PhysRevB.84.144406\n[6] F. Garcia-Sanchez, P. Borys, R. Soucaille, J. Adam, R. L. Stamps, J. Kim\n(2015) Narrow magnonic waveguides based on domain walls. Phys Rev Lett\n114:247206 https://doi.org/10.1103/PhysRevLett.114.247206\n[7] J. Lan , W. Yu, R. Wu, J. Xiao (2015) Spin-Wave Diode. Phys. Rev. X 5,\n041049 https://doi.org/10.1103/PhysRevX.5.041049\n[8] K. Wagner, A. K\u0013 akay, K. Schultheiss, A. Henschke, T. Sebastian,\nH. Schultheiss (2016) Magnetic domain walls as recon\fg-\nurable spin-wave nanochannels. Nature Nanotech 11:432{436\nhttps://doi.org/10.1038/nnano.2015.339\n[9] E. Albisetti, D. Petti, G. Sala, et al (2018) Nanoscale spin-wave cir-\ncuits based on engineered recon\fgurable spin-textures. Commun Phys 1:56\nhttps://doi.org/10.1038/s42005-018-0056-x\n[10] D. M. F. Hartmann, A. R uckriegel, R. A. Duine (2021) Nonlocal magnon\ntransport in a magnetic domain wall waveguide. Phys. Rev. B 104:064434\nhttps://doi.org/10.1103/PhysRevB.104.064434\n[11] H. Park, J. Lee, J. Yang, S. Kim (2020) Interaction of spin waves\npropagating along narrow domain walls with a magnetic vortex in a\nthin-\flm-nanostrip cross-structure. Journal of Applied Physics 127:183906\nhttps://doi.org/10.1063/5.0005118\n[12] D. Osuna Ruiz, E. Burgos Parra, N. Bukin, M. Heath, A. Lara, F. G.\nAliev, A. P. Hibbins, F. Y. Ogrin (2019) Dynamics of spiral spin waves\nin magnetic nanopatches: In\ruence of thickness and shape. Phys. Rev. B\n100:214437 https://doi.org/10.1103/PhysRevB.100.214437\n[13] V. Sluka, T. Schneider, R.A. Gallardo et al (2019) Emission\nand propagation of 1D and 2D spin waves with nanoscale wave-\nlengths in anisotropic spin textures. Nat Nanotechnol 14:328{333\nhttps://doi.org/10.1038/s41565-019-0383-4\n[14] A. Lara, V. Metlushko, F. G. Aliev (2013) Observation of propagat-\ning edge spin waves modes. Journal of Applied Physics, 114:213905\nhttps://doi.org/10.1063/1.4839315Springer Nature 2021 L ATEX template\n14 Edge spin wave transmission through a vertex domain wall in triangular dots\n[15] Z. Zhang, M. Vogel, M. B. Jung\reisch, A. Ho\u000bmann, Y. Nie,\nV. Novosad (2019) Tuning edge-localized spin waves in magnetic\nmicrostripes by proximate magnetic structures. Phys Rev B 100:174434\nhttps://doi.org/10.1103/PhysRevB.100.174434\n[16] P. Gruszecki, I. L. Lyubchanskii, K. Y. Guslienko, M. Krawczyk (2021)\nLocal non-linear excitation of sub-100 nm bulk-type spin waves by\nedge-localized spin waves in magnetic \flms. Appl Phys Lett 118:062408\nhttps://doi.org/10.1063/5.0041030\n[17] F.J. Buijnsters, Y. Ferreiros, A. Fasolino, M.I. Katsnelson (2016)\nChirality-dependent transmission of spin waves through domain walls. Phys\nRev Lett 116:147204 https://doi.org/10.1103/PhysRevLett.116.147204\n[18] S. J. H am al ainen, M. Madami, H. Qin, G. Gubbiotti, S.V. Dijken (2018)\nControl of spin-wave transmission by a programmable domain wall. Nat\nCommun 9:1 https://doi.org/10.1038/s41467-018-07372-x\n[19] O. Wojewoda et al (2020) Propagation of spin waves\nthrough a N\u0013 eel domain wall. Appl Phys Lett 117:022405\nhttps://doi.org/10.1063/5.0013692\n[20] G. Gruner (1994) The dynamics of spin-density waves. Rev Mod Phys\n66:1 https://doi.org/10.1103/RevModPhys.66.1\n[21] A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen, F. Garcia-Sanchez,\nB. V. Waeyenberge (2014) The design and veri\fcation of MuMax3. AIP\nAdvances 4:107133 https://doi.org/10.1063/1.4899186\n[22] R. Hertel, W. Wulfhekel, J. Kirschner (2004) Domain-wall induced\nphase shifts in spin waves. Physical Review Letters 93:257202\nhttps://doi.org/10.1103/PhysRevLett.93.257202\n[23] C. Bayer, H. Schultheiss, B. Hillebrands, R. Stamps (2005) Phase shift of\nspin waves traveling through a 180\u000ebloch domain wall. IEEE Transactions\non Magnetics 41:10 https://doi.org/10.1109/TMAG.2005.855233\n[24] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, B. Hille-\nbrands (2015) Magnon Spintronics. Nature Phys 11:453{461\nhttps://doi.org/10.1038/nphys3347\n[25] C. Liu et al (2018) Long-distance propagation of short-wavelength spin\nwaves. Nat Commun 9:738 https://doi.org/10.1038/s41467-018-03199-8\n[26] S. Macke, D. Goll (2010) Transmission and re\rection of spin waves in the\npresence of N\u0013 eel walls. J Phys: Conf Ser 200:042015Springer Nature 2021 L ATEX template\nEdge spin wave transmission through a vertex domain wall in triangular dots 15\n[27] M. P. Kostylev, A. A. Serga, T. Schneider, T. Neumann, B. Leven,\nB. Hillebrands, R. L. Stamps (2007) Resonant and nonresonant scat-\ntering of dipole-dominated spin waves from a region of inhomoge-\nneous magnetic \feld in a ferromagnetic \flm. Phys Rev B 76:184419\nhttps://doi.org/10.1103/PhysRevB.76.184419\n[28] P. Borys, O. Kolokoltsev, N. Qureshi, M. L. Plumer, T. L.\nMonchesky (2021) Unidirectional spin wave propagation due to\na saturation magnetization gradient. Phys Rev B 103:144411\nhttps://doi.org/10.1103/PhysRevB.103.144411" }, { "title": "1902.00449v1.Quantum_thermodynamics_of_complex_ferrimagnets.pdf", "content": "Quantum thermodynamics of complex ferrimagnets\nJoseph Barker1and Gerrit E.W. Bauer2, 3\n1Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n2Institute for Materials Research & AIMR & CSRN, Tohoku University, Sendai 980-8577, Japan\n3Zernike Institute for Advanced Materials, University of Groningen, 9747 AG Groningen, The Netherlands\nHigh-quality magnets such as yttrium iron garnet (YIG) are electrically insulating and very complex. By\nimplementing a quantum thermostat into atomistic spin dynamics we compute YIG’s key thermodynamic prop-\nerties, viz. the magnon power spectrum and specific heat, for a large temperature range. The results differ\n(sometimes spectacularly) from simple models and classical statistics, but agree with available experimental\ndata.\nIntroduction The spin dynamics of electrically insulating\nmagnets often has high quality because the dissipation chan-\nnel by conduction electron scattering is absent. With few ex-\nceptions, they are complex ferrimagnets. Yttrium iron garnet\n(YIG) with 80 atoms in the unit cell rules with a record low\nGilbert damping of long wavelength spin wave excitations or\nmagnons, even at room temperature [1, 2]. The implied ex-\nceptionally low disorder and weak coupling with phonons re-\nmains a mystery, however. Recently, magnon heat and spin\ntransport were measured in YIG thin films in a non-local\nspin injection and detection configuration with Pt contacts by\nmeans of the spin Hall effect [3] and modelled by spin dif-\nfusion [4]. Key parameters of this model are linked to the\nthermodynamics of the magnetic order, such as the magnon\nheat capacity, which is difficult to measure because it is or-\nders of magnitude smaller than the phonon heat capacity—at\n10 K the magnon and phonon heat capacities are Cm\u00190:009\nJ kg\u00001K\u00001andCp\u00190:270J kg\u00001K\u00001[5]. They can be\nseparated by magnetic freeze-out of the magnon contribution\nat temperatures up to a few Kelvin [5, 6]. The magnon heat\ncapacity at higher temperatures has been estimated by extrap-\nolating models that agree with experimental low-temperature\nresults [4, 7]. YIG is often treated as a single-mode ferromag-\nnet with quadratic !/Dk2(or isotropic cosine function)\ndispersion, thereby ignoring higher frequency acoustic and\noptical modes and temperature dependence of the exchange\nstiffness D. Furthermore, magnon-magnon interactions are\nalso commonly neglected or treated in a mean field approx-\nimation. Statistical approaches also have issues, such as the\nuse of classical (Johnson-Nyquist) thermal noise at low tem-\nperatures [8].\nIn this Letter we introduce a numerical method that avoids\nall of these shortcomings. It allows us to carry out material-\ndependent thermodynamic calculations that are quantitatively\naccurate with a small number of parameters that can be de-\ntermined independently. The crucial ingredient is a thermo-\nstat for Planck quantum (rather than Rayleigh–Jeans classical)\nstatistics in an atomistic spin dynamics framework [9].\nWith the inclusion of quantum thermal statistics we find\nquantitative agreement for YIG with available experiments at\nlow temperatures. The computed spin wave dispersion as a\nfunction of temperature agree well with results from neutron\nscattering. This low temperature quantitative benchmarkingimbues trust in the technique for calculating thermodynamic\nfunctions and allows access to quantities such as the magnon\nheat capacity at room temperature that turns out to be an order\nof magnitude larger than previous estimates.\nMethod We address the thermodynamics by computing\nthe atomistic spin dynamics in the long (ergodic) time limit\nto generate canonical ensembles of spins. The magnetic mo-\nments (‘spins’) in this model are treated as classical unit vec-\ntorsS, an excellent approximation for the half-filled 3d-shell\nof the iron cations in YIG with S= 5=2and magnetic mo-\nment\u0016s=g\u0016BS, whereg\u00192is the electron g-factor and\n\u0016Bthe Bohr magneton.\nThe Heisenberg Hamiltonian H=\u00001\n2P\nijJijSi\u0001Sjcon-\ntains the (super)-exchange parameters Jijbetween spins on\nsitesiandj, which are determined by fits to inelastic neu-\ntron scattering data [10]. Recently, the magnon dispersions\nwere measured again with higher resolution [11], allowing\nan improved parameterization of the six nearest-neighbors ex-\nchange constants, which we adopt in the following. We add a\nZeeman term H=\u0000P\ni\u0016s;iHext\u0001SiwithHext=Hz=\n0:1 T, to fix the quantization axis. On each lattice site ‘ i’\nthe spin dynamics obey the Landau-Lifshitz equation of mo-\ntion [12]:\n@Si\n@t=\u0000j\rj(Si\u0002Hi+\u0011Si\u0002(Si\u0002Hi)); (1)\nwhere\r=g\u0016B=~is the gyromagnetic ratio and \u0011is a damp-\ning constant. Each spin feels an effective magnetic field Hi=\n\u0018i\u0000(1=\u0016s;i)@H=@Si;where \u0018iare stochastic processes\ncontrolled by the thermostat at temperature T.h\u0018i\u000bi= 0\nand the correlation function in frequency space is governed\nby the fluctuation-dissipation theorem (FDT) h\u0018i\u000b\u0018j\fi!=\n2\u0011\u000eij\u000e\u000b\f'(!;T)=\u0016s;i;where the Kronecker \u000e0s reflect the as-\nsumption that the fluctuations between lattice sites i;jand\nCartesian coordinates \u000b;\f are uncorrelated. '(!;T)de-\nscribes the temperature dependence of the noise power and is\nchosen such that the steady-state distribution functions obey\nequilibrium thermal statistics. By not approximating the spin\nHamiltonian by a truncated Holstein-Primakoff expansion,\nour approach includes magnon-magnon interactions to all or-\nders [13].\nAtomistic spin dynamics methods generally assume the\nclassical limit of the FDT with frequency independent (white)\nnoise'(!;T) =kBT, i.e. allmagnons are stimulated.arXiv:1902.00449v1 [cond-mat.mtrl-sci] 1 Feb 20192\nThe energy equipartition of the coupled system results in the\nRayleigh-Jeans magnon distribution. However, this is only\nvalid when the thermal energy is much larger than that of the\nmagnon mode kunder consideration, i.e. when kBT\u001d~!k,\nwhile the energies of the YIG magnon spectrum–and that of\nmost room temperature magnets–extend up to ~!k=kB\u0019\n1000 K [1]. A classical thermostat therefore generates too\nmany high energy magnons, which, for example, overesti-\nmates the broadening by magnon scattering and leads to other\npredictions that can be very wrong.\nIn the proper quantum FDT [14]\n'(!;T) =X\nk~!k\nexp ( ~!k=kBT)\u00001; (2)\nwhich means that equipartition is replaced by Planck statis-\ntics of the magnons at temperature T. Quantum statistics\nin classical spin systems can partially be mimicked through\na post-process rescaling of the temperature [15] or by using\ntemperature-dependent frequencies that rely on analytic ex-\npressions for the low temperature spectrum [16]. These ap-\nproaches cannot be used to evaluate all thermodynamic prop-\nerties and are not suitable to treat complex magnets such as\nYIG. We therefore adopt here the ‘quantum thermostat’ as in-\ntroduced earlier in molecular dynamics [17, 18], i.e., a corre-\nlated noise source that obeys the quantum FDT. This is a “col-\nored” noise, but very different from the one used to describe\nclassical memory effects in the heat bath [19, 20].\nWe implement the quantum statistics by generating corre-\nlated fluctuating fields \u0018i(t)numerically in time that obey\nthe FDT in the frequency domain. Savin et al. [18] employ\na set of stochastic differential equations that produce the re-\nquired distribution function. We adjust this method for the\nspin dynamics problem, but can refer the reader to Ref. [18]\nfor the technical details. The solution provides a dimension-\nless stochastic process \bi\u000b(t)with the spectrum of Eq. (2).\nThe dimensionful noise in the spin dynamics reads\n\u0018i\u000b(t) =kBTr2\u0011\u0016s;i\n\r~\bi\u000b(t): (3)\nWhen we agitate the model of classical spins with these\nstochastic fields, the excitations of the ground state (magnons)\nobey quantum statistics, quite analogous to quantized phonons\nin a classical ball-spring lattice. This approach may loosely\nbe called a ‘semi-quantum’ method which should work very\nwell for the large Fe3+spin in YIG with S= 5=2, but requires\nmore scrutiny for spin S= 1=2.\nWe integrate equation (1) using the Heun method with time\nstep\u0001t= 0:1fs. The stochastic differential equations of the\nthermostat are integrated using the fourth-order Runge-Kutta\nmethod with the same time step.\nMagnon spectrum We compare now the magnon spec-\ntrum computed with the quantum thermostat with our previ-\nous work with classical statistics (and older exchange con-\nstants from Ref. [1]) [9]. Results for low ( 5K) and room\n(300 K) temperature are shown in Fig. 1a. The classical\nN H\n N Γ H020 40 60 80 100 E(meV) T=5K T=300K \nΓ\nMagnonGap(meV) \nT(K) semi-quantum \nexperiment\n0262830323436\n100 200 300a) \nb) FIG. 1. a) YIG magnon spectrum at T= 5 K andT= 300 K\ncalculated using the quantum thermostat and the exchange parame-\nters of Ref. 11. The color intensity is adjusted on a log scale such\nthat all modes are visible (even for extremely low occupation) and is\ndifferent for both figures. The red/blue color shows the +/- polariza-\ntion of the magnons. b) Magnon gap between optical and acoustic\nmodes at \u0000. Experimental data are adopted from neutron scattering\nexperiments [10].\nthermostat overestimated the number of high-energy magnons\nand therefore the broadening of the optical modes at higher\ntemperatures. With quantum statistics, the high-energy opti-\ncal modes are well resolved at room temperature and should\nbe observable by inelastic neutron scattering with large fre-\nquency transfer. The agreement between the calculated and\nmeasured [10] temperature dependence of the exchange gap\nbetween optical and acoustic modes at the \u0000point, shown in\nFig. 1b, is improved, especially in the low temperature regime.\nMagnetization The magnetization at low temperatures\nmz= 1\u00001\nSP\nk\u0017hnk\u0017iT;wherehnk\u0017iTis the distribution of\nmagnons with wave vector kand band index \u0017in the first Bril-\nlouin zone, cannot be calculated correctly with classical statis-\ntics [21] (at higher temperatures the expression does not hold\nsince magnon-magnon interactions are important). This is ob-\nvious already for the single parabolic band, non-interacting\nmagnon gas model for which\n1\u0000mz(T) =vws1\nS\u0000\u00003\n2\u0001\n\u0010\u00003\n2\u0001\n2\u00192\u0012kBT\nD\u00133=2\n(4)\nwhere!k=Dk2;spin-wave stiffness D= 2SJa2;lattice\nconstanta;vwsvolume of the Wigner-Seitz cell, while \u0000(x)\nand\u0010(x)are the gamma and Riemann zeta functions. The\nT3=2dependence is known as Bloch’s law [22].3\nIn the ferrimagnet YIG the total magnetization is made\nup by two oppositely aligned sublattices with slightly dif-\nferent temperature dependent magnetizations. At low tem-\nperatures they are rigidly locked to an antiparallel configu-\nration by the strong nearest neighbor exchange. At ener-\ngies~!k=kB/30 K YIG’s magnon dispersion is known\nto be quadratic and its magnetization obeys Bloch’s T3=2\nlaw [23]. The expected deviations at higher temperatures\ncan be assessed by our method. We calculate the mag-\nnetization at temperature Tas an averageh\u0001\u0001\u0001iTover the\nspin configurations at many times over a 1 ns trajectory\nm(T) =hN\u00001PN\ni\u0016s;iSiiT=hN\u00001PN\ni\u0016s;iSiiT=0, where\nN= 655;360is the total number of spins in the simulation.\nFig. 2 exposes the obvious problem of classical statistics\nto compute magnetizations at low temperatures: The magne-\ntization decreases much more rapidly with temperature than\nBloch’s law (and as observed in experiments). The results\nwith the quantum thermostat, on the other hand, adhere to\nBloch’s law for T <30K (see inset) but also agree well with\nexperiments that signal a breakdown of T3=2scaling, at least\nuntil\u0018300K.\nThe Curie temperatures for the classical ( TC= 420 K) and\nquantum thermostated systems ( TC= 680 K) are quite differ-\nent, while the observed TC= 550 K lies between the theoret-\nical values. In contrast to classical results that obey equipar-\ntition, the Curie temperature of quantum approaches depend\nonSand we find this also in our semi-quantum approach. For\na simple ferromagnetic BCC lattice our computed Curie tem-\nperatures (not shown) agree well with those obtained by semi-\nanalytic approaches [24] for a large range of S. The overesti-\nmation ofTCcompared to the experiment might be caused by\nexchange parameters that are slightly too large since the neu-\ntron scattering data are fitted only up to 90meV which does\nnot cover the magnon modes with highest energy. Also, the\nchoice of S= 5=2(\u0016s= 5\u0016B) in extracting the exchange\nparameters does not fully agree with with measured values of\n\u0016s;a= 4:11\u0016Band\u0016s;d= 5:37\u0016Bfor the octahedral and\ntetrahedral sites [25]. Hence, a more accurate set of parame-\nters, fitted to neutron scattering data for large energy transfers\nor calculated from first principles, should solve this discrep-\nancy.\nHeat Capacity The magnon heat capacity per unit vol-\numeCm=V\u00001(@Um=@T)Vis the change in the inter-\nnal magnetic energy Umwith temperature at constant vol-\numeV. It can be calculated from the magnon spectrum\nasCm=V\u00001(@=@T )P\nk\u0017~!k\u0017hnk\u0017i, wherehnk\u0017iis the\nPlanck distribution. In the low temperature limit magnons oc-\ncupy only states close to k= 0, where the magnon dispersion\nof ferromagnets is parabolic. For a single parabolic magnon\nband [13]\nCm(T) =1\nV5\n8\u0000\u00005\n2\u0001\n\u0010\u00005\n2\u0001\n\u00192kB\u0012kBT\nD\u00133=2\n; (5)\nwhere \u0000(x)and\u0010(x)are the Gamma and Riemann zeta func-\ntions.\n0 200 400 600\nT (K)0.00.20.40.60.81.0m\nexperiment\nsemi-quantum\nclassical\nBloch's law0 20 400.99500.99751.0000\nFIG. 2. Temperature dependent magnetization of YIG calculated\nusing classical and semi-quantum spin dynamics. The experimen-\ntal points are from [26] and Bloch’s law from Eq. (4) with D=\n85:2\u000210\u000041Jm2[11], which in YIG is temperature independent\nuntil close to the Curie temperature. The inset is a close up of the\nsemi-quantum method (blue circles) in the low temperature regime\nwhere Bloch’s law (dashed red line) is valid.\nThe proportionality Cm/T3=2should hold for YIG up to\nenergies of ~!k=kB/30 K . Rezende and López Ortiz [7]\ncalculated the heat capacity for acoustic magnons with finite\nband-width, but neglected optical magnons that contribute to\nthe heat capacity at elevated temperatures. They found that\nCmsaturates at 150 K, i.e. when the magnon occupation\nreaches the upper band edge.\nHere we calculate the heat capacity including all\nmagnon modes and their interactions. We calculate Cm\nfrom the energy fluctuations in the canonical ensemble\nhUmiT= (1=Zm)P\nk\u0017~!k\u0017exp(\u0000~!k\u0017=kBT);where\nZm=P\nk\u0017exp(\u0000~!k\u0017=kBT)is the partition function. Then\nCm=\u0000\nhU2\nmiT\u0000hUmi2\nT\u0001\n=(VkBT2), where in a simulation\nh\u0001\u0001\u0001iTis an average over a large time interval at a constant\ntemperature and Vis the volume of the system.\nFigure 3 shows the low temperature region where the\nmagnon dispersion is, to a good approximation, parabolic\nandCm/T3=2. Calculations using quantum statistics\ngive an excellent agreement with Bloch’s law. The exper-\nimental data in Figure 3 have been collected in the range\nT= 2\u00009K [5], high enough that dipolar field effects can\nbe disregarded. The measurements were made by freezing\nthe magnons in a 7 Tesla field. Even this large field how-\never does not completely remove the magnon contribution to\nthe heat capacity, especially at the higher end of the temper-\nature range [7]. To make a proper comparison we repeat the\nexperimental procedure in our simulation by computing the\ndifference \u0001Cm=Cm(H= 0T)\u0000Cm(H= 7T) . Our cal-\nculations agree well with the observations as well as the single\nmagnon-band model.\nFigure 4 illustrates a pronounced difference between the\nclassical and semi-quantum models: classical statistics over-4\n0 2 4 6 8 10\nT (K)0.0000.0050.0100.0150.020Cm, ∆Cm (J kg−1 K−1)\nCm(H=0) Bloch's law\nCm(H=0) semi-quantum\n∆Cm Rezende\n∆Cm semi-quantum\n∆Cm experiment\nFIG. 3. Low-temperature magnon heat capacity of YIG calculated\nwith quantum statistics (red circles) compared to Bloch’s law (red\nsolid line). \u0001Cm=Cm(H= 0T) \u0000Cm(H= 7T) calculated with\nquantum statistics (red open circles) is compared with experimental\ndata from Boona and Heremans Ref. 5 (orange open squares) as well\nas a single magnon band model. [7] (blue dashed line).\nestimate the heat capacity by 5 orders of magnitude at low\ntemperatures, and do not depend on temperature in contrast\nto the quantum statistical result which approaches zero like\nT3=2. In spite of this spectacular (and rather obvious) failure,\nclassical statistics have traditionally been used (and still are)\nin both Monte-Carlo and atomistic spin dynamics.\nAtT > 30K non-parabolicities begin and Cm/Tpwith\npowerp > 3=2. At room temperatures Fig. 4 reveals dif-\nferences between the approaches of two orders of magnitude.\nThe finite-width magnon band model [7] (dashed line on fig-\nure 4) saturates prematurely with increasing Tbecause op-\ntical and higher acoustic modes become significantly occu-\npied when approaching room temperature [9]. The parabolic\nband model without high-momentum cut-off (Bloch’s law)\nalso strongly underestimates Cmbecause YIG’s magnon den-\nsity of states is strongly enhanced by the flat bands observed\nin Fig. 1. The semi-quantum calculation is an order of\nmagnitude larger than both of these heavily approximated\napproaches, benefiting from the complete description of the\nmagnon spectrum as well as magnon-magnon interactions,\nwhile the classical statistics strongly overestimates the heat\ncapacity up to the Curie temperature.\nConclusions By enforcing Planck statistics for the\nmagnons in the complex ferrimagnet YIG, we obtain excel-\nlent agreement with available inelastic neutron scattering and\nmagnon heat capacity experiments. Our results prove that fun-\ndamental thermodynamic equilibrium properties can be pre-\ndicted with confidence when experimental data are not avail-\nable, but only when quantum statistics and the full spin wave\nspectrum is taken into account. The method is not limited to\nYIG or ordered magnets, but can be directly applied to other\ncomplex materials with local magnetic moments such as spin\nglasses or paramagnets. Our results are a necessary first step\nto compute non-equilibrium properties such as magnon con-\n0 200 400 600 800\nT (K)10-410-310-210-1100101102Cm (J kg−1 K−1)\nRezende\nBloch's law\nclassical\nsemi-quantumFIG. 4. YIG magnon heat capacity calculated over a larger tem-\nperature range with the semi-quantum model (red circles), classical\nmodel (green squares), compared with Bloch’s law (solid red line)\nand the single-band model [7] (dashed blue line).\nductivities and spin Seebeck coefficients, which are essential\nparameters for future applications of magnonic devices.\nACKNOWLEDGEMENTS\nThis work was supported by JSPS KAKENHI Grant No.\n26103006, the Graduate Program in Spintronics (GP-Spin),\nTohoku University and DAAD project ‘MaHoJeRo’. The\nauthors thank Jiang Xiao, Yaroslav Tserkovnyak, Rembert\nDuine and Jerome Jackson for valuable discussion.\n[1] Vladimir Cherepanov, Igor Kolokolov, and Victor L’vov, “The\nsaga of YIG: spectra, thermodynamics, interaction and relax-\nation of magnons in a complex magnet,” Phys. Rep. 229, 81\n(1993).\n[2] Mingzhong Wu and Axel Hoffmann, eds., Recent Advances in\nMagnetic Insulators - From Spintronics to Microwave Applica-\ntions (Academic Press, 2013).\n[3] L. J. Cornelissen, J. Liu, R. A. Duine, J. Ben Youssef, and B. J.\nVan Wees, “Long-distance transport of magnon spin informa-\ntion in a magnetic insulator at room temperature,” Nat. Phys.\n11, 1022–1026 (2015), arXiv:1505.06325.\n[4] L. J. Cornelissen, K. J.H. Peters, G. E.W. Bauer, R. A. Duine,\nand B. J. Van Wees, “Magnon spin transport driven by the\nmagnon chemical potential in a magnetic insulator,” Phys. Rev.\nB94, 014412 (2016), arXiv:1604.03706.\n[5] Stephen R. Boona and Joseph P. Heremans, “Magnon thermal\nmean free path in yttrium iron garnet,” Phys. Rev. B 90, 064421\n(2014).\n[6] R. Douglass, “Heat Transport by Spin Waves in Yttrium Iron\nGarnet,” Phys. Rev. 129, 1132 (1963).\n[7] S. M. Rezende and J. C. López Ortiz, “Thermal properties of\nmagnons in yttrium iron garnet at elevated magnetic fields,”\nPhys. Rev. B 91, 104416 (2015), arXiv:1504.00895.5\n[8] J. Oitmaa and Thomas Falk, “Ferrimagnetism in the rare-earth\niron garnets: A Monte Carlo study,” J. Phys. Condens. Matter\n21, 124212 (2009).\n[9] Joseph Barker and Gerrit E. W. Bauer, “Thermal spin dynamics\nof yttrium iron garnet,” Phys. Rev. Lett. 117, 217201 (2016),\narXiv:1607.03263.\n[10] J S Plant, “Spinwave dispersion curves for yttrium iron garnet,”\nJ. Phys. C Solid State Phys. 10, 4805–4814 (1977).\n[11] Andrew J. Princep, Russell A. Ewings, Simon Ward, Sandor\nTóth, Carsten Dubs, Dharmalingam Prabhakaran, and An-\ndrew T. Boothroyd, “The full magnon spectrum of yttrium iron\ngarnet,” npj Quantum Mater. 2, 63 (2017).\n[12] We prefer the Landau-Lifshitz rather than the Gilbert damp-\ning, because latter affects the frequencies (here governed exclu-\nsively by the exchange parameters) by a factor 1=(1 +\u00112).\n[13] Charles Kittel, Quantum Theory of Solids (Wiley, New York,\n1963).\n[14] L D Landau and E M Lifshitz, Statistical Physics , 3rd ed. (El-\nsevier, 1980).\n[15] R. F L Evans, U. Atxitia, and R. W. Chantrell, “Quantitative\nsimulation of temperature-dependent magnetization dynamics\nand equilibrium properties of elemental ferromagnets,” Phys.\nRev. B 91, 144425 (2015), arXiv:1409.7397.\n[16] C H Woo, Haohua Wen, A A Semenov, S L Dudarev, and Pui-\nwai Ma, “Quantum heat bath for spin-lattice dynamics,” Phys.\nRev. B 91, 104306 (2015).\n[17] Hichem Dammak, Yann Chalopin, Marine Laroche, Marc Hay-\noun, and Jean Jacques Greffet, “Quantum Thermal Bath for\nMolecular Dynamics Simulation,” Phys. Rev. Lett. 103, 190601(2009).\n[18] Alexander V . Savin, Yuriy A. Kosevich, and Andres\nCantarero, “Semiquantum molecular dynamics simu-\nlation of thermal properties and heat transport in low-\ndimensional nanostructures,” Phys. Rev. B 86, 064305 (2012),\narXiv:arXiv:1112.5919v2.\n[19] U. Atxitia, O. Chubykalo-Fesenko, R. W. Chantrell, U. Nowak,\nand A. Rebei, “Ultrafast spin dynamics: The effect of colored\nnoise,” Phys. Rev. Lett. 102, 057203 (2009), arXiv:0809.4595.\n[20] Andreas Rückriegel and Peter Kopietz, “Rayleigh-Jeans Con-\ndensation of Pumped Magnons in Thin-Film Ferromagnets,”\nPhys. Rev. Lett. 115, 157203 (2015), arXiv:1507.01717.\n[21] M.D. Kuz’min, “Shape of Temperature Dependence of Sponta-\nneous Magnetization of Ferromagnets : Quantitative Analysis,”\nPhys. Rev. Lett. 94, 107204 (2005).\n[22] F. Bloch, “Zur Theorie des Ferromagnetismus,” Zeitschrift für\nPhys. 61, 206–219 (1930).\n[23] C. M. Srivastava and R. Aiyar, “Spin wave stiffness constants\nin some ferrimagnetics,” J. Phys. C Solid State Phys. 20, 1119–\n1128 (1987).\n[24] J. Oitmaa and Weihong Zheng, “Curie and Néel temperatures\nof quantum magnets,” J. Phys. Condens. Matter 16, 8653–8660\n(2004), arXiv:0409041 [arXiv:cond-mat].\n[25] D Rodic, M Mitric, R Tellgren, H Rundlof, and A Kremenovic,\n“True magnetic structure of the ferrimagnetic garnet Y 3Fe5O12\nand magnetic moments of iron ions,” J. Magn. Magn. Mater.\n191, 137–145 (1999).\n[26] Elmer E. Anderson, “Molecular field model and the magnetiza-\ntion of YIG,” Phys. Rev. 134, A1581 (1964)." }, { "title": "2209.01875v1.Nonlocal_detection_of_interlayer_three_magnon_coupling.pdf", "content": "Nonlocal detection of interlayer three-magnon coupling\nLutong Sheng,1,\u0003Mehrdad Elyasi,2,\u0003Jilei Chen,3, 4,\u0003Wenqing He,5,\u0003Yizhan Wang,5Hanchen Wang,1, 4\nHongmei Feng,6Yu Zhang,5Israa Medlej,3, 4Song Liu,3, 4Wanjun Jiang,6Xiufeng Han,5\nDapeng Yu,3, 4Jean-Philippe Ansermet,7, 3Gerrit E. W. Bauer,2, 8, 9, 10and Haiming Yu1, 4,y\n1Fert Beijing Institute, MIIT Key Laboratory of Spintronics,\nSchool of Integrated Circuit Science and Engineering, Beihang University, Beijing 100191, China\n2WPI Advanced Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n3Shenzhen Institute for Quantum Science and Engineering,\nSouthern University of Science and Technology, Shenzhen 518055, China\n4International Quantum Academy, Shenzhen 518048, China\n5Beijing National Laboratory for Condensed Matter Physics,\nInstitute of Physics, University of Chinese Academy of Sciences,\nChinese Academy of Sciences, Beijing 100190, China\n6State Key Laboratory of Low-Dimensional Quantum Physics and\nDepartment of Physics, Tsinghua University, Beijing 100084, China\n7Institute of Physics, Ecole Polytechnique F\u0013 ed\u0013 erale de Lausanne (EPFL), 1015, Lausanne, Switzerland\n8Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n9Center for Spintronics Research Network, Tohoku University, Sendai 980-8577, Japan\n10Zernike Institute for Advanced Materials, University of Groningen, 9747 AG Groningen, Netherlands\n(Dated: September 7, 2022)\nA leading nonlinear e\u000bect in magnonics is the interaction that splits a high-frequency magnon into\ntwo low-frequency ones with conserved linear momentum. Here, we report experimental observation\nof nonlocal three-magnon scattering between spatially separated magnetic systems, viz. a CoFeB\nnanowire and an yttrium iron garnet (YIG) thin \flm. Above a certain threshold power of an applied\nmicrowave \feld, a CoFeB Kittel magnon splits into a pair of counter-propagating YIG magnons that\ninduce voltage signals in Pt electrodes on each side, in excellent agreement with model calculations\nbased on the interlayer dipolar interaction. The excited YIG magnon pairs reside mainly in the\n\frst excited ( n= 1) perpdendicular standing spin-wave mode. With increasing power, the n= 1\nmagnons successively scatter into nodeless ( n= 0) magnons through a four-magnon process. Our\nresults help to assess non-local scattering processes in magnonic circuits that may enable quantum\nentanglement between distant magnons for quantum information applications.\nNonlinear e\u000bects are ubiquitous in a variety of phys-\nical systems, such as lasers [1], electron beams [2], cold\natoms [3], and water waves [4]. Magnons are the quanta\nof spin waves, the collective excitations of the magnetic\norder. In magnon spintronics or magnonics [5{9] they are\nemployed as information carriers for low-power process-\ning and transmission [10{12]. Non-linearities in the mag-\nnetization dynamics are known for many decades [13{\n22]. New nonlinear phenomena have been discovered\nin magnetic textures [23{27], nanoscale magnets [28{\n30] and hybrid systems [31, 32]. Non-linearity provides\nrich physics [33] and is relevant for technological appli-\ncations [34], such as mechanical force sensors [35]. Opti-\ncal non-linearities enable the coupling of LC resonators\nwith a superconducting qubit [36]. A nonlinear mag-\nnetostrictive interaction may generate magnon-photon-\nphonon entanglement in cavity magnetomechanics [37].\nNon-linearities generate magnon interaction that leads to\n\\squeezing\" of magnon amplitudes [38] and continuous\nvariable quantum entanglement [39]. The latter is dis-\ntillable [40] only when non-local and quantum-entangled\nover a distance. Separation of entangled magnons ap-\npears to be a formidable task, but could be useful in\ninformation technologies such as quantum key distribu-tion [41] and quantum teleportation [42].\nThe leading nonlinear e\u000bect in magnetic systems is\nthe three-magnon interaction [17{25], in which a magnon\nwith energy ~!and zero momentum (Kittel mode) de-\ncays into two lower energy (frequency) magnons ~!=2\nwith opposite wave vectors ( ~kand\u0000~k). It has been\nobserved in yttrium iron garnet (YIG) \flms [17{20], spin-\nvalve nanocontacts [21] and magnetic vortices [23, 24].\nIn all studies, the three magnons are part of the same\nmagnet. Indeed, the magnon interactions are ususally\nassumed to be very short-ranged. However, this is not\nself-evident, since the long-range dipolar interaction con-\ntributes as well. A nonlocal interaction between di\u000ber-\nent material systems, e.g. a magnon of system A that\ndecays into two magnons in system B, would o\u000ber ad-\nditional functionality for hybrid [43] and 3D magnon-\nics [44]. Here, we demonstrate interlayer three-magnon\ninteractions in a CoFeB jYIG hybrid nanostructure, in\nwhich a magnon in a CoFeB nanowire splits into two\ncounter-propagating magnons in a YIG thin \flm.\nWe excite the magnetic system that consists of a\nCoFeB nanowire (200 nm wide, 30 nm thick and 100 \u0016m\nlong) on top of a YIG \flm with a thickness d= 80 nm\n[see Fig. 1(a)] by the microwaves emitted from a goldarXiv:2209.01875v1 [cond-mat.mes-hall] 5 Sep 20222\n(a)\nCoFeB VLMicrowave\nVRVLVNA\nH(b)\nHθPt Pt+\n2 µmYIG+d\n9101112(c)\n91011f (GHz)\n-40 -20 020 40\nfield (mT)10 mW\n9101112\n91011f (GHz)\n-40 -20 020 40\nfield (mT)32 mW\n5\n0\n-5(µV)(d) Low excitation High excitation\n12 12\nVLVL+k -kVR\nyx\nzjsĉ\nâ₁s\nâ₁\nFIG. 1. (a) Schematic (side view) of spin pumping by a CoFeB\nnanowire detected non-locally by two Pt electrodes on the\nleft (VL) and right ( VR) sides. Magnetic \feld His applied\nin theyzplane with an angle \u0012= 45\u000ewith respect to the z\naxis.sdenotes the distance between the CoFeB wire and a\nPt bar. (b) Optical microscopic image of the three-terminal\ndevice. The center line is a CoFeB nanowire covered by a gold\nmicrowave stripline antenna. The Pt electrodes on both sides\ndetect nonlocal spin pumping from the CoFeB wire. (c) VL\nmeasured with \feld swept from negative to positive values.\nAt an input microwave power of 10 mW, we are still in the\nlinear regime. The green arrow marks the spin pumping when\nthe magnetizations are anti-parallel. (d) VLmeasured at a\nhigh input power of 32 mW. The \feld sweep is the same as\nin (c). Light blue arrows indicate a mode associated with\nthe interlayer three-magnon coupling. We attribute another\nmode (orange arrows) to a secondary nonlinear process.\nstripline antenna [45] (not shown) above. The width of\nCoFeB wire is characterized by the scanning electron\nmicroscope (SEM) shown in the Supplementary Material\n(SM) Sec. I [46]. The YIG thin \flms are deposited on\ngadolinium gallium garnet substrates by radio-frequency\nmagnetron sputtering. We detect propagating magnons\nby their spin pumping [47{51] into Pt contacts placed\non each side of CoFeB at a distance s= 2:5\u0016m, in\nwhich the inverse spin Hall e\u000bect (ISHE) generates a\ntransverse voltage. Figure 1(b) is a microscopic image\nof the device. A magnetic \feld applied at an angle\n\u0012= 45\u000e[49] with respect to the nanowire direction\nallows both e\u000ecient excitation of the nanowire (maximal\nfor 0\u000e) and detection by ISHE (best for 90\u000e). Figure 1(c)\nshows the ISHE voltage at the left Pt electrode ( VL)\nas a function of excitation frequency and applied \feld\nfor a small microwave power of 10 mW, which is safely\nin the linear regime. The red (blue) color represents\nnegative (positive) voltage response. The relativelystrong negative ISHE voltage ( \u0018 \u0000 6\u0016V, marked\nby the green arrow) corresponds to the antiparallel\nmagnetization of the CoFeB and YIG layers with large\ninterlayer dipolar coupling [52]. Figure 1(d) shows VL\nunder high excitation (microwave power 32 mW). We\nobserve additional modes as indicated by the blue and\norange arrows in Fig. 1(d). We argue below that an\ninterlayer three-magnon process causes the former ones\nand attribute them to parametric pumping of the \frst\nexcited perpendicular standing spin waves (PSSWs)\nin YIG by the stray \feld of the CoFeB Kittel mode\n[blue arrows in Fig. 2(a)]. The latter one (orange\narrows) should originate from an intralayer four-magnon\nscattering (orange dashed arrows in Fig. 2(a)) following\nthe interlayer three-magnon process (blue arrows). The\ncomparison of VLin Figs. 1(c) and (d) with VRin the\nSM Sec. II [46] con\frms the strong chirality of the linear-\nresponse modes (areas marked by green arrows) [53, 54],\nwhile the nonlinear signals (blue and orange arrows) are\nnearly equally strong on both sides. In the vicinity of the\nCoFeB resonance ( \u001810 GHz, see microwave re\rection\nspectraS11in the SM Sec. III [46]), the CoFeB wire\nswitches more easily under high excitation.\nFigure 2(a) shows the dispersion of the nodeless ( n=\n0) and single-node ( n= 1) perpendicular standing spin\nwaves (PSSWs). The frequency of a spin wave with mo-\nmentumkin modenreads [55, 56]\nfn(k) =\r\u00160Ms\n2\u0019\u0015exs\u0014\nk2+\u0010n\u0019\nd\u00112\u0015\u0014\nk2+\u0010n\u0019\nd\u00112\n+1\n\u0015ex\u0015\n:\n(1)\nIn calculations, we use the YIG exchange constant\n\u0015ex = 3\u000210\u000016m2, saturation magnetization\nMs= 140 kA/m [57] and \flm thickness d= 80 nm.\nAccording to our modelling explained below, the CoFeB\nKittel mode at\u001810 GHz couples primarily with the\nhigh-ksingle-node mode as indicated by the green arrow\nin Fig. 2(a). This linear interlayer magnon coupling is\nstrongly enhanced in the antiparallel con\fguration, here\nin the \feld interval 0-20 mT [52, 54]. The linear process\nof spin pumping by this \\two-magnon\" scattering is\nstrongly unidirectional due to the interlayer dipolar\ninteraction [53, 54], with voltage signals in the left Pt\nelectrodeVL[green arrow in Fig. 1(d)] but not in the\nright oneVR[Fig. 2(b)]. In this process, the nanometric\nwidth of CoFeB wire generates a broad kdistribution\nand thus enables e\u000ecient scattering between a k= 0\nCoFeB magnon and a high- k n= 1 YIG magnon given\nby the dispersion in Fig. 2(a). We derive the interlayer\nmagnon-magnon coupling strength by the magnetodipo-\nlar interaction for the n= 0 andn= 1 modes with\n+kand\u0000kwave vectors in the SM Sec. IV-C [46].\nThe chiral spin pumping signal scales linearly with the3\n2468101214\nfield (mT)0 -50f (GHz)\n2468101214(a)\nVR(b)\n-100 50 100k (rad/µm)\n60 40 20 0 -20 -40 -6010\n5∆kXf (GHz)\nYIG n = 0YIG n = 1CoFeB\n02\n-4-2VISHE (µV)\n8 910 11 12\nf (GHz)4\n+14 mT\n-14 mT1f\n½f2f3f n = 12(n = 1)(c)\nFIG. 2. (a) Spin-wave dispersion of the nodeless ( n= 0 black\ncurve) and single-node ( n= 1 red curve) modes for a YIG\n\flm with thickness d= 80 nm and applied \feld of 10 mT at\nan angle\u0012= 45\u000e. The spin pumping induced by the inter-\nlayer two-magnon scattering process (green arrow) is unidi-\nrectional, while spin pumping by the interlayer three-magnon\nscattering (blue arrows) is not. Dashed orange arrows: In-\nterband secondary four-magnon scattering from the n= 1 to\nn= 0 mode. (b) Nonlocal ISHE voltage signals measured at\nthe right Pt electrode VRat an input power of 32 mW. The\nblack arrows denote excitations of n= 0 modes at frequen-\ncies1\n2f,f, 2fand 3fmodes. The red arrows indicate direct\nexcitation of the n= 1 modes, while the blue arrows indicate\ntheir parametric pumping. The \feld is swept from negative\nto positive values. (c) The blue (red) lineplot presents the\nfrequency-dependent ISHE voltage extracted from (b) at an\napplied \feld of 14 mT (-14 mT). The blue open squares (red\nopen circles) are extracted under the same conditions for a\nbare YIG \flm without CoFeB wire.\nmicrowave power and can be detected down to 1 mW\n(see SM Sec. V [46]). At powers above 10 mW, nonlinear\ne\u000bects emerge. Figure 2(b) shows the spin pumping\nsignals measured at the right Pt electrode at 32 mW. We\nobserve multiple new features associated with the n= 0\nmode including parametric pumping of the fmode\nat 2fmicrowave excitation [48] and a triple-frequency\n(3f) [21, 27] mode, but also second harmonic generation\nat microwave frequencies1\n2f. Then= 1 PSSW mode\nis observed in Fig. 2(b) indicated by the red arrows.\nBy varying the \flm thickness from 80 nm to 40 nm,\nthen= 1 mode shifts to \u001812 GHz as shown in the\nSM Sec. VI [46]. Evidence for parametric pumping of the\nhighern= 1 mode appears at high frequencies around\n10 GHz as marked by blue arrows. Figure 2(c) shows\ntwo lineplots at +14 mT (blue squares) and -14 mT (reddots) with positive and negative ISHE voltage signals\naround 10 GHz. Open blue squares and open red circles\nshow data obtained from a bare YIG sample without\nCoFeB wire on top (see SM Sec. VII [46]). If we replace\nthe 200 nm-wide CoFeB wire by a 800 nm-wide one, the\nCoFeB Kittel mode frequency drops signi\fcantly and no\nlonger matches twice the frequency of the n= 1 mode.\nAs a result, no signal is observed around 10 GHz (see\nSM Sec. VII [46]). The signals in Fig. 2(b) marked by\nblue arrows are therefore caused by parametric pumping\nofn= 1 YIG magnons by the stray \feld from the CoFeB\ndynamics but not the stripline.\nOur experiments uniquely combine the advantages\nof microwave and electrical magnon transport studies.\nThe observable is S21(!1;!2), the bichromatic scatter-\ning matrix of a magnon injected at frequency !1at con-\ntact/stripline 1 to a magnon with frequency !2at con-\ntact/stripline 2. Propagating magnon spectroscopy [7]\nstudies the coherent magnons at frequency !;in terms\nofS21(!;!). The electrical injection and detection of\nmagnons by heavy metal contacts [8] is the method of\nchoice to study di\u000buse magnon transport. However,\nsenses onlyR\njS21(!1;!2)jd!1d!2;so all spectral infor-\nmation is lost. Here we measure the coherent response\nto inductive magnon injection at frequency !and elec-\ntric detection at a distant contact, i.e.R\njS21(!;! 2)jd!2.\nIn the linear regime this does not provide new informa-\ntion. However, the emergence of a magnon frequency\ncomb leads to an increased signal at a magnon resonance\n!=!k, while new signals due to parametric pumping\nemerge when != 2!k. When the magnon decay length\nis larger than the contact distance, we can interpret the\nexperiments simply in terms of the magnon spectrum\ngenerated by the microwaves under the stripline since\nthe electrical detection is not sensitive to the propaga-\ntion phase. Here we model the observed non-linearities\nby the leading terms in the Holstein-Primako\u000b expansion\nwith Hamiltonian\n^H=^H(0)\nC+^H(0)\nY+^H3M\nCY; (2)\nHere ^H(0)\nC=\"Ccycand ^H(0)\nY=P\nkn\"knay\nknaknare the\nexcitations of the Kittel mode in the magnetic wire and\nspin waves in mode knof the \flm. In principle, all\nstates may be excited by the microwaves emitted by the\nstripline with mode-dependent e\u000eciencies. The leading\nnon-linear term is the 3-magnon interaction ^H3M\nCY. In\nthe following, we model the nonlinear excitations ob-\nserved around the CoFeB nanowire resonance frequency\n(10 GHz) by the magneto-dipolar \feld of the nanowire in\nthe YIG thin \flm with an interlayer 3-magnon interac-\ntion ^Hh3Mi\nCY =D(n)\n~k+~k\u0000^cy^an;~k+^an;~k\u0000+ H:c:, where ^c(^an;~k\u0006)\nis the annihilation operator of the CoFeB nanowire Kit-\ntel mode magnon (YIG magnon of n= 0;1 with wave4\nvector~k\u0006),D(n)\n~k+~k\u0000is a coe\u000ecient, and ~k+\u0019\u0000~k\u0000(see\nSM Sec. IV-A [46]). The e\u000eciency of the parametric ex-\ncitation scales with the ellipticity of the excited magnon\npairs, which decreases with k, sojD(1)\n~k+~k\u0000j>jD(0)\n~k+~k\u0000j.\nTherefore, the CoFeB Kittel mode excites n= 1 YIG\nmagnon pairs at a lower threshold than that of n= 0\npairs. The parallel magnetic pumping by the Zeeman\ninteraction \u00160\r~ mYIG\nk\u0001~hdipdoes not depend on the po-\nlarization of neither the magnon nor the dipolar \feld,\nin contrast to the chiral spin pumping [53, 54]. The 3-\nmagnon interaction is therefore not chiral and the signals\nin both Pt contacts are nearly the same [see Figs. 2(b)\nand (c)].\npower (mW)VISHE (µV)\n0 10 20 30 4001234Linear Nonlinear\nFIG. 3. ISHE peak voltages of the right Pt electrode as a\nfunction of the input microwave power measured at 5 GHz\nfor the low- k n= 1 PSSW mode (black open squares) and\nat 10 GHz for the nonlinear modes (light blue open circles\nand orange open triangles). The red line is a linear \ft up\nto 20 mW. The dark blue double-sided arrow marks the de-\nviation from linearity. The light green area represents the\nnonlinear regime of interlayer three-magnon processes (light\nblue open circles) above 20 mW (blue dashed line). An ad-\nditional feature (orange arrows in Fig. 1(c) and orange open\ntriangles) observed above 32 mW (orange dashed line) is at-\ntributed to a secondary four-magnon process as indicated by\norange dashed arrows in Fig. 2(a).\nIn Fig. 3 we address the power dependence of the\nsignals at 5 GHz and 10 GHz. We focus on the VISHE\non the right Pt electrode for input powers from 1 mW\nto 47 mW (see SM Sec. VIII [46] for the raw data). The\nsignal attributed to the interlayer three-magnon inter-\naction at microwave powers above 20 mW (light green\narea in Fig. 3) are nearly the same in both contacts.\nThe signal associated to the excitation of the low- k\nn= 1 mode deviates from a linear power dependence\n(black open squares) at \u001820 mW, which we interpret an\nevidence for the Suhl instability [13]. The spin pumpingsignal drops above the threshold, because the con\ruence\nscattering opposes the Kittel magnon decay in the wire.\nWhen the power reaches 32 mW, an additional mode\n(orange arrows in Fig. 1(d)) emerges. We attribute this\nadditional mode to a four-magnon process [30, 58] during\nwhich twon= 1 YIG magnons scatter to two n= 0 YIG\nmagnons, i.e. ay\n1ay\n1a0a0+ H:c:(orange dashed arrows in\nFig. 2(a)). The drop in the n= 1 mode intensity (black\nopen squares) accompanies a new signal (orange open\ntriangles), similar to a four-magnon scattering signal\nreported for a YIG nanoconduit [30]. We explain the\nincreased slope of the orange mode as a function of \feld\nas follows, see also SM Sec. IV-B [46]. The four-magnon\ninteraction scales like / j~k0\u0006j2, where~k0\u0006are the\nmomenta of the n= 0 magnons degenerate with the\nn= 1 magnons that are e\u000eciently excited by the CoFeB\nKittel mode when their ~k\u0006is small. The amplitude of\nthen= 1 magnons therefore decreases with excitation\nfrequency higher than \u00192 min!1;~k, butj~k0\u0006jof the\nn= 0 magnons increases. The secondary maximum of\nthe spin pumping signals seen in experiments and calcu-\nlations reveals that the four-magnon scattering can win\nthis competition in a narrow frequency interval. We do\nnot observe indications for an intralayer three-magnon\nprocess in which one n= 1 YIG magnon splits into two\nn= 0 YIG magnons, because the overlap integrals are\nsuppressed due to the di\u000berent parity of the standing\nwave amplitudes (see SM Sec. IV-B [46]). Finally,\nwe demonstrate in Fig. S6 of the SM [46] excellent\nagreement of the calculated resonance energies with the\nobserved spectra at both low and high excitation powers.\nIn conclusion, we detect nonlinear interlayer magnon\ninteractions in a hybrid magnetic nanostructure\n(YIGjCoFeB) by nonlocal spin pumping. The leading\nnonlinearity is a three-magnon process in which one\nCoFeB Kittel magnon splits into a pair of single-node\n(n= 1) YIG magnons with opposite wave vectors (+ k\nand\u0000k). By comparing the ISHE voltage signals of\nleft and right Pt electrodes, we \fnd nearly symmetric\nmagnon emission in both directions in contrast with the\nalmost perfect chirality of linear excitations in agreement\nwith model calculations based on purely magnetodipo-\nlar couplings. The theoretical analysis also indicates\nthat the nonlinear interlayer coupling with single-node\n(n= 1) YIG magnons dominates over that with nodeless\n(n= 0) ones. We attribute an additional signal at even\nhigher power to a cascade of interlayer three-magnon\nand intralayer four-magnon processes. Understanding\nthe dynamics in hybrid magnetic systems may help\nengineer dissipation and cross talk in nanomagnonic\ndevices, which is a necessary step in the prospect\nof quantum magnonics for entanglement distillation\nthrough nonlinear coupling of local nanowire magnons\nand paires of long-distance propagating magnons.5\nACKNOWLEDGMENTS\nWe thank D. Wei for helpful discussions. The authors\nacknowledge support from the NSF China under Grants\n12074026, 12104208 and U1801661, the National Key\nResearch and Development Program of China Grants\n2016YFA0300802 and 2017YFA0206200, and JSPS Kak-\nenhi Grants # JP19H00645 and 21K13847.\n\u0003These authors contributed equally to this work.\nyhaiming.yu@buaa.edu.cn\n[1] Th. Udem, R. Holzwarth, and T. W. H ansch, Optical\nfrequency metrology. Nature 416, 233 (2002).\n[2] Y. C. Mo, R. A. Kishek, D. Feldman, I. Haber, B. Beau-\ndoin, P. G. O'Shea, and J. C. T. Thangaraj, Experimen-\ntal observations of soliton wave trains in electron beams.\nPhys. Rev. Lett. 110, 084802 (2013).\n[3] Z. Dutton, M. Budde, C. Slowe, and L. V. Hau, Obser-\nvation of quantum shock waves created with ultra- com-\npressed slow light pulses in a Bose-Einstein condensate.\nScience 293, 663 (2001).\n[4] G. G. Rozenman, W. P. Schleich, L. Shemer, and A. Arie,\nPeriodic wave trains in nonlinear media: talbot revivals,\nakhmediev breathers, and asymmetry breaking. Phys.\nRev. Lett. 128, 214101 (2022).\n[5] V. V. Kruglyak, S. O. Demokrotiv, and D. Grundler,\nMagnonics. J. Phys. D: Appl. Phys. 43, 264001 (2010).\n[6] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B.\nHillebrands, Magnon spintronics. Nat. Phys. 11, 453-\n461 (2015).\n[7] V. Vlaminck, and M. Bailleul, Current-induced spin-\nwave Doppler shift. Science 322, 410 (2008).\n[8] L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and\nB. J. van Wees, Long-distance transport of magnon spin\ninformation in a magnetic insulator at room temperature.\nNat. Phys. 11, 1022-1026 (2015).\n[9] P. Pirro, V. I. Vasyuchka, A. A. Serga, and B. Hille-\nbrands, Advances in coherent magnonics. Nat. Rev.\nMater. 6, 1114-1135 (2021).\n[10] A. Khitun, M. Bao, and K. L. Wang, Magnonic logic\ncircuits. J. Phys. D: Appl. Phys. 43, 264005 (2010).\n[11] G. Csaba, A. Papp, and W. Porod, Perspectives of using\nspin waves for computing and signal processing. Phys.\nLett. A 11, 948-953 (2016).\n[12] Q. Wang et al. , A magnonic directional coupler for inte-\ngrated magnonic half-adders. Nat. Electron. 3, 765-774\n(2020).\n[13] H. Suhl, The theory of ferromagnetic resonance at high\nsignal powers. J. Phys. Chem. Solids 1, 209 (1957).\n[14] P. E. Wigen, Nonlinear Phenomena and Chaos in Mag-\nnetic Materials . (World Scienti\fc, Singapore, 1994).\n[15] S. M. Rezende, and F. M. de Aguiar, Nonlinear dy-\nnamics in microwave driven coupled magnetic multilayer\nsystems. J. Appl. Phys. 79, 6309 (1996).\n[16] S. O. Demokritov, A. A. Serga, V. E. Demidov, B. Hille-\nbrands, M. P. Kostylev, and B. A. Kalinikos, Experimen-\ntal observation of symmetry-breaking nonlinear modes in\nan active ring. Nature 426, 159-162 (2003).[17] C.-L. Ord\u0013 o~ nez-Romero, B. A. Kalinikos, P. Krivosik, W.\nTong, P. Kabos, and C. E. Patton, Three-magnon split-\nting and con\ruence processes for spin-wave excitations in\nyttrium iron garnet \flms: Wave vector selective Brillouin\nlight scattering measurements and analysis. Phys. Rev.\nB79, 144428 (2009).\n[18] H. Kurebayashi, O. Dzyapko, V. E. Demidov, D. Fang,\nA. J. Ferguson, and S. O. Demokritov, Controlled\nenhancement of spin-current emission by three-magnon\nsplitting. Nat. Mater. 10, 660-664 (2011).\n[19] K. Ando, and E. Saitoh, Spin pumping driven by bistable\nexchange spin waves. Phys. Rev. Lett. 109, 026602\n(2012).\n[20] H. J. J. Liu, G. A. Riley, C. L. Ordonez-Romero, B. A.\nKalinikos, and K. S. Buchanan, Time-resolved study of\nnonlinear three-magnon processes in yttrium iron garnet\n\flms. Phys. Rev. B 99, 024429 (2019).\n[21] H. Schultheiss et al. , Direct current control of three\nmagnon scattering processes in spin-valve nanocontacts.\nPhys. Rev. Lett. 103, 157202 (2009).\n[22] R. E. Camley, Three-magnon processes in magnetic na-\nnoelements: Quantization and localized mode e\u000bects.\nPhys. Rev. B 89, 214402 (2014).\n[23] K. Schultheiss et al. , Excitation of whispering gallery\nmagnons in a magnetic vortex. Phys. Rev. Lett. 122,\n097202 (2019).\n[24] L. K orber, K. Schultheiss, T. Hula, R. Verba, J. Fassben-\nder, A. K\u0013 akay, and H. Schultheiss, Nonlocal stimulation\nof three-magnon splitting in a magnetic vortex. Phys.\nRev. Lett. 125, 207203 (2020).\n[25] R. Verba, L. K orber, K. Schultheiss, H. Schultheiss, V.\nTiberkevich, and A. Slavin, Theory of three-magnon in-\nteraction in a vortex-state magnetic nanodot. Phys. Rev.\nB103, 014413 (2021).\n[26] Z. Wang, H. Y. Yuan, Y. Cao, Z. X. Li, R. A. Duine,\nand P. Yan, Magnonic frequency comb through nonlin-\near magnon-skyrmion scattering. Phys. Rev. Lett. 127,\n037202 (2021).\n[27] C. Koerner, R. Dreyer, M. Wagener, N. Liebing, H. G.\nBauer, and G. Woltersdorf, Frequency multiplication by\ncollective nanoscale spin-wave dynamics. Science 375,\n1165-1169 (2022).\n[28] I. Barsukov, H. K. Lee, A. A. Jara, Y.-J. Chen, A. M.\nGoncalves, C. Sha, J. A. Katine, R. E. Arisa, B. A.\nIvanov, and I. N. Krivorotov, Giant nonlinear damping\nin nanoscale ferromagnets. Sci. Adv. 5, eaav6943 (2019).\n[29] B. Divinskiy, S. Urazhdin, S. O. Demokritov, and V. E.\nDemidov, Controlled nonlinear magnetic damping in\nspin-Hall nano-devices. Nat. Commun. 10, 5211 (2019).\n[30] M. Mohseni, Q. Wang, B. Heinz, M. Kewenig, M. Schnei-\nder, F. Kohl, B. L agel, C. Dubs, A. V. Chumak, and P.\nPirro, Controlling the nonlinear relaxation of quantized\npropagating magnons in nanodevices. Phys. Rev. Lett.\n126, 097202 (2021).\n[31] Y.-P. Wang, G.-Q. Zhang, D. Zhang, T.-F. Li, C.-M. Hu,\nand J. Q. You, Bistability of cavity magnon polaritons.\nPhys. Rev. Lett. 120, 057202 (2018).\n[32] M. Elyasi, Y.-M. Blanter, and G. E. W. Bauer, Resources\nof nonlinear cavity magnonics for quantum information.\nPhys. Rev. B 101, 054402 (2020).\n[33] V. V. Konotop, J. Yang, and D. A. Zezyulin, Nonlinear\nwaves in PT-symmetric systems. Rev. Mod. Phys. 88,\n035002 (2016).6\n[34] H. Jaeger, and H. Haas, Harnessing nonlinearity: Pre-\ndicting chaotic systems and saving energy in wireless\ncommunication. Science 304, 78-80 (2004).\n[35] H. G. Craighead, Nanoelectromechanical systems. Sci-\nence290, 1532-1535 (2000).\n[36] P. Adhikari, M. Hafezi, and J. M. Taylor, Nonlinear\noptics quantum computing with circuit QED. Phys. Rev.\nLett.110, 060503 (2013).\n[37] J. Li, S. Y. Zhu, and G. S. Agarwal, Magnon-photon-\nphonon entanglement in cavity magnomechanics. Phys.\nRev. Lett. 121, 203601 (2018).\n[38] H. Y. Yuan, P. Yan, S. Zheng, Q. Y. He, K. Xia, and\nM. H. Yung, Steady Bell state generation via magnon-\nphoton coupling. Phys. Rev. Lett. 124, 053602 (2020).\n[39] D. Lachance-Quirion, S. P. Wolski, Y. Tabuchi, S. Kono,\nK. Usami, and Y. Nakamura, Entanglement-based single-\nshot detection of a single magnon with a superconducting\nqubit. Science 367, 425-428 (2020).\n[40] S. Ecker, P. Sohr, L. Bulla, M. Huber, M. Bohmann,\nand R. Ursin, Experimental single-copy entanglement\ndistillation. Phys. Rev. Lett. 127, 040506 (2021).\n[41] V. Scarani, H. Bechmann-Pasquinucci, N. J. Cerf, M.\nDusek, and P. Momtchil, The security of practical quan-\ntum key distribution. Rev. Mod. Phys. 81, 1301-1350\n(2009).\n[42] J. Ren et al. , Ground-to-satellite quantum teleportation.\nNature 549, 70-73 (2017).\n[43] Y. Li, W. Zhang, V. Tyberkevych, W.-K. Kwok, A. Ho\u000b-\nmann, and V. Novosad, Hybrid magnonics: Physics, cir-\ncuits, and applications for coherent information process-\ning. J. Appl. Phys. 128, 130902 (2020).\n[44] G. Gubbiotti, Three-dimensional magnonics . (Jenny\nStanford, Singapore, 2019).\n[45] F. Ciubotaru, T. Devolder, M. Manfrini, C. Adelmann,\nand I. P. Radu, All electrical propagating spin wave spec-\ntroscopy with broadband wavevector capability. Appl.\nPhys. Lett. 109, 012403 (2016).\n[46] See Supplemental Material for the SEM image of the\nCoFeB wire, the spin pumping signals measured at the\nright Pt electrode, S11re\rection spectra measured by\nVNA of bare YIG and YIG/CoFeB samples, theoreti-\ncal derivation and discussion, spin pumping signals un-\nder di\u000berent microwave power at the right Pt electrode,\nsingle-node mode in 80 nm and 40 nm YIG thin \flms,spin pumping measurements on control samples, power-\ndependent measurements.\n[47] Y. Tserkovnyak, A. Brataas, G. E. W. Bauer, and B. I.\nHalperin, Nonlocal magnetization dynamics in ferromag-\nnetic heterostructures. Rev. Mod. Phys. 77, 1375 (2005).\n[48] C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A.\nSerga, V. I. Vasyuchka, M. B. Jung\reisch, E. Saitoh, and\nB. Hillebrands, Spin pumping by parametrically excited\nexchange magnons. Phys. Rev. Lett. 106, 216601 (2011).\n[49] O. d'Allivy Kelly et al. , Inverse spin Hall e\u000bect in\nnanometer-thick yttrium iron garnet/Pt system. Appl.\nPhys. Lett. 103, 082408 (2013).\n[50] M. B. Jung\reisch, A. V. Chumak, A. Kehlberger, V.\nLauer, D. H. Kim, M. C. Onbasli, C. A. Ross, M. Kl aui,\nand B. Hillebrands, Thickness and power dependence\nof the spin-pumping e\u000bect in Y3Fe5O12/Pt heterostruc-\ntures measured by the inverse spin Hall e\u000bect. Phys. Rev.\nB91, 134407 (2015).\n[51] Y. Cheng, A. J. Lee, G. Wu, D. V. Pelekhov, P. C. Ham-\nmel, and F. Yang, Nonlocal uniform-mode ferromag-\nnetic resonance spin pumping. Nano Lett. 20, 7257-7262\n(2020).\n[52] J. Chen, C. Liu, T. Liu, Y. Xiao, K. Xia, G. E. W. Bauer,\nM. Wu, and H. Yu, Strong interlayer magnon-magnon\ncoupling in magnetic metal-insulator hybrid nanostruc-\ntures. Phys. Rev. Lett. 120, 217202 (2018).\n[53] J. Chen et al. , Excitation of unidirectional exchange spin\nwaves by a nanoscale magnetic grating. Phys. Rev. B\n100, 104427 (2019).\n[54] T. Yu, Y. M. Blanter, and G. E. W. Bauer, Chiral pump-\ning of spin waves. Phys. Rev. Lett. 123, 247202 (2019).\n[55] B. A. Kalinikos and A. N. Slavin, Theory of dipole-\nexchange spin wave spectrum for ferromagnetic \flms\nwith mixed exchange boundary conditions. J. Phys. C\n19, 7013 (1986).\n[56] G. Dieterle et al. , Coherent excitation of heterosymmet-\nric spin waves with ultrashort wavelengths. Phys. Rev.\nLett.122, 117202 (2019).\n[57] M. Wu, and A. Ho\u000bmann, Solid State Physics: Recent\nadvances in magnetic insulators { from spintronics to\nmicrowave applications , (Academic Press, Burlington,\n2013), Vol. 64, p. 161.\n[58] H. Schultheiss, K. Vogt, and B. Hillebrands, Direct obser-\nvation of nonlinear four-magnon scattering in spin-wave\nmicroconduits. Phys. Rev. B 86, 054414 (2012)." }, { "title": "1302.6697v1.Optimization_of_the_yttrium_iron_garnet_platinum_interface_for_spin_pumping_based_applications.pdf", "content": "arXiv:1302.6697v1 [cond-mat.mes-hall] 27 Feb 2013Optimization of the yttrium iron garnet/platinum interfac e for spin\npumping-based applications\nM. B. Jungfleisch,a)V. Lauer, R. Neb, A. V. Chumak, and B. Hillebrands\nFachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universit¨ at Kaiserslautern,\n67663 Kaiserslautern, Germany\n(Dated: 28 February 2013)\nThe dependence of the spin pumping efficiency and the spin mixing cond uctance on the surface processing\nof yttrium iron garnet (YIG) before the platinum (Pt) deposition ha s been investigated quantitatively. The\nferromagnetic resonance driven spin pumping injects a spin polarize d current into the Pt layer, which is\ntransformed into an electromotive force by the inverse spin Hall eff ect. Our experiments show that the spin\npumping effect indeed strongly depends on the YIG/Pt interface co ndition. We measure an enhancement of\nthe inverse spin Hall voltage and the spin mixing conductance of more than two orders of magnitude with\nimproved sample preparation.\nIn the last decades, there was rapidly increasing inter-\nest in the field ofspintronics. The promisingaim is to ex-\nploit the intrinsic spin of electrons to build efficient mag-\nnetic storage devices and computing units.1An emerg-\ning sub-field of spintronics is magnon spintronics, where\nmagnons, the quanta of spin waves(collective excitations\nofcoupledspinsinamagneticallyorderedsolid), areused\nto carry and process information.2Magnons pose a num-\nber of advantages to conventional spintronics. One of\nthem isthe realizationofinsulator-baseddeviceswith de-\ncreased energy consumption: Since spin-wave based spin\ncurrentsin insulatorsarenot accompaniedbychargecur-\nrents, parasitic heating due to the movement of electrons\ncan be excluded.3\nIn order to combine magnon spintronics and charge-\nbased electronics, it is necessary to create effective con-\nverters, which transform spin-wave spin currents into\nconventional charge currents. The combination of the\nspinpumping4,5andtheinversespinHalleffect(ISHE)6,7\nturned out to be an excellent candidate for this purpose.\nSpin pumping refers to the generation of spin polarized\nelectron currents in metals by the magnetization pre-\ncession in an adjacent ferromagnetic layer, whereas the\nISHE transforms this spin current into a conventional\ncharge current.\nIn the last years,hetero-structuresconsistentofamag-\nnetic insulator yttrium iron garnet (YIG) film and an\nadjacent platinum (Pt) layer2attracted considerable at-\ntention. Since YIG is an insulator with a band gap of\n2.85 eV8no direct transition of spin polarized electron\ncurrentsfrom the YIG intothe Ptlayerispossible. Thus,\nspin pumping is the only method applicable in these\nstructures to inject spin currents into the Pt layer. It\nhas been shown, that standing9as well as propagating10\nmagnonsin awide rangeofwavelengthsfromcentimeters\nto hundred nanometers11,12can be efficiently converted\ninto charge currents using a combination of spin pump-\ning and ISHE. Furthermore, the Pt thickness dependence\na)Electronic mail: jungfleisch@physik.uni-kl.deon the ISHE voltage from spin pumping13and non-linear\nspin pumping14have been investigated.\nSince spin pumping is an interface effect, it is of cru-\ncial importance to investigate how to control and ma-\nnipulate the YIG/Pt interface condition in order to ob-\ntain an optimal magnon to spin current conversion effi-\nciency. Recently, the influence of Ar+ion beam etching\non the spin pumping efficiency in YIG/Au structures was\ninvestigated.15It was shown, that the spin mixing con-\nductance determined by the Gilbert damping constant\ncan be increased by a factor of 5 using Ar+etching. Nev-\nertheless, there are no systematic, quantitative studies of\nthe influence of the YIG/Pt interface treatment on the\nISHE voltage from spin pumping up to now.\nIn this Letter, we present our results on the influence\nof processing of the YIG film surface before the Pt de-\nposition on the spin pumping efficiency. We measure\nthe ferromagnetic resonance (FMR) spectra using con-\nventional microwave techniques, as well as the inverse\nspin Hall voltage, which allows us to calculate the spin\npumping efficiency, defined as the ratio of the detected\nISHE charge current to the absorbed microwave power.\nOur experimental results clearly show a significant differ-\nence (up to factor of 150) between the different surface\ntreatments.\nA sketch of the experimental setup is shown in\nFig.1(a). The YIG samples of 2.1 µm and 4.1 µm thick-\nFIG. 1. (Color online) (a) Sketch of the sample and the setup\ngeometry. (b) Illustration of spin pumping and inverse spin\nHall effect. Details see text.2\nFIG. 2. (Color online) (a) ISHE voltage as a function of the ap plied magnetic field H. Magnetic field dependence of (b)\ntransmitted and reflected microwave power Ptrans,Prefland (c) absorbed microwave power Pabs. Applied microwave power\nPapplied= 10 mW, YIG thickness: 2.1 µm.\nness and a size of 3 ×4 mm2were grown by liquid phase\nepitaxy on both sides of 500 µm thick gadolinium gallium\ngarnet (GGG) substrates. Since the GGG substrate be-\ntween the two YIG layers is rather thick (500 µm), the\nsecond YIG layer has no influence on our studies.\nAfteraconventionalpre-cleaningstep, allsampleswere\ncleanedbyacetoneandisopropanolin anultrasonicbath.\nIn order to provide the same cleanliness of all samples,\nthe purity of each sample was monitored after this first\nstep. Afterwards, the following surface treatments have\nbeen applied:\n•Cleaning in “piranha” etch, a mixture of H 2SO4\nand H 2O2. This exothermic reaction at around\n120◦Cisstronglyoxidizingand, thus, removesmost\norganic matter. Among the used surface treat-\nments, “piranha” cleaning is the only one, which\nwas performed outside the molecular beam epitaxy\n(MBE) chamber. Thus, we cannot exclude, that\nthe samples are contaminated by microscopic dirt\nor a water film due to air exposure before the Pt\ndeposition.\n•Heating at 200◦C for 30 min in order to remove\nwater from the sample surfaces, performed in-situ.\n•Heating at 500◦C for 5 hours performed in-situin\norder to remove water from the sample surfaces. It\nmight be that for this method lattice misfits in the\nYIG crystal are annealed as well.16,17\n•In-situAr+plasma cleaning at energies of 50-100\neV for 10 min. SRIM simulations show that the\nusedenergiesarebelowthe thresholdfor sputtering\nand, thus, this cleaning method acts mechanically.\n•In-situO+/Ar+plasmacleaningatenergiesaround\n50-100eVfor10min. Inadditiontothemechanical\ncleaning effect, O+oxidizes organic matter.\nThe used surface processings are summarized in Tab. I.\nThey fulfill different requirements: First of all, the sam-\nplesarecleanedremovingmicroscopicparticles,(organic)\nmatter and water. In the case of the samples, which are\nheated, the crystalline YIG structure might be annealed\nas well. Another aspect of the used treatments mightbe the modification of the spin pinning condition (not\npart of the present studies; requires further deeper in-\nvestigations). After cleaning, the 10 nm thick Pt layer\nwas grown by MBE at a pressure of 5 ×10−8mbar and\na growth rate of 0.01 nm/s. It is important to note that\nthe Pt film was deposited on each of the samples of one\nset simultaneously, ensuring identical growth conditions.\nThe measurement of the spin pumping efficiency was\nperformed in the following way. The samples were mag-\nnetized in the film plane by an external magnet field H\n(seeFig. 1(a)). Themagnetizationprecessionwasexcited\nat a constant frequency of f= 6.8 GHz by applying mi-\ncrowave signals of power Pappliedto a 600 µm wide Cu\nmicrostrip antenna. The Pt layer and the microstrip an-\ntenna were electrically isolated by a silicon oxide layer of\n100µm thickness in order to avoid overcoupling of the\nYIG film with the antenna. While sweeping the exter-\nnal magnetic field the inverse spin Hall voltage UISHE\n(Fig.2(a)) as well as the microwave reflection and trans-\nmission (Fig. 2(b)) were recorded. The voltage UISHE\nwas measured across the edges of the Pt layer perpendic-\nular to the external magnetic field using a lock-in tech-\nnique. For this purpose the microwave amplitude was\nmodulated with a frequency of 500 Hz. Changing the\nexternal magnetic field to the opposite direction results\nin an inverted voltage proving the ISHE nature of the\nobserved signal.2,6,7The complicated absorption and re-\nflection spectra depicted in Fig. 2(b) are due to the in-\nterference of the electromagnetic signal in the microstrip\nline reflected from the YIG sample and the edges of the\nline.18This behavior does not influence our studies since\nwe further use only the maximum of UISHEto calcu-\nlate the spin pumping efficiency (see Fig. 2(c)). Know-\ning the applied microwave power Pappliedand measuring\nmicrowave reflection Prefland transmission Ptrans(see\nFig.2(b)) enables us to calculate the absorbed power\nasPabs=Papplied−(Ptrans+Prefl). The results are de-\npicted in Fig. 2(c).19At the FMR field HFMR≈170 mT,\nenergy is transferred most effectively into the magnetic\nsystem and, thus, the microwave absorption is maximal\n(see Fig. 2(c)). In resonance condition, the angle of pre-\ncession is maximal and spin currents are most efficiently\npumped from the YIG into the Pt layer (Fig. 1(b)) and\ntransformed into an electric current by the ISHE.2,7Sub-3\nsequently, we measure the maximal ISHE voltage UFMR\nISHE\natHFMR.\nThe dependence of UFMR\nISHEas a function of the applied\nmicrowave power Pappliedis shown in Fig. 3, left scale\n(illustrated is method 7, Tab. I, discussed below with a\nratherhighenhancementfactorof104). Theinterfaceop-\ntimization provides the possibility to observe UFMR\nISHEover\nwide range of applied powers Papplied. We find a linear\nrelationbetween UFMR\nISHEandPappliedoverthe wholepower\nregionofnearlyfourordersofmagnitude. TheISHEvolt-\nageUFMR\nISHEincreases from 100 nV (for Papplied≈100µW)\nto approximately 500 µV (forPapplied≈500 mW). On\nthe right scale in Fig. 3the absorbed microwave power\nPappliedis shown as function of the applied microwave\npowerPabs.Pabsdepends also linearly on Papplied.\nIn order to investigate the different cleaning methods\ndescribed above we measure the spectra for three differ-\nent microwave powers Pappliedof 1 mW, 10 mW and 100\nmW. We investigate three sets of samples (2 sets at 2.1\nµm, 1 set at 4.1 µm YIG thickness).\nInordertocomparethesamplesofonesetweintroduce\nthe spin pumping efficiency as\nη(Papplied,n) =UFMR\nISHE\nR·PFMR\nabs, (1)\nwherenis the index number of the sample (i. e. the\nindex number of the cleaning method, see Tab. I),UFMR\nISHE\nisthe maximalISHE voltagein resonance HFMR,Risthe\nelectric resistance of the Pt layer, Pappliedis the applied\nmicrowave power and PFMR\nabsis the absorbed microwave\npower at HFMR.\nFurther, we introduce the power and thickness inde-\npendent parameter ǫby normalizing the efficiency of the\nn-th sample to the first sample of each set n= 1, which\nunderwent only a simple cleaning process, as\nǫ(n) =η(n,Papplied)\nη(n= 1,Papplied). (2)\nTheǫ-parameter is a measure for the enhancement of\nthe spin pumping efficiency due to the surface treatment.\nFor each of them we calculate the ǫ-values: three mi-\ncrowave powers for each set. The general tendency is the\nsame for all series of measurements. According to the\nliterature21, the spin pumping efficiency should not de-\npendent on the YIG thickness for the used samples due\nto their large thicknesses. We observe an ISHE voltage\nfor the 4.1 µm set to be around 20 % of that of the 2.1\nµm sets, which we associate with a better quality of the\n2.1µm YIG film. Nevertheless, the general tendency of\nthe enhancement ǫ(n) due to the used surface treatments\nis rather independent on the film thickness: the absolute\nvalue ofthe ǫ-parameterfor the 4.1 µm set is 80% ofthat\nof the 2.1 µm sets. In order to obtain an easily compa-\nrable measure for the spin pumping efficiency, we further\nintroduce the mean value ¯ ǫof these ǫ-values. The stan-\ndard deviation is given by σ(¯ǫ) and is a measure for theFIG. 3. (Color online) Maximal inverse spin Hall effect in-\nduced voltage UFMR\nISHEand absorbed microwave power Pabsat\nFMR as a function of the applied microwave power Papplied.\nYIG thickness: 2.1 µm, cleaning method 7, “piranha” etch\nand heating at 500◦C.\nreliability of the specific surface treatments. The results\nare summarized in Tab. I.\nOur investigations of the YIG/Pt interface optimiza-\ntion on spin pumping show the following trend. The con-\nventional cleaning by acetone and isopropanol in an ul-\ntrasonicbath(sample1)achievestheworstresults(¯ ǫ= 1,\nUISHE= 270 nV for Papplied= 10 mW). Surface clean-\ning by “piranha” improves the efficiency by a factor of\n14, but this method is not reliable (standard deviation\nofσ(¯ǫ) = 131% is very large). Since this surface treat-\nment takes place outside the MBE chamber and since\nthe sample is in air contact after cleaning, the sample\nmight be contaminated again by water and possibly by\nmicroscopic dirt before the Pt deposition. Heating the\nsamples after “piranha” cleaning in the MBE chamber\nat 200◦C for 30 min (sample 3) removes mainly the wa-\nter film from the surface and results in a 64 times higher\nefficiency. However, this cleaning method also does not\nguarantee a high ISHE voltage, which is reflected in the\nhigh standard deviation. Using an Ar+plasma (method\n4) is more efficient (see Fig. 2) and particularly more re-\nliable. Method 4 acts mechanically and removes water\nas well as other dirt from the sample surface. The best\nresults (¯ǫ= 152) are obtained for the O+/Ar+plasma\n(sample 5). The additional advantage of this surface\ntreatment is the removal of organic matter. In order\nto check the reliability of the O+/Ar+plasma clean-\ning (method 5), we substituted the “piranha” cleaning\nby heating the sample: even without “piranha” etching,\nbut with heating at 200◦C and O+/Ar+plasma (method\n6), we achieve a considerable spin pumping efficiency of\n¯ǫ= 86. This is mainly attributed to the O+plasma. It is\nremarkablethat purely heating the sample at 500◦C for 5\nhours (method 7) results in a comparable high efficiency\nof ¯ǫ= 104. The additional reason might be, that the\ntemperature is sufficiently high to anneal crystal defects\nof the YIG samples.16,17\nIn order to determine the spin mixing conductance g↑↓\neff\nofoursamples, we performed FMR measurementson one\nof the YIG samples with a pronounced mode structure4\nsamplen process ¯ ǫ σ(¯ǫ) [%]g↑↓\neff(×1019m−2)\n1 simple cleaning 1 — 0.02\n2 piranha 14 131 0.32\n3 piranha + 200◦C 64 93 1.45\n4 piranha + Ar+79 54 1.79\n5 piranha + O+/Ar+152 61 3.43\n6 200◦C + O+/Ar+86 52 1.94\n7 piranha + 500◦C 104 40 2.35\nTABLE I. ¯ ǫis the calculated mean value of the ǫ-parameter,\nwhich is the thickness and power independent spin pumping\nefficiency (Eq. 2), σ(¯ǫ) is the standard deviation, spin mixing\nconductance g↑↓\neff.\n(method 7, with and without Pt layer on the top) using\na vector network analyzer.20The FMR linewidth ∆ H\nis related to the Gilbert damping parameter as15,21–23\nα=γ∆H/2ω, where γis the gyromagnetic ratio and\nω= 2πfis the microwave angular frequency. For the\nbare YIG sample we measure ∆ H0= 0.06 mT (corre-\nsponds to α0= 1.2×10−4at a frequency f= 6.8 GHz),\nwhereas for the Pt coveredYIG sample ∆ HPt= 0.16mT\n(corresponds to αPt= 3.3×10−4, respectively). Thus,\nwe obtain a change of the Gilbert damping constant\n∆α= 2.1×10−4. The spin mixing conductance g↑↓\neffis re-\nlatedtothechangeoftheGilbertdamping∆ α=αPt−α0\nas21–23\ng↑↓\neff=4πMSdF\ngµB∆α. (3)\nTakingMS= 140 kA/m and dF= 2.1µm into account\nwe obtain a spin mixing conductance of g↑↓\neff= 2.35×1019\nm−2for this particular sample. Since the spin mixing\nconductance g↑↓\neffis proportional to the spin pumping ef-\nficiency and, thus, consequently to the enhancement pa-\nrameter¯ǫ, wecancalculate g↑↓\nefffortheothersurfacetreat-\nments. The results are summarized in Tab. I.\nAs it is apparent from Tab. I, the spin mixing conduc-\ntance can be varied by treating the YIG surface before\nthe Pt deposition in the range of two orders of magni-\ntude. The largest value of the spin mixing conductance\nis obtained for a combined surface treatment by “pi-\nranha” etch and O+/Ar+plasma,g↑↓\neff= 3.43×1019m−2.\nThe obtained values for g↑↓\neffagree with the values re-\nported in the literature.15,23–25Our maximal spin mix-\ning conductance g↑↓\neff= 3.43×1019m−2is one order of\nmagnitude larger than the one reported in Refs.15,23for\nYIG/Au ( g↑↓\neff=5×1018m−2) and even three orders of\nmagnitude larger than the one estimated in Ref.2for\nYIG/Pt ( g↑↓\neff=3×1016m−2). On the other hand, our\nmaximal value is still one order of magnitude smaller\ncompared to the one reported in Ref.25for YIG/Pt\n(g↑↓\neff=4.8×1020m−2).\nIn conclusion, we have shown a strong dependence ofthe spin pumping effect on the interface condition of\nYIG/Ptbilayerstructures. We improvedthe ISHE signal\nstrengthbyafactorofmorethan150usingacombination\nof “piranha” etch and in-situO+/Ar+plasma treatment\nin comparison to standard ultrasonic cleaning. The com-\nbined cleaning by “piranha” etch and heating at 500◦C\nyields a comparable enhancement of the spin pumping\nefficiency (by a factor of 104). The spin mixing conduc-\ntances for the different surface treatments were calcu-\nlated. We find a maximal value of g↑↓\neff= 3.43×1019m−2.\nSince the voltage generated by the ISHE scales with the\nlength of the Pt electrode, optimal interface conditions\nare extremely essential for the utilization of spin pump-\ning and ISHE in micro-scaled devices. Our results are\nalso important for studies on the reversed effects: the\namplification26and excitation2of spin waves in YIG/Pt\nstructures by a combination of the direct spin Hall and\nthe spin-transfer torque effect.2,27\nWe thank E. Saitoh and K.Ando for helpful discus-\nsions. Financial support by Deutsche Forschungsgemein-\nschaft (CH 1037/1-1) and the Nano-Structuring Center,\nTU Kaiserslautern, for technical support, is gratefully\nacknowledged.\n1I.ˇZuti´ c, J. Fabian, and S. Das Sarma, Rev. Mod. Phys. 76, 323\n(2004).\n2Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi , H. Umezawa, H. Kawai, K. Ando, K. Takanashi,\nS. Maekawa, and E. Saitoh, Nature 464, 262 (2010).\n3A. A. Serga, A. V. Chumak, and B. Hillebrands, J. Phys. D:\nAppl. Phys. 43, 264002 (2010).\n4Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev.\nLett.88, 117601 (2002).\n5M. V. Costache, M. Sladkov, S. M. Watts, C. H. van der Waal,\nand B. J. van Wees, Phys. Rev. Lett. 97, 216603 (2006).\n6J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999).\n7E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys.\nLett.88, 182509 (2006).\n8X. Jia, K. Liu, K. Xia, and G.E.W. Bauer, Europhys. Lett. 96,\n17005 (2011).\n9M. B. Jungfleisch, A. V. Chumak, V. I. Vasyuchka, A. A. Serga,\nB.Obry, H.Schultheiss, P.A.Beck, A.D.Karenowska, E.Sait oh,\nand B. Hillebrands Appl. Phys. Lett. 99, 182512 (2011).\n10A. V. Chumak, A. A. Serga, M. B. Jungfleisch, R. Neb, D. A.\nBozhko, V. S. Tiberkevich, and B. Hillebrands, Appl. Phys. L ett.\n100, 082405 (2012).\n11C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A. Serga, V.\nI. Vasyuchka, M. B. Jungfleisch, E. Saitoh, and B. Hillebrand s,\nPhys. Rev. Lett. 106, 216601 (2011).\n12H. Kurebayashi, O. Dzyapko, V. E. Demidov, D. Fang, A. J.\nFerguson, and S. O. Demokritov, Appl. Phys. Lett. 99, 162502\n(2011).\n13V. Castel, N. Vlietstra, J. Ben Youssef, and B.J. van Wees, Ap pl.\nPhys. Lett. 101, 132414 (2006).\n14K. Ando, T. An, and E. Saitoh, Appl. Phys. Lett. 99, 092510\n(2011).\n15C. Burrowes, B. Heinrich, B. Kardasz, E.A. Montoya, E. Girt,\nYiyan Sun, Young-Yeal Song, and M. Wu Appl. Phys. Lett. 101,\n092403 (2012).\n16O.G. Ramer and C.H. Wilts, phys. stat. sol. (b) 79, 313 (1977).\n17Duk Yong Choi and Su Jin Chung, J. Cryst. Growth 191, 754\n(1998).\n18W. Barry, IEEE Trans. Microwave Theory Tech. MTT-34 , 1\n(1986).\n19In theUISHEsignal as well as in the microwave absorption spec-\ntra several modes are visible. They are slightly different fo r each5\nsample and are identified as higher width modes and perpendic -\nular standing thickness spin-wave modes. Since only the max i-\nmal voltage is used to determine the spin pumping efficiency, t he\nmode structures of the the different samples are of minor inte rest\nfor the present study.\n20S. S. Kalarickal, P. Krivosik, M. Wu, C. E. Patton, M. L. Schne i-\nder, P. Kabos, T. J. Silva, and J. P. Nibarger, J. Appl. Phys. 99,\n093909 (2006).\n21H. Nakayama, K .Ando, K. Harii, T. Yoshino, R. Takahashi,\nY. Kajiwara, K. Uchida, and Y.Fujikawa, and E. Saitoh, Phys.\nRev. B85, 144408 (2012).\n22O. Mosendz, J.E. Pearson, F.Y. Fradin, G.E.W. Bauer,\nS.D. Bader, and A. Hoffmann, Phys. Rev. Lett. 104, 046601\n(2010).\n23B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt,Young-Yeal Song, Yiyan Sun, and Mingzhong Wu, Phys. Rev.\nLett.107, 066604 (2011).\n24F.D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler, M. Altham-\nmer, I.-M. Imort, G. Reiss, A. Thomas, W. Schoch, W. Limmer,\nH. Huebl, R. Gross, and S.T.B. Goennenwein, Phys. Rev. Lett.\n107, 046601 (2011).\n25S.M. Rezende, R.L. Rodrguez-Su´ arez, M.M. Soares, L.H. Vil ela-\nLe˜ ao, D. Ley Dom´ ınguez, and A. Azevedo, Appl. Phys. Lett.\n102, 012402 (2013).\n26Z. Wang, Y. Sun, M. Wu, V. Tiberkevich, and A. Slavin, Phys.\nRev. Lett. 107, 146602 (2011).\n27J. C. Slonczewski, J. Magn. Magn. Mater. 159, L1 (1996), and\nL. Berger, Phys. Rev. B 54, 9353 (1996)." }, { "title": "2008.01416v1.Quantitative_comparison_of_magnon_transport_experiments_in_three_terminal_YIG_Pt_nanostructures_acquired_via_dc_and_ac_detection_techniques.pdf", "content": "Quantitative comparison of magnon transport experiments in three-terminal\nYIG/Pt nanostructures acquired via dc and ac detection techniques\nJ. G uckelhorn,1, 2,a)T. Wimmer,1, 2S. Gepr ags,1H. Huebl,1, 2, 3R. Gross,1, 2, 3and M. Althammer1, 2,b)\n1)Walther-Mei\u0019ner-Institut, Bayerische Akademie der Wissenschaften, 85748 Garching,\nGermany\n2)Physik-Department, Technische Universit at M unchen, 85748 Garching, Germany\n3)Munich Center for Quantum Science and Technology (MCQST), Schellingstr. 4, D-80799 M unchen,\nGermany\n(Dated: August 5, 2020)\nAll-electrical generation and detection of pure spin currents is a promising way towards controlling the di\u000busive\nmagnon transport in magnetically ordered insulators. We quantitatively compare two measurement schemes,\nwhich allow to measure the magnon spin transport in a three-terminal device based on a yttrium iron garnet\nthin \flm. We demonstrate that the dc charge current method based on the current reversal technique\nand the ac charge current method utilizing \frst and second harmonic lock-in detection can both e\u000eciently\ndistinguish between electrically and thermally injected magnons. In addition, both measurement schemes\nallow to investigate the modulation of magnon transport induced by an additional dc charge current applied\nto the center modulator strip. However, while at low modulator charge current both schemes yield identical\nresults, we \fnd clear di\u000berences above a certain threshold current. This di\u000berence originates from nonlinear\ne\u000bects of the modulator current on the magnon conductance.\nIn the \feld of spintronics, pure spin currents are\npromising for spin and information transport at low dis-\nsipation level. To this end, the e\u000ecient control of pure\nspin currents is an essential, but challenging task.1{4In\nmagnetically ordered insulators, spin currents are carried\nby magnons, the elementary excitations of the spin sys-\ntem. These magnonic spin currents lead to interesting\nnew device concepts for magnon-based information pro-\ncessing.5{8In this context, devices for magnon logic oper-\nations mainly focus on coherent magnon transport. For\ninstance, it has been shown that damping compensation\nvia spin transfer torque is an e\u000ecient method to optimize\ncoherent magnon propagation.9{12Furthermore, a logic\nmajority gate13and the \frst magnon transistor14using\nmagnonic crystals15have been implemented.\nRecently, incoherent, thermally excited magnons have\ngained increasing interest as information carriers for logic\noperations. In bilayer systems consisting of magnetically\nordered insulators (MOI) and heavy metals (HM) with\nstrong spin orbit coupling, it has been shown that in-\ncoherent magnons in the MOI can be excited electri-\ncally16{18as well as thermally16,19,20, which then can\nbe detected electrically in the HM utilizing the inverse\nspin Hall e\u000bect (SHE).21{23Moreover, devices based on\nnon-continuous HM electrodes have been used to show\nthat a superposition of di\u000busive magnon currents allow\nfor the realization of a majority gate.24Later on, simi-\nlar device concepts were used to demonstrate the manip-\nulation of magnon currents using a three-electrode ar-\nrangement in yttrium iron garnet (Y 3Fe5O12, YIG)/Pt\nbilayers.8,25{27In these experiments, a charge current is\napplied to a Pt strip (injector) injecting magnons into\na)janine.gueckelhorn@wmi.badw.de\nb)matthias.althammer@wmi.badw.dethe YIG via the SHE and Joule heating (see Fig. 1(a)).\nThese magnons are then electrically detected via the in-\nverse SHE as a voltage signal at a second Pt strip (de-\ntector). A charge current applied to a third Pt strip\n(modulator) placed between these two Pt strips allows to\nmanipulate the magnon transport from injector to detec-\ntor.8The e\u000bect of the modulator in these experiments can\nbe modeled as a change in the e\u000bective magnon conduc-\ntivity, which has to be distinguished from the expected\nchange in the magnon transport signature due to spin\nHall and spin Seebeck physics. In particular, the e\u000bective\nmagnon resistance changes in a nonlinear fashion with\nthe modulator current and shows a threshold behavior.\nTwo main measurement schemes have been used to ac-\ncess the magnon transport properties, which are based\non an ac8,16,25and a dc17,26,28stimulus applied to the\ninjector. Although it is not obvious whether or not these\ntechniques yield exactly the same result, a quantitative\ncomparison is still missing.\nIn this paper, we perform a quantitative comparison\nof the following measurement schemes: (i) a dc-detection\ntechnique utilizing the current reversal method29and\n(ii) an ac-readout technique based on lock-in detection.\nWe corroborate that both techniques are quantitatively\nequivalent in the regime where the magnon resistance is\nweakly a\u000bected by the modulator current. In the nonlin-\near regime we \fnd that the two techniques are qualita-\ntively di\u000berent which gives access to higher order terms\noriginating from the injector current.\nAs shown in Fig. 1(a), we investigate the magnon\ntransport using a three-terminal YIG/Pt nanostructure.8\nA charge current Iinjis applied to the Pt-injector, induc-\ning a magnon accumulation in the YIG \flm, both via the\nSHE generated spin accumulation and via Joule heating.\nThe magnons di\u000buse to the Pt-detector strip, where they\ninduce a voltage Vdetvia the inverse SHE. A dc chargearXiv:2008.01416v1 [cond-mat.mes-hall] 4 Aug 20202\n+\n-+\n-\nmodulator\ndetectorinjectorPt\nYIGw\n1w\n2\ndd\nw\n2\nM+\n-Vdet(a)\nz\nxyHIinj\nφIdcmod\n(c)(b)+Iinj\n-Iinj0\nIinjsin(ωt)\n-Iinjcos(2ωt)\nFigure 1. (a) Sketch of the sample con\fguration with the\nelectrical wiring scheme and the electrical connection scheme,\nand the coordinate system with the in-plane rotation angle\n'of the applied magnetic \feld \u00160H. (b), (c) Schematic de-\npendence of the detector voltage Vdetas a function of time\naccording to Eq. (1) for (b) the dc and (c) the ac technique.\n(b) For the dc technique, the current to the injector Iinjis\nstepwise varied from + Iinjto\u0000Iinjand vice versa. (c) For\nthe ac technique, Vdet\nacis shown for the \frst (red) and sec-\nond (blue) harmonic signal as well as for a constant o\u000bset\ndetector voltage (green). The black line corresponds to their\nsuperposition.\ncurrentImod\ndcapplied to along the Pt-modulator allows to\nmanipulate the magnon transport between injector and\ndetector via a SHE induced spin accumulation and Joule\nheating e\u000bects.\nFollowing previous works8,25,28,30, we express the de-\ntector voltage as\nVdet\u0000\nIinj;Imod\u0001\n=X\ni2finj;modg1X\nj=1Ri-det\nj\u0000\nImod\u0001\n\u0001\u0002\nIi\u0003j:\n(1)\nHere,Ri-det\nj\u0000\nImod\u0001\nare the transport coe\u000ecients describ-\ning the conversion process at the YIG/Pt interface and\nthe transport in the YIG layer. Note that we only ac-\ncount for changes in Ri\njviaImod. This assumption is\nonly valid for small injector currents.8,25\nFor the dc-detection technique, we utilize an advanced\ncurrent reversal scheme. We apply a dc charge current\nsequence + Iinj;0;\u0000Iinjto the injector, while a constant\ncharge current Imod\ndc is applied to the modulator, and\nmeasure for each con\fguration the voltage Vdet\ndcat the\ndetector, as sketched in Fig. 1(b). From these measure-\nments, we can then de\fne\nVSHE\ndc=1\n2\u0002\nVdet\ndc\u0000\nIinj;Imod\ndc\u0001\n\u0000Vdet\ndc\u0000\n\u0000Iinj;Imod\ndc\u0001\u0003\n=Rinj-det\n1\u0000\nImod\u0001\nIinj+Rinj-det\n3\u0000\nImod\u0001\nIinj3+:::(2)\nas the voltage due to the SHE induced magnons trans-\nported from the injector to the detector assuming an odd\nsymmetry with respect to Iinj. In similar fashion, we de-\n\fne\nVtherm\ndc =1\n2\u0002\nVdet\ndc\u0000\nIinj;Imod\ndc\u0001\n+Vdet\ndc\u0000\n\u0000Iinj;Imod\ndc\u0001\n\u00002Vdet\ndc\u0000\n0;Imod\ndc\u0001\u0003\n=Rinj-det\n2\u0000\nImod\u0001\nIinj2+:::(3)as the voltage due to the thermally injected magnons\nassuming an even symmetry with respect to Iinj. This\nelaborate scheme allows us to disentangle the dc detec-\ntor voltages generated by ImodandIinj. Thus,VSHE\ndc\nandVtherm\ndc only contain contributions from Imodvia the\ntransport coe\u000ecients Rinj-det\nj\u0000\nImod\u0001\n.\nIn case of the ac-readout technique, we simultaneously\napply an ac charge current Iinj\nac(t) =Iinjsin(!t) to the in-\njector and a constant dc charge current Imod\ndcto the mod-\nulator and record the \frst and second harmonic signal of\nVdet\nacvia lock-in detection (compare Fig. 1(c)). For the\n\frst harmonic signal V1!\nacand a time interval T\u001d1=!\nwe obtain:\nV1!=2\nTZT\n0sin(!t)Vdet\nac\u0000\nIinj\nac(t);Imod\ndc\u0001\ndt\n=Rinj-det\n1\u0000\nImod\u0001\nIinj+3\n4Rinj-det\n3\u0000\nImod\u0001\nIinj3+:::(4)\nwhich corresponds to the SHE induced magnon transport\nsignal. For the second harmonic signal V2!\nacwe obtain:\nV2!\nac=\u00002\nTZT\n0cos(2!t)Vdet\nac\u0000\nIinj\nac(t);Imod\ndc\u0001\ndt\n=1\n2Rinj-det\n2\u0000\nImod\u0001\nIinj2+:::(5)\nwhich corresponds to the thermally generated magnons\nvia Joule heating in the injector. When measuring V2!\nac\none has to account for the \u000090\u000ephase shift of the signal\nwith respect to the reference signal. Due to the lock-in\ntechnique, the \frst and second harmonic signal only con-\ntain contributions from the magnon transport between\ninjector and detector.\nIf we now compare VSHE\ndc withV1!\nac, we see that these\ntwo quantities should be identical if Rinj-det\nj = 0 forj\u00152.\nThus, a quantitative comparison of VSHE\ndc andV1!\nacen-\nables us to obtain information on higher order SHE con-\ntributions. In contrast, the ratio V2!\nac=Vtherm\ndc is constant\nand yields 1 =2 if only transport coe\u000ecients up to the\n\ffth order ( j\u00145) contribute. To con\frm this model\nconjecture, we conducted magnon transport experiments\nin YIG/Pt heterostructures.\nFor the experiment comparing the dc- and ac-detection\ntechniques, we use a peak value of Iinj= 100 µA and in\nthe case of lock-in detection a low frequency (7 :737 Hz)\nmodulation of the ac charge current. The device consists\nof 5 nm thick Pt strips with an edge-to-edge distance of\nd= 200 nm and a modulator width of w1= 500 nm on\na 11:4 nm thick YIG \flm (see supplemental information\nfor growth details). The injector and the detector have a\nwidth ofw2= 500 nm and a length of l2= 50 µm, while\nthe length of the modulator is l1= 64 µm.\nTo characterize the magnon transport in our device,\nwe plot the voltage signals VSHE\ndc,Vtherm\ndc ,V1!\nac,V2!\nac\nas a function of the magnetic \feld orientation '(cf.\nFig. 1(a)) measured with a \fxed magnetic \feld strength\nof\u00160H= 50 mT at T= 280 K for various positive3\n(b)ac\nAacAac\n(d)(a)dc\n(c)A1ω(-µ0H)A1ω(+µ0H) ASHE(-µ0H) AdcASHE(+µ0H) Adc\nAtherm(+µ0H) AdcAtherm(-µ0H) Adc\nAacA2ω(+µ0H)AacA2ω(-µ0H)\nFigure 2. Detector signals (a) VSHE\ndc, (b)V1!\nac, (c)Vtherm\ndc , (d)\nV2!\nacplotted versus the magnetic \feld orientation with con-\nstant magnitude \u00160H= 50 mT for various positive modulator\ncurrentsImod\ndc. ForImod\ndc>0, the magnon transport signal is\nsigni\fcantly increased at '=\u0006180\u000eand reduced at '= 0\u000e.\nFor the SHE induced magnon transport signals the (a) dc de-\ntector signal VSHE\ndc and (b) the \frst harmonic signal of the ac\nmeasurement technique V1!\nacare in perfect agreement. While\nthe angle dependence of the thermal signals (c) Vtherm\ndc and\n(d)V2!\nacis in good agreement, their absolute amplitude values\nstrongly di\u000ber. The voltage amplitudes ASHE\ndc,A1!\nac,Atherm\ndc ,\nA2!\nacare extracted from the angle dependence of the detector\nsignals as shown by the vertical arrows.\nmodulator currents Imod\ndc. We \frst focus on VSHE\ndc and\nV1!\nacin Fig. 2(a) and (b). For Imod\ndc = 0 (black data\npoints), we observe the distinctive cos2'modulation for\nmagnon transport between the injector and detector for\nboth measurement techniques with minima in VSHE\ndc and\nV1!\nacforHk\u0006^y('=\u0000180\u000e;0\u000e;180\u000e), corresponding\nto maxima in magnon transport between injector and\ndetector.16,17ForImod\ndc>0, the magnon transport sig-\nnal is signi\fcantly increased at '=\u0006180\u000eforVSHE\ndc as\nwell asV1!\nac. This enhancement can be explained as an\nincrease in magnon conductivity due to a magnon accu-\nmulation underneath the modulator caused by the SHE\ninduced magnon chemical potential and thermally gen-\nerated magnons due to Joule heating. This increase in\nmagnon conductivity leads to a larger magnon transport\nsignal at the detector and thus larger negative voltage\nin both measurement schemes. At '= 0\u000e, we obtain a\ndecreased magnon transport signal for VSHE\ndc as well as\nV1!\nac. This originates from the magnon depletion caused\nby the annihilation of magnons via the SHE. However,\nthis depletion is counterbalanced by the thermally in-\njected magnons arising due to Joule heating of the mod-\nulator strip. Comparing the dc and ac case, not just\nthe angle dependence is equivalent, but also the voltage\namplitudes VSHE\ndc andV1!\nacare in agreement with the pre-\ndictions from our detector voltage model. Separate mea-\nsurements on an additional sample yield identical results\n(see supplementary material).\ncurrent density (1011 A/m²)\n(a)\n(b)\n(c)Figure 3. Extracted amplitudes (a) ASHE\ndcand (b)A1!\nacfor\n\u00160H= 60 mT (as indicated in Fig. 2) of the SHE injected\nmagnon transport signal versus the dc charge current Imod\ndc.\nThe curves and signal amplitudes show similar behavior for\n(a) the dc and (b) the ac scheme. The black dashed line is a \ft\nindicating the Imod\ndc+Imod\ndc2dependence in the low bias regime\n(jImod\ndcj\u00140:55 mA). (c) Ratio of the extracted amplitudes\nASHE\ndcandA1!\nac. ForImod\ndc\u00140:55 mA, the ratio shows a nearly\nconstant behavior ( A1!\nac=ASHE\ndc'0:98). For higher modulator\ncurrent values, the ratio clearly deviates from 0.98.\nWe now discuss the angle-dependent data obtained\nfrom the thermal signals Vtherm\ndc andV2!\nac. In Fig. 2(c)\nand (d) we plot the angle-dependent thermal voltage sig-\nnals for the dc- and ac-detection technique for positive\nImod\ndc, respectively. For Imod\ndc= 0 the measurements of\nthe thermally induced magnons show the characteristic\ncos'modulation in agreement with previous work.16For\nImod\ndc>0, we observe a signi\fcant increase of the detector\nsignalsVtherm\ndc andV2!\nacat'=\u0006180\u000eand a decrease at\n'= 0\u000eas already reported in Ref. 25. For Imod\ndc= 900 µA\nand 1000 µA, this di\u000berence is signi\fcantly increased. We\nattribute this enhancement and decrease of the signal to\nthe same mechanisms as in the case for the SHE driven\nmagnon transport ( VSHE\ndc andV1!\nac). At'=\u0006180\u000e, the\nmagnon conductance underneath the modulator is in-\ncreased by the SHE and thermally injected magnons via\nImod\ndc. At'= 0\u000e, the magnon depletion underneath the\nmodulator is counterbalanced by the thermally injected\nmagnons and only a small reduction in the signal ampli-\ntude is observed. Comparing dc and ac con\fguration, we\nobserve that the thermally induced signals Vtherm\ndc and\nV2!\nacstrongly di\u000ber in their absolute amplitude values, as\nexpected from our model.\nFor a more elaborate quantitative comparison of the\ndetected voltages in dc and ac measurements, we extract\nthe signal amplitudes ASHE\ndc(\u0006\u00160H) andA1!\nac(\u0006\u00160H)\nof the angle-dependent measurements, as indicated in\nFig. 2, and plot them as a function of Imod\ndcfor a mag-4\nnetic \feld magnitude of \u00160H= 60 mT in Fig. 3. We\nnote that we use ASHE\ndcandA1!\nacin our analysis instead\nofVSHE\ndc andV1!\nac, since at'= 90\u000ethe voltage mea-\nsured is close to 0 leading to signi\fcant contributions of\nnoise. At \frst glance, the curves and the signal ampli-\ntudes show similar behavior for the dc (Fig. 3(a)) and\nac (Fig. 3(b)) con\fguration. As reported in Refs. 8 and\n25, the signal amplitudes can be modeled by a superpo-\nsition of a linear (SHE) and quadratic (Joule heating)\ndependence in the low bias regime ( jImod\ndcj\u00140:55 mA).\nTo illustrate this, we plot this linear and quadratic de-\npendence as a black dashed line in Fig. 3(a) and (b).\nThe \ft well reproduces the measured data points in the\nlow bias regime. For larger currents ( Imod\ndc>0:55 mA)\nwe observe a pronounced deviation from this behavior.\nThis enhancement in magnon conductance is in agree-\nment with our previous work, which we attribute to a\nzero e\u000bective damping state via SHE induced damping-\nlike spin-orbit torque underneath the modulator.25To\nshow that the extracted amplitudes as a function of\nthe modulator current Imod\ndcfor the dc con\fguration is\nin accordance with the ac measurement technique, the\nratioA1!\nac=ASHE\ndc is plotted in Fig. 3(c). In the low\nand negative bias regime ( Imod\ndc\u00140:55 mA) the ratio\nis nearly constant with A1!\nac=ASHE\ndc'0:98. This value\nis close to 1, which our model predicts for only linear\ne\u000bects with Rinj-det\nj\u0000\nImod\ndc\u0001\n= 0 forj\u00152. However,\nforImod\ndc>0:55 mA the ratio exhibits a clear devia-\ntion from 1. Following the arguments of our theoretical\nmodel, this deviation indicates that for Imod\ndc>0:55 mA\nRinj-det\nj\u0000\nImod\ndc\u0001\n6= 0 (forj\u00152), i.e. a deviation from the\nlinearIinjdependence. We attribute this to a new regime\nestablished via the damping compensation underneath\nthe modulator, re\recting a typical threshold behavior of\nnonlinear e\u000bects.28For negative \feld polarity we extract\na similar dependence of the ratio A1!\nac=ASHE\ndcjust with a\nthreshold for negative Imod\ndc(see supplementary material\nfor analysis with varying \u00160H).\nIn similar fashion, we extract the amplitudes\nAtherm\ndc (\u0006\u00160H) andA2!\nac(\u0006\u00160H) of the thermally injected\nmagnons as a function of Imod\ndcfor the same magnetic \feld\nmagnitude of \u00160H= 60 mT. The results are shown in\nFig. 4(a) and (b) for the dc and ac con\fguration, re-\nspectively. The qualitative dependence on Imod\ndcis iden-\ntical forAtherm\ndc andA2!\nacfor all current ranges. In agree-\nment with previous reports25, we \fnd a signi\fcant kink\ninAtherm\ndc andA2!\nacabove a certain critical current value.\nTo account for the di\u000berences of the absolute ampli-\ntude values, we calculate the ratio A2!\nac=Atherm\ndc , shown\nin Fig. 4(c). The ratio is nearly constant over the whole\nmodulator current range and has a value of 0.5 within the\nexperimental error for all measured magnetic \feld mag-\nnitudes (see supplemental information for other \u00160H).\nThe small deviation, most notably in the negative bias\nregime, may be explained by the low thermal signal am-\nplitude in our devices (yielding a worse signal-to-noise\nratio) and di\u000berences in thermal landscape due to a dif-\ncurrent density (1011 A/m²)\n(a)\n(b)\n(c)Figure 4. Extracted amplitudes (a) Atherm\ndc and (b)A2!\nacfor\n\u00160H= 60 mT (as indicated in Fig. 2) of the thermally in-\njected magnon transport signal for the dc and the ac scheme\nversus the dc charge current Imod\ndc. (c) Ratio of the extracted\namplitudes for the ac and dc con\fguration. Over the whole\nmodulator current range the ratio shows a nearly constant\nbehavior (A2!\nac=Atherm\ndc'0:5).\nference in the average applied heating power for ac and\ndc measurements. Nevertheless, the thermally generated\nsignals nicely agree with our simple model of the detector\nvoltage signal. However, the quantitative comparison of\nthe thermal signal is not suitable to detect higher order\ncontributions.\nIn summary, we compared two measurement tech-\nniques, both allowing for an all-electrical generation and\ndetection of pure spin currents in MOI/HM heterostruc-\ntures. On the one hand, we employ a dc-detection\ntechnique, where we utilized a modi\fed current reversal\nmethod to take into account the modulator in a three-\nterminal nanostructure and to di\u000berentiate between SHE\nand thermally injected magnons arriving from the injec-\ntor at the detector. On the other hand, we used an ac-\nreadout technique, where lock-in detection of the \frst and\nsecond harmonic signal is utilized to distinguish between\nthese two magnon contributions. We demonstrate that\nthe dc and ac technique are both well suited to investi-\ngate incoherent magnon transport in these three-terminal\nstructures. In addition, our results show that below a\ncriticalImod\ndcthe detector voltage has contributions lin-\near and quadratic in Iinj. This especially manifests itself\nas a full quantitative agreement between VSHE\ndc andV1!\nac,\nwhich allows to compare results obtained with di\u000berent\ntechniques with higher con\fdence. For large modulator\ncurrents, deviations are observed, indicating a contribu-\ntion of higher order in Iinjto the detector voltage. This\nsheds new light onto this nonlinear contributions appear-\ning above a certain threshold value (corresponding to the\ndamping compensation regime in our previous work).255\nSUPPLEMENTARY MATERIAL\nSee supplementary material for details on the fabrica-\ntion process and the measurement techniques, separate\nmeasurements of an additional sample investigating the\nSHE injected magnons, angle-dependent measurements\nof the presented sample for negative \fled polarity, a study\nof the \feld dependence of the extracted amplitudes of\nthe electrically and thermally induced magnons for the\ndc- and the ac-detection technique and an investigation\nof the third harmonic voltage signal.\nACKNOWLEDGMENTS\nWe gratefully acknowledge \fnancial support from the\nDeutsche Forschungsgemeinschaft (DFG, German Re-\nsearch Foundation) under Germany's Excellence Strat-\negy { EXC-2111 { 390814868 and project AL2110/2-1.\nDATA AVAILABILITY\nThe data that support the \fndings of this study are\navailable from the corresponding author upon reasonable\nrequest.\nREFERENCES\n1J. Ahopelto, G. Ardila, L. Baldi, F. Balestra, D. Belot, G. Fagas,\nS. D. Gendt, D. Demarchi, M. Fernandez-Bola~ nos, D. Holden,\nA. Ionescu, G. Meneghesso, A. Mocuta, M. Pfe\u000ber, R. Popp,\nE. Sangiorgi, and C. S. Torres, \\NanoElectronics roadmap for\neurope: From nanodevices and innovative materials to system\nintegration,\" Solid-State Electronics 155, 7{19 (2019).\n2R. Jansen, \\Silicon spintronics,\" Nature Materials 11, 400{408\n(2012).\n3A. Ho\u000bmann, \\Pure spin-currents,\" physica status solidi (c) 4,\n4236{4241 (2007).\n4M. Coll, J. Fontcuberta, M. Althammer, M. Bibes, H. Boschker,\nA. Calleja, G. Cheng, M. Cuoco, R. Dittmann, B. Dkhil, I. E.\nBaggari], M. Fanciulli, I. Fina, E. Fortunato, C. Frontera, S. Fu-\njita, V. Garcia, S. Goennenwein, C.-G. Granqvist, J. Grol-\nlier, R. Gross, A. Hagfeldt, G. Herranz, K. Hono, E. Houw-\nman, M. Huijben, A. Kalaboukhov, D. Keeble, G. Koster,\nL. Kourkoutis, J. Levy, M. Lira-Cantu, J. MacManus-Driscoll,\nJ. Mannhart, R. Martins, S. Menzel, T. Mikolajick, M. Napari,\nM. Nguyen, G. Niklasson, C. Paillard, S. Panigrahi, G. Rijn-\nders, F. Snchez, P. Sanchis, S. Sanna, D. Schlom, U. Schroeder,\nK. Shen, A. Siemon, M. Spreitzer, H. Sukegawa, R. Tamayo,\nJ. [van den Brink], N. Pryds, and F. M. Granozio, \\Towards\noxide electronics: a roadmap,\" Applied Surface Science 482, 1\n{ 93 (2019), roadmap for Oxide Electronics, pushed forward by\nthe TO-BE EU Cost action.\n5A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands,\n\\Magnon spintronics,\" Nature Physics 11, 453{461 (2015).\n6A. V. Chumak, A. A. Serga, and B. Hillebrands, \\Magnonic crys-\ntals for data processing,\" Journal of Physics D: Applied Physics\n50, 244001 (2017).\n7K. Nakata, P. Simon, and D. Loss, \\Spin currents and magnon\ndynamics in insulating magnets,\" Journal of Physics D: Applied\nPhysics 50, 114004 (2017).8L. J. Cornelissen, J. Liu, B. J. van Wees, and R. A. Duine, \\Spin-\ncurrent-controlled modulation of the magnon spin conductance\nin a three-terminal magnon transistor,\" Physical Review Letters\n120, 097702 (2018).\n9K. An, D. R. Birt, C.-F. Pai, K. Olsson, D. C. Ralph, R. A.\nBuhrman, and X. Li, \\Control of propagating spin waves via\nspin transfer torque in a metallic bilayer waveguide,\" Phys. Rev.\nB89, 140405 (2014).\n10O. Gladii, M. Collet, K. Garcia-Hernandez, C. Cheng, S. Xavier,\nP. Bortolotti, V. Cros, Y. Henry, J.-V. Kim, A. Anane, and\nM. Bailleul, \\Spin wave ampli\fcation using the spin hall e\u000bect\nin permalloy/platinum bilayers,\" Applied Physics Letters 108,\n202407 (2016).\n11V. E. Demidov, S. Urazhdin, E. R. J. Edwards, M. D. Stiles, R. D.\nMcMichael, and S. O. Demokritov, \\Control of magnetic \ructu-\nations by spin current,\" Phys. Rev. Lett. 107, 107204 (2011).\n12V. E. Demidov, S. Urazhdin, A. B. Rinkevich, G. Reiss, and S. O.\nDemokritov, \\Spin hall controlled magnonic microwaveguides,\"\nApplied Physics Letters 104, 152402 (2014).\n13T. Fischer, M. Kewenig, D. A. Bozhko, A. A. Serga, I. I.\nSyvorotka, F. Ciubotaru, C. Adelmann, B. Hillebrands, and\nA. V. Chumak, \\Experimental prototype of a spin-wave major-\nity gate,\" Applied Physics Letters 110, 152401 (2017).\n14A. V. Chumak, A. A. Serga, and B. Hillebrands, \\Magnon tran-\nsistor for all-magnon data processing,\" Nature Communications\n5, 1{8 (2014).\n15M. Krawczyk and D. Grundler, \\Review and prospects of\nmagnonic crystals and devices with reprogrammable band struc-\nture,\" Journal of Physics: Condensed Matter 26, 123202 (2014).\n16L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and B. J.\nvan Wees, \\Long-distance transport of magnon spin information\nin a magnetic insulator at room temperature,\" Nature Physics\n11, 1022{1026 (2015).\n17S. T. B. Goennenwein, R. Schlitz, M. Pernpeintner, K. Ganzhorn,\nM. Althammer, R. Gross, and H. Huebl, \\Non-local magnetore-\nsistance in yig/pt nanostructures,\" Applied Physics Letters 107,\n172405 (2015).\n18S. V\u0013 elez, A. Bedoya-Pinto, W. Yan, L. E. Hueso, and\nF. Casanova, \\Competing e\u000bects at pt/yig interfaces: Spin hall\nmagnetoresistance, magnon excitations, and magnetic frustra-\ntion,\" Physical Review B 94, 174405 (2016).\n19B. L. Giles, Z. Yang, J. S. Jamison, and R. C. Myers, \\Long-\nrange pure magnon spin di\u000busion observed in a nonlocal spin-\nseebeck geometry,\" Physical Review B 92, 224415 (2015).\n20J. Shan, L. J. Cornelissen, N. Vlietstra, J. Ben Youssef,\nT. Kuschel, R. A. Duine, and B. J. van Wees, \\In\ruence of\nyttrium iron garnet thickness and heater opacity on the nonlocal\ntransport of electrically and thermally excited magnons,\" Phys.\nRev. B 94, 174437 (2016).\n21J. E. Hirsch, \\Spin hall e\u000bect,\" Physical Review Letters 83, 1834{\n1837 (1999).\n22M. I. Dyakonov and V. I. Perel, \\Possibility of orienting electron\nspins with current,\" Journal of Experimental and Theoretical\nPhysics Letters 13(1971).\n23M. Althammer, \\Pure spin currents in magnetically ordered in-\nsulator/normal metal heterostructures,\" Journal of Physics D:\nApplied Physics 51, 313001 (2018).\n24K. Ganzhorn, S. Klingler, T. Wimmer, S. Gepr ags, R. Gross,\nH. Huebl, and S. T. B. Goennenwein, \\Magnon-based logic in a\nmulti-terminal YIG/pt nanostructure,\" Applied Physics Letters\n109, 022405 (2016).\n25T. Wimmer, M. Althammer, L. Liensberger, N. Vlietstra,\nS. Gepr ags, M. Weiler, R. Gross, and H. Huebl, \\Spin trans-\nport in a magnetic insulator with zero e\u000bective damping,\" Phys.\nRev. Lett. 123, 257201 (2019).\n26J. Cramer, L. Baldrati, A. Ross, M. Vafaee, R. Lebrun, and\nM. Kl aui, \\Impact of electromagnetic \felds and heat on spin\ntransport signals in y 3fe5o12,\" Phys. Rev. B 100, 094439 (2019).\n27K. S. Das, F. Feringa, M. Middelkamp, B. J. van Wees, and\nI. J. Vera-Marun, \\Modulation of magnon spin transport in a6\nmagnetic gate transistor,\" Phys. Rev. B 101, 054436 (2020).\n28N. Thiery, A. Draveny, V. V. Naletov, L. Vila, J. P. Attan\u0013 e,\nC. Beign\u0013 e, G. de Loubens, M. Viret, N. Beaulieu, J. Ben Youssef,\nV. E. Demidov, S. O. Demokritov, A. N. Slavin, V. S. Tiberke-\nvich, A. Anane, P. Bortolotti, V. Cros, and O. Klein, \\Nonlinear\nspin conductance of yttrium iron garnet thin \flms driven by large\nspin-orbit torque,\" Physical Review B 97, 060409 (2018).\n29M. Schreier, N. Roschewsky, E. Dobler, S. Meyer, H. Huebl,\nR. Gross, and S. T. B. Goennenwein, \\Current heating inducedspin seebeck e\u000bect,\" Applied Physics Letters 103, 242404 (2013).\n30L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A. Duine,\nand B. J. van Wees, \\Magnon spin transport driven by the\nmagnon chemical potential in a magnetic insulator,\" Physical\nReview B 94, 014412 (2016)." }, { "title": "2403.06274v1.Spin_Waves_and_Spin_Currents_in_Magnon_Phonon_Composite_Resonator_Induced_by_Acoustic_Waves_of_Various_Polarizations.pdf", "content": "Spin Waves and Spin Currents in Magnon -Phonon Composite \nResonator Induced by Acoustic Waves of Various Polarizations \nS.G. Alekseeva,*, N.I. Polzikovaa, V.A . Luzanovb, S.A.Nikitova \na Kotelnikov Institute of Radioengineering and Electronics RAS \nMokhovaya Str. 11, Build. 7, Moscow 125009 Russian Federation \nb Fryazino branch Kotelnikov Institute of Radioengineering and Electronics RAS \nVvedenskogo Squar. 1, Fryazino, Moscow region 141190 Russian Federation \n*E-mail: alekseev@cplire.ru \nAbstract. In this work, we present the results of a systematic experimental \nstudy of linear and parametric spin wave resonant excitation accompanied by spin \ncurrents (spin pumping) in a multifrequency composite bulk acoustic wave \nresonator with a ZnO -YIG-GGG -YIG/Pt structure. The features of magnetic \ndynamics excitation in YIG films due to magnetoelastic coupling with acoustic \nthickness modes of various polarizations are studied. Acoustic spin waves and spin \npumping are detected by simultaneous frequency -field mappin g of the inverse spin \nHall effect voltage and the resonant frequencies of thickness extensional modes. In \nthe parametric range of frequencies and fields, acoustic spin pumping induced by \nboth shear and longitudinal polarization modes was observed. Linear a coustic spin \nwaves are excited only by shear thickness extensional modes because longitudinal \nacoustic waves do not couple with the magnetic subsystem in linear regime . \nKeywords: magnetoel astic interaction; spin waves; spin pumping, bulk acoustic \nwaves; resonator; YIG; ZnO, HBAR . \nIntroduction \nMagnon -phonon interactions determine the fundamental properties of \nmagnetic materials and structures, such as relaxation processes, and are also of practical interest, for example, for low -energy consumption for spin waves (SW) \nand spin currents excitation [1 -5]. In composite heterostructures containing \npiezoelectric and ferro(ferri)magnetic layers, the excitation of so -called acoustic \nSW (ASW) and acoustic spin pumping (ASP) occurs due to a combi nation of \nmagnetoelasticity and piezoelectric effect in various layers, not necessarily in \ndirect contact. To generate ASW, both surface acoustic waves (AW) excited by \ninterdigitated transducers [1, 4 -9] and volume AW, in particular, microwave modes \nin com posite High overtone Bulk Acoustic wave Resonator (HBAR) [3, 10, 11] are \nused. Currently, HBARs, along with surface AW resonators, have proven to be in \ngreat demand as sources of coherent phonons for fundamental and applied research \n[12-15]. \nIn our previou s works, we studied phenomena associated with the interaction \nof coherent AW and SW in hybrid magnon -phonon HBARs with a layered \nstructure: piezoelectric (ZnO) – ferrimagnetic (yttrium iron garnet - YIG) – \ndielectric substrate (gallium gadolinium garnet - GGG) – ferrimagnetic (YIG) – Pt \n(Fig.1) [16 -21]. In the structure involved, shear bulk acoustic thickness modes of \nhigh harmonics were excited using piezoelectric transducers in the gigahertz \nfrequency range. A self -consistent theory was developed to descr ibe \nmagnetoelastic phenomena in such structures [16 -18]. The acoustic excitation of \nboth linear [16 -19] and parametric ASWs [20, 21] and their electrical detection via \nthe effect of spin pumping and the inverse spin Hall effect (ISHE) were \ntheoretically pr oved and experimentally demonstrated. \nFig. 1. Schematic of hybrid HBAR \n \nIn this work, we present the experimental study of linear and parametric \nASWs excitation and the features of the spin pumping they create in the hybrid \nmagnon -phonon HBAR due to thickness acoustic modes of various polarizations: \ntransverse (shear) and longitudinal. As in our previous works, we use the method \nof acoustic resonator spectroscopy [22] in combination with the method of \nelectrical detection of ISHE voltage. In particula r, the frequency -field ( f,H) \ndependences of the microwave signal complex reflection coefficient S11(f,H) from \nthe transducer electrodes and the constant voltage UISHE(f,H) on a platinum strip \nare studied. \n \n \n1. Methods \nExperimental hybrid HBARs (see Fig. 1) are fabricated based on ready -\nmade structures consisting of a 500 μm thick (111) -oriented GGG substrate ( 3) and \na 30 μm thick epitaxial YIG films ( 2), (4). The YIG films were doped with La and \nGa. Piezoelectric transd ucer composed of ZnO film ( 1) sandwiched between two \nthin-film aluminum electrodes was deposited on one side of the structure by rf \nmagnetron sputtering. The top and the bottom electrodes were patterned by \nphotolithography and had an overlap with the apert ure a = 170 μm. The Pt film ( 5) \nwas deposited onto the free film ( 4) and formed as a stripe. The HBAR technology \nand design are described in more detail in [18 -20, 22]. \n Electrical excitation and detection of bulk AWs of different polarizations \noccur due to the direct and reverse piezoelectric effect in a ZnO film with an \ninclined 𝑐⃗- axis [22, 23]. Depending on the magnitude of the applied magnetic \nfield, ADSW excitation in YIG films due to magnetoelastic interaction takes place \neither at HBAR frequencies fn (linear regime) or at half frequencies fn/2 (parametric \nregime) when the threshold power is exceeded. The spin current from YIG into Pt \n𝑗⃗𝑠, [24] created by ADSW, is converted into conductivity current by ISHE [25]. \nThis results in a consta nt voltage detected at the ends of a platinum thin film strip \n𝑈ISHE =−𝑎′(𝐸⃗⃗ISHE ∙𝑦⃗), 𝐸⃗⃗ISHE ∝−(𝑗⃗𝑠×𝑧⃗). (1) \nHere 𝐸⃗⃗ISHE is an electrostatic field, 𝑎′≈𝑎 is the length of the region in the y \ndirection in which ADSW excitation takes place. \nFig. 2. Frequency dependence of the microwave reflection coefficient modulus \n|S11(f)| in the absence of a magnetic field. The inset shows an enlarged fragment. \nThe dips in the frequency response correspond to the resonant frequencies of the \nthickness modes of shear AW (S) and longitudinal AW (L); the intermodal \ndistance of longitudinal modes is approximately twice as large as that of shear \nmodes. \n \n2. Results and discussion \nFigure 2 shows the frequency dependen ce of reflection coefficient modulus \n|S11(f)| of microwave signal from the piezoelectric transducer electrodes in the \nabsence of a magnetic field. All the experiments were conducted at fixed power \nlevel 9 mW. To study the excitation features of ASW and ASP from acoustic \nmodes of different polarizations, the frequency range corresponding to the inset in \nFig. 2 was selected. In this range the transducer excites both longitudinal (L) and \nshear (S) modes with the same efficiency. We denote the frequencies of these \nmodes as flL and fsS, where l and s are the overtone numbers of the thickness modes \nof the corresponding polarizations. Further studies are carried out in a tangential \nmagnetic field H in the range (0 – 450 Oe). As will be shown below, this field \nrange contains both linear and parametric regi mes of the ASW excitation [20]. \n \nFig. 3. Frequency dependencies of | S11(f)| (a) and voltage UISHE(f) on Pt (b) at \nseveral magnetic fields. Curves: 1 – 60 Oe, 2 – 181 Oe, 3 – 238 Oe, 4 – 327 Oe, 5 \n– 352 Oe. \n \nFigure 3a shows the frequency dependences of the reflection coefficient \n(Fig. 3a) and the ISHE voltage UISHE (Fig. 3b) measured simultaneously at several \nmagnetic fields. The measurements were carried out in a narrow frequency range, \nincluding closely located one longitudinal and one transverse AW modes (see inset \nin Fig. 2). \nAs one can see from Fig. 3 a, the resonant frequency for the longitudinal \nmode flL(H) (1923.6 MHz at H=0) changes slightly with the field increase. The \nresonant frequency of the transverse mode fsS(H) (1923.3 MHz at Н=0) remains \npractically unchanged in weak fields and experiences a shift in the fields Н > 200 \nOe. The shift increases as the field approaches the ferromagnetic resonance (FMR) \nregion. Assuming fsS ≈ fFMR, where the FMR frequency is related to the magnetic \nfield by the Kittel formula \nfFMR = γ[H(H +4πM0)]½ , (2) \nwe find that HFMR ≈ 384 Oe. Here, γ =2.8 MHz/Oe, M0 - effective saturation \nmagnetization. For doped YIG we use the value 4 πM0 = 845 Oe, established for an \nidentical structure in [20]. \nThe change in the positions of voltage maxima UISHE(f) upon excitation of \nthe transverse mode demonstrates similar behavior in the fields H > 200 Oe, but \nsignificantly more diverse behavior at lower fields. Figure 3b clearly shows that \nthe UISHE maximum splits into two. At the same time on the characteristic s |S11(f)| \nin Fig. 3a there is a mild feature: a minimum located at 80 kHz higher from the \nmain one and corresponding to the splitted UISHE maxima mentioned above. \n \nFig. 4. Frequency -field dependence of the voltage UISHE(f,H) (a). The dots show \nthe minimums of the S11 reflectance. The field dependences of the SW spectra \nfrequency limits (b). \n \nFor detailed comparison of the behavior |S11(f, H)| and UISHE(f,H) let us \npresent them on the same graph. For this, the 3D color map UISHE(f,H) (Fig. 4.a) is \nbest suited, on which the minima | S11(f, H)|, (dots) are superimposed . Also let us \nconsider the magnetic field dependencies mentioned above in accordance with the \ncalculated dependences of the SW spectra frequency limits f = fH(H) = γH and f = \nfFMR(H) shown in Fig. 4 b. The horizontal lines mark the frequency fp=1.1923 GHz \n≈ fs,lS,L, and its sub -harmonics fp /2 and fp /4. The critical fields marked in Fig. 4b \nare found from the relations \nНFMR = [(2fp /γ)2+(4πM0)2]½/2-2πM0 = 384 Oe , Hc1 = fp /(2γ) = 343 Oe, \n(3) \nHc = [(fp /γ)2+(4πM0)2]½/2-2πM0 = 121 Oe, Hc2 = fp /(4γ) = 171.5 Oe. \nThe linear excitation of ADSW results in the signal of UISHE(f, H) in the \nvicinity of the HFMR field. Additional non -resonant (i.e. frequency independent) \ncontributions to the UISHE signal (Fig. 4 a) and to the decrease in the overall level of \n|S11(f)| at H ≈ HFMR are associated with inductive excitation of magnetic dynamics \ndirectly by the transduc er electrodes. Such mixed inductive and acoustic \nexcitations, as well as the possibility of completely acoustic excitation of SW, were \ndiscussed in detail in [19]. \nThe excitation of any parametric SW is possible if H < Hc1 = fp /(2γ). \nTherefore, in the field range Hc1 < H < HFMR ≈ HMER, only linear excitation of \nADSW is possible due to the magnon – transverse phonon coupling. Here the field \nHMER is the field of magnetoelastic resonance, at which synchronism between SW \nand AW occurs, HMER(f)=HFMR+Hex, where Hex ~ 3 – 5 Oe is the field of \ninhomogeneous exchange [18]. It can be seen that in the linear field region there is a direct match between the voltage maxima position and the main resonant \nfrequency of the shear mode. \nIt can be noted also that both transverse AW modes induce voltage UISHE in \nthe parametric region ( H < Hc1), and the signal maximum is located in the reg ion \nHc < H < Hc2. The field Hc corresponds to the creation process of two parametric \nmagnons with frequency fp/2 and zero momentum, and Hc2 corresponds to the \nupper limit on H for the possible decays of parametric magnons with the frequency \nfp/2 into two secondary parametric ones at a frequency fp/4. A more detailed \ndiscussion of the critical fields given in (3) see [19]. \nLet's consider the case of longitudinal mode. As can be seen from Fig. 4 a, \nthe longitudinal AW mode does not affect UISHE in the linear regime, and in the \nparametric one its influence is limited by the fields H < Hc =121Oe. Note that a \nsmall UISHE signal is also detected in fields 130 < H < 280 Oe, but at excitation \nfrequencies that do not correspond to either the L or S HBAR modes. This is \nclearly visible, for example, from a comparison of curves 2 in Fig. 3a and Fig. 3b. \nThe reason for this response is not yet clear. Note that parametric spin pumping \ninduced by bot h S and L modes at frequencies of about 2.4 GHz was also observed \nin [19]. \nNote that the presence of additional resonant frequencies in the HBAR \nspectrum is due to locality of the elastic oscillations excitation. The excitation \nregion in the structure pla ne is determined by the transducer aperture with diameter \na. Strictly speaking, these oscillations will propagate not only under the transducer, \nas shown in Fig. 1, but also outside it, carrying energy away from the excitation \nregion in the form of plate L amb modes [26, 27]. The highest resonator quality \nfactor is achieved when the so -called trapped -energy regime is realized. Namely, at \na certain ratio of frequencies and geometric dimensions, there are no conditions \noutside the transducer region for propaga ting modes. In this case, the elastic energy remains localized in the transducer region with an energy distribution decreasing \nexponentially with distance from the electrode edge. In this region fundamentally \ntrapped -energy high overtone thickness modes ar e quasi -uniform in plane. In \naddition to fundamental modes, one or more lateral standing modes may be exited. \nThese modes, which are also trapped -energy, are located higher in frequency from \nthe fundamental ones and are usually called spurious resonance [2 6]. \nIn our case, at least a small spurious S -mode is observed near the main one \nat a frequency of 1923.3 MHz. It can be noted that the depths of the | S11| dips for \nthe main and the spurious modes differ several times (Fig. 3a). However, the \nheights of the corresponding resonant peaks on the UISHE are comparable (Fig. 3 b, \nFig. 4). Such inconsistency can be explained as follows. The ISHE voltage \naccording to (1) depends on the EISHE field magnitude, which is obviously greater \nfor the fundamental mode. As for the length of the spin pumping region a', it turns \nout to be larger for the spurious mode compared to the main one, for which a' = a, \nsince spurious mod e is less localized near the electrode boundaries. In this way, \npartial compensation occurs for the acoustic energy attributable to the non -\nfundamental mode. \nConclusion \nThe electroacoustic excitation of magnetic dynamics in YIG films in a \nmagnon -phonon bulk acoustic resonator has been studied. The regimes of linear \nand parametric spin waves and spin currents excitation due to thickness extensional \nmodes with various polarizations have been studied. In the linear regime, spin \ndynamics in the YIG f ilms is excited only by transverse modes (both fundamental \nand spurious thickness overtones). In the parametric regime (in lower magnetic \nfields), the spin dynamics in YIG films is excited by acoustic modes of various \npolarizations (both transverse and lon gitudinal). \nThe authors declare no conflicts of interest. Funding \nThis work was carried out in the framework of the State task \"Spintronics -\n2\". \nList of References \n1. P. Delsing, A.N. Cleland, M. J. A. Schuetz , et al. J. Phys. D: Appl. Phys. \n52 (35), 353001 (2019). doi.org/10.1088/1361 -6463/ab1b04 \n2. D. A. Bozhko, V. I. Vasyuchka, A. V. Chumak, and A. A. Serga. \nLow Temp. Phys. 46, 383 -399 (2020); doi.org/10.1063/10.0000872 \n3. P. Cherepov, K. Amiri, J. G. Alzate, K. Wong, M. Lewis, P. Upadhyaya, \nJ. Nath, M. Bao, A. Bur, T. Wu, G. P. Ca rman, A. Khitun, and K. L. Wang. \nAppl. Phys. Lett. 104 (8), 082403 (2014) doi.org/10.1063/1.4865916 . \n4. W.-G. Yang , and H. Schmidt. Appl. Phys. Rev. 8 (2), 021304 (2021) \ndoi.org/10.1063/5.0042138 \n5. Y. Li, Ch. Zhao, W. Zhang, A. Hoffmann, and V. Novosad . App. Phys. Lett. \nMater. 9 (6), 060902 (2021). doi: 10.1063/5.0047054 \n6. M. Weiler, H. Huebl, F. S. Goerg, F. D. Czeschka, R. Gross, and S. T. B. \nGoennenwein. Phys. Rev. Lett. 108 (17), 176601 (2012). \ndoi.org/10.1103/PhysRevLett.108.176601 \n7. P. Kuszewski , J.-Y. Duquesne, A. Lemaître, F. Margaillan, C. Gourdon, and \nL. Thevenard. Phys. Rev. B. 101 (14), 144425 (2020) \ndoi.org/10.1103/PhysRevB.101.144425 \n8. Y. Hwang, J. Puebla, M. Xu, A. Laga rrigue, K. Kondou, and Y. Otani. \nAppl. Phys. Lett. 116 (25), 252404 (2020) doi.org/10.1063/5.0011799 \n9. Y. Hwang, J. Puebla, K. Kondou, C. Gonzalez -Ballestero, H. Isshiki , C. S. \nMuñoz, L. Liao, Fa Chen, W. Luo, S. Maekawa, and Y. Otani . \nPhys. Rev. Lett. 132 (5), 056704 (2024) \ndoi.org/10.1103/PhysRevLett.132.056704 10. P. Chowdhury, A . Jander, and P. Dhagat. IEEE Magn. Lett. 8, 3108204 \n(2017) doi:10.1109/LMAG.2017.2737962 \n11. T.C. Fung , A.D. Karenowska, and J.F. Gregg. Mater. Quantum. Technol. \n1(1), 011003 (2021) doi:10.1088/2633 -4356/abd016 \n12. V.J. Gokh ale, B.P. Downey, D.S. Katzer, N. Nepal, A.C. Lang, \nR.M. Stroud, and D.J. Meyer. Nature Commun. 11, 2314 (2020) \ndoi:10.1038/s41467 -020-15472 -w \n13. T. Daugey, J. -M. Friedt, G. Martin, and R. Boudot. Rev. Sci. \nInstruments. 86 (11), 114703 (2015) doi:10.1063/1.4935172 \n14. H. Tian, J. Liu , B. Dong, J.C. Skehan, M. Zervas., T.J. Kippenberg, and \nS.A. Bhave. Nature Commun. 11, 3073 (2020) doi:10.1038/s41467 -020-16812 -6. \n15. B. P. Sorokin, N. O. Asafiev, G. M. Kvashnin, D. A. Scherbakov, S. A. \nTerentiev, and V. D. Blank. Appl. Phys. Lett. 118 (8), 083501 (2021) \ndoi.org/10.1063/5.0038867 \n16. N. I. Polzikova, A.O. Raevskii, and A.S. Goremykima . J. Commun. \nTechnol. Electron. 58 (1), 87 –94 (2013) doi.org/10.1134/S1064226912120066 \n17. N. Polzikova, S. Alekseev, I. Kotelyanskii, A. Raevskiy, and Y. Fetisov. \nJ. Appl. Phys. 113 (17), 17C704 (2013) doi.org/10.1063/1.4793774 \n18. N.I. Polzikova , S.G. Alekseev, V.A. Luzanov, and A.O. Raevskiy. \nJ. Magn. Magn. Mater. 479, 38-42 (2019) \n19. S. Alekseev, N. Polzikova , and V. Luzanov . Acoustics. 5 (1), 268 -279 \n(2023) doi.org/10.3390/acoustics5010016 20. S.G. Alekseev, S.E. Dizhur, N.I. Polzikova, V.A. L uzanov , A.O. Raevskiy, \nA.P. Orlov, V.A. Kotov, and S .A. Nikitov. Appl. Phys. Lett. 117 (7), 072408 \n(2020) doi.org/10.1063/5.0022267 \n21. N.I. Polzikova, S. G. Alekseev, and A.O. Raevskii. J. Commun. Technol. \nElectron. 66 (11), 1296 -1301 (2021) doi.org/10.1134/S1064226921110061 \n22. S. G. Alekseev, V. A. Luzanov , and N.I. Polzikova. J. Commun. Technol. \nElectron. 2020, Vol. 65 (11). 1339 –1344 (2020) \ndoi.org/10.1134 /S1064226920110017 \n23. V.A. Luzanov, S.G. Alekseev, and N. I. Polzikova. J. Commun. Technol. \nElectron. 63 (9), 1076 –1079 (2018) doi.org/10.1134/S106422691809012723 \n24. Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer. Phys. Rev. Lett. 88 (11), \n117601 (2002) doi.org/10.1103/PhysRevLett.88.117601 \n25. E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara. Appl. Phys. Lett. 88 (18), \n182509 (2006) doi.org/10.1063/1.2199473 \n26. W. Shockley, D.R. Curran, and D. J. Koneval. J. Acoust. Soc. Amer. 41 \n(4B), 981 –993 (1967) doi:10.1121/1.1910453 \n27. Y.V .Gulyaev, G.D. Mansfel’d, N.I. Polzikova, S.G. Alekseev, and A.O. \nRaevskii J. Commun. Technol. Electron. 52 (11), 1293 –1299 (2007). \nhttps://doi.org/10.1134/S1064226907110149 \n " }, { "title": "2107.06531v1.Frequency_fluctuations_of_ferromagnetic_resonances_at_milliKelvin_temperatures.pdf", "content": "Frequency fluctuations of ferromagnetic resonances at milliKelvin\ntemperatures\nTim Wolz,1Luke McLellan,2Andre Schneider,1Alexander Stehli,1Jan David Brehm,1Hannes Rotzinger,1, 3\nAlexey V. Ustinov,1, 4, 5and Martin Weides2\n1)Institute of Physics, Karlsruhe Institute of Technology, 76131 Karlsruhe, Germany\n2)James Watt School of Engineering, Electronics & Nanoscale Engineering Division, University of Glasgow, Glasgow G12 8QQ,\nUnited Kingdom\n3)Institute for Quantum Materials and Technologies, Karlsruhe Institute of Technology, 76131 Karlsruhe,\nGermany\n4)National University of Science and Technology MISIS, 119049 Moscow, Russia\n5)Russian Quantum Center, 143025 Skolkovo, Moscow, Russia\n(*Electronic mail: martin.weides@glasgow.ac.uk)\n(Dated: 15 July 2021)\nUnwanted fluctuations over time, in short, noise, are detrimental to device performance, especially for quantum coher-\nent circuits. Recent efforts have demonstrated routes to utilizing magnon systems for quantum technologies, which are\nbased on interfacing single magnons to superconducting qubits. However, the coupling of several components often\nintroduces additional noise to the system, degrading its coherence. Researching the temporal behavior can help to iden-\ntify the underlying noise sources, which is a vital step in increasing coherence times and the hybrid device performance.\nYet, the frequency noise of the ferromagnetic resonance (FMR) has so far been unexplored. Here, we investigate such\nFMR frequency fluctuations of a YIG sphere down to mK-temperatures, and find them independent of temperature\nand drive power. This suggests that the measured frequency noise in YIG is dominated by so far undetermined noise\nsources, which properties are not consistent with the conventional model of two-level systems, despite their effect on\nthe sample linewidth. Moreover, the functional form of the FMR frequency noise power spectral density (PSD) cannot\nbe described by a simple power law. By employing time-series analysis, we find a closed function for the PSD that\nfits our observations. Our results underline the necessity of coherence improvements to magnon systems for useful\napplications in quantum magnonics.\nFluctuations of the resonance frequency and other forms\nof noise can drastically hamper the performance of sensors,\namplifiers, and information processing circuits. This is ac-\ncurate at room temperature but particularly crucial for quan-\ntum devices, where environmental noise leads to decoher-\nence. With the recent coupling of single magnons to su-\nperconducting qubits1–3and resonators4–6, research on hy-\nbrid quantum magnonics7–9has emerged. There, the goal\nis a combination of quantum computing’s exponential speed-\nup with magnonics’10,11low-loss devices. First demonstra-\ntions of magnonic devices are, for example, a magnon based\ntransistor12or a majority gate13, combining OR and AND\nlogic. Moreover, with a radio frequency-to-light conversion\nbased on magnons14–16, a possible direction towards a quan-\ntum internet exists, but also requires a coupling of several\nquantum systems. Such a coupling often gives rise to addi-\ntional loss channels and increased noise, which along with\nthe short coherence times of magnons presents a major ob-\nstacle in quantum magnonics17. Yet, the influence and origin\nof magnonic noise is still largely an open question. Predom-\ninantly, phase noise has been considered in magnetic tunnel\njunction oscillators18,19, the amplitude noise in a magnonic\nwaveguide20at room temperature, and theoretically the mag-\nnetization noise of spins21–23, for instance. Frequency fluc-\ntuations of the most basic magnon mode, the ferromagnetic\nresonance (FMR), however, have eluded attention.\nHere, we experimentally observe such FMR frequency fluc-\ntuations with a focus on an yttrium-iron-garnet (YIG) sphere\nat mK temperatures and show that time-series analysis canyield additional information, especially when the noise fre-\nquency dependence of the fluctuations cannot be described by\na simple power law. After an introduction to the measure-\nment setup and the spectroscopic characterization of the YIG\nsample, we briefly recapitulate the concept of the power spec-\ntral density (PSD). Then, the results of the frequency noise\nmeasurements are presented and analyzed. After which, we\ncompare the results to room temperature data and a different\nmaterial, lithium ferrite (LiFe).\nOur experimental setup (Fig. 1 (a)) consists of a vector net-\nwork analyzer (VNA) connected to the different magnetic me-\ndia via a strip-line in a notch-type configuration. For the\nmK temperature measurements, the sample, a YIG sphere\nwith diameter d=0:2mm, is mounted in a solenoid coil in-\nside a dilution refrigerator. A VNA offers a straight forward\nprocedure for frequency noise measurements. Sweeping the\nprobe frequency allows for a characterization of the sample\nvia its Si j(w)-matrix element, from which we extract the FMR\nlinewidth. Then, to measure frequency fluctuations, we em-\nploy the continuous wave mode of the VNA with probe fre-\nquency wp. Here, we record a time trace of the sample’s\nfrequency response at one single point close to resonance\n(wp\u0019wr). Fluctuations in the phase arg S21can then be con-\nverted to resonance frequency fluctuations via the slope in\nthe linear region of arg S21(w), see Fig. 1 (b) for a schematic\noverview and Supplementary Information A 1 for more de-\ntails. All measurements are performed and evaluated with the\nopen-source measurement suite qkit28.\nWe start with the spectroscopic characterization of our sam-arXiv:2107.06531v1 [cond-mat.mes-hall] 14 Jul 20212\nFrequency sweep\n Circle fit\n Linewidth\nContinous wave mode\nPhase / frequency \nconversion\nPeriodograms\n PSD\nYIG4K\n50mKHEMT(a) (b)\n10dB 10dB 20dB\n10 dB\n200mK\nFIG. 1. Experimental setup, measurement schemes, and sample characterization at mK temperatures. (a) The magnetic medium, a YIG sphere,\nis mounted over a micro strip line and placed inside a solenoid coil in a dilution refrigerator. The steady-state response is measured via a vector\nnetwork analyzer. (b) The measurement schemes show how linewidth data is extracted from frequency sweeps, whereas the continuous wave\nmode allows for an estimation of the frequency noise power spectral density (PSD). (c,d) Amplitude jS21jand phase arg S21response of the\nferromagnetic resonance, shown for input power at the sample of P=\u000090dBm and temperature T=50mK (background corrected). Solid\norange lines denote a circle fit24, which is used to determine the FMR linewidth. Linear region of the phase response yields the conversion\nfrom phase fluctuation to frequency fluctuations. (e) Internal linewidth extracted from circle fits shows a temperature and power dependence\nthat was previously attributed to loss into a bath of two-level systems25–27.\nple at mK temperatures. The FMR is tuned to wr=2p=\n6:11GHz, corresponding to an external field \u00160H\u00190:21T,\nwhere the sample is fully magnetized. Figures 1 (c,d) show\nthe amplitude and phase of the background corrected com-\nplex S21frequency response. A circle fit24returns the inter-\nnal linewidth (HWHM) ki=wr=(2Qi), with Qias internal Q-\nfactor. Varying power and temperature, we find a linewidth\ndependence that decreases with increasing power Pand tem-\nperature Tin accordance to previous reports, which attributed\nthis effect to energy loss into a bath of two-level systems\n(TLS)25–27(see Fig. 1 (e)). Increasing temperature and power\neliminates the loss channels into the TLS bath by equalizing\nthe occupation numbers of excited and unexcited states of the\nTLS bath. In the standard tunneling model, this linewidth de-\npendence is given by29\nkTLSµtanh (¯hwr=kBT)p\n1+P=Pc: (1)\nPcdenotes the critical drive power, at which the Rabi drive\nrate exceeds the coherence of the TLS. For our sample Pccan\nbe found in the range of \u000080dBm pin the AR process, the coefficient\nap, equaling the order of the AR process also represents the\nPACF value at lag p. This means one has to start with order\np=1, take the measured r1, and calculate a1(which equals\nr1) for the first value in the PACF. Then pneeds to iteratively\nbe increased and the procedure repeated. If an AR process is\napplicable the PACF will drop to zero after the first pvalues.\nThe white noise part can be considered as zero order of the\nAR process and can also be incorporated into the Yule Walker\nequations as\nr0=p\nå\ni=1airi+s2=1: (A3)\nHence, after the aiare determined, the variance of the Gaus-\nsian white noise process s2can be estimated. For the numeri-\ncal time-series analysis in this work, we employed the python\nstatsmodel46package.\n4. PACF dependence on sampling rate\nWe showed the time series analysis for a post averaged sam-\npling rate of 8 Hz in the main text. The sampling rate was\nchosen such that the steep decay in the PSD is still captured\nbut the influence of the 1 =fHEMT noise and the periodicsignals, mainly 50 Hz current oscillations, are averaged out.\nNow, we consider different sampling rates below 8 Hz. Fig-\nure 6 (a) shows the PACF for the first four lags depending on\nthe sampling rate. We see that the third order becomes negli-\ngent below 2 Hz, where also the steep decay is averaged away.\nThe PACF value at lag n=2 remains for even lower sampling\nrates, likely because of the slight curvature in the PSD leading\nto the knee at 1 Hz. Reducing the sampling rate even lower,\nthe PSD becomes a simple Lorentzian and hence only the\nPACF at lag n=1 is of importance. Values at higher lags are\nwithin the grey shaded region denoting the 95 % confidence\ninterval and are hence not significant anymore. Figure 6 (b)\nemphasizes this point by showing the PACF for several lag\nvalues at the lowest evaluated sampling rate.\n5. Room temperature characterization\nFigure 7 (a) shows the dispersion relation of the Kittel mode\nand its gradient (b) for LiFe at room temperature. Goryachev\net al. observed a minimum in the dispersion due to a mode\nsoftening42. There the resonance frequency is first-order in-\nsensitive to fluctuations in the external field. For our sample,\nthe FMR dispersion exhibits a flat region over roughly 15 mT.\nDue to the high linewidth, k\u001917MHz (HWHM) and there-\nfore the small slope of the phase response, frequency noise of\nLiFe could not be observed at low temperature. It was masked\nby the HEMT phase noise. We note that the linewidth at this\nminimum is higher than in the linear region ( k\u001913MHz) and\nalso that the sample is not fully magnetized.\n/uni00000014/uni00000013/uni00000014\n/uni00000014/uni00000013/uni00000013/uni00000014/uni00000013/uni00000014\n/uni00000036/uni00000044/uni00000050/uni00000053/uni0000004f/uni0000004c/uni00000051/uni0000004a/uni00000003/uni00000055/uni00000044/uni00000057/uni00000048/uni00000003/uni0000000b/uni0000002b/uni0000005d/uni0000000c/uni00000013/uni00000011/uni00000018\n/uni00000013/uni00000011/uni00000013/uni00000013/uni00000011/uni00000018/uni00000014/uni00000011/uni00000013/uni00000033/uni00000044/uni00000055/uni00000057/uni0000004c/uni00000044/uni0000004f/uni00000003/uni00000024/uni00000026/uni00000029\n/uni0000000b/uni00000044/uni0000000c\nn=1\nn=2n=3\nn=4\n/uni00000013 /uni00000014/uni00000013\n/uni0000002f/uni00000044/uni0000004a/uni00000003n\n/uni0000000b/uni00000045/uni0000000c\n/uni00000036/uni00000044/uni00000050/uni00000053/uni0000004f/uni0000004c/uni00000051/uni0000004a/uni00000003/uni00000055/uni00000044/uni00000057/uni00000048\n/uni00000013/uni00000011/uni00000013/uni00000016/uni00000016/uni00000003/uni0000002b/uni0000005d\nFIG. 6. Dependence of the partial autocorrelation (PACF) on the\npost-processing sample rate. (a) PACF for lag n=1 to 4. (b) PACF\nat lowest sampling rate for different lags. Only first lag shows a value\nsignificantly different from zero, as depicted by the grey region, the\n95 % confidence interval.10\n/uni00000014/uni00000017/uni00000013 /uni00000014/uni00000019/uni00000013 /uni00000014/uni0000001b/uni00000013/uni00000017/uni00000011/uni00000019/uni00000017/uni00000011/uni0000001b/uni00000018/uni00000011/uni00000013/uni00000018/uni00000011/uni00000015/uni00000018/uni00000011/uni00000017/uni00000029/uni00000055/uni00000048/uni00000054/uni00000058/uni00000048/uni00000051/uni00000046/uni0000005c/uni00000003/uni0000000b/uni0000002a/uni0000002b/uni0000005d/uni0000000c/uni00000025/uni0000004c/uni00000044/uni00000056/uni00000003/uni00000053/uni00000052/uni0000004c/uni00000051/uni00000057/uni0000000b/uni00000044/uni0000000c\n/uni00000014/uni00000017/uni00000013 /uni00000014/uni00000019/uni00000013 /uni00000014/uni0000001b/uni00000013\n/uni00000028/uni0000005b/uni00000057/uni00000048/uni00000055/uni00000051/uni00000044/uni0000004f/uni00000003/uni00000049/uni0000004c/uni00000048/uni0000004f/uni00000047/uni00000003/uni0000000b/uni00000050/uni00000037/uni0000000c/uni00000017\n/uni00000015\n/uni00000013/uni00000015/uni00000017/uni0000002a/uni00000055/uni00000044/uni00000047/uni0000004c/uni00000048/uni00000051/uni00000057/uni00000003/uni0000000b/uni0000002a/uni0000002b/uni0000005d/uni00000012/uni00000037/uni0000000c\n/uni0000000b/uni00000045/uni0000000c\n/uni00000013/uni00000011/uni00000019/uni00000016 /uni00000014/uni00000011/uni00000014/uni00000017 |S21|\nFIG. 7. Dispersion relation and gradient of LiFe. (a) A flat region\nbetween 150 mT and 165 mT is visible in the dispersion relation, at-\ntributed to a mode softening42and making the ferromagnetic reso-\nnance less susceptible to field fluctuations. The arrow indicates the\nbias point at which the fluctuation measurements were performed.\nValues higher than one in the Smatrix element are due to the back-\nground correction in combination with an impedance mismatch in the\nsystem. (b) Gradient of the dispersion spectrum, numerically calcu-\nlated. Points are the extracted FMR frequencies with a median filter\n(solid line) as a guide to the eye." }, { "title": "2008.09390v1.Integration_and_characterization_of_micron_sized_YIG_structures_with_very_low_Gilbert_damping_on_arbitrary_substrates.pdf", "content": "Integration and characterization of micron-sized YIG structures with very low Gilbert damping on\narbitrary substrates\nP. Trempler, R. Dreyer, P. Geyer, C. Hauser, and G. Woltersdorf\nInstitut für Physik, Martin-Luther-Universität Halle-Wittenberg, D-06120 Halle, Germany\nG. Schmidt\u0003\nInstitut für Physik, Martin-Luther-Universität Halle-Wittenberg, D-06120 Halle, Germany and\nInterdisziplinäres Zentrum für Materialwissenschaften, Martin-Luther-Universität Halle-Wittenberg, D-06120 Halle, Germany\n(Dated: November 28, 2021)\nWe present a novel process that allows the transfer of monocrystalline yttrium-iron-garnet microstructures\nonto virtually any kind of substrate. The process is based on a recently developed method that allows the fabri-\ncation of freestanding monocrystalline YIG bridges on gadolinium-gallium-garnet. Here the bridges’ spans are\ndetached from the substrate by a dry etching process and immersed in a watery solution. Using drop casting the\nimmersed YIG platelets can be transferred onto the substrate of choice, where the structures finally can be reat-\ntached and thus be integrated into complex devices or experimental geometries. Using time resolved scanning\nKerr microscopy and inductively measured ferromagnetic resonance we can demonstrate that the structures\nretain their excellent magnetic quality. At room temperature we find a ferromagnetic resonance linewidth of\nm0DHHWHM \u0019195\u0016T and we were even able to inductively measure magnon spectra on a single micron-sized\nyttrium-iron-garnet platelet at a temperature of 5 K. The process is flexible in terms of substrate material and\nshape of the structure. In the future this approach will allow for new types of spin dynamics experiments up to\nnow unthinkable.\nI. INTRODUCTION\nThe growth of high quality thin film yttrium-iron-garnet\n(YIG) is very challenging. Even today very low Gilbert\ndamping ( a\u00145\u000210\u00004) is only achieved for deposition on\ngadolinium-gallium-garnet (GGG) which is almost perfectly\nlattice matched to YIG (see overview in Schmidt et. al.1).\nNevertheless, for many experiments a GGG substrate is not\nsuitable. GGG exhibits a strong paramagnetism that even2in-\ncreases below 70K. This results in an enlarged Gilbert damp-\ning in a thin YIG film due to the coupling of the YIG with the\nsubstrate. As a consequence, many experiments which aim\nfor example for the investigation of the strong coupling of\nmagnons and microwave photons3,4are limited to bulk YIG\nfabricated by liquid phase epitaxy (LPE) or to macroscopic\nYIG spheres. Up to now, this problem prevents experiments in\nhybrid quantum magnonics on YIG microstructures. Further-\nmore, experiments using YIG microstructures and integrated\nmicrowave antennae on GGG are difficult because of its large\ndielectric constant ( e\u001930). Unfortunately, there also hasn’t\nbeen any successful attempt to grow high quality YIG with\nreasonably low Gilbert damping on other substrates. Thus,\na method to fabricate thin high quality YIG microstructures\non GGG along a subsequent transfer on a different substrate\nwould lead the way towards many new promising experiments\nand applications. We have developed a process that allows us\nto transfer YIG microstructures from GGG onto other sub-\nstrates. Although the process is not suitable for mass fabrica-\ntion it nonetheless enables a new class of experiments which\nuntil today seemed unthinkable.\nFIG. 1. Patterning process flow: (a) Array of monocrystalline YIG\nbridges5. (b) The AlOx mask is deposited by e-beam lithography,\nevaporation, and lift-off. (c) The bridges are detached from the sub-\nstrate by argon ion milling. (d) The AlOx is dissolved in ammonia\nwater releasing the remaining YIG platelets into the liquid.\nII. PROCESSING\nOur method is based on a fabrication process5using room-\ntemperatue (RT) pulsed laser deposition (PLD), lift-off and\nannealing, which yields freely suspended YIG structures,\nwhereby we apply the process in order to fabricate bridges or\ndoubly clamped beams. The suspended parts of these struc-\ntures exhibit extraordinary magnetic properties. For these\nstructures a ferromagnetic resonance (FMR) linewidth at 9.6\nGHz of m0DHHWHM=140\u0016T and a Gilbert damping of a\u0019\n2\u000210\u00004were demonstrated. Using this process we fabri-\ncate an array of 500,000 bridges of 1 :5\u00025\u0016m2span-size on a\nGGG substrate. We then mask the spans of the bridges by alu-\nminum oxide using electron beam lithography, e-beam evap-arXiv:2008.09390v1 [cond-mat.mes-hall] 21 Aug 20202\noration, and lift-off. [Fig. 1 (b)]. Using argon ion milling al-\nlows to remove the part of the bridge that connects the span\nto the substrate leaving the masked YIG as a micro slab like\nplatelet embedded in the aluminum oxide (AlOx). [Fig. 1 (c)].\nDissolving the mask in ammonia water lifts the 500,000 YIG\nmicro platelets from the substrate and immerses them in the\nsolution. The wet etchant is then stepwise replaced by water\nyielding a watery suspension of uniform monocrystalline YIG\nplatelets [Fig. 1 (d)]. By drop-casting the YIG platelets can\nnow be transferred to any substrate. After drying, the platelets\nstick to the substrate and even stay in place during subsequent\nspin-coating of further resist layers. With the help of addi-\ntioanl lithography the platelets can be integrated in complex\ndevices or applications.\nHere we show one example how a YIG platelet can be inte-\ngrated into a coplanar waveguide geometry to achieve in-plane\nexcitation and high sensitivity in FMR. As a substrate we use\nsapphire onto which 150nm of Au with a Ti adhesion layer\nwere deposited by electron beam evaporation. Sapphire is\nchosen because of its excellent properties for high frequency\nmeasurements. Before the drop-casting, a layer of PMMA is\nspun onto the sample. The suspension is exposed for a few\nseconds to ultrasonic agitation to ensure a homogeneous sus-\npension of the YIG platelets and by using a pipette a single\ndrop of the suspension is then put onto the sample. After the\ndrop-casting the YIG platelets are typically flat on the sample\nsurface but randomly oriented. Once a suitable YIG platelet is\nidentified we heat the sample up to 250\u000eC which is well above\nthe glass transition temperature of the PMMA6causing the\nYIG platelet to slightly sink into the PMMA film [Fig. 2 (a)].\nBy electron beam lithography we then crosslink the PMMA\nat the end of the bridge, defacto welding the bridge to the Au\nsurface [Fig. 2 (b)]. Using the PMMA layer under the YIG\nhas several advantages compared to direct deposition on the\nAu surface. No spin coating is required before the bridge\nis fixed and after removing the non-crosslinked PMMA the\nsample surface is now also clean from possible residue of the\ndrop-casting process. It should be noted that there is most\nlikely a gap of 10 \u000040nm between YIG and Au so the system\ncorresponds rather to a bridge with a YIG platelet as a span\nand two pedestals of PMMA as posts. To realize the final test\nstructure we now use electron beam lithography, AlOx evap-\noration and lift-off to mask the intended area of the CPW and\nthe YIG platelet itself. By Argon ion milling we remove the\nunmasked Au and Ti. After removing the AlOx mask we end\nup with a CPW perfectly aligned with the YIG platelet and\nideally suited in terms of size and shape for the FMR charac-\nterization of the YIG platelet [Fig. 2 (c)]. The final structure is\nshown in Fig. 3 as an false-color SEM image.\nIII. MAGNETIC PROPERTIES\nIn order to assess the sensitivity of our experiment we now\nperform FMR measurements. The samples are bonded onto a\nsample holder that fits into a4He bath cryostate. The cryostate\nis placed inside an electromagnet that can be rotated in the\nsample plane. The external magnetic field can be modulated\nFIG. 2. (a) The YIG drop-cast on the PMMA sinks into the poly-\nmer during heating. (b) The PMMA at the ends of the platelet is\ncrosslinked to fix the YIG to the Au. (c) Electron beam lithography\nand dry etching are used to pattern the CPW.\nFIG. 3. False-color SEM image of a transferred YIG platelet (ma-\ngenta) fixed with crosslinked PMMA (green) on top of a Ti/Au CPW\n(yellow). The bridge has a span length of 4 :5\u0016m, a width of 1 :5\u0016m\nand a nominell YIG layer thickness of approximately 160nm.\nusing an air coil of a few turns of Cu wire wound around the\nsample holder inside the cryostate. For our measurements the\nexternal magnetic field is oriented along the long side of the\nplatelet. RF excitation is done by applying an RF signal with a\npower of \u000021dBm. Measurements are performed by sweep-\ning the magnetic field at constant RF frequency. The transmit-\nted RF signal is rectified and the modulation of the external\nfield allows for lock-in detection to increase sensitivity. With\nthe YIG platelet centered on the waveguide the exciting RF\nfield is oriented in the sample plane and homogeneous over\nthe YIG platelet. As a consequence we can only excite stand-\ning spin wave modes with an uneven number of antinodes that\nhave non-zero magnetization.\nFig. 4 shows two resonance curves obtained at 4GHz at\nroom temperature and at 5K respectively. In both cases we\nobserve an extended spin-wave spectrum with a large number\nof backward-volume modes (BVMs). These discrete modes\nare caused by the finite size of the YIG platelet and corre-\nspond to standing spin wave modes as observed in a previ-\nous experiment5. Because of the complexity of the spectrum\nand the overlap of multiple modes it is difficult to obtain a\nlinewidth or even extract a Gilbert damping from measure-3\nFIG. 4. FMR spectra for a frequency of 4GHz at (a) 5K and (b)\n295K showing the occurance of several spin wave modes in the YIG\nbridge. The extended spin-wave spectra even for low temperatures\nsuggests a very low Gilbert damping.\nFIG. 5. Spatial resolved measurements acquired at a frequency of\n4GHz at different respective magnetic fields. The TRMOKE im-\nages show standing BVMs in the span of the bridge for m0Hextof\n(a) 74mT, (b) 80mT, (c) 84 :5mT and (d) 88 :5mT. The dotted lines\nserve as a guide to the eye to indicate the approximate sample posi-\ntion. m0Hextis applied along the x-direction.\nments at different respective frequencies. A closer look at the\nshape of the main resonance line indicates that it is not a sin-\ngle line but composed from at least two separate lines if not\nmore [Fig 6]. At 5K the spectrum is more noisy than at room\ntemperature but still the details of the spectrum are similar to\nthose at room temperature. The major difference to the room\ntemperature measurement is the change in resonance field that\ncan be attributed to the change in saturation magnetization7.\nWe perform TRMOKE experiments on the YIG in order\nto obtain more detailed information about the local struc-\nture of the excited modes. Further details of this technique\nare described in the work of Tamaru et. al.8and Neudecker\net. al.9. Again the measurements are performed with the exter-\nnal magnetic field oriented along the long side of the platelet.\nTRMOKE allows to locally image magnon modes in terms of\nboth intensity and phase5. To perform the spatially resolved\nimaging the frequency was set to 4 GHz at an RF amplitude of\n-25 dBm. The real and imaginary part of the dynamic suscep-\ntibility were detected in pointwise fashion while the magnetic\nfield was kept constant for each picture [Fig 5].\nThe spatially resolved measurements show several stand-\ning BVM with the fundamental mode with only one antin-\node [Fig. 5 (a)] and three standing BVMs with antinodes dis-\ntributed along the bridge in Fig. 5 (b)-(d)5. As expected all ob-\nserved modes exhibit an uneven number of antinodes. Again,\nFIG. 6. Main FMR line as composition of two separate lines for\na single transferred YIG platelet of 1 :5\u00024:5\u0016m2. The linewidth is\nm0DHHWHM=195\u0016T.\nit is not possible to extract a precise value for the line width for\nthis sample. Another platelet from the same batch was trans-\nferred into the gap of a coplanar waveguide. In this geometry\nthe out-of-plane RF field allows for TRMOKE measurements\nwith the external field applied perpendicular to the long side\nof the platelet. This results in a larger spacing between the\nresonance lines and yields the spectrum shown in Fig. 6. The\nresonance field is slightly shifted compared to the measure-\nments shown in Fig. 5. At 4 GHz we observe two superim-\nposed lines which can be fitted by two lorentzian line shapes.\nWe obtain a linewidth of m0DHHWHM\u0019195\u0016T. To the best of\nour knowledge even for large area thin films there are only two\npublications from other groups that show a smaller linewidth\nat this frequency10,11. For untransferred bridges (on GGG)\nwe have already measured a smaller linewidth, however, it is\nunclear whether the original sample produced for the drop-\ncasting was of similar quality. In any case the magnetic qual-\nity is only weakly affected by the transfer, if at all.\nIV . OUTLOOK\nThe presented process opens up a large number of options.\nAs we have shown in5the 3D patterning process is not limited\nto linear bridges. Besides we can also make frames, rings, cir-\ncular drums, tables, or other arbitrariliy shaped flat structures\nwhich would allow us to use the transfer technique presented\nhere. The main restriction is merely the size. With increasing\nstructure size the yield of the initial 3D patterning process is\nreduced and also the writing time increases linearly with the\narea. On the other hand we need a large number of structures\nto have enough statistical hits in the drop-casting process. A\nlow concentration of YIG structures in the suspension would\nmake the drop-casting a hopeless procedure. Beyond that, are\neven more options. Before the masking with AlOx we can\nperform additional processing on the bridges. We can for ex-4\nample deposit a thin metal film on top. After detachment and\ndrop-casting we have a 50:50 chance that the metal film ends\nup at the bottom of our platelet. A second evaporation step\ncould then be used to create a double side metallized YIG\nfilm as has been used in12for the demonstration of magnon\ndrag. In our case, however, we have no limitations as to the\nmetals that we want to use and their respective thicknesses.\nFurthermore we may even be able to nanopattern the metal\nbefore detaching the bridges and finally achieve a piece of\nYIG thin film with lithographically nanopatterned metal on\nboth sides. Our structures may even be suitable for hybrid\nquantum magnonics at mK temperatures. As van Loo et. al.13\nand Mihalceanu et. al.14have shown, the damping of thin film\nYIG increases at low temperatures, mainly because of inter-\naction with the GGG substrate. In our case the YIG platelet\nis no longer on the substrate. Even more it has never been in\ndirect contact with GGG so also contamination effects can be\nexcluded, making high performance at mK temperatures even\nmore likely. And finally these isolated structures may also\nbe suitable for the formation of magnon-based Bose-Einstein\ncondensates15.V . CONCLUSION\nWe have demonstrated that it is possible to transfer high\nquality thin film YIG microstructures onto other substrates\nand to integrate them in complex experiments. The magnetic\nquality is only slightly affected by the process, if at all.\nNotably, we are able to measure FMR spectra at 5K with\nmany details. This process opens up new routes towards a\nmultitude of experiments which formerly seemed completely\nout of reach.\nVI. DATA A VAILABILITY\nThe data that support the findings of this study are available\nfrom the corresponding author upon reasonable request.\nACKNOWLEDGMENTS\nWe wish to acknowledge the support of TRR227 project\nB02 WP3 and project B01.\n\u0003georg.schmidt@physik.uni-halle.de\n1G. Schmidt, C. Hauser, P. Trempler, M. Paleschke, and E. T.\nPapaioannou, physica status solidi (b) 257, 1900644 (2020).\n2V . Danilov, Y . V . Lyubon’ko, A. Y . Nechiporuk, S. Ryabchenko,\net al. , Soviet Physics Journal 32, 276 (1989).\n3H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifenstein,\nA. Marx, R. Gross, and S. T. Goennenwein, Physical Review\nLetters 111, 127003 (2013).\n4Y . Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and\nY . Nakamura, Phys. Rev. Lett. 113, 083603 (2014).\n5F. Heyroth, C. Hauser, P. Trempler, P. Geyer, F. Syrowatka,\nR. Dreyer, S. Ebbinghaus, G. Woltersdorf, and G. Schmidt, Phys.\nRev. Applied 12, 054031 (2019).\n6M. Mohammadi, H. fazli, M. karevan, and J. Davoodi, European\nPolymer Journal 91, 121 (2017).\n7P. Hansen, P. Röschmann, and W. Tolksdorf, Journal of Applied\nPhysics 45, 2728 (1974), https://doi.org/10.1063/1.1663657.8S. Tamaru, J. Bain, R. Van de Veerdonk, T. Crawford, M. Coving-\nton, and M. Kryder, Journal of Applied Physics 91, 8034 (2002).\n9I. Neudecker, G. Woltersdorf, B. Heinrich, T. Okuno, G. Gub-\nbiotti, and C. Back, Journal of Magnetism and Magnetic Materi-\nals307, 148 (2006).\n10O. d’Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef,\nC. Hahn, A. H. Molpeceres, C. Carrétéro, E. Jacquet, C. Deranlot,\nP. Bortolotti, et al. , Applied Physics Letters 103, 082408 (2013).\n11J. Ding, T. Liu, H. Chang, and M. Wu, IEEE Magnetics Letters\n11, 1 (2020).\n12J. Li, Y . Xu, M. Aldosary, C. Tang, Z. Lin, S. Zhang, R. Lake,\nand J. Shi, Nature communications 7, 1 (2016).\n13A. F. van Loo, R. G. E. Morris, and A. D. Karenowska, Phys.\nRev. Applied 10, 044070 (2018).\n14L. Mihalceanu, V . I. Vasyuchka, D. A. Bozhko, T. Langner, A. Y .\nNechiporuk, V . F. Romanyuk, B. Hillebrands, and A. A. Serga,\nPhys. Rev. B 97, 214405 (2018).\n15S. O. Demokritov, V . E. Demidov, O. Dzyapko, G. A. Melkov,\nA. A. Serga, B. Hillebrands, and A. N. Slavin, Nature 443, 430\n(2006)." }, { "title": "1803.03416v1.Spin_transport_across_antiferromagnets_induced_by_the_spin_Seebeck_effect.pdf", "content": "Spin transport across antiferromagnets induced by\nthe spin Seebeck e\u000bect\nJoel Cramer1;2, Ulrike Ritzmann3;4, Bo-Wen Dong1;2;5,\nSamridh Jaiswal1;6, Zhiyong Qiu7, Eiji Saitoh7;8;9;10, Ulrich\nNowak\u0003;4, Mathias Kl aui?;1;2\n1Institute of Physics, Johannes Gutenberg-University Mainz, 55099 Mainz,\nGermany\n2Graduate School of Excellence Materials Science in Mainz (MAINZ), Mainz,\n55128 Mainz, Germany\n3Department of Physics and Astronomy, Uppsala University, 751 05 Uppsala,\nSweden\n4Department of Physics, University of Konstanz, 78457 Konstanz, Germany\n5School of Materials Science and Engineering, University of Science and\nTechnology Beijing, 100083 Beijing, People's Republic of China\n6Singulus Technologies AG, 63796 Kahl am Main, Germany\n7Advanced Institute for Materials Research, Tohoku University, Sendai\n980-8577, Japan\n8Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n9Center for Spintronics Research Network, Tohoku University, Sendai 980-8577,\nJapan\n10Advanced Science Research Center, Japan Atomic Energy Agency, Tokai\n319-1195, Japan\nE-mail:\u0003ulrich.nowak@uni-konstanz.de ,?klaeui@uni-mainz.de\nAbstract. For prospective spintronics devices based on the propagation of\npure spin currents, antiferromagnets are an interesting class of materials that\npotentially entail a number of advantages as compared to ferromagnets. Here,\nwe present a detailed theoretical study of magnonic spin current transport in\nferromagnetic-antiferromagnetic multilayers by using atomistic spin dynamics\nsimulations. The relevant length scales of magnonic spin transport in\nantiferromagnets are determined. We demonstrate the transfer of angular\nmomentum from a ferromagnet into an antiferromagnet due to the excitation\nof only one magnon branch in the antiferromagnet. As an experimental\nsystem, we ascertain the transport across an antiferromagnet in YIG jIr20Mn80jPt\nheterostructures. We determine the spin transport signals for spin currents\ngenerated in the YIG by the spin Seebeck e\u000bect and compare to measurements\nof the spin Hall magnetoresistance in the heterostructure stack. By means\nof temperature-dependent and thickness-dependent measurements, we deduce\nconclusions on the spin transport mechanism across IrMn and furthermore\ncorrelate it to its paramagnetic-antiferromagnetic phase transition.arXiv:1803.03416v1 [cond-mat.mtrl-sci] 9 Mar 2018Spin transport across antiferromagnets induced by the spin Seebeck e\u000bect 2\n1. Introduction\nFor the development of next-generation, energy-\ne\u000ecient spintronic devices for information transmis-\nsion, processing, and storage, the investigation of pure\nspin currents has attracted great interest during re-\ncent years. In contrast to spin-polarized charge cur-\nrents, with a broad spectrum of applications in current\nspintronics schemes (e.g. spin-transfer-torque operated\nmagnetic tunnel junctions [1]), pure spin currents ex-\nclusively transfer angular momentum and have no net\ncharge \row. While in normal metals that exhibit the\nspin Hall e\u000bect [2] pure spin currents are realized by\ncharge currents of opposite spin-polarization \rowing\nin opposite directions, magnetically ordered systems\nprovide a further spin transport channel via magnonic\n(spin wave) excitations with no moving charges [3].\nAside from information transfer and data handling,\npure spin currents have furthermore proven as a useful\ntool to investigate magnetic material properties. Spin\nHall magnetoresistance (SMR) [4] measurements, for\ninstance, allow to probe the orientation of magnetic\nsublattice moments in complex magnetic oxides [5, 6],\nwhich are otherwise not accessible using common char-\nacterization methods, e.g. SQUID magnetometry.\nWith respect to magnonic spin current propaga-\ntion, insulating ferromagnets (FM) pose an interesting\nmedium and therefore caught notable renewed atten-\ntion in recent years. As compared to metallic systems,\ninsulators prevent spin transfer mediated by charge\nmotion and consequently do not exhibit Joule heat-\ning losses within the insulator. The most prominent\nrepresentative of this material class is the yttrium iron\ngarnet Y 3Fe5O12(YIG) [7], since it reveals excellent\ninsulating properties and extremely low Gilbert damp-\ning [8]. In single crystalline YIG, magnon propagation\nlengths in the range of several micrometer have been re-\nported [9{12]. More recently, however, due to potential\nadvantages over ferromagnets antiferromagnets (AFM)\nhave gained increased interest considering spintronics\napplications [13]. In AFMs, neighboring magnetic mo-\nments are ordered alternatingly, such that the macro-\nscopic moment Mof the solid vanishes. As a result,\nadjacent AFM devices do not exhibit mutual interac-\ntion due to the lack of stray \felds and furthermore are\ninsensitive to external magnetic \feld perturbations.\nIt has been shown that insulating AFMs are able\nto exhibit thermal magnon currents induced by the\nspin Seebeck e\u000bect (SSE) [11, 14, 15] when driveninto the spin-\rop state [16{18]. Magnon propagation\nacross AFM thin \flms has been investigated in\nFM/AFM/HM heterostructures both experimentally\n[19{24] and theoretically [25{27]. Since the excitation\nfrequency of antiferromagnetic magnons usually lies\nin the range of several THz, they cannot be excited\nby optical or current electrical methods. Therefore,\nspin currents are generated in the FM layer, pumped\ninto the AFM, and eventually detected in the HM\nby means of the inverse spin Hall e\u000bect (ISHE). The\nchange of the ISHE voltage signal when measured as a\nfunction of AFM thickness or temperature eventually\nthen allows one to infer information on the AFM\nmagnon propagation properties.\nHere, we put forward an analytical model\ndescribing the details of magnon propagation in\nantiferromagnets. We demonstrate an exponential\nspatial decay of AFM magnons in insulators, which\nis in line with experimental observations. Despite\nthe high speed of antiferromagnetic magnons, their\nrange is limited due to a very short life time. Though\nthe propagation length reveals a clear maximum just\nabove the energy gap, it is signi\fcantly smaller as\ncompared to ferromagnetic systems. Our analytical\nwork is well in agreement with the results obtained\nfor atomistic spin dynamics simulations. Moreover, we\npresent angular momentum transfer due to magnon\npropagation from a FM into an AFM. We identify\ntwo di\u000berent regimes: Below the frequency gap,\nevanescent modes with a very strong spatial decay are\nexcited within the AFM. Above the frequency gap,\nantiferromagnetic magnons are excited that propagate\non a longer range within the AFM.\nOn the experimental side, we investigate spin cur-\nrent transmission across the metallic AFM Ir 20Mn80\n(IrMn) in YIG/IrMn/Pt trilayers to identify po-\ntentially dominant spin transmission channels (elec-\ntronic vs. magnonic). We perform both SSE and\nSMR measurements and compare the temperature-\nand thickness-dependent signal amplitudes obtained\nto examine whether genuine spin transport across\nIrMn or interface exchange coupling phenomena\nare observed. It was shown before that the\nthickness-dependent antiferromagnetic-paramagnetic\nphase transition of IrMn thin \flms can be probed by\nmeans of temperature-dependent ferromagnetic reso-\nnance spin pumping measurements [28]. In trilayers of\nNi81Fe19/Cu/IrMn, the Gilbert damping constant \u000bof\nNi81Fe19exhibits an enhancement near TN\u0013 eel, revealingSpin transport across antiferromagnets induced by the spin Seebeck e\u000bect 3\nincreased spin sink properties of the IrMn layer for the\npumped spin current due to spin \ructuations. As simi-\nlar observations were made for systems including insu-\nlating AFMs [22{24], this implies a signi\fcant coupling\nof the spin current to the antiferromagnetic ordering\nparameter in IrMn. Consequently, this method allows\none to indirectly gain insight into the magnetic prop-\nerties of IrMn. While no direct information about spin\npropagation was previously obtained, we here compare\ndi\u000berent layer stacks to identify the spin transport con-\ntribution to the signal.\n2. Analytical model of magnon propagation in\nferromagnets and antiferromagnets\nWe start the development of the theoretical model\nby discussing spin transport in FMs and AFMs\nindividually and the length scales involved. For\nthat purpose, we consider a simple cubic lattice\nwith lattice constant a. In the Hamiltonian, we\ninclude exchange interaction of nearest neighbors with\nexchange constant Jand an anisotropy leading to an\neasy axis in x-direction with anisotropy constant dx.\nThe Hamiltonian is then given by\nH=X\nhijiJijSiSj+X\nidx(Sx\ni)2. (1)\nWe perform atomistic spin dynamics simulations [29]\nas well as analytical calculations based on the Landau-\nLifshitz-Gilbert (LLG) equation,\n_S=\u0000\r\n\u0016s(1 +\u000b2)S\u0002H\u0000\r\u000b\n\u0016s(1 +\u000b2)S\u0002(S\u0002H).\n(2)\nThis equation of motion describes the precession of\nnormalized magnetic moments Saround their e\u000bective\n\feldH=\u0000@H=@Sand relaxation depending on the\ndamping constant \u000b.\rdenotes the gyromagnetic ratio\nand\u0016sis the magnetic moment.\nIn Ref. [30], the propagation length of magnons\nwas investigated for FM systems. By linearizing the\nLLG equation and assuming S\u0019ex, the coupled\nequations of motions were solved. The imaginary part\nof the eigenvalue de\fnes the magnon frequency\n\u0016h!FM= 2dx+JX\n\u0012(1\u0000cos(q\u0012a)) , (3)\nwhere\u0012denotes the cartesian components. The real\npart describes the lifetime \u001c= 1=(\u000b!). A magnon\naccumulation was de\fned as the transferred magnetic\nmoment that scales with \u0001 m\u0019P\nq1=2A2\nq, where\nAqis the spin wave amplitude. Considering a spin\nwave propagating only in z-direction, q=qzez, the\npropagation length was de\fned as the decay of the\ndx= 0 .1|J|dx= 0 .05|J|dx= 0 .01|J|dx= 0.001 |J|\nfrequency ¯hω/Jmagnon propagation length ξ/a\n6 5 4 3 2 1 035\n30\n25\n20\n15\n10\n5\n0Figure 1. Frequency dependent magnon propagation length\nin an AFM for various anisotropy constants dxand a damping\nconstant of \u000b= 0:01. Numerical data, depicted as data points,\nare in agreement with the analytical model, which is shown as\ncorresponding continuous lines.\nmagnon accumulation \u0001 mand was obtained via the\nlifetime\u001cand the group velocity vz=@!=@qz. The\nresult for the propagation length was\n\u0018FM(!) =\u001cvz\n2=Ja\n2\u000b\u0016h!r\n1\u0000\u0010\n1\u0000\u0016h!\u00002dx\nJ\u00112\n. (4)\nThe propagation length has a maximum close to the\nfrequency gap and decays with increasing frequency.\nFor low damping and low anisotropies, the propagation\nlength of low frequency magnons is in the range of up\nto a few\u0016m. These results explain a saturation e\u000bect\nof the SSE in YIG and a suppression e\u000bect due to large\nexternal magnetic \felds [11,31].\nHere, we now develop the analogous model for\nAFMs. We consider a similar system and choose J <0.\nThis system consists of two sublattices A and B. To\ndescribe magnon excitations, we linearize the LLG\nequation for each sublattice and assume Sx\ni;A\u00191 and\nSx\ni;B\u0019\u00001, as well as a small damping constant \u000b\u001c1.\nThe considered AFM has two magnon branches. A\nmagnon describes a collective precession of magnetic\nmoments in both sublattices, but with unequal\namplitudes. The ratio of the amplitudes of the\ntwo sublattices is wave-vector dependent and it is\nreversed for the two magnon branches. Therefore,\nmagnons of opposite branches carry opposite angular\nmomentum. Moreover, magnetic moments precess\neither all clockwise or counterclockwise within the\ntwo di\u000berent magnon branches. In the absence of\nan external magnetic \feld, the magnon branches are\ndegenerate and their dispersion relation is given by\n\u0016h!AFM =s\u0000\n2dx+ 6jJj\u00012\u00004J2\u0000X\n\u0012cos(q\u0012a)\u00012. (5)\nIn contrast to FMs, AFMs have a large frequency\ngap of \u0016h!0\u0019p\n24dxjJj. Due to degeneracy,\nmagnons from both branches are excited thermallySpin transport across antiferromagnets induced by the spin Seebeck e\u000bect 4\nwith equal probablity and no magnetitization occurs\nat constant temperatures. The total magnetization is\nalso compensated in linear temperature gradients. It\nwas shown that around a temperature step no net spin\ntransfer occurs in AFMs, although a magnon current\nappears [32].\nDespite the fact that in an isolated AFM\nno net spin current occurs, the length scale of\nmagnon propagation in AFMs is interesting to study.\nThermally induced magnons do not transfer angular\nmomentum, but they still transfer heat and are the\norigin of thermally driven domain wall motion in\nAFMs [33, 34]. Moreover, external magnetic \felds lift\nthe degeneracy. It has been shown, that thermally\nactivated spin currents appear due to the SSE [17,18].\nThe lifetime of AFM magnons is given by the real\npart of the eigenvalue and one obtains\n\u001c=\u0016h\n(2dx+ 6jJj)\u0001\u000b. (6)\nThe resulting lifetime is shorter than in FMs and\nindependent of the magnon frequency. We obtain for\nthe frequency-dependent magnon propagation length\n\u0018(!) =ajJjp\nH2\n0\u0000(\u0016h!)2\n\u000bH0\u0016h!s\n1\u0000\u0010p\nH2\n0\u0000(\u0016h!)2\n2jJj\u00002\u00112\n,\n(7)\nwhere we use the abbreviation H0= 2dx+ 6jJj.\nWe simulate the decay of magnons in an AFM\nwith 8\u00028\u0002512 magnetic moments. To excite\nmonochromatic spin waves with a group velocity only\ninz-direction, we attach an additional layer in the\nx-y-plane, in which all magnetic moments precess\nhomogeneously with frequency !. The magnetic\nmoments of the two sublattices are aligned in oppposite\ndirections and their precession has a phase shift of\n180 degrees. Due to exchange interaction, this layer\ncouples to the system and monochromatic spin waves\nenter. By \ftting the exponential decay of the spin wave\namplitudes, we calculate their propagation length.\nThe results from the analytical formula as well\nas from numerical simulations are shown in Fig. 1.\nThe propagation length increases strongly just above\nthe frequency gap !0until a maximum value is\nreached and then decreases with further increasing\nfrequency. The maximum values are much shorter\nthan in FMs. Despite the higher velocity for magnons\nat a frequency close to gap, their range is still\nsmall due to their short lifetime. As shown in the\n\fgure, the analytical formula describes the general\nbehavior of the propagation length. However, for high\nfrequencies deviations between analytical calculation\nand numerical simulation appear due to the limited\ncross section in the simulations. Note that in contrast\ndx= 0.001 |J|dx= 0 .01|J|dx= 0 .05|J|dx= 0 .1|J|\ndamping constant αpropagation length ξ/a\n1 0.1 0.01 0.0011000\n100\n10\n1Figure 2. Magnon propagation length \u0018as a function of the\ndamping constant \u000bfor di\u000berent anisotropy constants dx. The\nnumerical data are shown as data points and the continuous lines\nrepresent the maximum value of the analytical one-dimensional\nmodel.\nto FMs, the dispersion relation of AFMs depends on\nthe spatial dimension of the lattice.\nSimilar to our previous studies on FMs [30], we\nstudy the length scale of thermally triggered magnon\npropagation using a temperature step to excite the\nmagnons. We simulate 8 \u00028\u0002512 magnetic moments\nand apply a temperature step along the z-axis from\nkBT1= 0:1jJjtokBT2= 0. We \ft the decay\nof the magnon accumulation in both sublattices and\ncompare the resulting length scale with the maximum\npropagation length from equation 7. Figure 2 shows\nthe results from numerical simulation as well as from\nthe analytical model. For high damping values, the\nanalytical formula deviates since we neglected \u000b2-\nterms in the derivation. But both methods give similar\nresults for low damping values. In contrast to low\nfrequency magnons in FMs, which can propagate over\nseveral\u0016m, the AFM magnons have a much shorter\nrange in the nm-regime.\n3. Magnon transfer in\nferromagnet-antiferromagnet-heterostructures\nTo compare to experimental work, we study the\nexcitation of spin waves in hetero- structures consisting\nof a FM and an AFM layer. We excite a\nmonochromatic spin wave in the FM and study the\ntransfer of angular momentum into the AFM. We\nperform simulations with a FM system with 8 \u00028\u0002256\nmagnetic moments and additionally an AFM layer of\nthe same size attached to it. For simpli\fcation, we\nuse a layered AFM by considering antiferromagnetic\nexchange interaction only in z-direction, JFM =\nJx\nAFM =Jy\nAFM =\u0000Jz\nAFM. The exchange interaction\nat the interface is given by JIF=\u0000JFM. The\nmonochromatic spin wave is excited by a homogenous\nprecession of the magnetic moments with a given\nfrequency!at the 0th layer of the FM.Spin transport across antiferromagnets induced by the spin Seebeck e\u000bect 5\n¯hω= 0.5J¯hω= 0.1J\nspace position z/amagnetization comp. |mx|\nAFM FM\n60 40 20 0 −20 −401\n0.995\n0.99\n0.985\nFigure 3. Absolute value of the x-component of the\nmagnetization for spin wave propagation from a FM ( z < 0)\nto AFM (z >0) layer for an evanescent mode (\u0016 h!= 0:1J) and\na normal mode (\u0016 h!= 0:5J). The dots (triangles) in the AFM-\nregime show mxfor sublattice A (B).\nDependent on the frequency of the spin wave, two\ndi\u000berent regimes for the spin wave propagation within\nthe AFM appear. For frequencies below the gap of\nthe dispersion relation of the AFM, the signal decays\nexponentially with distance to the interface. These are\nevanescent modes [27]. Spin waves with frequencies\nabove the gap excite a spin wave of the same frequency\nwithin the antiferromagnet. Note that in this quasi\none-dimensional AFM, the dispersion relation is given\nby\n\u0016h!=q\u0000\n2dx+ 2jJj\u00012\u00004J2\u0000\ncos(qza)\u00012. (8)\nThe frequency gap in this case is \u0016 h!0\u0019p\n8dxjJj.\nIn Fig. 3, we show the x-component (easy axis) of\nthe magnetization for two di\u000berent examples. The red\ncurves show an evanescent mode where no precession of\nthey- andz- components of the magnetization in the\nAFM is observed and the signal disappears on a very\nshort length scale. The blue curves represent a normal\nmode in the AFM, where the spin wave propagates\nwithin the AFM with the same frequency as in the\nFM. They- andz- components of the magnetization of\nthe single sublattices show precession due to AFM spin\nwave propagation, whereas the x-component of the\nmagnetization in both sublattices decays exponentially\nwithin the magnon propagation length.\nThe orientation of the magnetization of the\nFM determines the sense of the rotation of the\nmagnetic moments as well as the transferred angular\nmomentum in the FM. Therefore, only one of the two\nmagnon branches is excited and due to the di\u000berent\namplitudes of the two sublattices, angular momentum\nis transferred. The oscilattion of the x-component\nwithin the FM layer illustrates interference of the\nincoming spin wave with a strongly re\rected wave\nat the interface and only a small ratio of the signalis transferred in both cases into the AFM. Note\nthat both spin waves in the ferromagnet have been\nexcited with the same initial amplitude at z=\u0000256a.\nThe higher frequency has a much shorter propagation\nlength in the FM and, therefore, its amplitude at the\ninterface is signi\fcantly smaller. Nevertheless, with\nlarger distances to the interface, the normal AFM\nmagnon causes a larger signal than the evanescent\nmode. The chosen frequency is close to the gap and\nthe propagation length is several nm.\nHere, we demonstrate the propagation of spin\nwaves for a single monochromatic wave. For temper-\nature gradients inducing the SSE a broad frequency\nspectra would be excited in the ferromagnetic layer.\nDue to the larger propagation length at low frequen-\ncies within the FM, these frequencies play an impor-\ntant role in the SSE in YIG [31]. Due to the high\nfrequency gap of antiferromagnets, mainly evanescent\nmodes should be excited. The transferred spin cur-\nrent should decay exponentially within distances in the\nrange of a few nm.\n4. Experimental investigation of spin current\ntransmission across a metallic antiferromagnet\nHaving established the theory of spin transport in and\nacross AFMs using pure magnonic spin currents, we\nnext investigate spin transport experimentally in a\ncombination of ferromagnetic, antiferromagnetic and\nheavy metal layers.\nTo begin with, let us compare the results of the\ntheory to experimental \fndings for systems including\ninsulating AFMs, where the spin current can only\nbe carried by magnons. The extensive literature\n[19{24] shows that indeed an exponential decay of\nthe signal is found with increasing thickness of the\nAFM. So qualitatively, in these systems the theoretical\ndescription seems to hold and is apt to describe\nthe spin transport mechanism. As a next step, we\nprobe here experimentally the spin current transport\nin conducting AFMs. In systems including the latter,\nthe spin current can be transported by magnons\nas described above, but additionally also by charge-\nbased spin currents. To check if charge-mediated\ntransport of spin information occurs in addition to the\nmagnonic spin currents described above, we performed\ntemperature-dependent spin transmission experiments\nin a stack including the metallic AFM Ir 20Mn80(IrMn)\nusing YIG/IrMn/Pt trilayers.\nIn the experiment, spin currents are either\ntriggered by the spin Seebeck e\u000bect [11, 14, 15] or via\nthe spin Hall e\u000bect using spin Hall magetoresistance\nmeasurements [4]. As a \frst di\u000berence to insulating\nAFMs, one has to take into account the fact\nthat in addition to Pt, which is widely usedSpin transport across antiferromagnets induced by the spin Seebeck e\u000bect 6\nas a model material for ISHE based experiments,\nIrMn itself as well exhibits a spin Hall e\u000bect [35].\nTherefore, in order to understand this more complex\nsystem, one needs to study not just the trilayer\nYIG/IrMn/Pt but also the individual combinations\nYIG/IrMn and YIG/Pt. Initially, single crystalline\nYIG is grown epitaxially on (111)-oriented Gd 3Ga5O12\n(GGG) substrates by liquid-phase-epitaxy with a \flm\nthickness of 5 µm. Onto GGG/YIG samples of\nsize 2 mm\u00026 mm\u00020:5 mm, IrMn/Pt bilayers with\nvarying IrMn thickness but constant Pt thickness\n(dIrMn = 0:8;1:3 nm,dPt= 5 nm) are deposited via\nmagnetron sputtering. Furthermore, YIG/Pt( dPt=\n5 nm) and YIG/IrMn ( dIrMn = 1:3 nm) reference\nsamples are fabricated for comparison.\nThe temperature-dependent SSE measurements\nare performed in a cryostat with a variable temperature\ninsert (5 K\u0014T\u0014300 K), employing the conventional\nlongitudinal con\fguration [11, 36]. By sandwiching\nthe samples in between a top resistive heater and\na bottom temperature sensor, an out-of-plane ( z\ndirection) temperature gradient is generated, which\ninduces the thermal spin current in the YIG layer. Base\ntemperature and temperature gradient are determined\nvia the resistance change of heater and sensor. An\nexternal magnetic \feld His applied in-plane along the\nsample short edge ( ydirection), such that a detectable\nISHE voltage drop in the long axis of the sample ( x\ndirection) appears. The SSE voltage VSSEis extracted\nfrom the di\u000berence between the ISHE voltages obtained\nfor positive and negative magnetic \feld divided by 2.\nTo account for the di\u000berent \flm resistivities, the SSE\ncurrentISSE=VSSE=Ris considered in the following.\nThe temperature-dependent SMR measurements\nare carried out in a superconducting vector cryostat\nthat allows to align the magnetic \feld in all directions.\nThe SMR ratio is extracted from angular-dependent\nresistance measurements, in which the magnetic \feld\nHis rotated in the yz-plane and a sin2'yzresistance\nchange [low (high) resistance for Hin-plane (out-of-\nplane)] is observed. To ensure that the magnetization\nfollows the applied \feld direction, the \feld strength is\n\fxed to a value of \u00160H= 0:8 T, which is much larger\nthan the coercivity of the YIG.\nIn the following, we start by describing the\nexperimentally determined spin signals as a function\nof temperature. Then, in a second step we discuss\nthe results of the di\u000berent measurements and the\nimplications for the spin transport that we can deduce.\nFirst, we show in Fig. 4 the measured SSE current\namplitude divided by the temperature di\u000berence\nbetween sample top and bottom as a function of\ntemperature for the stacks investigated. For enhanced\nreadability, the data obtained for the samples with\nand without a Pt top layer are presented separately\n024681012141618\nPt\nIrMn (0.8nm)/Pt\nIrMn (1.3nm)/Pt\n50 100 150 200 250 300\nT(K)0.20.30.40.50.6\nIrMn (1.3nm)a\nb(nA K-1) ISSE/ΔT (nA K-1) ISSE/Δ TFigure 4. Detected spin Seebeck current as a function of\ntemperature for (a) YIG/Pt or YIG/IrMn/Pt and (b) YIG/IrMn\nbi- and tri-layers.\nin Fig. 4a and Fig. 4b. The YIG/Pt only sample\n(red circles) exhibits a clear signal maximum near\nT= 90 K, whereas broad, \rat maxima are observed\nat di\u000berent temperatures for the samples with the\nadditional IrMn interlayer. For the samples with IrMn\nlayers, the detected SSE signal amplitudes become\nsigni\fcantly suppressed at low temperatures below the\nmaxima [Tcrit(dIrMn = 0:8 nm)\u0019150 K,Tcrit(dIrMn =\n1:3 nm)\u0019200 K]. We \fnd at low temperatures,\nwhere the IrMn orders antiferromagnetically, that\nthe insertion of IrMn generally yields a thickness-\ndependent signal reduction, which is in line with\nthe theory described above. However, at higher\ntemperatures ( T\u0015200 K), where the IrMn is likely in\nthe paramagnetic phase, a larger Isse=\u0001Tamplitude\nis observed for YIG/IrMn (0 :8 nm)/Pt as compared\nto the YIG/Pt sample. This behavior clearly goes\nbeyond the theoretical description put forward above,\nsince there only the AFM phase is considered. Possible\norigins of this behavior include an enhanced e\u000bective\nspin-mixing conductance of the YIG/IrMn interface\nas compared to the YIG/Pt interface [37, 38]. While\nof interest, this aspect is however not the focus\nof this work and further studies are necessary to\nunderstand this, which go beyond the scope of the\ncurrent work. Finally, comparing the samples with and\nwithout Pt capping layers, we see that the temperature\ndependence of Issefor YIG/IrMn (1 :3 nm) in Fig. 4b\nexhibits, similar to YIG/Pt, a clear signal maximum\nnearT= 120 K, but with a signi\fcantly reduced signal\namplitude.\nNext, we compare the results of SSE measure-Spin transport across antiferromagnets induced by the spin Seebeck e\u000bect 7\nIrMn (0.8nm)/Pt\nIrMn (1.3nm)/Pt\nT(K)50 100 150 200 250 300024681012\n0.0000.0050.0100.0150.020\nSMR (%)(nA K-1) ISSE/Δ T\nFigure 5. Comparison between temperature-dependent\nSSE (closed symbols) and SMR (open symbols) amplitudes\nfor YIG/IrMn (0 :8 nm)/Pt (blue squares) and YIG/IrMn\n(1:3 nm)/Pt (green diamonds).\nments with the results of the SMR measurements to un-\nderstand and di\u000berentiate between interface and spin\ntransport e\u000bects. The temperature-dependent SMR\namplitudes obtained by the angular-dependent mea-\nsurements are shown in Fig. 5 (open symbols), directly\ncompared to the ISHE current amplitude (closed sym-\nbols). Apart from a small di\u000berence in the amplitude\nratio, both SMR and SSE feature similar temperature-\ndependent pro\fles with an overlapping, strong signal\nsuppression that sets in at low temperatures.\nIn the following, we discuss the results above\nto understand the measured signals and the di\u000berent\ncontributions. To deduce information about the spin\ncurrent transmission details across IrMn, we analyze\nand compare the di\u000berent data sets obtained for the\ndi\u000berent sample stacks individually: Firstly, we discuss\nthe temperature-dependent generation and detection\nof magnon spin currents. For that we consider\nthe bilayers of YIG/Pt and YIG/IrMn, which do\nnot involve spin current transmission across the full\nIrMn layer. In YIG/Pt, as shown in Fig. 4a,\nthe detected spin Seebeck current exhibits a distinct\namplitude maximum near T= 90 K, which was\nexplained before as a consequence of an increasing\nmagnon propagation length in YIG with decreasing\ntemperature, counteracted by a reduced occupation\nof magnon states due to lower thermal energy [12].\nHowever, rather than being a pure bulk e\u000bect of the\nFM, the position of the signal maximum also depends\non the employed ISHE detection layer [12,39], implying\na spectral-dependent transmission of magnons across\nthe YIG/metal interface. YIG/IrMn (Fig. 4b) shows a\nqualitatively similar behavior as compared to YIG/Pt\nbut with a shifted peak position near T= 120 K, which\ncan be explained from the di\u000berent magnon mode\ntransmissions for YIG/Pt and YIG/IrMn as discussed\nfor di\u000berent detection layers in the literature [12,39].\nNext, we discuss the spin current transport andto understand its properties, we compare the stacks\nYIG/IrMn/Pt and YIG/IrMn. The large di\u000berence\nin the SSE signal amplitude for YIG/IrMn and\nYIG/IrMn/Pt can be easily understood considering\nmaterial properties such as a smaller spin Hall angle\n(\u0012IrMn\nSH\u00190:8\u0012Pt\nSH[35]), a shorter spin di\u000busion length\n(\u0015IrMn\nsf = 0:7 nm vs.\u0015Pt\nsf= 2 nm [40, 41]) as well as\na higher \flm resistivity ( \u001bIrMn=\u001bPt\u00190:15 [35]) of\nIrMn as compared to Pt. We now look closely at\nthe comparison between YIG/IrMn (1 :3 nm) (purple\ndiamond, Fig. 4b) and YIG/IrMn (1 :3 nm)/Pt (green\ndiamond, Fig. 4a). Given the much lower signal\namplitude of YIG/IrMn as compared to YIG/IrMn/Pt\nand furthermore the much lower resistance of the\nPt, it is clear that in the YIG/IrMn/Pt sample the\nsignal contribution from the ISHE voltage generation\nin the IrMn is negligible. Thus, we can interpret the\nYIG/IrMn/Pt signal as the pure signal of the spin\ncurrent transmitted from the YIG across the IrMn into\nthe Pt, where due to the ISHE it is converted into the\nmeasured voltage.\nComparing the temperature dependences, we\n\fnd in YIG/IrMn (1 :3 nm) a clear signal maximum\nnearT= 120 K, while in YIG/IrMn (1 :3 nm)/Pt\nat temperatures below 150 K the signal is strongly\nattenuated. To explain this key feature of the strong\nattenuation, we go through all the processes to identify\nthe origin: (i) We have established from the YIG/Pt\nsystem measurements that the spin current generated\nin the YIG and the detection in the Pt are large below\n150 K (Fig. 4a). (ii) From the YIG/IrMn system,\nwe know that the spin transport across the YIG/IrMn\ninterface below 150 K is large (Fig. 4b). Hence, what\nremains to explain the attenuation of the signal below\n150 K in the YIG/IrMn/Pt system is the spin transport\nacross the IrMn, which apparently is suppressed below\n150 K. The transmission of the spin current can be\nof both electronic and magnonic nature, with the\ntemperature dependence of ISSE=\u0001Tin YIG/IrMn/Pt\nimplying that the dominating contribution to the spin\ntransport is strongly suppressed at low temperatures.\nHence, we need to understand whether the\nmagnonic or the electronic spin current dominates.\nFrom the fact that the signal in the YIG/IrMn system\nis still large below 150 K, we deduce that the charge-\nbased spin currents in the IrMn, which are necessary\nfor the ISHE so they can be converted into a charge\ncurrent signal, are also still large at temperatures\nbelow 150 K. The observed strong attenuation of\nthe measured signal in the YIG/IrMn/Pt system thus\nmust stem from the magnonic spin current transport\nacross the IrMn layer. Finally and importantly this\nis then also in line with the theory put forward\nabove, where a short spin transport length is found\nfor antiferromagnetically ordered systems.Spin transport across antiferromagnets induced by the spin Seebeck e\u000bect 8\n0 50 100 150 200 250 300\nT(K)0100200300400500600Hex(Oe)\n01234567\nISSE\nHex\n(nA K-1) ISSE/Δ T\nFigure 6. (a) Exchange-bias anisotropy \feld detected in\nSiO2/IrMn (1:3 nm)/CoFe (2 nm) (blue circles) and (b) spin\nSeebeck current measured for YIG/IrMn (1 :3 nm)/Pt (green\ndiamonds) as a function of temperature.\nTo further reinforce this interpretation of a po-\ntential relation of our experimental \fndings with\nthe phase transition between the antiferromag-\nnetic and the paramagnetic phase, we performed\ntemperature-dependent magnetometry measurements\non a SiO 2/IrMn (1:3 nm)/CoFe (2 nm) reference sam-\nple. This reference sample is necessary to identify\nthe transition temperature as the very large thickness\nof the used YIG \flms does not allow one to observe\nexchange-bias in the YIG/IrMn/Pt samples used for\nthe transport experiments. From the magnetometry\ndata, the additional exchange anisotropy \feld of the\nIrMn \flm exerted on the CoFe layer is extracted as a\nfunction of temperature, see Fig. 6. The exchange-bias\n\feld vanishes at the so-called blocking temperature\nTB\u001980 K, which in thin \flms usually is found to be\nsmaller than TN\u0013 eel[42]. While the absolute value needs\nto be taken with care, however, considering the compo-\nsitional di\u000berences of the investigated samples, the N\u0013 eel\ntemperature of the YIG/IrMn (1 :3 nm)/Pt stack is ex-\npected to be below 150 K. One observes that above TB,\nISSEstarts to increase signi\fcantly in the correspond-\ning sample, which we identify as a further indication\nfor a correlation between the signal suppression and\nthe AFM phase transition of the IrMn \flm. Above\nthe N\u0013 eel temperature, the magnonic spin current can\nbe transported by short-range correlations [43], while\nbelowTN\u0013 eelthe AFM magnon gap (see. Eq. 8) in\nIrMn opens up and increases when further decreasing\nthe temperature. According to the physical processes\ndepicted in Fig. 3, this signi\fes a transition from spin\nangular momentum transfer via precessing spin waves\nto evanescent waves at low temperatures, which can\nexplain the strong suppression of ISSE=\u0001Tdue to the\nstrong decay of the evanescent waves.\nTherefore, from all the indications, we conclude\nthat the spin current is at least partially transported by\nAFM magnonic spin currents in the IrMn layer. This\nconclusion is further corroborated by recent studies\nby Saglam et al. [44], who report on two transportregimes in Ni 80Fe20/FeMn/W systems with varying\nFeMn thickness. In the short-range regime (small\nthickness), spin propagation is dominated by electronic\ntransport, whereas in the long-range regime (larger\nthickness) magnonic excitations yield the leading spin\ntransport channel. Note that FeMn exhibits a larger\nspin-di\u000busion length as IrMn [40]. Furthermore, in the\nexperiment by Saglam et al. the spin current is emitted\nby the Ni 80Fe20FMR mode excited at f= 9 GHz,\nwhereas in SSE experiments thermal magnons up to\nthe THz regime are present.\nThe correlation between the AFM order in IrMn\nand its spin current propagation properties becomes\nfurthermore apparent when considering the trilayer\nsamples with varying IrMn thickness. Whereas the\nthickness-dependent reduction of ISSE=\u0001Tis to be un-\nderstood as a result of spin di\u000busion (either electronic\nand magnonic), the thickness-dependent critical tem-\nperature for signal suppression is a direct indication of\nthe paramagnetic-antiferromagnetic phase transition.\nIn agreement with the \fndings by Frangou et al. [28],\nwho report an increasing TN\u0013 eelwith increasing IrMn\nthickness, the signal suppression for thicker IrMn sets\nin at higher temperatures.\nFinally, the comparison of SSE and SMR\namplitudes reveals very good agreement (Fig. 5),\nshowing in particular coinciding low-temperature\nbehavior, despite the conceptional di\u000berences of the\nunderlying e\u000bects. The SMR includes strong interface\ne\u000bects, considering that the pure spin current induced\nin a heavy metal due to the SHE interacts with\nthe surface spins of an adjacent magnetic layer [4],\nwhich results in a spin-orientation-dependent \flm\nresistance. The SSE, on the other hand, includes\nthe conversion of bulk magnon spin currents into\nelectronic spin currents and eventually charge currents\nby the ISHE. Taking into account the di\u000berences\nof thickness, conductivity and spin Hall angle of\nPt and IrMn, one can assume that in the SMR\nexperiment the SHE spin current is mainly generated\nin the Pt layer. The observed angular dependence of\nthe resistance change corresponds to a positive SMR\nthat appears in systems in which the spin currents\ninteract with the surface magnetization of FMs. For\nAFMs, on the other hand, the SMR follows the N\u0013 eel\norder parameter and a negative SMR is observed\n[45{47]. Therefore, we conclude that for the SMR\nsignal measured, the spin current that is generated in\nthe Pt transmits across the IrMn and interacts with\nthe YIG surface magnetization (absorption/re\rection).\nPotential negative SMR contributions may appear at\nmagnetic \felds of su\u000ecient strength to align and rotate\nthe N\u0013 eel order parameter in IrMn, which is not the\ncase here. Assuming the validity of the aforementioned\nmagnonic spin transport mechanism in IrMn, theSpin transport across antiferromagnets induced by the spin Seebeck e\u000bect 9\ncoinciding temperature dependences of SSE and SMR\namplitudes imply a strong coupling of the electronic\nspin current in Pt to the order parameter in IrMn at\nthe IrMn/Pt interface and a dominating contribution\nof the spin transport across the IrMn layer for the\ntemperature dependence.\n5. Summary\nIn conclusion, we have studied both theoretically and\nexperimentally the propagation of pure spin currents\nin antiferromagnetic systems. While in insulating\nAFMs spin information transmission is exclusively\nprovided by magnonic excitations, metallic AFMs as\nwell can exhibit charge-mediated spin currents. AFM\nmagnons exhibit a high-frequency gap. Despite the\nhigh velocity of antiferromagnetic magnons close to\nthe frequency gap, the analytical model of magnonic\ntransport shows that AFM magnons decay on much\nshorter distances, due to a shorter and frequency-\nindependent lifetime. Using atomistic spin dynamics\nsimulations, we demonstrate the propagation of spin\nwaves from a FM to an AFM and show that\nshort range evanescent modes are excited below\nthe frequency gap, whereas normal modes with a\nlonger propagation length are excited above the\nfrequency gap. Beyond theoretical considerations, we\nfurthermore investigate spin transmission across the\nmetallic AFM IrMn by temperature-dependent SSE\nand SMR measurements in YIG/IrMn, YIG/Pt and\nYIG/IrMn/Pt heterostructures. From a systematic\ncomparison of the obtained results, we conclude that\nthe spin currents are at least partially mediated by\nAFM magnons. At low temperatures, where IrMn\norders antiferromagnetically, the detected spin signals\nin YIG/IrMn/Pt transmitted across the IrMn become\nstrongly suppressed, whereas in YIG/IrMn a notable\nsignal induced by solely an electronic spin current is\nstill detected. This is explained by the AFM magnon\ngap in IrMn to open up, such that the spin current\nis transported by evanescent waves that exhibit a\nstrong decay over the \flm thickness. Furthermore,\nthe critical temperature, at which the suppression\nsets in, increases with increasing IrMn thickness as\nexpected for a thickness-dependent phase transition\ntemperature. Eventually, the coinciding temperature\ndependences observed for SSE and SMR suggest strong\ninteraction of the electronic spin current in Pt towards\nthe order parameter in the AFM IrMn.\nAcknowledgements\nThe authors would like to thank the Deutsche\nForschungsgemeinschaft (DFG) for \fnancial support\n(SPP 1538 Spin Caloric Transport\", SFB767 inKonstanz and SFB TRR173 in Mainz), the Graduate\nSchool of Excellence Materials Science in Mainz\n(DFG/GSC 266), the EU projects (IFOX FP7-NMP3-\nLA-2012246102, INSPIN FP7-ICT-2013-X 612759),\nERATO \"Spin Quantum Recti\fcation Project\" (No.\nJPMJER1402) from JST, Japan, Grant-in-Aid for\nScienti\fc Research on Innovative Area \"Nano Spin\nConversion Science\" (No. JP26103005) and Grant-in-\nAid for young scientists (B) (No. JP17K14331) from\nJSPS KAKENHI, Japan.\nReferences\n[1] Ikeda S, Miura K, Yamamoto H, Mizunuma K, Gan H, Endo\nM, Kanai S, Hayakawa J, Matsukura F and Ohno H 2010\nNat. Mater. 9721{724\n[2] Sinova J, Valenzuela S O, Wunderlich J, Back C H and\nJungwirth T 2015 Rev. Mod. Phys. 871213{1260\n[3] Kruglyak V, Demokritov S and Grundler D 2010 J.Phys.\nD:Appl. Phys. 43264001\n[4] Nakayama H, Althammer M, Chen Y T, Uchida K,\nKajiwara Y, Kikuchi D, Ohtani T, Gepr ags S, Opel M,\nTakahashi S, Gross R, Bauer G E W, Goennenwein S T B\nand Saitoh E 2013 Phys. Rev. Lett. 110206601\n[5] Ganzhorn K, Barker J, Schlitz R, Piot B A, Ollefs K,\nGuillou F, Wilhelm F, Rogalev A, Opel M, Althammer\nM, Gepr ags S, Huebl H, Gross R, Bauer G E W and\nGoennenwein S T B 2016 Phys. Rev. B9494401\n[6] Dong B, Cramer J, Ganzhorn K, Yuan H, Guo E j,\nGoennenwein S and Kl aui M 2018 J.Phys.: Condens.\nMatter 30035802\n[7] Cherepanov V, Kolokolov I and L'vov V 1993 Phys. Rep.\n22981{144\n[8] Chang H, Li P, Zhang W, Liu T, Ho\u000bmann A, Deng L and\nWu M 2014 IEEE Magn. Lett. 51{4\n[9] Cornelissen L J, Liu J, Duine R A, Youssef J B and Van\nWees B J 2015 Nat. Phys. 1110221026\n[10] Goennenwein S T B, Schlitz R, Pernpeintner M, Ganzhorn\nK, Althammer M, Gross R and Huebl H 2015 Appl. Phys.\nLett. 107172405\n[11] Kehlberger A, Ritzmann U, Hinzke D, Guo E J, Cramer J,\nJakob G, Onbasli M C, Kim D H, Ross C A, Jung\reisch\nM B, Hillebrands B, Nowak U and Kl aui M 2015 Phys.\nRev. Lett. 11596602\n[12] Guo E J, Cramer J, Kehlberger A, Ferguson C A, Maclaren\nD A, Jakob G and Kl aui M 2016 Phys. Rev. X6031012\n[13] Jungwirth T, Marti X, Wadley P and Wunderlich J 2016\nNat. Nanotechnol. 11231{241\n[14] Bauer G E W, Saitoh E and van Wees B J 2012 Nat. Mater.\n11391{399\n[15] Uchida K, Ishida M, Kikkawa T, Kirihara a, Murakami T\nand Saitoh E 2014 J.Phys.-Condens. Mat. 26343202\n[16] Seki S, Ideue T, Kubota M, Kozuka Y, Takagi R, Nakamura\nM, Kaneko Y, Kawasaki M and Tokura Y 2015 Phys.\nRev. Lett. 115266601\n[17] Wu S M, Zhang W, KC A, Borisov P, Pearson J E, Jiang\nJ S, Lederman D, Ho\u000bmann A and Bhattacharya A 2016\nPhys. Rev. Lett. 11697204\n[18] Rezende S M, Rodr\u0013 \u0010guez-Su\u0013 arez R L and Azevedo A 2016\nPhys. Rev. B93014425\n[19] Hahn C, de Loubens G, Naletov V V, Ben Youssef J, Klein\nO and Viret M 2014 Europhys. Lett. 10857005\n[20] Wang H, Du C, Hammel P C and Yang F 2014 Phys. Rev.\nLett. 11397202\n[21] Wang H, Du C, Hammel P C and Yang F 2015 Phys. Rev.\nB91220410(R)\n[22] Qiu Z Q, Li J, Hou D, Arenholz E, Diaye A T N, Tan A,Spin transport across antiferromagnets induced by the spin Seebeck e\u000bect 10\nSato K, Okamoto S, Tserkovnyak Y, Qiu Z Q, Saitoh\nE, N'Diaye A T, Tan A, Uchida K i, Sato K, Okamoto\nS, Tserkovnyak Y, Qiu Z Q and Saitoh E 2016 Nat.\nCommun. 712670\n[23] Lin W, Chen K, Zhang S and Chien C L 2016 Phys. Rev.\nLett. 116186601\n[24] Prakash A, Brangham J, Yang F and Heremans J P 2016\nPhys. Rev. B94014427\n[25] Rezende S M, Rodr\u0013 \u0010guez-Su\u0013 arez R L and Azevedo A 2016\nPhys. Rev. B93054412\n[26] Chen K, Lin W, Chien C L and Zhang S 2016 Phys. Rev.\nB94054413\n[27] Khymyn R, Lisenkov I, Tiberkevich V S, Slavin A N and\nIvanov B A 2016 Physical Review B93224421\n[28] Frangou L, Oyarz\u0013 un S, Au\u000bret S, Vila L, Gambarelli S and\nBaltz V 2016 Phys. Rev. Lett. 11677203\n[29] Nowak U 2007 Handbook ofMagnetism and Advanced\nMagnetic Materials 100858{876\n[30] Ritzmann U, Hinzke D and Nowak U 2014 Phys. Rev. B89\n024409\n[31] Ritzmann U, Hinzke D, Kehlberger A, Guo E J, Kl aui M\nand Nowak U 2015 Phys. Rev. B92174411\n[32] Ritzmann U, Hinzke D and Nowak U 2017 Phys. Rev. B95\n054411\n[33] Selzer S, Atxitia U, Ritzmann U, Hinzke D and Nowak U\n2016 Physical Review Letters 117107201\n[34] Tveten E G, Qaiumzadeh A and Brataas A 2014 Phys. Rev.\nLett. 112147204\n[35] Mendes J B S, Cunha R O, Alves Santos O, Ribeiro P R T,\nMachado F L A, Rodr\u0013 \u0010guez-Su\u0013 arez R L, Azevedo A and\nRezende S M 2014 Phys. Rev. B89140406(R)\n[36] Uchida K, Adachi H, Kikkawa T, Kirihara A, Ishida M,\nYorozu S, Maekawa S and Saitoh E 2016 P.IEEE 104\n1946\n[37] Kikuchi D, Ishida M, Uchida K, Qiu Z, Murakami T and\nSaitoh E 2015 Appl. Phys. Lett. 10682401\n[38] Yuasa H, Tamae K and Onizuka N 2017 AIPAdv.7055928\n[39] Cramer J, Guo E J, Geprags S, Kehlberger A, Ivanov Y P,\nGanzhorn K, Della Coletta F, Althammer M, Huebl H,\nGross R etal. 2017 Nano Letters 33343340\n[40] Zhang W, Jung\reisch M B, Jiang W, Pearson J E,\nHo\u000bmann A, Freimuth F and Mokrousov Y 2014 Phys.\nRev. Lett. 113196602\n[41] Isasa M, Villamor E, Hueso L E, Gradhand M and Casanova\nF 2015 Phys. Rev. B9124402\n[42] Van der Zaag P, Ijiri Y, Borchers J A, Feiner L, Wolf R,\nGaines J, Erwin R and Verheijen M 2000 Phys. Rev.\nLett. 846102\n[43] Chatterji T, McIntyre G and Lindgard P A 2009 Phys. Rev.\nB79172403\n[44] Saglam H, Zhang W, Jung\reisch M B, Sklenar J, Pearson\nJ E, Ketterson J B and Ho\u000bmann A 2016 Phys. Rev. B\n94140412(R)\n[45] Hou D, Qiu Z, Barker J, Sato K, Yamamoto K, V\u0013 elez S,\nGomez-Perez J M, Hueso L E, Casanova F and Saitoh E\n2017 Phys. Rev. Lett. 118147202\n[46] Baldrati L, Ross A, Niizeki T, Ramos R, Cramer J,\nGomonay O, Saitoh E, Sinova J and Kl aui M 2017\narXiv:1709.00910\n[47] Fischer J, Gomonay O, Schlitz R, Ganzhorn K, Vlietstra N,\nAlthammer M, Huebl H, Opel M, Gross R, Goennenwein\nS Tetal. 2017 arXiv:1709.04158" }, { "title": "1212.2073v1.Heat_induced_damping_modification_in_YIG_Pt_hetero_structures.pdf", "content": "arXiv:1212.2073v1 [cond-mat.mes-hall] 10 Dec 2012Heat-induced damping modification in YIG/Pt hetero-struct ures\nM. B. Jungfleisch,1,a)T. An,2,3K. Ando,2Y. Kajiwara,2,3K. Uchida,2,4V. I. Vasyuchka,1A. V. Chumak,1\nA. A. Serga,1E. Saitoh,2,3,5,6and B. Hillebrands1\n1)Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universit¨ at Kaiserslautern,\n67663 Kaiserslautern, Germany\n2)Institute for Materials Research, Tohoku University, Send ai 980-8577, Japan\n3)CREST, Japan Science and Technology Agency, Tokyo 102-0076 , Japan\n4)PRESTO, Japan Science and Technology Agency, Saitama 332-0 012, Japan\n5)WPI Advanced Institute for Materials Research, Tohoku Univ ersity, Sendai 980-8577,\nJapan\n6)Advanced Science Research Center, Japan Atomic Energy Agen cy, Tokai 319-1195,\nJapan\n(Dated: 16 October 2018)\nWe experimentally demonstrate the manipulation of magnetization re laxation utilizing a temperature dif-\nference across the thickness of an yttrium iron garnet/platinum ( YIG/Pt) hetero-structure: the damping\nis either increased or decreased depending on the sign of the tempe rature gradient. This effect might be\nexplained by a thermally-induced spin torque on the magnetization pr ecession. The heat-induced variation\nof the damping is detected by microwave techniques as well as by a DC voltage caused by spin pumping into\nthe adjacent Pt layer and the subsequent conversion into a charg e current by the inverse spin Hall effect.\nDue to their interesting underlying physics and po-\ntential applications in magnon spintronics the spin Hall\neffect (SHE) and the inverse spin Hall effect (ISHE)\nattracted considerable attention in the last years.1,2\nMagnon spintronics is a new, emerging field in spin-\ntronics, that utilizes magnons (quanta of spin waves)\nas carriers of angular momentum. The combination\nof spin pumping and inverse spin Hall effect turned\nout to be a well suited technique for the detection of\nmagnons beyond the wavenumber limitations of most\nother methods.2The recent discoveryof the spin Seebeck\neffect (SSE) in magnetic insulators demonstrates the im-\nportance of heat currents in spintronics and opened up\nthe new field of spin caloritronics.3,4\nA key objective in the field of magnon spintronics\nis the control of magnetization relaxation and genera-\ntion of spin waves. To compensate spin-wave damp-\ning a common method is parametric amplification.2,5\nRecently, it was reported, that propagating spin waves\ncan also be amplified by injecting a spin current due\nto the SHE and the spin-transfer torque (STT) effect6\nand by the SSE.7Spin relaxation was manipulated by\nSHE and STT in Ni 81Fe198and by thermally-induced in-\nterfacial spin transfer in yttrium iron garnet/platinum\n(YIG/Pt) structures.9In all these experiments, magneti-\nzation dynamics is measured by using microwave tech-\nniques. However, two-magnon scattering can lead to\nthe excitation of secondary spin waves with much higher\nwavevectors as it has been shown in Refs.10,11. Even\nthough these secondary waves contribute significantly to\nspin pumping,12,13they cannot be detected by inductive\nantennas. Therefore, microwave measurements do not\nnecessarily give a thorough insight into magnetization\ndynamics.\na)Electronic mail: jungfleisch@physik.uni-kl.deIn this Letter, we report on the thermal manipula-\ntionofspin-waverelaxationmeasuredbyboth microwave\ntechniques as well as by spin pumping. The investi-\ngated sample consists of a YIG/GGG/YIG/Pt hetero-\nstructure. A temperature difference applied across the\nthickness of this structure leads to the longitudinal\nSSE: an imbalance between the magnon and electron\ntemperatures causes a spin current across the YIG/Pt\ninterface.3,4The generatedspin current transfers angular\nmomentum and, consequently, they might exert a torque\non the magnetization (see Fig. 1(b)). As a result, the\nFIG. 1. (Color online) (a) Schematic illustration of the exp er-\nimental setup. (b) Possible mechanism for the heat-induced\ndamping variation in the YIG film. Mdenotes the magneti-\nzation. (c) Typical example for a measurement of UISHEas a\nfunction of the applied magnetic field H.2\nFIG. 2. (Color online) (a) Typical example for the tempera-\nture difference ∆ Tacross the sample as a function of the ap-\nplied magnetic field H. ∆TPeltierdenotes the temperature dif-\nference applied by the Peltier element. At the ferromagneti c\nresonance field around 79 mT the magnetization precession\ncauses additional heating denoted as ∆ TMW. (b) Calculated\nspin Seebeck voltage USSEcomposed of UPeltier\nSSEgenerated by\n∆TPeltierandUMW\nSSEcreated by ∆ TMW.\nmagnetization precession can either be enhanced or sup-\npressed depending on the sign of the temperature differ-\nence and, thus, the direction of the spin current. This\nchange in the damping is equivalent to a variation of the\nferromagnetic resonance linewidth ∆ HFMRthat is mea-\nsuredbymicrowavereflectionaswellasbyspin pumping.\nA sketch of the experimental setup is shown in\nFig. 1(a). A 2.1 µm thick YIG film was grown by\nmeans of liquid phase epitaxy on both sides of a 500 µm\nthick gadolinium galliumgarnet(GGG) substrate. Using\nmolecular beam epitaxy, we then deposited a Pt layer of\n10 nm thickness on one side of the sample, fully covering\none of the YIG surfaces. As shown in Ref.14the Pt layer\nmight show ferromagnetic behavior on ferromagnetic in-\nsulators due to magnetic proximity effects. However, as\nshown in Ref.15, a possible contamination by the anoma-\nlous Nernst effect is negligibly small compared to the\nlongitudinal SSE contribution. A Peltier element, that\nis mounted on top of the Pt layer, generates a temper-\nature difference across the multilayer. In order to en-\nhance the temperature flow from the sample, the second\nYIG surface is covered with a sapphire substrate that\nis connected to a heat bath (sapphire is a good thermal\nconductor). The second YIG layer neither influences the\nmagnetic nor the electric measurements but it should be\nnoted that the temperature difference is applied across\nthe entire Pt/YIG/GGG/YIG sample stack. The mag-\nnetization precession is excited by a 500 µm wide copper\nmicrostrip antenna that is placed abovethe Pt layerwith\nan intervening isolation layer (see Fig. 1(a)). The tem-\nperature difference is monitored using an infrared cam-\nera, calibrated by two thermocouples.\nThe experiment is performed as follows: an exter-\nnal magnetic field His applied perpendicularly to the\nYIG waveguide in the YIG film plane. The magneti-\nzation precession is driven by the alternating magnetic\nfieldh(t) of a continuous microwave signal (see Fig. 1(a)\nand (b)) with a carrier frequency of 4 GHz and pow-\ners ofPMW= +14 dBm, +20 dBm, and +25 dBm.While sweeping the external magnetic field H, a tem-\nperature difference across the sample thickness is applied\nand recorded by the infrared camera. The electric volt-\nagedue to the ISHE, UISHE, andthe microwavereflection\nare measured simultaneously.\nIn the experiment, two different mechanisms con-\ntribute to the spin current: the spin Seebeck effect and\nthe spin pumping effect. The SSE originates from the\ndifference between the effective magnon temperature Tm\nand the effective electron temperature Teat the YIG/Pt\ninterface.3,4,16This temperature difference ∆ Tis created\nin two different ways in our experiment (see Fig. 2(a)):\nby the Peltier element (denoted by ∆ TPeltierin the fol-\nlowing) and by heating due to magnetization precession\nin resonance condition of the YIG film at HFMR(de-\nnoted by ∆ TMW). The second mechanism to create\na spin current is spin pumping by the externally ex-\ncited coherent magnetization precession.12Irrespective\nof its origin, the net spin current Jsinjected into the\nPt layer is transformed into a conventional charge cur-\nrentJc, perpendicular to both JsandH, by the ISHE\n(see Fig 1(c)).10,17As a result, charges accumulate at the\nedges of the Pt layer and a voltage UISHE=USP+USSE,\ncomposed of a spin pumping contribution USPand a\nSSE contribution USSE, can be measured (Fig. 1(c)).\nThe voltage USSE=UMW\nSSE+UPeltier\nSSEitself consists of\nthe voltage UMW\nSSEgenerated by heating ∆ TMWdue to\nmagnetization precession in resonance and UPeltier\nSSEgen-\nerated by the temperature difference ∆ TPeltier. In or-\nder to distinguish between the different contributions to\nUISHE=UPeltier\nSSE+UMW\nSSE+USPthe following procedure\nhas been used: for each temperature difference created\nwith the Peltier element, UISHEand ∆Twere recorded.\nFrom the off-resonance condition ( H > H FMR), we can\ndeduce a linear relation between USSE=UPeltier\nSSEand\n∆T. Using this linear SSE relation3,4,16enables us to re-\ncalculate the corresponding voltage UMW\nSSEatHFMRand,\nthus, the spin pumping voltage USP, respectively. In\nFig. 2 the evolution of the temperature difference as a\nfunction of the applied magnetic field Hand the corre-\nsponding SSE voltage USSEare shown for a microwave\npower of PMW= +25 dBm. Figure 2(a) clearly shows,\nFIG. 3. (Color online) (a) Typical spectra for 4 different tem -\nperaturedifferences at +25dBm. For positive (negative) tem -\nperature differences, the Pt is colder (hotter) than the YIG.\n(b) Measured resonance linewidth ∆ Has a function of the\ntemperature difference ∆ Tfor different microwave powers.3\nthat, in addition to the applied temperature difference of\n∆TPeltier≈4.6◦C, the temperature rises at HFMRby an\nadditional value of ∆ TMW≈0.6◦C. The corresponding\nvoltages UPeltier\nSSEandUMW\nSSEare illustrated in Fig. 2(b).\nFor ∆T= 0, the FMR driven spin pumping contribution\nUSPis dominant ( USP/(USP+USSE)≈99.9%).\nThe heat-induced spin current affects our measure-\nments in two different ways. On one side, it generates\na voltage USSEindependent of the absolute value of the\nexternally applied magnetic field H(crossing zero field\nresults in a change of the polarity of USSEaccording to\nthe SSE3,4,16), and on the other side, it most likely exerts\na torque on the magnetization, resulting in the manipu-\nlation of the relaxation damping (see Fig. 1(b)).\nFigure 1(c) shows a typical example for the measured\nISHE voltage UISHEwithout externally applied tempera-\nture difference. The voltagereachesits maximal absolute\nvalue at HFMR≈79 mT. In Fig. 3(a) the recalculated\nUSPdata is shown as a function of the external magnetic\nfieldHfor four different measured temperature differ-\nences ∆T. Heating and cooling the sample gives rise to a\nchange of the saturation magnetization MSresulting in\na resonance peak shift to higher or lower magnetic fields.\nAs it is obvious from Fig. 3(a), not only one but\nseveral modes contribute to the spin pumping voltage\nUSP. Therefore, the envelope of USPis fitted for each\ntemperature difference by a Gaussian function f(x) =\na·exp(−(x−b)2/(2c2)), where cdefines the linewidth\n∆Hwhichisameasureforthedamping α. Thelinewidth\n∆Hthat is determined in this way, does not necessarily\ncoincide with the real ferromagnetic resonance linewidth\n∆HFMRbut is proportional to it, i. e. ∆ H∝∆HFMR.\nThe linewidth ∆ Has a function of the temperature dif-\nference ∆ Tis shown in Fig. 3(b) for different microwave\npowersPMW. It is clearly visible that the total linewidth\n∆Hdecreases for one polarity of ∆ Tand increases for\nthe other. We also analyzed each mode separately and\nwe found that the qualitative behavior for each mode is\nthe same.\nAs it is visible from Fig. 3(b), the variation of the\nlinewidth ∆ Hper 1◦C temperature difference (slope in\nFig. 3(b)) is approximately the same for all microwave\npowers. For a temperature difference of ∆ T≈ ±4◦C,\nthe linewidth changes about 6%, independent of the mi-\ncrowave power. This independency is expected since the\ngenerated spin currents are thermally induced and, thus,\ndo not depend on the applied microwave power.3The\ndamping, i. e., the linewidth at ∆ T= 0 is larger for\nhigher microwave powers which is attributed to the on-\nset of non-linear effects.18–20\nNow we compare how the linewidth alters under the\ninfluence of a longitudinal temperature difference mea-\nsured by both spin pumping as well as microwave tech-\nniques. Since spin pumping is not sensitive to the spin-\nwave wavelength, the directly excited spin-wave modes\nas well as short-wavelength secondary waves contribute\nto the detected signal.10,11However, microwave reflec-\ntion mainly detects the primary excited uniform mode.FIG. 4. (Color online) Measured linewidth ∆ Has a func-\ntion of the measured temperature difference ∆ Tfor reflected\nmicrowave signal and spin pumping voltage USP.\nThe results are summarized in Fig. 4. For both mea-\nsurement techniques, the linewidth qualitatively behaves\nthe same in the investigated range of temperature dif-\nferences. Nevertheless, one can see that the slopes of\nthe two curves diverge leading to the assumption that\nthe uniform FMR mode is mostly effected by the heat-\ninduced damping modification. However, a quantitative\nstatement is not possible.\nAssuming, that the observed heat-induced damping\nvariationis dueto thermalspin currentsgeneratedbythe\nSSE, we calculate the variation of the magnetization re-\nlaxationin YIG/Pt hetero-structuresbased on the model\ndeveloped in Ref.8. We modify this model by substitut-\ning a heat-induced spin current for a SHE-generated spin\ncurrent: the charge current Jcis replaced by the tem-\nperature difference ∆ T. Thus, the generalized Landau-\nLifshitz-Gilbert (LLG) equation is expressed as\ndM\ndt=−γM×Heff+α0\nMsM×dM\ndt\n−γJSTT\nS\nM2sVFM×(M×σ),(1)\nwhereMis the magnetization, γthe gyromagnetic ra-\ntio,Heffthe effective magnetic field, Msthe saturation\nmagnetization, VFthe volume of the YIG layer, and\nσthe spin polarization vector. The Gilbert damping\nα=αF+∆αSPis the sum of the intrinsic damping con-\nstantαFof the isolated YIG layer and ∆ αSPis the ad-\nditional damping due to spin pumping in the adjacent\nPt layer.12JSTT\nSdescribes the heat-induced spin torque.\nBy introducing the injection and charge current conver-\nsion efficiency u= (e/¯h)(2πfMsdF/γ)v, wherevis the\nslope obtained by fitting our results (Fig. 3(b)), and by\nintroducing an additional temperature dependent damp-\ning parameter ∆ αSSE\nSTT, we obtain, in analogy to Ref.8,\nthe heat-induced spin torque\nJSTT\nS=AFv2πfMsdF\nγ∆T. (2)\nThespin-currentdensityisgivenby JS= 2e/(¯hAF)JSTT\nS.4\nPMW(dBm)JSTT\nS(×10−11Nm\n◦C∆T)JS(×109A\nm2◦C∆T)\n+14 1.74±0.15 3.70±0.32\n+20 2.11±0.18 4.49±0.39\n+25 2.01±0.08 4.27±0.16\nTABLE I. Comparison of spin torque JSTT\nSand spin current\ndensityJsfor different mircowave powers PMW.\nThe calculated spin torque JSTT\nSand the spin current\ndensityJSare summarized for different microwave pow-\nersin Table I. Forthese calculations MSis assumedto be\nconstant since ∆ Tleads to variations of only about 1%\nwhich cannotexplainthe observedbehavior. It shouldbe\nemphasizedthatourcalculatedheat-inducedspincurrent\ndensity per 1◦C is one to two orders of magnitude higher\nthan those generated by the SHE for the maximal DC\nvoltage pulses used in Ref.6ofU= 8 V (JS= 108A/m2,\nPt resistance ≈30 Ω, DC pulse length 300 ns, repetition\nrate 10 ms).\nTaking the large magnitude of the observed heat-\ninduced STT (linewidth change about 6%) for the com-\nparably small temperature difference across the actual\nYIG/Pt interface (less than 0.1◦C) into account, we\nmight consider influences on ∆ Hby other effects: (1)\nThechangeinelectricresistanceofthePtlayerduetothe\napplied temperature difference (less than approximately\n0.1%) cannot be the origin of the observed linewidth\nchange. (2)Inthepresentexperiment, phononspenetrat-\ning the entire sample stack (including the 500 µm thick\nGGG layer) are the main heat carriers.4,16Consequently,\nthey are the major cause for the thermally-induced spin\ncurrent.\nIn conclusion, the heat-induced damping modification\nin YIG/Pthetero-structureshasbeen shown. Themodu-\nlation ofthe relaxationcoefficient has been demonstrated\nby spin pumping as well as by microwave techniques.\nBoth techniques qualitatively show the same behavior.\nBesides that, our findings demonstrate, that every spin\npumping experiment, in which the coherent magnetiza-\ntion precession is driven by a microwave source, is ac-\ncompanied by heating. We have introduced a method\nto identify spin pumping from coherent magnons and\nSSE contribution from incoherent magnons to the ISHE\nvoltage, resulting in an increase of the ISHE voltage of\naround 0.1%. The spin transfer due to the tempera-\nture difference across the YIG/Pt interface has been es-\ntimated and has been compared to previous works that\nuse SHE-generated spin currents. It turns out, that the\nheat-induced spin current density JSper 1◦C is of theorder 109A/m2.\nWe thank G. A. Melkov for valuable discussions and\nR. Neb for the platinum film deposition. Financial\nsupport by the Deutsche Forschungsgemeinschaft within\nthe projects SE 1771/4-1 (Priority Program 1538 Spin\nCaloric Transport) and CH 1037/1-1 is gratefully ac-\nknowledged.\n1E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys.\nLett.88, 182509 (2006).\n2C. W. Sandweg, Y. Kajiwara, A. V. Chumak, A. A. Serga, V.\nI. Vasyuchka, M. B. Jungfleisch, E. Saitoh, and B. Hillebrand s,\nPhys. Rev. Lett. 106, 216601 (2011).\n3K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda, T .\nOta, Y. Kajiwara, H. Umezawa, H. Kawai, G. E. W. Bauer, S.\nMaekawa, and E. Saitoh, Nature Mater. 9, 894 (2010).\n4K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and\nE. Saitoh, Appl. Phys. Lett. 97, 172505 (2010).\n5A. G. Gurevich and G. A. Melkov, Magnetization Oscillations\nand Waves (CRC, New York, 1996).\n6Z. Wang, Y. Sun, M. Wu, V. Tiberkevich, and A. N. Slavin,\nPhys. Rev. Lett. 107, 146602 (2011).\n7E. Padr´ on-Hern´ andez, A. Azevedo, and S. M.Rezende, Phys.\nRev. Lett. 107, 197203 (2011).\n8K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda, S. Maekaw a,\nand E. Saitoh, Phys. Rev. Lett. 101, 036601 (2011).\n9L. Lu, Y. Sun, M. Jantz, and M. Wu, Phys. Rev. Lett. 108,\n257202 (2012).\n10M. B. Jungfleisch, A. V. Chumak, V. I. Vasyuchka, A. A. Serga,\nB.Obry, H.Schultheiss, P.A.Beck, A.D.Karenowska, E.Sait oh,\nand B. Hillebrands Appl. Phys. Lett. 99, 182512 (2011).\n11A. V. Chumak, A. A. Serga, M. B. Jungfleisch, R. Neb, D. A.\nBozhko, V. S. Tiberkevich, and B. Hillebrands, Appl. Phys. L ett.\n100, 082405 (2012).\n12Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev.\nLett.88, 117601 (2002).\n13M. V. Costache, M. Sladkov, S. M. Watts, C. H. van der Waal,\nand B. J. van Wees, Phys. Rev. Lett. 97, 216603 (2006).\n14S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang, J. Wu,\nT. Y. Chen, J. Q. Xiao, and C. L. Chien, Phys. Rev. Lett. 109,\n107204 (2012).\n15T. Kikkawa, K. Uchida, Y. Shiomi, Z. Qiu, D. Hou, D. Tian, H.\nNakayama, X.-F.Jin, E.Saitoh, arXiv:1211.0139 [cond-mat .mtrl-\nsci].\n16K. Uchida, T. Ota, H. Adachi, J. Xiao, T. Nonaka, Y. Kajiwara,\nG. E. W. Bauer, S. Maekawa, and E. Saitoh, J. Appl. Phys. 111,\n103903 (2012).\n17J. E. Hirsch, Phys. Rev. Lett. 83, 1834 (1999).\n18Y. Khivintsev, Bijoy Kuanr, T. J. Fal, M. Haftel, R. E. Camley ,\nZ. Celinski, and D. L. Mills, Phys. Rev. B 81, 054436 (2010).\n19Y. S. Gui, A. Wirthmann, and C.-M. Hu, Phys. Rev. B 80,\n184422 (2009).\n20V. E. Demidov, H. Ulrichs, S. O. Demokritov, and S. Urazhdin,\nPhys. Rev. B 83, 020404(R) (2011).\n21L. D. Landau and E. M. Lifshitz, Physikalische Zeitschrift d er\nSowjetunion 8, 153 (1935).\n22T. Valet and A. Fert, Phys. Rev. B 48, 7099 (1993).\n23S. Takahashi and S. Maekawa, J. Magn. Magn. Mater. 310, 2067\n(2007)." }, { "title": "1904.04800v2.Ferromagnetic_Resonance_Studies_of_Strain_tuned_Bi_YIG_Films.pdf", "content": "arXiv:1904.04800v2 [cond-mat.mes-hall] 6 Jun 2020Ferromagnetic resonance studies of strain tuned Bi:YIG film s\nRavinder Kumar,1B. Samantaray,1and Z. Hossain⋆1\n1Condensed Matter - Low Dimensional Systems Laboratory, Dep artment of Physics,\nIndian Institute of Technology (IIT) Kanpur – 208016, India∗\n(Dated: June 9, 2020)\nBismuth-doped Yttrium iron garnet (Bi:YIG) thin films known for large magneto-optical activity\nwith low losses still need to get probed for its magnetizatio n dynamics. We demonstrate a con-\ntrolled tuning of magnetocrystalline anisotropy in Bi-dop ed Y3Fe5O12(Bi:YIG) films of high crys-\ntalline quality using growth induced epitaxial strain on [1 11]-oriented Gd 3Ga5O12(GGG) substrate.\nWe optimize a growth protocol to get thick highly-strained e pitaxial films showing large magneto-\ncrystalline anisotropy, compare to thin films prepared usin g a different protocol. Ferromagnetic\nresonance measurements establish a linear dependence of th e out-of-plane uniaxial anisotropy on\nthe strain induced rhombohedral distortion of Bi:YIG latti ce. Interestingly, the enhancement in the\nmagnetoelastic constant due to an optimum substitution of Bi3+ions with strong spin orbit cou-\npling does not strongly affect the precessional damping ( ∼2×10−3). Large magneto-optical activity,\nreasonably low damping, large magnetocrystalline anisotr opy and large magnetoelastic coupling in\nBi:YIG are the properties that may help Bi:YIG emerge as a pos sible material for photo-magnonics\nand other spintronics applications.\nMagneto-crystalline anisotropy and Gilbert damping\nare the crucial parameters for a material to be used in\nvarious spin-based device applications[ 1–4]. The emerg-\ning field of spintronics promises dense and fast mem-\nory architectures, enabling huge data storage and fast\ninformation processing[ 5–14]. The spin current based\ndevices would be highly efficient with almost no ther-\nmal losses unlike charge-based electronics and could be\nused in energy harvesting by recycle of heat waste via\nspin-caloritronics[ 1,15–19]. The miniaturization of such\nconcept-device prototypes requires material media in a\nthin film form, where the magnetic properties can vary\nsignificantly due to different film thicknesses, growth in-\nducedstrains, crystallographicorientationandsubstrate-\nfilm interface reactions. It is essential to have a physi-\ncal parameter to tune the magnetic anisotropy in thin\nfilms while maintaining the precessional damping as-low-\nas possible. The strain produced in thin films due to\nsubstrate-filmlattice mismatchservesas atuning param-\neter for magnetic anisotropy and can be varied by chang-\ning the film thickness. The uniaxial magnetic anisotropy\nis the main contributing term in a thin film’s total mag-\nnetic anisotropy and as the anisotropy field in a ferro-\nmagnetic system has one-to-one mapping with the effec-\ntive magnetization, we tried to establish a relationship\nbetween magnetic damping and the strength of effective\nmagnetization for different ferromagnetic systems.\nIn Fig. 1, we compile results from existing litera-\nture on Gilbert damping ( α) and effective magnetiza-\ntion (4πMeff) of different ferromagnetic systems irre-\nspective of the growth (different growth conditions and\nmethods), physical form (thin films or bulk), thickness\n(in thin films), crystallinity (amorphous or polycrys-\ntalline or epitaxial), dopants and other factors (refer-\nences provided at the end of this paper). Region I is\n∗zakir@iitk.ac.in\nFIG. 1. (Color online) Relationship between effective magne -\ntization and Gilbert damping coefficient. Here, we compare\nsome of the interesting work from existing literature; Regi on I\nand II: Ferromagnetic insulators in the form of bulk, thin fil ms\n(polycrystalline and epitaxial); Region III: Conducting- oxides\nand; Region IV: Pure metals and metal-alloys. Different re-\ngions of interest have been shaded with different colors. Not e:\nReferences are provided at the end.\nthe most exploited one because pure-YIG possesses very\nlow-damping( ∼10−4)[1,20–24]. Theapplicationofspin-\norbittorqueinheavymetals(HM)[ 25–29]andtopological\ninsulators (TI)[ 30–32] capped ferrimagnetic garnet het-\nerostructures show potential to improve the efficiency of\nmagnetic manipulations as it will not shunt a charge cur-\nrentappliedtothecappedconductinglayer[ 33]. Beinganinsulating material, only electron’s spin degrees of free-\ndom is allowed, resulting in pure spin current, which is\nnot the case with conducting-oxides (Region III), metals\nand metal-alloys (Region IV). Besides having the ability\nto generate pure spin current, the magneto-optical prop-\nerties of YIG enhances in proportion to Bismuth (Bi)\nconcentration at Yttrium site[ 34–37]. Due to enhanced\nmagneto-optical activity in the UV, visible and IR re-\ngions along with low propagation loss, Bi:YIG is a po-\ntential candidate in microwave and optical applications\nsuch as miniaturization of magnetic field sensors[ 38–43]\nand reciprocal transmission devices like isolators and cir-\nculators, respectively[ 44–46]. It has been well established\nthat the Bi:YIG films with in-plane magnetization can\nserve as basic sensors for magneto-optical imaging of do-\nmain formation in magnetic materials, magnetic flux in\nsuperconductors, currents in microelectronic circuits and\nrecorded patterns in magnetic storage media[ 47–52]. It\nis suggestive that the growth parameters optimization\nis crucial to obtain films with in-plane magnetization\nand free from effective domain activity[ 37][47]. Ferri-\nmagneticinsulatorswithin-planeeasymagnetizationcan\nalso be used to realize spin superfluidity[ 53–57]. The\ncoherent condensation of magnons in spin superfluid-\nity offers a unique opportunity to realize long distance\ncoherent superfluid like transport of the spin current,\nunlike the transport carried by the incoherent thermal\nmagnons which decays exponentially[ 55]. Recently, cou-\npling of light and spin wave has been demonstrated by\nirradiating a ferrimagnetic insulator using spatially mod-\nulated light beam[ 10][58]. This coupling gives rise to a\nmagnonic crystal that shows the capability to be effi-\nciently reprogrammed on demand via heat. The cou-\npling of electromagnetic waves to wave-like excitations\nin solids (magnons) could also be helpful to reduce all\nthe lateral dimensions by ordersofmagnitude for on-chip\nmicrowave electronics with optically reconfigurable and\nmultifunctional characteristics. Doping pure YIG with\nBi improves its sensitivity towards light and makes it\npursuable for magneto-optical based device applications.\nBeing a novel material for possible photon-based device\napplications, it is essential to optimize and investigate\nthestaticanddynamicmagnetizationaspectsofthislight\nsensitive material medium (Region II). Bi:YIG films with\noverwhelmingly large magneto-photonic activity coupled\nwith improved magnetic properties will provide a mate-\nrial platform for newly emerging photo-magnonics field.\nThe importance of Bismuth substituted YIG as a po-\ntential material for light based magnonics applications,\nmotivated the studies reported here. In this study, we\ngrow high quality epitaxial Bi:YIG films on GGG(111)\ncrystals using two different growth protocols which allow\nus to achieve different strain-states induced by rhombo-\nhedral distortion due to film-substrate lattice mismatch.\nWe prepared two sets of samples, Set-A and Set-B. Set-\nA consists of thin Bi:YIG films with large magnetocrys-\ntalline anisotropy due to the large magnitude of strain,\nand, Set-B consists of thick Bi:YIG films with reason-ably large strain. Despite being thick, the films from\nSet-B show large magnitude of strain that leads to large\nvalue of magnetocrystalline anisotropy, for an example;\nthe magnitude of uniaxial magnetocrystalline anisotropy\nfield for a 100 nm thick film from set-B is larger than\na 37 nm thin film from Set-A. The Gilbert damping co-\nefficient increases slightly due to strong spin-orbit cou-\nplingandinhomogeneityproducedbyBismuthdoping( ∼\n2×10−3), but still orders of magnitude smaller compare\nto metallic films[ 59–61] and aresuitable formagnonics[ 8–\n12]spintronics[ 6][7][62][63]andcaloritronics[ 1][15–18]ap-\nplications. The magnetoelastic constant of Bi:YIG films\ncomes out to be larger than YIG films[ 2] due to Bi3+\nsubstitution which enhances the spin-orbit coupling and\nhence the magnetoelastic coupling.\nEpitaxial Bi:YIG films were grown on GGG(111) crys-\ntalsusingaKrFExcimerlaser(LambdaPhysikCOMPex\nPro,λ= 248 nm) of 20 ns pulse width. The laser was\nfired at a repetition frequency of10Hz on solid state syn-\nthesized Bi0.25Y2.75Fe5O12target, placed 50 mm away\nfrom the substrate. The substrates were in-situ annealed\nat 800◦C for 120 minutes to get atomically flat surfaces\nand then cooled down to 500◦C in 4.0×10−2mbar\noxygen pressure to deposit the films. The target was\nsufficiently preablated before actual deposition to get a\nsteady state target surface. We incorporated two routes\nto deposit these epitaxial films to obtain different strain-\nstates by changing the laser fluence at a fixed oxygen\nambient and growth temperature. For set-A, the fluence\nwas∼1 Jcm−2with a spot sizeof ∼10.0mm2and hence\nthe realized growth rate was ∼0.25˚A/s. For set-B, we\nalmost doubled the fluence ( ∼1.9 Jcm−2) by reducing\nthe spot size ( ∼5.4 mm2) to achieve an enhanced growth\nrate of∼0.45˚A/s. We deposited five films of thicknesses\n10.2, 18.1, 37.0, 92.5 and 200 nm using set-A growth pa-\nrameters, hereafter denoted as A1,A2,A3,A4andA5,\nrespectively. Another five films of thicknesses 18.7, 39.8,\n100, 150 and 200 nm were grown using growth protocol-\nB, hereafter denoted as B1,B2,B3,B4, andB5respec-\ntively. The growth rate and hence the thicknesses of dif-\nferent samples were pre-calibrated using Dektak stylus\nprofilometer. PANalyticalX’PertPROfourcirclediffrac-\ntometer equipped with Cu-K α1source (λ= 1.54059˚A)\nwas used to characterize the crystallinity and to quantify\nthe state-of-strain. Room temperature Vibrating Sample\nMagnetometry (VSM) measurement was performed us-\ning a Quantum Design Physical Property Measurement\nSystem (PPMS). For the dynamic magnetization mea-\nsurements, we used both commercial and a custom-made\nFMR setup. Angular dependent FMR measurements\nwere performed using Bruker EMX EPR spectrometer\nwith cavity mode frequency f ∼=9.60 GHz. Frequency\ndependent FMR measurements were performed by using\na broadband coplanar waveguide (CPW). The CPW as-\nsembly was housed in an external homogeneous DC mag-\nnetic field along with the superposition of a small and\nlow frequency AC field. This small modulation of mag-\n2/s32/s110/s109/s32/s110/s109/s40/s100 /s41\n/s40/s99 /s41/s83/s101/s116\n/s32 /s32/s110/s109\n/s32 /s32/s110/s109\n/s32 /s32/s32/s110/s109\n/s32 /s32/s32/s110/s109\n/s32 /s32/s32/s110/s109/s83/s101/s116\n/s32 /s32/s110/s109\n/s32 /s32/s110/s109\n/s32 /s32/s110/s109\n/s32 /s32/s110/s109\n/s32 /s110/s109/s32/s110/s109\n/s32/s110/s109\n/s32/s110/s109\n/s32/s110/s109\n/s32/s110/s109/s32/s110/s109\n/s32/s110/s109\n/s32/s110/s109/s32/s40/s68/s101/s103/s114/s101/s101/s41/s73/s110/s116/s101/s110/s115/s105/s116/s121 /s32/s40/s65/s114/s98/s46/s32/s85/s110/s105/s116/s41\n/s32/s40/s68/s101/s103/s114/s101/s101/s41/s32/s110/s109/s32/s110/s109\n/s32/s110/s109/s32/s110/s109/s32/s110/s109/s32/s110/s109\n/s40/s97 /s41/s40/s98 /s41\n/s32/s40/s68/s101/s103/s114/s101/s101/s41\nFIG. 2. (Color online) X-ray measurements on Bi:YIG films gro wn by two different protocols; Panel (a): X-ray reflectivity\nmeasurements with fitted data to calculate the thicknesses o f different Bi:YIG films. Panel (b): Intensity normalized ω(Omega)\nscan profiles with low values of FWHM defines good crystallini ty. Panel (c): Thickness dependence of a⊥and percentage strain\n([ab−a⊥]/ab%) in the Bi:YIG films from both the sets. Panel (d): X-ray Diffr actograms of Bi:YIG films with trails of Laue\noscillations suggest high epitaxy.\nnetic field is required to get differential of absorbed radio\nfrequency (RF) power which is measured by a Schottky\ndiode detector and a lock-in amplifier.\nFig.2summarizes the X-ray measurements on Bi:YIG\nfilms grown on (111) oriented GGG substrates. Panel\n(a) shows reflectivity measurements on all the samples\nexcept 100, 150 and 200 nm (pre-calibrated using pro-\nfilometry), as there were no visible thickness fringes due\nto larger thickness. Reflectivity data was fitted to cal-\nculate and standardize the profilometric pre-calibrated\nthickness and gives very low roughness ranging from\n0.25 to 0.39 nm. The panel (b) of Fig. 2shows in-\ntensity normalized ωscan profiles with low values of full\nwidth half maximum (FWHM) ranging between 0.0448\nto 0.0072◦, signifies high crystallinity. The panel (d) of\nFig.2shows X-ray diffraction patterns of all the Bi:YIG\nsamples where the pronounced trail of Laue oscillations\ncharacterizes smooth surfaces and sharp interfaces. The\nbulk lattice constant for Bi0.25Y2.75Fe5O12comes out to\nbe 12.389 ˚A and the corresponding 2 θpeak position is\nshown by a vertical bar beneath substrate peak. Thin\nfilm lattice constant ( a⊥) differs due to lattice mismatch\nbetween substrate and film (shown by vertical up ar-\nrows). This lattice mismatch causes rhombohedral dis-\ntortion in the films and hence contributes to diagonally\nstretched unit cells along the [111] growth direction. The\nstrain induced rhombohedral distortion in these epitax-\nial Bi:YIG films can be quantified using the parameter\nσ= (ab−a⊥)/ab= ∆a/ab, where,abis the bulk Bi:YIG\nlattice parameter and a⊥is the stretched film lattice pa-rameteralongthe[111]direction[ 2][3][64]. Forset-Asam-\nples, XRD patterns show strain relaxation as the thick-\nness increases from 10.2 to 200 nm (2 θvalue approaches\nthe bulk value), the strain-induced lattice distortion de-\ncreases from 1 .162% to almost ∼0.0%. Surprisingly, set-\nBsamples havingthicknesses18.7, 39.8, 100, 150and 200\nnm, show relatively high strain (1 .122% for 18.7 nm thin\nfilm and 0 .171% for 200 nm thick film). The variation of\na⊥and the lattice strain ( σ) w.r.t. to Bi:YIG film thick-\nness from both the sets are shown in Fig. 2panel (c). It\ncanbeseenthatthevalueof a⊥approachesbulkvaluefor\na film of thickness 200 nm from set-A, whereas, a 200 nm\nthick film from set-B possess elongated a⊥. Similarly, a\n200nmthickfilmfromset-Ashownegligiblelatticestrain\nbut a 200 nm thick film from set-B possesses reasonably\nlarge lattice strain. The 2-axis ωvs. 2θ−ωmaps are\nshown in Fig. 3. The top panel shows symmetric maps\nin the 444 direction of Bi:YIG films. Whereas, the bot-\ntom panel shows the 642 asymmetric direction maps. We\nshow (444) symmetric and (642) asymmetric 2-axis maps\nfor 10.2, 37.0 and 92.5 nm films from set-A, and, 100 and\n200 nm films from set-B. It can be clearly seen that the\n2θ−ωvalueforfilm (representedby+; redcolored)shifts\ntoward higher value as the film thickness increases and\napproaches to the GGG substrate spot (represented by\n×; black colored). The map of a 92 .5 nm thick film from\nset-A shows large relaxation compare to a 100 nm thick\nfilmfromset-B.Whichconfirmstheinferencedrawnfrom\nθ−2θXRD measurement. The laser ablation conditions\ngreatlyimpactthelatticeconstantofdepositedfilmsirre-\nspective of oxygen pressure and growth temperature. We\n3FIG. 3. (Color online) The ωvs. 2θ−ω, 2-axis maps in (444) symmetric and (642) asymmetric direct ions: Left panel shows\nmaps of 10.2 nm, 37.0 nm and 92.5 nm Bi:YIG films from set-A. Rig ht Panel shows maps of 100 nm and 200nm Bi:YIG films\nfrom set-B.\nobserve that the laser fluence plays an important role in\ntuning the lattice constant of the films. The set-A films\nprepared using slow growth rate ( ∼0.25˚A/s) with a\nlower laser fluence ( ∼1 Jcm−2) show less lattice expan-\nsion and complete relaxation with thickness increment.\nWhereas, the set-B films prepared using almost doubled\ngrowth rate ( ∼0.45˚A/s) due to higher laser fluence ( ∼\n1.9 Jcm−2) show tendency to possess reasonably large\nlattice expansion even for higher thicknesses (panel (c)\nand (d) of Fig. 2). The laser fluence (growth rate) is low\nin the case of Set-A Bi:YIG films, which gives sufficient\nsettle down time to the ablated plasma species and hence\nlead to strain relaxation. In contrast, the higherlaserflu-\nence (growthrate) in the case ofBi:YIG films from set-B,\ndoesn’t allow the ablated plasma species to settle down\nand get relaxed. Table Icontains XRD, magnetization\nand FMR derived parameters for both the sets of sam-\nples. The negative sign of σindicates the presence of\ncompressive strain which relaxes with increment in film\nthickness[ 2,64–66].\nRoom temperature in-plane magnetic hysteresis loops\nare measured using VSM on Quantum Design PPMS.\nIn-plane and out-of-plane magnetization loops for a 37.0nm thick film from set-A is shown in Fig. 4(b), where\ntheparamagneticbackgroundfromGGGwassubtracted.\nThe values of saturation magnetization (4 πMS) for sam-\nples from set-A and set-B ranges between 1720 ±100 to\n1407±25 Oe and 1608 ±17 to 1457 ±12 Oe, respectively.\nThe coercivity ( HC) of these samples are in the range\nof∼13 to 23 Oe. These values fall in the range of\nreported YIG magnetization data[ 20][64–68]. To probe\nthe static and dynamic magnetic properties of Bi:YIG\nepitaxial films, we performed angular and frequency de-\npendent FMR measurements on both the sets of sam-\nples. Generally, the magnetic garnet thin films with a\nhard axis in the [111] direction (i.e., In-plane easy axis),\npossesses extrinsic uniaxial magnetic and intrinsic mag-\nnetocrystalline cubic anisotropies. FMR can directly de-\nduce the magnetic anisotropies in a precise manner. The\ncoordinate system used for FMR study on (111) oriented\nepitaxial Bi:YIG films is shown in Fig. 4(a). The ori-\nentations of static magnetic field Hand magnetization\nvectorMwith reference to coordinates x:[2 11], y:[011]\nand z:[111] are described by the angles φH,θHandφM,\nθM, respectively. The total free energy per unit volume\nof the media for (111) oriented cubic garnet system has\nthe form[ 69][70],\nF=−HMS/bracketleftbigg\nsinθHsinθMcos(φH−φM)\n+cosθHcosθM/bracketrightbigg\n+2πM2\nScos2θM−Kucos2θM+K1\n12/parenleftbigg7sin4θM−8sin2θM+4−\n4√\n2sin3θMcosθMcos3φM/parenrightbigg\n+K2\n108/parenleftbig\n−24sin6θM+45sin4θM−24sin2θM+4−2√\n2sin3θMcosθM/parenleftbig\n5sin2θM−2/parenrightbig\ncos3φM+sin6θMcos6φM/parenrightbig\n(1)\n4/s40/s99/s41/s40/s97/s41\n/s45/s52/s48/s48 /s45/s50/s48/s48 /s48 /s50/s48/s48 /s52/s48/s48/s45/s49/s48/s49\n/s32 /s102/s105/s108/s109\n/s110/s109/s32 /s102/s105/s108/s109/s77/s47/s77\n/s83\n/s72 /s32 /s40 /s101 /s41/s40/s98/s41\n/s50/s48/s48/s48 /s52/s48/s48/s48 /s54/s48/s48/s48\n/s72 /s32/s40/s79/s101/s41/s100/s73\n/s70/s77/s82/s47/s100/s72/s32 /s40/s97/s114/s98/s46/s32/s117/s110/s105/s116/s115/s41\n/s72/s61 /s57/s48/s111\n/s32/s53/s48/s111\n/s32/s32/s32/s32/s32 /s51/s48/s111\n/s32/s32/s32 /s50/s48/s111\n/s32/s49/s48/s111\n/s32/s48/s111\n/s32/s110/s109\nFIG. 4. (Color online) Room temperature magnetization and o ut-of-plane angular dependence of resonance field for Bi:YI G\nfilms from both the sets. (a) Typical schematic of spherical c oordinate system for FMR measurements and analysis of [111]\noriented epitaxial Bi:YIG/GGG(111) samples. (b) Magnetic hysteresis loops measured in in-Plane (red) and out-of-pla ne\n(Green) configuration of a 37.0 nm thin film from set-A using VS M. (c) Representative FMR derivative spectra for a 39.8 nm\nBi:YIG film from set-B. Panel (d) picturizes out-of-plane an gular variation ( θH) of the resonance fields ( Hres) and fitted curves\nfor set-A. Inset: Energy minimization comparison for 10.2 n m and 200 nm thick Bi:YIG films from set-A. Panel (e) picturize s\nout-of-plane angular variation ( θH) of the resonance fields ( Hres) and fitted curves for set-B. Inset: Energy minimization\ncomparison of 18.7 nm and 200 nm thick Bi:YIG films from set-B.\nThe first term in Eq. ( 1) corresponds to the Zee-\nman energy, the second term to the demagnetization en-\nergy, the third term to the out-of-plane uniaxial mag-\nnetocrystalline anisotropy energy Kuand the last two\nterms are due to first and second order cubic magne-\ntocrystalline anisotropy energies, K1andK2, respec-\ntively. The total free energy equation was minimized\n(∂F/∂θ M≡∂F/∂φ M≡0) to obtain the equilibrium ori-\nentation of the magnetization vector M(H). The evalu-\nation of resonance frequency ( ωres) of uniform magneti-\nzation precessional mode at equilibrium condition can be\nmade using total free energy and is expressed as:[ 70–72]\nωres=γ\nMSsinθM/bracketleftBigg\n∂2F\n∂θ2\nM∂2F\n∂φ2\nM−/parenleftbigg∂2F\n∂θM∂φM/parenrightbigg2/bracketrightBigg1/2\n(2)\nhereγandMSdenote gyromagnetic ratio and satu-\nration magnetization, respectively. These coupled and\nindirectly defined functional equations were solved nu-merically to obtain the equilibrium angles at resonance\ncondition and fit the angular dependent resonance data\n(Hresvs.θH) to determine g-factor, Ku,Hu,H1,H2\nandEani(see Table I). Fig. 4(c) shows representative\nangular-FMR spectra of a 39.8 nm thick film from set-B\nat a microwave frequency of ∼9.6 GHz. The peak-to-\npeak difference of FMR derivative gives linewidth (∆ H)\nwhich decreasesasthe film thickness increases. The mea-\nsured in-plane ∆ Hvalues for set-A samples A1,A2,A3,\nA4, andA5at∼9.6 GHz are 154, 120, 93, 39, and 14\nOe, respectively. Similarly, for set-B samples B1,B2,\nB3,B4, andB5the in-plane ∆ Hvalues are 150, 105, 50,\n44, and 23 Oe, respectively. The energy minimization\ngoverned by the correspondence between θHandθMis\nshown in the inset of Fig. 4(d-e), where the equilibrium\nmagnetization angle θMwas estimated numerically. It\ncan be seen that energy minimization attains large cur-\nvature for thin Bi:YIG film from both the sets and hence\nlarge anisotropy compare to thick film from the respec-\ntive sets. Fig. 4(d) and (e) show θHdependence of Hres\n5TABLE I. XRD, M−Hand FMR derived parameters of Bi:YIG epitaxial films grown by two protocols. Set-A ( A1,A2,A3,\nA4, andA5) and set-B ( B1,B2,B3,B4, andB5) are separated by a solid horizontal line.\nThickness 2 θ a ⊥ ∆a/ab 4πMS g-factor Ku Hu H1 H2 Eani\n(VSM)\n(nm) (Degree) ˚A % (Oe) ( ×103erg/cc) (Oe) (Oe) (Oe) ( ×103erg/cc)\n10.2 (A1) 50.406 12.533 -1.162 1720 ±100 2.12 -125.40 ±8.23 -1831 ±227 -15.3 ±1.9 3.1 ±1.1 -126.24 ±8.24\n18.1 (A2) 50.766 12.450 -0.492 1432 ±63 2.09 -55.68 ±4.21 -977 ±117 -29.7 ±2.2 13.8 ±1.6 -56.59 ±4.21\n37.0 (A3) 50.919 12.41 -0.210 1482 ±37 2.03 -27.68 ±3.02 -469 ±63 -40.9 ±1.8 30.9 ±1.7 -28.27 ±3.01\n92.5 (A4) 51.011 12.394 -0.0484 1507 ±38 2.01 -7.43 ±2.71 -124 ±48 -12.1 ±0.9 52.1 ±2.0 -5.03 ±2.70\n200 (A5) 51.033 12.389 0.0 1407 ±25 2.00 -3.91 ±1.32 -70 ±25 -3.2 ±0.6 3.2 ±0.7 -3.91 ±1.31\n18.7 (B1) 50.425 12.528 -1.122 1582 ±38 2.13 -81.42 ±6.72 -1292 ±137 -57.3 ±1.9 6.7 ±1.0 -84.61 ±6.81\n39.8 (B2) 50.530 12.504 -0.928 1545 ±25 2.05 -62.12 ±5.33 -1010 ±103 -14.4 ±0.8 160.2 ±3.4 -53.16 ±5.42\n100 (B3) 50.747 12.454 -0.525 1520 ±25 2.04 -39.23 ±4.28 -648 ±82 -40.0 ±1.2 65.1 ±1.9 -37.71 ±4.37\n150 (B4) 50.807 12.440 -0.414 1608 ±17 2.03 -15.78 ±3.04 -246 ±50 -19.6 ±0.6 118.5 ±1.4 -9.45 ±3.11\n200 (B5) 50.939 12.410 -0.171 1457 ±12 2.01 -6.25 ±0.91 -108 ±17 -23.9 ±0.7 113.5 ±1.2 -1.06 ±0.96\nfor set-A and set-B samples, respectively. The fit using\nEqs. (1) and (2) agrees well with the measured data. All\nthe extracted parameters for both the sets of samples are\nshown in Table I, separated by a solid line.\nWe mainly focus on the out-of-plane uniaxial anisotropy\nfield (Hu) due to its large contribution to total magnetic\nanisotropy and systematic variation with film thickness\nor lattice strain. In contrast, we couldn’t witness a sys-\ntematic thickness or strain dependence of cubic first and\nsecond order anisotropy which are weak in magnitude.\nInterestingly, Hufor 10.2 nm thin and 200 nm thick\nfilms from set-A comes out to be -1831 ±227 Oe and -\n70±25 Oe, respectively, which provides a strain tuning\nover a range of more than 1700 Oe. It suggests that\nthe rhombohedral distortion induces substantial out-of-\nplaneuniaxialanisotropyviathemagnetostriction,which\ndecreases systematically with increase in the film thick-\nness. The g-factor for thin films is as large as 2.13,\ngreater than the spin-only value 2 .0. This corroborates\nthe existence of spin-orbit coupling that lead to strain-\ninduced anisotropy. However, the g-factor for thick films\nare smaller ( ∼2.0). This variation in ‘g’ possibly arises\ndue to different strain state, which may change the oc-\ncupation of orbitals and hence the magnitude of orbital\nangular momentum and spin-orbit coupling. The strain\ninduced variation of HuandEaniis picturized in Fig.\n5(a) and (b), respectively. It is clear from Fig. 5(a)\nand (b) that the magnitudes of HuandEaniincreases\nalmost linearly as the magnitude of rhombohedraldistor-\ntion increases. The enhancement in uniaxial anisotropy\nfield is due to the larger magnitude of growth induced\nstraininthe samplesfromset-Bascomparetoset-A.The\nsubstrate-film lattice mismatch causes lattice-distortion\nin deposited films which results in a definite strain-state.\nThe lattice distortion influences the magnetic properties.This magnetization-lattice coupling gives rise to strain-\ninduced out-of-plane uniaxial anisotropy field, Hu. The\nstrain induced by rhombohedral distortion in a cubic lat-\ntice relaxes as the film thickness increases and hence re-\nsults in very low or almost negligible strain, which ulti-\nmately makes the film isotropic, having properties sim-\nilar to bulk. The value of Hufor Bi:YIG films B1,B2,\nB3,B4, andB5from set-B are found to be -1292 ±137,\n-1010±103, -648 ±82, -246±50, and -108 ±17 Oe, respec-\ntively. It is important to note that the values of Hufor\nthicker films from set-B are larger compare to respective\nfilm thicknesses from set-A. If we compare the uniaxial\nanisotropy field of Bi:YIG films from both the sets of al-\nmost equal thicknesses, i.e., A2(18.1 nm) and B1(18.7\nnm), comes out to be -977 ±117 Oe, and -1292 ±137 Oe,\nrespectively. The uniaxial anisotropy field magnitude for\nset-B Bi:YIG film is almost 300 Oe larger compare to the\nvalue of set-A Bi:YIG film.\nThe magnetoelastic energy density for a strain depen-\ndent FMR measurement is given by FME=−σb[cos]2Θ,\nwherebis magnetoelastic constant and Θ is the angle\nbetween Mand strain direction[ 2][3]. ForMpointing\nin the [111] direction, the magnetoelastic energy den-\nsity has the form, FME=−σb. Fig.5(b) shows the\nlinear dependence and least-square fit of anisotropy en-\nergyEani=−1/2[MSHu] with different strain states of\nBi:YIG films from both the sets. The derived expressions\nfrom least-square fit in Fig. 5(b) for set-A and set-B are\nEani= (−3.46±1.06)×103+(10.74±0.51)×106[(ab−\na⊥)/ab] (erg/cc) and Eani= (12.58±3.59)×103+(7.71±\n1.18)×106[(ab−a⊥)/ab] (erg/cc), where the slope of\nthe lines give −b= (10.74±0.51)×106(erg/cc) and\n−b= (7.71±1.18)×106(erg/cc), respectively. The neg-\native sign of bimplies that the magnetic easy axis is par-\nallel to the compressed lattice plane; [111]. The magne-\n6/s32/s83/s101/s116\n/s32/s76/s105/s110/s101/s97/s114/s32/s70/s105/s116\n/s40/s97\n/s98/s45/s97 /s41/s47/s97\n/s98/s32/s40 /s41/s69\n/s97/s110/s105/s32/s40 /s32/s101/s114/s103/s47/s99/s109 /s41/s72\n/s117/s32/s40/s71/s41\nFIG. 5. (Color online) (a) Out-of-plane uniaxial anisotrop y\nfieldHuand (b) total anisotropy energy Eanias a function of\nthe rhombohedral distortion (( ab−a⊥))/ab% of the Bi:YIG\nfilms on GGG(111). Blue solid lines are the least-square fit\nto obtain magnetoelastic coupling constant. Dashed curves\nserve as a guide to the eye.\ntoelastic constant of Bi:YIG comes out to be larger than\nin pure-YIG film[ 2]. Pure YIG exhibits almost quenched\norbital momentum of half-filled dshell inFe3+electron\nconfiguration, leads to weak SOC and shows low magne-\ntoelastic coupling constant. The substitution of strong\nSOC ions such as Bi3+,Dy3+andTm3+etc. enhances\nthe spin-orbit coupling which results in improved mag-\nnetoelastic coupling. It suggests that the strain-tuning\ncould be very crucial to obtain large magnetocrystalline\nanisotropy even in thick ferrimagnetic-insulating films.\nGilbert damping coefficient αfor our Bi:YIG films has\nbeen calculated from frequency-dependent FMR mea-\nsurement between 7 and 12 GHz. The external mag-\nnetic field is swept at various fixed frequencies. Fig.\n6(a) and (b) show the frequency vs. Hresdata and\nits fit (corresponding colored solid curves) for set-A and\nset-B, respectively, using reduced form of Eqs. ( 1)\nand (2) in a limiting in-plane magnetic field geometry\n(θH= 90◦,φH= 0◦). The derived compact expression in\nasymptotic limit has the form (in-plane Kittel equation),\nωres=γ/radicalBig\nHres(Hres+4πMeff) (3)\nwiththeeffectivemagnetization4 πMeff= 4πMS−Hani,\nwhere,Haniis the anisotropy field parameterizes out-of-\nplane uniaxial and cubic anisotropies. It is clear from\nFig.6(a) and (b) that the data fits perfectly without\neven considering additional in-plane anisotropy contri-\nbutions. In Eq. ( 3) we do not consider a renormalization\nshift in the resonance frequency and a small shift in res-\nonance field which can arise by two-magnon scattering\nand a static dipole interaction between the ferrimagnetic\nfilm and the paramagnetic substrate, respectively, due to\nnegligiblysmallcontributions. Fig. 6(c)and(d)showin-\nplane frequency dependencies of linewidth (∆ H) for set-\nA and set-B films, respectively. The standard Landau-\n/s56 /s49/s48 /s49/s50/s48/s49/s48/s51/s48/s52/s48/s57/s48/s49/s50/s48/s49/s53/s48/s49/s56/s48\n/s56 /s49/s48 /s49/s50/s48/s49/s48/s50/s48/s51/s48/s52/s48/s53/s48/s57/s48/s49/s50/s48/s49/s53/s48/s49/s56/s48\n/s40/s100/s41/s40/s99/s41\n/s110/s109/s32/s32/s110/s109/s110/s109/s32/s32/s110/s109/s32/s110/s109/s40 /s101 /s41\n/s70/s114/s101/s113/s117/s101/s110/s99/s121 /s32/s40/s71/s72/s122/s41/s32/s110/s109\n/s110/s109/s110/s109\n/s32/s32/s110/s109\n/s110/s109\nFIG. 6. (Color online) In-plane, frequency and thickness de -\npendent room temperature FMR measurements. Panel (a)\nand (b) represent frequency vs. resonance field plots for set -\nA and set-B, respectively. The fit to experimental data has\nbeen shown by corresponding coloured solid curves. Panel (c )\nand (d) represent frequency dependent linewidth variation for\nset-A and set-B, respectively. Black solid lines represent fit\nto the experimental data.\nLifshitz-Gilbert equation justifies the linear dependence\nof ∆Hwith frequency and used for straightforward de-\ntermination of the intrinsic Gilbert damping coefficient\n(α): ∆H= ∆H0+ (4πα/√\n3γ)fres, where ∆ H0is the\nextrinsic linewidth broadening due to magnetic inhomo-\ngeneities within the material. The extracted values of\n4πMeff,αand ∆H0for films from both the sets are\nshown in table II.\nFig.7(a)showsstraindependentvariationsof4 πMeff\nand 4πMS. The values of 4 πMefffor both the sets\nsystematically decreases with the increase in film thick-\nness but the values strongly depend on the state-of-the-\nstrain in the films. It can be seen that 4 πMeffis signif-\nicantly larger than the Bi:YIG saturation magnetization\ngenerated simple shape anisotropy i.e., 4 πMS, reveal-\ning the presence of a negative uniaxial anisotropy, sig-\n7TABLE II. Frequency and thickness dependent FMR derived\neffective magnetization, Gilbert damping coefficient and in-\nhomogeneous broadening of Bi:YIG epitaxial films grown by\ntwo different protocols. Set-A and set-B are separated by a\nsolid horizontal line.\nThickness 4 π Meff α(×10−3) ∆ H0\n(nm) (Oe) (Oe)\n10.2 (A1) 3482 ±65 18.3 ±1.3 84\n18.1 (A2) 2441 ±27 12.7 ±0.9 72\n37.0 (A3) 1970 ±8 6.9 ±0.7 67\n92.5 (A4) 1673 ±46 2.4 ±0.3 30\n200 (A5) 1510 ±2 2.0 ±0.1 5\n18.7 (B1) 2928 ±15 16.1 ±1.5 92\n39.8 (B2) 2437 ±2 9.6 ±0.6 68\n100 (B3) 2125 ±3 3.4 ±0.1 37\n150 (B4) 1787 ±4 3.2 ±0.1 31\n200 (B5) 1399 ±2 2.9 ±0.2 10\nnature of easy in-plane magnetization. The gap between\n4πMeffand 4πMSrepresents magnitude of anisotropy\nfieldHani= 4πMS−4πMeffwhich decreases with in-\ncrement in film thickness. The magnitude of Hanifor\n∼100 nm thick Bi:YIG film from set-B is larger than\nthat expected and comparable to ∼37 nm thin film\nfrom set-A, which is due to growth induced large strain.\nFig.7(b) shows magnetization (4 πMeff, 4πMS) depen-\ndence on uniaxial anisotropy field, where, the magne-\ntization decreases in proportion with the magnitude of\nuniaxial anisotropy field. Fig. 7(c) shows the variation\nofαwith respect to the film thickness from both the\nsets. Whereas, inset shows induced strain dependency\nofα. We notice that the value of αdecreases nonlin-\nearly as film thickness increases (or strain relaxes) and\nvice-versa. We include effective magnetization, uniax-\nial anisotropy field and damping data of YIG/GGG(111)\nfilms from literature by Bhoi et. al.[64] which also follow\nthe same trend. The lowest damping possessed by a 200\nnm thick film from set-A is (2 .0±0.1)×10−3with an\ninhomogeneous broadening of ∼6 Oe, whereas, a 200\nnm thick film from set-B shows slightly larger damp-\ning (2.9±0.2)×10−3with an inhomogeneous broad-\nening of ∼10 Oe but inherit reasonably large uniaxial\nanisotropyfield ( −108±17Oe) which is almosttwotimes\nlarger compare to former. Although, the damping in Bi\ndoped YIG enhances due to strong spin orbit coupling,\nstill it’s passably small compare to metallic systems[ 59–\n61]. As the values of αand|Hu|increases as a func-\ntion of the induced strain, we therefore plot αvs.Hu\ngraph (see Fig. 7(d)) to see the correlation between\nthe precessional damping and magnetic anisotropy. In\nour Bi:YIG thin film system, we observe a nonlinear re-\nlationship between αandHu, similar to YIG and can\nbe attributed to spin wave damping induced by incre-\nment in strain[ 64]. Rhombohedral distortion arising due\nto lattice mismatch between the film and the substrate\nleads to changein magnetic properties throughspin orbit\n/s32/s82/s101/s102\n/s72\n/s117/s32 /s40/s71 /s41/s40/s100 /s41\nFIG. 7. (Color online) Magnetization (4 πMSand 4πMeff)\ndependencies on (a) epitaxial strain and (b) Hu. Precessional\ndamping dependencies on (c) thickness (inset: on strain) an d\n(d)Hu. Panel (b) and (d) include YIG/GGG(111) data from\nref.[64]. Dashed curves serve as a guide to the eye.\ncoupling[ 3]. The inclusion of lattice distorted SOC along\nwith phonon-magnon scattering, two-magnon scattering\nor charge transfer relaxation may explain the thickness\ndependent enhancement of uniaxial anisotropy and re-\nduction of magnetic damping[ 2,3,33,60,61,64,73].\nIn summary, we have been able to grow high quality\nepitaxial Bi:YIG thin films on GGG(111) crystals as\nevidenced by prominent Laue oscillations in X-ray\ndiffraction pattern. A usual trend of the film lattice\nrelaxation and decrease in magnetic anisotropies as\nthe film thickness increases has been observed. Our\nstudy shows that strain can be a crucial parameter to\ntune the magnetocrystalline anisotropy. We optimize\na growth protocol to get thick epitaxial films with\nlarge lattice strain which allows us to achieve large\nmagneto-crystalline anisotropy. The Bi:YIG films grown\nusing higher laser fluence show large magneto-crystalline\nanisotropy compare to films of respective thicknesses\ngrown using lower laser fluence. We show that the\nincorporation of growth induced large strain in thick\nBi:YIG films can be helpful to improve the magnetic\nproperties. Out-of-plane uniaxial anisotropy varies\nlinearly with strain induced rhombohedral distortion\nof Bi:YIG lattice. Still, we are able to achieve fairly\nlow Gilbert damping ∼2×10−3with enhanced mag-\n8netoelastic coupling. Further, as Bismuth substitution\nenhances the magneto-optical responses enormously,\nthe coupling of large magnetocrystalline anisotropy,\nimproved magnetoelastic coupling and low damping\nwith strong magneto-optical activity in Bismuth sub-\nstituted YIG may provide unique opportunities for\nphoton-based-magnonics to develop efficient and low\nloss spintronics and caloritronics devices.\nACKNOWLEDGEMENTS:\nWe thank Prof. R. C. Budhani for fruitful discussion\nand Dr. Veena Singh for technical assistance during\nFMR measurements.Reference details of the relationship between\neffective magnetization and Gilbert damping\ncoefficient shown in Fig. 1. It was constructed using\nthe effective magnetization (saturation magnetization\nin few cases) and Gilbert damping coefficient values\nfrom various (Region I and II) ferro- and ferrimagnetic\ninsulators, (Region III) conducting oxides and (Region\nIV) pure metals and metal-alloys, as reported in previous\nstudies.\n9FIG. 8. Region - I: Hauser et al. [23], Chang et al. [21], Chang et al. [1], Bhoiet al. [64], Lucas et al. [74], Leet al. [75], Onbasli et\nal.[20], Liuet al. [73], Yanget al. [27], Gallagher et al. [76], Sunet al. [77], Jungfleish et al. [78], Howe et al. [22], Patiet al. [79],\nWuet al. [80], Jermain et al. [33], Budhani et al. [81], Nosach et al. [82], Yoshimoto et al. [83], Dubset al. [84], Pirroet al. [85],\nHeinrich et al. [86], Haertinger et al. [87], Fanget al. [88], Hariiet al. [89], Chang et al. [1].\nRegion - II: Iguchi et al. [90], Kehlberger et al. [91], Vasiliet al. [92], Siuet al. [93].\nRegion - III: Lee et al. [94], Emori et al. [95], Qinet al. [96], Qinet al. [97], Luoet al. [98].\nRegion - IV: Ando et al. [99], Guoet al. [61], Leeet al. [100], Tuet al. [101], Luet al. [102], Fermin et al. [103], Gong et\nal.[104], Ikedaet al. [105], Lindner et al. [106], Belmeguenai et al. [107], Heet al. [108], Kurebayashi et al. [109], Zhaoet al. [110],\nSamantaray et al.[111], Kocbay et al. [112].\n10[1] H. Chang, P. A. Praveen Janantha, J. Ding, T. Liu,\nK. Cline, J. N. Gelfand, W. Li, M. C. Marconi, and\nM. Wu, Sci. Adv., 3, e1601614 (2017).\n[2] H. Wang, C. Du, P. C. Hammel, and F. Yang, Phys.\nRev. B,89, 134404 (2014).\n[3] C. Du, R. Adur, H. Wang, A. J. Hauser, F. Yang, and\nP. C. Hammel, Phys. Rev. Lett., 110, 147204 (2013).\n[4] C. T. Wang, X. F. Liang, Y. Zhang, X. Liang, Y. P.\nZhu, J. Qin, Y. Gao, B. Peng, N. X. Sun, and L. Bi,\nPhys. Rev. B, 96, 224403 (2017).\n[5] S. S. P. Parkin, in IEDM Technical Digest. IEEE In-\nternational Electron Devices Meeting, 2004. (2004) pp.\n903–906.\n[6] S. Fukami, H. Sato, M. Yamanouchi, S. Ikeda, F. Mat-\nsukura, and H. Ohno, 19th Asia and South Pacific De-\nsign Automation Conference (ASP-DAC), 684 (2014),\nISSN 2153-6961.\n[7] V. K. Joshi, Eng. Sci. Technol. Int J., 19, 1503 (2016).\n[8] S. Klingler, P. Pirro, T. Br¨ acher, B. Leven, B. Hille-\nbrands, and A. V. Chumak, Appl. Phys. Lett., 105,\n152410 (2014).\n[9] S. Klingler, P. Pirro, T. Br¨ acher, B. Leven, B. Hille-\nbrands, and A. V. Chumak, Appl. Phys. Lett., 106,\n212406 (2015).\n[10] D. Grundler, Nat. Phys., 11, 438 (2015).\n[11] K. Ganzhorn, S. Klingler, T. Wimmer, S. Gepr¨ ags,\nR. Gross, H. Huebl, and S. T. B. Goennenwein, Appl.\nPhys. Lett., 109, 022405 (2016).\n[12] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and\nB. Hillebrands, Nat. Phys., 11, 453 (2015).\n[13] A. Makarov, T. Windbacher, V. Sverdlov, and S. Sel-\nberherr, Semicond. Sci. Technol., 31, 113006 (2016).\n[14] E. Egel, G. Csaba, A. Dietz, S. Breitkreutz-von Gamm,\nJ. Russer, P. Russer, F. Kreupl, and M. Becherer, AIP\nAdv.,8, 056001 (2018).\n[15] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae,\nK. Ando, S. Maekawa, and E. Saitoh, Nature, 455, 778\n(2008).\n[16] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi,\nJ. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai,\nG. E. W. Bauer, S. Maekawa, and E. Saitoh, Nat.\nMater.,9, 894 (2010).\n[17] G. E. W. Bauer, E. Saitoh, and B. J. van Wees, Nat.\nMater.,11, 391 (2012).\n[18] A. Kirihara, K.-i. Uchida, Y. Kajiwara, M. Ishida,\nY. Nakamura, T. Manako, E. Saitoh, and S. Yorozu,\nNat. Mater., 11, 686 (2012).\n[19] J. P. Heremans and S. R. Boona, Physics, 7, 71 (2014).\n[20] M. C. Onbasli, A. Kehlberger, D. H. Kim, G. Jakob,\nM. Kl¨ aui, A. V. Chumak, B. Hillebrands, and C. A.\nRoss, APL Mater., 2, 106102 (2014).\n[21] H. Chang, P. Li, W. Zhang, T. Liu, A. Hoffmann,\nL. Deng, and M. Wu, IEEE Magn. Lett.., 5, 1 (2014).\n[22] B. M. Howe, S. Emori, H. Jeon, T. M. Oxholm, J. G.\nJones, K. Mahalingam, Y. Zhuang, N. X. Sun, and G. J.\nBrown, IEEE Magn. Lett.., 6, 1 (2015).\n[23] C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt,\nM. Qaid, H. Deniz, D. Hesse, M. Sawicki, S. G. Ebbing-\nhaus, and G. Schmidt, Sci. Rep., 6, 20827 (2016).\n[24] A. Krysztofik, L. E. Coy, P. Ku´ swik, K. Zaleski,\nH. G/suppress lowi´ nski, and J. Dubowik, Appl. Phys. Lett., 111,192404 (2017).\n[25] H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Hammel,\nand F. Y. Yang, Phys. Rev. B, 88, 100406 (2013).\n[26] V. Castel, N. Vlietstra, B. J. van Wees, and\nJ. Ben Youssef, Phys. Rev. B, 90, 214434 (2014).\n[27] F. Yang and P. C. Hammel, J. Phys. D: Appl. Phys.,\n51, 253001 (2018).\n[28] S. Emori, A. Matyushov, H.-M. Jeon, C. J. Babroski,\nT. Nan, A. M. Belkessam, J. G. Jones, M. E. McConney,\nG. J. Brown, B. M. Howe, and N. X. Sun, Appl. Phys.\nLett.,112, 182406 (2018).\n[29] N. Thiery, A. Draveny, V. V. Naletov, L. Vila, J. P. At-\ntan´ e, C. Beign´ e, G. de Loubens, M. Viret, N. Beaulieu,\nJ. Ben Youssef, V. E. Demidov, S. O. Demokritov,\nA. N. Slavin, V. S. Tiberkevich, A. Anane, P. Bortolotti,\nV. Cros, and O. Klein, Phys. Rev. B, 97, 060409 (2018).\n[30] M. Lang, M. Montazeri, M. C. Onbasli, X. Kou, Y. Fan,\nP. Upadhyaya, K. Yao, F. Liu, Y. Jiang, W. Jiang, K. L.\nWong, G. Yu, J. Tang, T. Nie, L. He, R. N. Schwartz,\nY. Wang, C. A. Ross, and K. L. Wang, Nano Lett., 14,\n3459 (2014).\n[31] H. Wang, J. Kally, J. S. Lee, T. Liu, H. Chang, D. R.\nHickey, K. A. Mkhoyan, M. Wu, A. Richardella, and\nN. Samarth, Phys. Rev. Lett., 117, 076601 (2016).\n[32] C. Tang, C.-Z. Chang, G. Zhao, Y. Liu, Z. Jiang, C.-\nX. Liu, M. R. McCartney, D. J. Smith, T. Chen, J. S.\nMoodera, and J. Shi, Sci. Adv., 3, e1700307 (2017).\n[33] C. L. Jermain, H. Paik, S. V. Aradhya, R. A. Buhrman,\nD. G. Schlom, and D. C. Ralph, Appl. Phys. Lett., 109,\n192408 (2016).\n[34] H. Kidoh, A. Morimoto, and T. Shimizu, Appl. Phys.\nLett.,59, 237 (1991).\n[35] C. Ming-Yau and L. Juin-Sen, Japanese J. Appl. Phys.,\n36, 1049 (1997).\n[36] C. Ming-Yau, L. Fang-Yuh, L. Da-Ren, Y. Kuang, and\nL. Juin-Sen, Japanese J. Appl. Phys., 38, 6687 (1999).\n[37] L. E. Helseth, R. W. Hansen, E. I. Ilyashenko, M. Bazil-\njevich, and T. H. Johansen, Phys. Rev. B, 64, 174406\n(2001).\n[38] O. Kamada, H. Minemoto, and S. Ishizuka, J. Appl.\nPhys.,61, 3268 (1987).\n[39] S. Higuchi, K. Ueda, F. Yahiro, Y. Nakata, H. Uet-\nsuhara, T. Okada, and M. Maeda, IEEE Trans. Magn.,\n37, 2451 (2001).\n[40] J. Ch, J. Albrecht, H. Kuhn, S. Leonhardt, and\nH. Kronm¨ uller, Rep. Prog. Phys., 65, 651 (2002).\n[41] A. Soibel, E. Zeldov, M. Rappaport, Y. Myasoedov,\nT. Tamegai, S. Ooi, M. Konczykowski, and V. B.\nGeshkenbein, Nature, 406, 282 (2000).\n[42] J. Sinha, S. Mohan, S. S. Banerjee, S. Kahaly, and\nG. R. Kumar, Phys. Rev. E, 77, 046118 (2008).\n[43] P. Mandal, D. Chowdhury, S. S. Banerjee, and\nT. Tamegai, Rev. Sci. Instrum., 83, 123906 (2012).\n[44] B. J. H. Stadler and T. Mizumoto, IEEE Photon. J., 6,\n1 (2014).\n[45] M. C. Onbasli, L. Beran, M. Zahradn ´lk, M. Kuˇ cera,\nR. Antoˇ s, J. Mistr ´lk, G. F. Dionne, M. Veis, and C. A.\nRoss, Sci. Rep., 6, 23640 (2016).\n[46] S. Toshihiro and U. Takehiko, Japanese J. Appl. Phys.,\n35, 4689 (1996).\n11[47] L. A. Dorosinskii, M. V. Indenbom, V. I. Nikitenko,\nY. A. Ossip’yan, A. A. Polyanskii, and V. K. Vlasko-\nVlasov, Physica C: Supercond., 203, 149 (1992).\n[48] M. R. Koblischka and R. J. Wijngaarden, Supercond.\nSci. Technol., 8, 199 (1995).\n[49] A. Zvezdin and V. Kotov, Modern Magnetooptics and\nMagnetooptical Materials: Studies in Condensed Mat-\nter, Taylor and Francis Group, Boca Raton, FL USA,\n-1(1997).\n[50] A. H. Schafer and R., Magnetic Domains (1st ed.,\nSpringer Verlag, Germany, 1998).\n[51] V. K. Vlasko-Vlasov, Y. Lin, U. Welp, G. W. Crabtree,\nD. J. Miller, and V. I. Nikitenko, J. Appl. Phys., 87,\n5828 (2000).\n[52] A. N. Egorov and S. V. Lebedev, J. Appl. Phys., 87,\n5362 (2000).\n[53] S. Takei and Y. Tserkovnyak, Phys. Rev. Lett., 115,\n156604 (2015).\n[54] E. B. Sonin, Phys. Rev. B, 95, 144432 (2017).\n[55] P. Upadhyaya, S. K. Kim, and Y. Tserkovnyak, Phys.\nRev. Lett., 118, 097201 (2017).\n[56] S. K. Kim and Y. Tserkovnyak, Phys. Rev. Lett., 119,\n047202 (2017).\n[57] Y. M. Bunkov and V. L. Safonov, J. Magn. Magn.\nMater.,452, 30 (2018).\n[58] M. Vogel, A. V. Chumak, E. H. Waller, T. Langner, V. I.\nVasyuchka, B. Hillebrands, and G. von Freymann, Nat.\nPhys.,11, 487 (2015).\n[59] A. Okada, S. Kanai, M. Yamanouchi, S. Ikeda, F. Mat-\nsukura, and H. Ohno, Appl. Phys. Lett., 105, 052415\n(2014).\n[60] H.-S. Song, K.-D. Lee, J.-W. Sohn, S.-H. Yang, S. S. P.\nParkin, C.-Y. You, and S.-C. Shin, Appl. Phys. Lett.,\n103, 022406 (2013).\n[61] X. Guo, L. Xi, Y. Li, X. Han, D. Li, Z. Wang, and\nY. Zuo, Appl. Phys. Lett., 105, 072411 (2014).\n[62] S. A. Wolf, D. D. Awschalom, R. A. Buhrman, J. M.\nDaughton, S. von Moln´ ar, M. L. Roukes, A. Y.\nChtchelkanova, and D. M. Treger, Science, 294, 1488\n(2001).\n[63] S. A. Wolf, A. Y. Chtchelkanova, and D. M. Treger,\nIBM J. Res. Dev., 50, 101 (2006).\n[64] B. Bhoi, B. Kim, Y. Kim, M.-K. Kim, J.-H. Lee, and\nS.-K. Kim, J. Appl. Phys., 123, 203902 (2018).\n[65] R. Kumar, Z. Hossain, and R. C. Budhani, J. Appl.\nPhys.,121, 113901 (2017).\n[66] S. A. Manuilov, R. Fors, S. I. Khartsev, and A. M.\nGrishin, J. Appl. Phys., 105, 033917 (2009).\n[67] P. C. Dorsey, S. E. Bushnell, R. G. Seed, and C. Vit-\ntoria, J. Appl. Phys., 74, 1242 (1993).\n[68] N. B. Ibrahim, C. Edwards, and S. B. Palmer, J. Magn.\nMagn. Mater., 220, 183 (2000).\n[69] L. D. Landau and E. M. Lifshitz, Electrodynamics of\nContinuous Media , 2nd ed. (Pergamon Press, Oxford,\n1984).\n[70] S. Lee, S. Grudichak, J. Sklenar, C. C. Tsai, M. Jang,\nQ. Yang, H. Zhang, and J. B. Ketterson, J. Appl. Phys.,\n120, 033905 (2016).\n[71] H. Suhl, Phys. Rev., 97, 555 (1955).\n[72] H. B. J. Smit, Philips Res. Rep., 10, 113 (1955).\n[73] T. Liu, H. Chang, V. Vlaminck, Y. Sun, M. Kabatek,\nA. Hoffmann, L. Deng, and M. Wu, J. Appl. Phys.,\n115, 17A501 (2014).\n[74] I. Lucas, P. Jim´ enez-Cavero, J. M. Vila-Fungueiri˜ no ,C. Mag´ en, S. Sangiao, J. M. de Teresa, L. Morell´ on, and\nF. Rivadulla, Phys. Rev. Materials, 1, 074407 (2017).\n[75] S. Li, W. Zhang, J. Ding, J. E. Pearson, V. Novosad,\nand A. Hoffmann, Nanoscale, 8, 388 (2016).\n[76] J. C. Gallagher, A. S. Yang, J. T. Brangham, B. D.\nEsser, S. P. White, M. R. Page, K.-Y. Meng, S. Yu,\nR. Adur, W. Ruane, S. R. Dunsiger, D. W. McComb,\nF. Yang, and P. C. Hammel, Appl. Phys. Lett., 109,\n072401 (2016).\n[77] Y. Sun, Y.-Y. Song, H. Chang, M. Kabatek, M. Jantz,\nW. Schneider, M. Wu, H. Schultheiss, and A. Hoff-\nmann, Appl. Phys. Lett., 101, 152405 (2012).\n[78] M. B. Jungfleisch, A. V. Chumak, A. Kehlberger,\nV. Lauer, D. H. Kim, M. C. Onbasli, C. A. Ross,\nM. Kl¨ aui, and B. Hillebrands, Phys. Rev. B, 91, 134407\n(2015).\n[79] S. P. Pati, M. Al-Mahdawi, Y. Shiokawa, M. Sahashi,\nand Y. Endo, IEEE Trans. Magn., 53, 1 (2017).\n[80] C. N. Wu, C. C. Tseng, Y. T. Fanchiang, C. K. Cheng,\nK. Y. Lin, S. L. Yeh, S. R. Yang, C. T. Wu, T. Liu,\nM. Wu, M. Hong, and J. Kwo, Sci. Rep., 8, 11087\n(2018).\n[81] R. C. Budhani, S. Emori, Z. Galazka, B. A. Gray,\nM. Schmitt, J. J. Wisser, H.-M. Jeon, H. Smith, P. Shah,\nM. R. Page, M. E. McConney, Y. Suzuki, and B. M.\nHowe, Appl. Phys. Lett., 113, 082404 (2018).\n[82] T. Nosach, G. Mullady, N. Leifer, V. Adyam, Q. Li,\nS. Greenbaum, and Y. Ren, J. Appl. Phys., 103,\n07E311 (2008).\n[83] T. Yoshimoto, T. Goto, K. Shimada, B. Iwamoto,\nY. Nakamura, H. Uchida, C. A. Ross, and M. Inoue,\nAdv. Electron. Mater., 4, 1800106 (2018).\n[84] C. Dubs, O. Surzhenko, R. Linke, A. Danilewsky,\nU. Br¨ uckner, and J. Dellith, J. Phys. D: Appl. Phys.,\n50, 204005 (2017).\n[85] P. Pirro, T. Br¨ acher, A. V. Chumak, B. L¨ agel, C. Dubs,\nO. Surzhenko, P. G¨ ornert, B. Leven, and B. Hille-\nbrands, Appl. Phys. Lett., 104, 012402 (2014).\n[86] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz,\nE. Girt, Y.-Y. Song, Y. Sun, and M. Wu, Phys. Rev.\nLett.,107, 066604 (2011).\n[87] M. Haertinger, C. H. Back, J. Lotze, M. Weiler,\nS. Gepr¨ ags, H. Huebl, S. T. B. Goennenwein, and\nG. Woltersdorf, Phys. Rev. B, 92, 054437 (2015).\n[88] Z. Fang, A. Mitra, A. L. Westerman, M. Ali, C. Cic-\ncarelli, O. Cespedes, B. J. Hickey, and A. J. Ferguson,\nAppl. Phys. Lett., 110, 092403 (2017).\n[89] K. Harii, T. An, Y. Kajiwara, K. Ando, H. Nakayama,\nT. Yoshino, and E. Saitoh, J. Appl. Phys., 109, 116105\n(2011).\n[90] R. Iguchi, K. Ando, R. Takahashi, T. An, E. Saitoh, and\nT. Sato, Japanese J. Appl. Phys., 51, 103004 (2012).\n[91] A. Kehlberger, K. Richter, M. C. Onbasli, G. Jakob,\nD. H. Kim, T. Goto, C. A. Ross, G. G¨ otz, G. Reiss,\nT. Kuschel, and M. Kl¨ aui, Phys. Rev. Appl., 4, 014008\n(2015).\n[92] H. B. Vasili, B. Casals, R. Cichelero, F. Maci` a, J. Ge-\nshev, P. Gargiani, M. Valvidares, J. Herrero-Martin,\nE. Pellegrin, J. Fontcuberta, and G. Herranz, Phys.\nRev. B,96, 014433 (2017).\n[93] G. G. Siu, C. M. Lee, and Y. Liu, Phys. Rev. B, 64,\n094421 (2001).\n[94] H. K. Lee, I. Barsukov, A. G. Swartz, B. Kim, L. Yang,\nH. Y. Hwang, and I. N. Krivorotov, AIP Adv., 6,\n12055212 (2016).\n[95] S. Emori, U. S. Alaan, M. T. Gray, V. Sluka, Y. Chen,\nA. D. Kent, and Y. Suzuki, Phys. Rev. B, 94, 224423\n(2016).\n[96] Q. Qin, S. He, W. Song, P. Yang, Q. Wu, Y. P. Feng,\nand J. Chen, Appl. Phys. Lett., 110, 112401 (2017).\n[97] S. H. Qing Qin, H. Wu, P. Yang, L. Liu, W. Song, S. J.\nPennycook, and J. Chen, arXiv, 1804.00554v1 (2018).\n[98] G. Y. Luo, M. Belmeguenai, Y. Roussign´ e, C. R. Chang,\nJ. G. Lin, and S. M. Ch´ erif, AIP Adv., 5, 097148 (2015).\n[99] K. Ando, S. Takahashi, J. Ieda, Y. Kajiwara,\nH. Nakayama, T. Yoshino, K. Harii, Y. Fujikawa,\nM. Matsuo, S. Maekawa, and E. Saitoh, J. Appl. Phys.,\n109, 103913 (2011).\n[100] A. J. Lee, J. T. Brangham, Y. Cheng, S. P. White, W. T.\nRuane, B. D. Esser, D. W. McComb, P. C. Hammel,\nand F. Yang, Nat. Commun., 8, 234 (2017).\n[101] H. Q. Tu, B. Liu, D. W. Huang, X. Z. Ruan, B. You,\nZ. C. Huang, Y. Zhai, Y. Gao, J. Wang, L. J. Wei,\nY. Yuan, Y. B. Xu, and J. Du, Sci. Rep., 7, 43971\n(2017).\n[102] L. Lu, Z. Wang, G. Mead, C. Kaiser, Q. Leng, and\nM. Wu, Appl. Phys. Lett., 105, 012405 (2014).\n[103] J. R. Fermin, A. Azevedo, F. M. d. Aguiar, B. Li, and\nS. M. Rezende, J. Appl. Phys., 85, 7316 (1999).\n[104] Y. Gong, Z. Cevher, M. Ebrahim, J. Lou, C. Pettiford,\nN. X. Sun, and Y. H. Ren, J. Appl. Phys., 106, 063916(2009).\n[105] S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D.\nGan, M. Endo, S. Kanai, J. Hayakawa, F. Matsukura,\nand H. Ohno, Nat. Mater., 9, 721 (2010).\n[106] J. Lindner, I. Barsukov, C. Raeder, C. Hassel, O. Posth ,\nR. Meckenstock, P. Landeros, and D. L. Mills, Phys.\nRev. B,80, 224421 (2009).\n[107] M. Belmeguenai, H. Tuzcuoglu, M. S. Gabor,\nT. Petrisor, C. Tiusan, D. Berling, F. Zighem, T. Chau-\nveau, S. M. Ch´ erif, and P. Moch, Phys. Rev. B, 87,\n184431 (2013).\n[108] P. He, X. Ma, J. W. Zhang, H. B. Zhao, G. L¨ upke,\nZ. Shi, and S. M. Zhou, Phys. Rev. Lett., 110, 077203\n(2013).\n[109] H. Kurebayashi, T. D. Skinner, K. Khazen, K. Olejn´ ık,\nD. Fang, C. Ciccarelli, R. P. Campion, B. L. Gallagher,\nL. Fleet, A. Hirohata, and A. J. Ferguson, Appl. Phys.\nLett.,102, 062415 (2013).\n[110] Y. Zhao, Q. Song, S.-H. Yang, T. Su, W. Yuan, S. S. P.\nParkin, J. Shi, and W. Han, Sci. Rep., 6, 22890 (2016).\n[111] B. Samantaray, A. K. Singh, A. Perumal, R. Ran-\nganathan, and P. Mandal, AIP Adv., 5, 067157 (2015).\n[112] A. N. Ko¸ cbay, R. Yilgin, R. Topkaya, A. S ¸. Ahsen,\nO.¨Ozt¨ urk, and B. Akta¸ s, J. Supercond. Nov. Magn.,\n25, 2813 (2012), ISSN 1557-1947.\n13" }, { "title": "1610.09554v1.Tailoring_magnetic_insulator_proximity_effects_in_graphene__First_principles_calculations.pdf", "content": "Tailoring magnetic insulator proximity effects in\ngraphene : First-principles calculations\nA. Hallal1;2;3, F. Ibrahim1;2;3, H. X. Yang1;2;3, S. Roche4;5and\nM. Chshiev1;2;3\n1Univ. Grenoble Alpes, INAC-SPINTEC, F-38000 Grenoble, France\n2CEA, INAC-SPINTEC, F-38000 Grenoble, France\n3CNRS, SPINTEC, F-38000 Grenoble, France\n4Catalan Institute of Nanoscience and Nanotechnology (ICN2), CSIC and The\nBarcelona Institute of Science and Technology, Campus UAB, Bellaterra, 08193\nBarcelona, Spain\n5ICREA-Institució Catalana de Recerca i Estudis Avançats, 08010 Barcelona, Spain\nAbstract. We report a systematic first-principles investigation of the influence of\ndifferent magnetic insulators on the magnetic proximity effect induced in graphene.\nFour different magnetic insulators are considered: two ferromagnetic europium\nchalcogenides namely EuO and EuS and two ferrimagnetic insulators yttrium iron\ngarnet (YIG) and cobalt ferrite (CFO). The obtained exchange-splitting varies from\ntens to hundreds of meV. We also find an electron doping induced by YIG and\neuropium chalcogenides substrates, that shift the Fermi level up to 0.78 eV and 1.3 eV\nrespectively, whereas hole doping up to 0.5 eV is generated by CFO. Furthermore,\nwe study the variation of the extracted exchange and tight binding parameters as a\nfunction of the EuO and EuS thicknesses. We show that those parameters are robust\nto thickness variation such that a single monolayer of magnetic insulator can induce\na large magnetic proximity effect on graphene. Those findings pave the way towards\npossible engineering of graphene spin-gating by proximity effect especially in view of\nrecent experiments advancement.\nPACS numbers: 75.70.Ak, 73.22.Pr, 75.70.Cn, 75.70.Tj, 72.80.Vp, 85.75.-darXiv:1610.09554v1 [cond-mat.mtrl-sci] 29 Oct 2016Tailoring magnetic insulator proximity effects in graphene 2\n1. Introduction\nGraphene spintronics is one of the most promising directions of innovation for two-\ndimensionalmaterials,openingnewprospectsforinformationtechnologies[1,2]. Besides\nits exceptional electrical, thermal, and mechanical properties [3, 4], two-dimensional\ngraphene possesses a unique electronic band structure of massless Dirac fermions with a\nvery long spin-diffusion lengths up to room temperature owing to its weak intrinsic spin-\norbit coupling [5, 6, 7, 8, 9, 10, 11, 12, 13]. Accordingly graphene stands as a potential\nspin-channel material. However, a fundamental challenge lies in the development of\nexternal ways to control the propagation of spin currents at room temperature, in view\nof designing spin logics devices.\nSince carbon is non-magnetic, a significant effort is focused on injecting spins and\ninducing magnetism in graphene. Magnetism in graphene can be induced and controlled\nthroughmaterialdesignordefectsandseveralmethodshavebeenproposedtomagnetize\ngraphene [14, 15]. For instance, edge magnetism has been shown to develop in a few\nnanometers wide graphene nanoribbons for certain edge geometries [16, 17], or the hole\nstructure of graphene nanomesh [18] was also theoretically proposed to offer robust and\nroom temperature magnetic states able to affect spin transport [19, 20]. Much attention\nisalsopaidtotailorspin-polarizedcurrentsandmagnetoresistancesignalsbyintentional\ndefects, ordepositingatoms[21,22,23,24,25,26,27]ormolecules[28,29,30]. Recently,\nthe production of spin-polarized currents and magnetoresistance signals by growing\ngrapheneonmagneticsubstrates, suchasYIG,hasraisedalotofinterest[31,32,33,34].\nHowever, the conductivity mismatch is an important factor that influences the spin\ninjection from magnetic metallic substrates into graphene restricting, thus, the design\nof novel types of spin switches. Therefore, the use of magnetic insulators (MIs) has\nattracted much interest as an alternative route to induce magnetism in graphene via\nthe exchange-proximity interaction.\nPrior theoretical study of proximity effects of a ferromagnetic insulator (EuO)\non graphene reported a large spin polarization of porbitals together with a large\nexchange-splitting band gap [35]. However, the drawback of using EuO is its low Currie\nTemperature (T C) and the predicted strong electron doping (about 1.4 eV). Thus,\nmany theoretical works have been dedicated to investigate different MIs with higher\nTCand weaker doping which is crucial for practical spintronic devices. Additionally,\ntheoreticalinvestigationsofgrapheneinproximityoftopological[36,37]andmultiferroic\ninsulator [38] have found large exchange-splitting up to 300 meV. Recently, it has been\nproposed to insert 2D insulators (e.g. hBN) between graphene and the ferromagnetic\nmaterial to induce exchange splitting [39]. In this case, the doping of graphene and\nexchange coupling strengh can be tuned by varying the number of hBN layers. On\nthe other hand, recent experiments on YIG/Gr [31, 32, 33, 40] and EuS/Gr [41]\ndemonstrated a large exchange-coupling between MI and graphene. Namely, a large\nmagnetic exchange field up to 14 T is found in case of EuS on graphene with a potential\nof reaching hundreds of Tesla. However, EuS has even lower T Ccompared to that ofTailoring magnetic insulator proximity effects in graphene 3\nTable 1. Computational and Structural details for the four investigated systems,\neffective Hubbard term, the bulk lattice parameter, the lattice mismatch between the\nMIs and graphene and the Curie temperature of each magnetic insulators.\nStructure Package Potential Ueff(eV) Latt. param.(Å) Mismatch(%) Tc(K)\nEuO SIESTA LDA+U Eu- f7.6 O-p3.4 5.18 0.8 77\nEuS SIESTA LDA+U Eu- f6.3 and Eu- d4.4 5.92 -1.76 16.5\nY3Fe5O12SIESTA LDA+U Fe- d2.7 12.49 2.5 550\nCoFe 2O4VASP GGA+U Fe- d3.61 Co-d3.61 8.46 -3.6 793\nEuO. For YIG/Gr some experiments show a very large exchange-coupling of the order\nof tens of meV [31] while others reported smaller values of 0.2 T [32, 33] or 1 T [34].\nSuch discrepancy between the two reports might be due to different absorption strengths\nbetween graphene layer and YIG.\nIn this Letter, using first-principles calculations we explore how the nature of the\nmagnetic insulator affects the features of the magnetic proximity effect induced in\ngraphene. Four cases of different MIs are studied: europium oxide (EuO), europium\nsulfite (EuS), cobalt ferrite CoFe 2O4(CFO) as well as yttrium iron garnet Y 3Fe5O12\n(YIG). The exchange-proximity parameters are obtained from the electronic-band\nstructure of graphene calculated in each case. We obtain electron doping for all cases\nexcept the CFO where the Dirac point lies above the Fermi level at 0.5 eV. The magnetic\nproximity effect results in a large exchange-splitting band gap of a few tens of meV. The\npresence of spin-dependent band gap around Dirac point is clear in all cases, except for\ncobalt ferrite where no bandgap is formed. In addition, we report systematic studies of\nelectronic band structure of graphene as a function of EuO and EuS thickness where\nwe show that the exchange-splitting gaps are robust to MI thickness variation. These\nfindings pave the way towards possible engineering of graphene spin-gating by proximity\neffect especially in view of aforementioned recent experiments on EuS and YIG on top\nof graphene.\n2. Methodolgy\nThe Vienna ab initio simulation package (VASP) [42, 43, 44] is used for structure\noptimization, where the electron-core interactions are described by the projector\naugmented wave method for the potentials [45], and the exchange correlation energy is\ncalculated within the generalized gradient approximation (GGA) of the Perdew-Burke-\nErnzerhof form [46, 47]. The cutoff energies for the plane wave basis set used to expand\nthe Kohn-Sham orbitals are 500 eV for all calculations. Structural relaxations and total\nenergy calculations are performed ensuring that the Hellmann-Feynman forces acting\non ions are less than 10\u00002for all studied structure. Except for YIG, due to its large\nsupercell, relaxation is done using SIESTA code [48], where the exchange correlation\nenergy is calculated within the local density approximation (LDA) [49, 50].Tailoring magnetic insulator proximity effects in graphene 4\nFigure 1. (Coloronline)Sideviewandtopviewofthecalculatedcrystallinestructures\nfor graphene on top of (a) EuO film (b) CoFe 2O4(C) EuS (d) Y 3Fe5O12. All the\ncalculated structure are passivated with with hydrogen atoms.\nSince Eu is a heavy element with atomic number 63and its outer shell ( 4f76s2)\ncontains 4felectrons, the GGA and LDA approaches fail to describe the strongly\ncorrelated localized 4felectrons and predicts a metallic ground state for the europium\nchalcogenides, whereas a clear band gap is observed in experiments. Similarly, GGA\nand LDA fail to describe the electronic interaction in Mott insulator such as iron\noxides or cobalt oxides. Such a deficiency of these approaches is expected in correlated\nsystems as transition metal oxides. Thereby, to account for the strong on-site Coulomb\nrepulsion among the localized 3d(4f) electrons in YIG and CFO (EuO and EuS) we\nhave introduced a Hubbarad-U parameter as described by the authors of Ref. [51, 52]\nfor SIESTA and as described and implemented in the VASP code. The LDA+U and\nGGA+U represented by the Hubbard-like term Uand the exchange term J, which led\nto an improvement of the ground state properties such as the energy band gap and\nthe spin magnetic moments in the MIs. The Ueff=U\u0000Jvalue used for each system\nis summarized in Table 1, and in addition to Uefffor Eu-fin EuO, the LDA in EuS\nis also corrected by adding Ueffterm to the Eu- dorbitals following Ref. [53]. In all\ncases investigated, the density of states of bulk MIs are calculated and compared to\nthose obtained using the VASP package and a good agreement is found between the two\napproaches using the same U parameters.\nThe two investigated EuO and EuS compounds have a ferromagnetic ground state\nwith a rocksalt structure with lattice parameters of 5.18 Å and 5.92 Å, respectively.\nLattice structure and lattice mismatch between graphene and EuO are described in\ndetail in Ref. [35]. It is found that a 3 \u00023 unit cell of graphene can fit easily on a a 2 \u00022\nEuO (111) surface unit cell with a lattice about 7.33 Å and with a lattice mismatch of\nabout 0.8%. For EuS, the bulk lattice parameter is quite larger than that of bulk EuO.Tailoring magnetic insulator proximity effects in graphene 5\nNevertheless, graphene can still fit on a EuSp\n3\u0002p\n3(111) substrate with a lattice\nmismatch in order of 1.8%. Due to this difference in the lattice parameter between\nEuO and EuS, a different graphene absorption on top of the surface occurs as seen in\nFigure 1 [(a) and (c)]. In both cases the supercell is composed of six bilayer of europium\nchalcogenides with graphene in top of Eu termination, which is the energetically most\nstable configuration.\nNext, we consider the lattice mismatch between graphene and YIG. Their lattice\nparameters are 2.46 Å and about 12.49 Å, respectively. as shown in Figure 1(d), the\n1\u00021 unit cell of YIG (111) substrate with a lattice constant of about 17.66 Å can fit\non the 7\u00027 graphene unit cell, with a lattice mismatch of about 2.5%. The resulting\nsupercell is composed of six YIG trilayers and a graphene layer placed on top. For CFO\nthe bulk lattice parameter is 8.46 Å and again along the (111) direction a 5 \u00025 graphene\nunit cell can fit on 1 \u00021 CFO(111) substrate with a lattice mismatch of about 3.6%.\nThe supercell in this case is composed of six trilayer of CFO with graphene in top of Fe\natoms (cf. Figure 1(b)). In all the cases, the bottom surface is passivated with hydrogen\natoms in order to avoid the bottom surface effect on graphene and the vacuum region\nis chosen to be larger than 14 Å. The lattice structure of graphene/MIs are presented\nin figure Figure 1 with a vertical distance between Eu and C layers around 2.57 Å and\n2.52 Å for EuO and EuS, respectively. For graphene/YIG and graphene/CFO, due to\nthe large lattice mismatch, the graphene lattice is corrugated with corrugation height in\norder of 0.6 Å and 0.15 Å for YIG and CFO, respectively. The average vertical distance\nbetween Fe and C atoms is close to 2.7 Å for both YIG/graphene and CFO/graphene.\nThis strong corrugation presents in graphene may affect its electronic band structure as\nshown previously for graphene on top of MgO substrate [54].\nFinally, using the SIESTA package and the optimized structures of graphene on MIs\nshown in Figure 1, we calculate the electronic structure of the systems with LDA+U for\nthe exchange correlation functional (c.f. Table 1). The self-consistent calculations are\nperformed with an energy cutoff of 600 Ry and with a 4 \u00024\u00021 K-point grid for EuO\nand EuS and 3\u00023\u00021 for YIG. A linear combination of numerical atomic orbitals with\na double-\u0010polarized basis set is used for the small structures and and a single- \u0010for\nthe larger ones. For graphene on CFO, the the electronic structure is calculated using\nGGA+U as implemented in VASP package with a 3 \u00023\u00021 K-point grid.\n3. Results and Discussion\n3.1. Electronic structure of graphene in proximity of MIs\nGraphene honeycomb structure comprising two equivalent carbon sublattices AandBis\nresponsible for the fact that charge carriers are described by massless Dirac excitations.\nOf particular importance for the physics of graphene are the two Dirac points KandK0\nat the inequivalent corners of the graphene Brillouin zone (BZ). In the vicinity of these\ntwo points, the electronic structure of graphene is characterized by a linear dispersionTailoring magnetic insulator proximity effects in graphene 6\nFigure 2. (Color online) Band structures of graphene on (a) EuO (b) CoFe 2O4(c)\nEuS (d) Y 3Fe5O12. Blue (Green) and red (black) represent spin up and spin down\nbands of graphene (magnetic insulator), respectively. EuO case is taken from Ref. [35]\nrelation with a Dirac point separating the valence and conduction bands with a zero\nband gap as follows:\nH1(q) =vF\u001b\u0001q (1)\nwhereqisthemomentummeasuredrelativetotheDiracpointand vFrepresentsthe\nFermivelocitywhichdoesnotdependontheenergyormomentum[3]. ThegaplessDirac\ncones atKandK0are protected by time-reversal and inversion symmetry. Since Dirac\npoints are separated in the BZ, small perturbations cannot lift this valley degeneracy.\nOnce graphene is in proximity of a substrate, AandBsublattices feel different chemical\nenvironment which leads to the inversion symmetry breaking between KandK0and\ngiving rise to a band gap. This can be modeled by the following Hamiltonian describing\nthe graphene’s linear dispersion relation in proximity of magnetic insulator:\nH2(q) =vF\u001b\u0001q1s+\u000e1\u001bsz+\u0001s\n2\u001bz1s (2)\nwhere\u001bandsare the Pauli matrices that act on sublattice and spin, respectively.\nThe second term represents the exchange coupling induced by the magnetic moment\nof magnetic atoms, with \u000ebeing the strength of exchange spin-splitting of the hole or\nelectron. The last term results from the fact that graphene sublattices AandBare now\nfeeling different potential which might result in a spin-dependent band gap opening atTailoring magnetic insulator proximity effects in graphene 7\nTable 2. Extracted energy gaps and exchange parameters of graphene/MIs\nstructures at Dirac point compared with parameters for graphene in proximity of EuO\nheterostructure reported in Ref. [55]. E Gis the band-gap of the Dirac cone. \u0001\"and\n\u0001#are the spin-up and spin-down gap, respectively. The spin-splitting of the electron\nand hole bands at the Dirac cone are \u000eeand\u000eh, respectively. E Dis the Dirac cone\ndoping with respect to Fermi level.\nStructure E G(meV) \u0001\"(meV) \u0001#(meV)\u000ee(meV)\u000eh(meV) E D(eV)\nEuO/Gr/EuO(1BL) aligned[55] 127 309 344 182 217 -2.8\nEuO/Gr/EuO(1BL) misaligned[55] -38 137 182 211 220 -2.8\nGR/EuO(6BL) 50 134 98 84 48 -1.37\nGR/EuS(6BL) 160 192 160 23 -10 -1.3\nGr/Y 3Fe5O12 1 116 52 -52 -115 -0.78\nGr/CoFe 2O4 -37 12 8 -45 -49 +0.49\nthe Dirac point and \u0001sis the spin-dependent staggered sublattice potential. A Rashba\nspin orbit coupling term can also be added to the Hamiltonian and can be represented\nby\u000b\n2(\u001b^s)at the left side of Equation (2).\nLet us now discuss the electronic band structures of graphene in proximity to MIs\nas shown in Figure 2. For graphene on top of europium chalcogenides a 3\u00023unit cell\nis used and due to zone folding of grapheneŠs BZ, both KandK0valleys get mapped\nto the \u0000point [35]. Therefore for EuO and EuS, the Dirac cone of graphene becomes\nlocated at the \u0000point instead of Kone’s. The linear dispersion of the graphene band\nstructure is modified with a band gap opening at the Dirac point. More interestingly,\nthisdegeneracyliftingattheDiracpointisspindependentasdemonstratedforEuO[35].\nThe spin-dependent band gaps found in the EuO/graphene are about 134 and 98 meV\nfor spin up and spin down states, respectively (see Figure 2(a)). Here, however, we\nfit the band structure parameters according to Hamiltonian given by Equation (2) to\nwhich the exchange splitting gaps of 84 and 48 meV are added for electrons and holes,\nrespectively. ReplacingEuObyEuSincreasesdrasticallythebandgapopeningasshown\ninFigure2(c). Thespin-dependentbandgapsinthiscaseareabout192(resp. 160meV)\nfor spin up (resp. spin down) states. However, the spin splitting is strongly reduced\nto 23 (resp. -10 meV) for electrons (resp. holes). This difference between EuO and\nEuS results from the fact that all 3 Eu atoms in EuS case are sitting in a hollow site of\ngraphene hexagon while for EuO, the atoms belong to the bridge site and to the hollow\nsite as shown in Figure 1(a) and (c). Recently, Su et al [55] reported that while Eu atom\nsitting at the hollow site of graphene hexagon is described by an inter-valley scattering\nterm in the induced proximity Hamiltonian, Eu atoms at the bridge site reduces the\ngraphene lattice symmetry and can be represented by a valley pseudospin Zeeman term\ninx-direction in sublattice space that shifts slightly the Dirac cones from the \u0000point.\nLet us now discuss the proximity effects induced by yttrium garnet (YIG) and\ncobalt ferrite (CFO) oxides. In Figure 2(d) we present the electronic bands of the\nYIG/Graphene structure where we see that the proximity of YIG induces a band gapTailoring magnetic insulator proximity effects in graphene 8\nopening around the Dirac point. Furthermore, due to the interaction between graphene\nand the magnetic substrate, the spin-degeneracy around Dirac point is lifted. The spin-\ndependent band gaps found in the YIG/graphene are 116 and 52 meV for spin up and\nspin down states, respectively. The spin splittings estimated from the band structure\nare found to be about -52 and -115 meV for electrons and holes, respectively. Due to\nits strong interaction with the magnetic insulator, graphene becomes heavily doped and\nthe Dirac Cone is shifted below the Fermi level as seen in Figure1 (b). Interestingly,\nthe band structure presented in Figure 1(b) shows that graphene on top of YIG has\na half metallic behavior. The spin-up Dirac cone lies in the middle of the spin-down\ngap and vice versa. For the CFO/graphene case the induced band gap opening around\nthe Dirac point is absent (see Figure 2(b)). This is due to the quite large interlayer\ndistance between the ferrimagnetic insulator and graphene and due to the physisorption\ninteraction which does not perturb the inversion symmetry of the two Dirac points.\nNevertheless, due to the interaction between graphene and the magnetic substrate, the\nspin-degeneracy around Dirac point is lifted and spin-dependent band gaps are still\ninduced in this case and found about 12 and 8 meV for spin up and spin down states,\nrespectively. The strength of the exchange-splitting estimated from the band structure\nis -45 and -49 meV for electrons and holes, respectively. Due to the weak interaction\nwith the magnetic insulator graphene becomes slightly doped and the Dirac Cone is\nshifted above the Fermi level as seen in Figure 2(b).\nThe extracted energy band gaps and exchange-splitting values at Dirac point\ninduced in graphene by the proximity of magnetic insulators are summarized in Table 2\nwith EG,\u0001\"and\u0001#representing the energy band gap and the spin-dependent gaps for\nspin-up and spin-down, respectively. The spin splitting of the electron and hole bands\nare denoted as \u000eeand\u000eh. Finally, E Dindicates how large is the Dirac point doping\nwith respect to Fermi energy. In Table 2 the positive value of E Gindicates a band gap\nbetween conduction and valence band, whereas negative value indicates a spin resolved\nband overlap as seen in CFO case shown in Figure 2(b). Spin-splittings are defined by\nspindependentenergydifferencesatDiracpointwithnegativevalueindicatingthatspin-\nup bands are lower in energy than that of spin-down bands. The extracted values are\ncompared with that aligned and misaligned EuO heterostructure with graphene between\ntwo EuO layers reported in Ref. [55]. As illustrated in Table 2, doping graphene with\nEuO will push further the Dirac point below the Fermi level and makes impossible to\nharvest the graphene linear dispersion in practical electronic devices. To overcome the\nproblem of strong doping one can deposit on the top side of the structure a material\nwhich can hole dope graphene. For instance, we propose that CFO deposited on the\ntop side of europium chalcogenides/graphene or even YIG/graphene will bring Dirac\ncone closer to Fermi level and the exchange-splitting parameter induced by proximity\neffect, in such a heterostructure, is expected to double to be in the range of hundreds of\nmeV.Moreover, thistypeofasymmetricheterostructurewillbreakthein-planeinversion\nsymmetry of the graphene layer and might give rise to topological properties such as\nquantum anomalous Hall effect [55].Tailoring magnetic insulator proximity effects in graphene 9\nFigure 3. Thickness variation of the exchange-coupling parameters presented in\ntable 2 for the graphene in proximity of chalcogenides EuO and EuS.\n3.2. Thickness variation effect on the graphene exchange parameter in proximity of\neuropium chalcogenides\nFinally, let us check the robustness of aforementioned results by exploring the variation\nof the energy band gaps and proximity exchange-splitting in graphene at Dirac point as\na function of MIs thickness. As seen in Figure 3, all the plotted values tends to saturate\nabove a thickness of 3 bilayers indicating that already 3 or 4 bilayers of MIs are sufficient\nto mimic the bulk effect. The results also indicate that already MIs as thin as 1 bilayer\nof europium chalcogenides can induce large proximity effect in graphene. For instance,\nthe spin-splitting of the electron and hole bands at the Dirac cone in the case of one\nbilayer of EuS (EuO) are found about 120 and 80 meV (55 and 5 meV), respectively.\nAs EuS (EuO) thickness increases, both spin-splitting values decreases (increases) to\nreach the bulk value shown in Table 2. As for spin-dependent band gaps \u0001\"and\u0001#,\nboth decreases as a function of MI thickness with variation of spin-down and spin-up\nband gaps being less dramatic in the case of EuS compared to that for EuO. Since the\ninduced magnetism in graphene due to proximity of europium chalcogenides arises from\ngraphene hybridization with polarized Eu- 4fstate right below the Fermi level [35], the\nobserved variation at low thicknesses is related to the variation of the energy level of\nthese Eu- 4fstates.\n4. Conclusion\nIn summary, using first-principles calculations we investigated proximity effects induced\nin graphene by magnetic insulators. Four different MIs have been considered: two\nferromagnetic europium chalcogenides and two ferrimagnetic insulators yttrium iron\ngarnet and cobalt ferrite. In all cases, we find that the exchange-splitting varies inTailoring magnetic insulator proximity effects in graphene 10\nthe range of tens to hundreds meV. While Dirac cone is negatively doped for europium\nchalcogenides and YIG, it is found to be positively doped for CFO substrate. In order to\nbring the Dirac cone closer to the charge neutrality point, we propose to deposit on the\ntopsideofthenegativelydopedstructureamaterialwhichcanpositivelydopegraphene,\nsuch as CFO. In such a heterostructure the exchange-coupling parameter induced by\nproximity effect is expected to be doubled. Moreover, we explored the variation of\nthe extracted magnetic exchange parameters as a function of europium chalcogenides\nthicknesses. This analysis show that the extracted parameters are robust to thickness\nvariationandonemonolayerofmagneticinsulatorcaninducealargemagneticproximity\neffectongraphene. Thesefindingspavethewaytowardspossibleengineeringofgraphene\nspin-gating by proximity effect especially in view of recent experiments advancement.\nAcknowledgments\nThis project has received funding from the European Union’s Horizon 2020 research\nand innovation programme under grant agreement No. 696656 (Graphene Flagship). S.\nR. acknowledges Funding from the Spanish Ministry of Economy and Competitiveness\nand the European Regional Development Fund (Project No. FIS2015-67767-P\n(MINECO/FEDER)),theSecretariadeUniversidadeseInvestigacióndelDepartamento\nde Economía y Conocimiento de la Generalidad de Cataluña, and the Severo Ochoa\nProgram (MINECO, Grant No. SEV-2013-0295).\nReferences\n[1] S.Roche, J.Åkerman, B.Beschoten, J.-C.Charlier, M.Chshiev, S.P.Dash, B.Dlubak, J.Fabian,\nA. Fert, M. Guimarães, F. Guinea, I. Grigorieva, C. Schönenberger, P. Seneor, C. Stampfer, S.\nO. Valenzuela, X. Waintal and B. van Wees, 2D Materials 2, 030202 (2015)\n[2] W. Han, R. K. Kawakami, M. Gmitra and J. Fabian, Nature Nanotechnology 9, 794 (2014).\n[3] A. H. Castro Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov, and A. K. Geim, Rev. Mod.\nPhys. 81, 109 (2009).\n[4] A. K. Geim and K. S. Novoselov, Nat. Mater. 6, 183 (2007).\n[5] N. Tombros, C. Jozsa, M. Popinciuc, H. T. Jonkman, and B. J. van Wees, Nature (London) 448,\n571 (2007).\n[6] M. Popinciuc, C. Józsa, P. J. Zomer, N. Tombros, A. Veligura, H. T. Jonkman, and B. J. van\nWees, Phys. Rev. B 80, 214427 (2009).\n[7] B. Dlubak, P. Seneor, A. Anane, C. Barraud, C. Deranlot, D. Deneuve, B. Servet, R. Mattana,\nF. Petroff, and A. Fert, Appl. Phys. Lett. 97, 092502 (2010).\n[8] W. Han and R. K. Kawakami, Phys. Rev. Lett. 107, 047207 (2011).\n[9] T. -Y. Yang, J. Balakrishnan, F. Volmer, A. Avsar, M. Jaiswal, J. Samm, S. R. Ali, A. Pachoud,\nM. Zeng, M. Popinciuc, G. Güntherodt, B. Beschoten, and B. Özyilmaz, Phys. Rev. Lett. 107,\n047206 (2011)\n[10] T. Maassen, J. J. van den Berg, N. IJbema, F. Fromm, T. Seyller, R. Yakimova, and B. J. van\nWees, Nano Lett. 12, 1498 (2012).\n[11] B. Dlubak, M. -B. Martin, C. Deranlot, B. Servet, and S. Xavier, Nat. Phys. 8, 557 (2012).\n[12] Cummings AW, Roche S , Physical Review Letters 116, 086602 (2016).Tailoring magnetic insulator proximity effects in graphene 11\n[13] Van Tuan D., Ortmann F., Cummings A.W., Soriano D., Roche S. Scientific Reports; 6 (21046)\n2016.\n[14] O. V. Yazyev and L. Helm, Phys. Rev. B 75, 125408 (2007); O. V. Yazyev, Phys. Rev. Lett. 101,\n037203 (2008).\n[15] O. V. Yazyev, Rep. Prog. Phys. 73, 056501 (2010)\n[16] Y.-W. Son, M. L. Cohen, and S. G. Louie, Nature 444, 347 (2006).\n[17] W. Y. Kim and K. S. Kim, Nat. Nanotechnol. 3, 408 (2008).\n[18] J. Bai, X. Zhong, S. Jiang, Y. Huang, and X. Duan, Nat. Nanotechnol. 5, 190 (2010).\n[19] H. X. Yang, M. Chshiev, D. W. Boukhvalov, X. Waintal, and S. Roche, Phys. Rev. B 84, 214404\n(2011).\n[20] M. L. Trolle, U. S. Møller, and T. G. Pedersen, Phys. Rev. B 88, 195418 (2013).\n[21] D. Soriano, N. Leconte, P. Ordejon, J.-Ch. Charlier, J.-J. Palacios, and S. Roche, Phys. Rev.\nLett.107, 016602 (2011).\n[22] K. M. McCreary, A. G. Swartz, W. Han, J. Fabian, and R. K. Kawakami, Phys. Rev. Lett. 109,\n186604 (2012).\n[23] K. T. Chan, J. B. Neaton, and M. L. Cohen, Phys. Rev. B 77, 235430 (2008).\n[24] Z. Qiao, S. A. Yang, W. Feng, W.-K. Tse, J. Ding, Y. Yao, J. Wang, and Q. Niu, Phys. Rev. B\n82, 161414(R) (2010).\n[25] J. Ding, Z. Qiao, W. Feng, Y. Yao, and Q. Niu, Phys. Rev. B 84, 195444 (2011).\n[26] H. Zhang, C. Lazo, S. Blugel, S. Heinze, and Y. Mokrousov, Phys. Rev. Lett. 108, 056802 (2012).\n[27] H. Jiang, Z. Qiao, H. Liu, J. Shi, and Q. Niu, Phys. Rev. Lett. 109, 116803 (2012).\n[28] J. Park, S. B. Jo, Y.-J. Yu, Y. Kim, J. W. Yang, W. H. Lee, H. H. Kim, B. H. Hong, P. Kim, K.\nCho, and K. S. Kim, Adv. Mater. 24, 407 (2012).\n[29] W. Y. Kim and K. S. Kim, Acc. Chem. Res. 43, 111 (2010).\n[30] J. W. Yang, G. Lee, J. S. Kim, and K. S. Kim, J. Phys. Chem. Lett. 2, 2577 (2011).\n[31] Z. Wang, C. Tang, R. Sachs, Y. Barlas and J. Shi, Phys. Rev. Lett. 114, 016603 (2015).\n[32] J.C.Leutenantsmeyer, A.A.Kaverzin, M.WojtaszekandB.J.vanWees, arXiv:1601.00995(2016).\n[33] M. Evelt, H. Ochoa, O. Dzyapko, V. E. Demidov, A. Yurgens, J. Sun, Y. Tserkovnyak, V.\nBessonov, A. B. Rinkevich, and S. O. Demokritov, arXiv:1609.01613 (2016).\n[34] S. Singh et al, arXiv:1610.08017\n[35] H. X. Yang, A. Hallal, D. Terrade, X. Waintal, S. Roche, and M. Chshiev, Phys. Rev. Lett. 110,\n046603 (2013).\n[36] Z. Qiao, W. Ren, H. Chen, L. Bellaiche, Z. Zhang, A. H. MacDonald and Qian Niu, Phys. Rev.\nLett.112, 116404 (2014).\n[37] I. Vobornik, U. Manju, J. Fujii, F. Borgatti, P. Torelli, D. Krizmancic, Y. S. Hor, R. J. Cava,\nand G. Panaccione, Nano Lett. 11, 4079 (2011).\n[38] Z. Zanolli, Sci. Rep. 6, 31346 (2016).\n[39] K. Zollner, M. Gmitra, T. Frank, and J. Fabian, Phys. Rev. B 94, 155441 (2016).\n[40] J. B. S. Mendes, O. Alves Santos, L. M. Meireles, R. G. Lacerda, L. H. Vilela-Leão, F. L. A.\nMachado, R. L. Rodríguez-Suárez, A. Azevedo, and S. M. Rezende, Phys. Rev. Lett. 115,\n226601 (2015).\n[41] P. Wei, S. Lee, F. Lemaitre, L. Pinel, D. Cutaia, W. Cha, F. Katmis, Y. Zhu, D. Heiman, J.\nHone, J. S. Moodera and C.-T. Chen, Nat Mater doi:10.1038/nmat4603 (2016).\n[42] G. Kresse and J. Hafner, Phys. Rev. B 47, 558 (1993).\n[43] G. Kresse and J. Furthmüller, Phys. Rev. B 54, 11169 (1996).\n[44] G. Kresse and J. Furthmüller, Comp. Mater. Sci. 6, 15 (1996).\n[45] P. E. Blöchl, Phys. Rev. B 50, 17953 (1994).\n[46] J. P. Perdew, K. Burke, and M. Ernzerhof, Phys. Rev. Lett. 77, 3865 (1996).\n[47] G. Kresse and D. Joubert, Phys. Rev. B 59, 1758 (1999).\n[48] J.M.Soler, E.Artacho, J.D.Gale, A.Garcìa, J.Junquera, P.OrdejónandDanielSánchez-Portal,\nJ. Phys. Condens. Matter 14, 2745 (2002).Tailoring magnetic insulator proximity effects in graphene 12\n[49] D. M. Ceperley and B. J. Alder, Phys. Rev. Lett. 45,566 (1980).\n[50] J. P. Perdew and A.Zunger, Phys. Rev B 23, 5075 (1981).\n[51] I. Anisimov, J. Zaanen, and O. K. Andersen, Phys. Rev. B 44, 943 (1991).\n[52] I. Anisimov, I. V. Solovyev, M. A. Korotin, M. T. Czyzyk, and G. A. Sawatzky, Phys. Rev. B\n48, 16929 (1993).\n[53] P. Larson and W. R. L. Lambrecht, J. Phys.: Condens. Matter 18, 11333 (2006).\n[54] S. B. Cho and Y. C. Chung, J. Mater. Chem. C, 1, 1595 (2013).\n[55] S. Su, Y. Barlas and R. K. Lake, arXiv:1509.06427 (2015)." }, { "title": "2012.07870v2.Effect_of_magnon_decays_on_parametrically_pumped_magnons.pdf", "content": "E\u000bect of magnon decays on parametrically pumped magnons\nViktor Hahn\u0003and Peter Kopietz\nInstitut f ur Theoretische Physik, Universit at Frankfurt,\nMax-von-Laue Strasse 1, 60438 Frankfurt, Germany\n(Dated: February 19, 2021)\nWe investigate the in\ruence of magnon decays on the non-equilibrium dynamics of parametrically\nexcited magnons in the magnetic insulator yttrium-iron garnet (YIG). Our investigations are moti-\nvated by a recent experiment by Noack et al. [Phys. Status Solidi B 256, 1900121 (2019)] where\nan enhancement of the spin pumping e\u000bect in YIG was observed near the magnetic \feld strength\nwhere magnon decays via con\ruence of magnons becomes kinematically possible. To explain the\nexperimental \fndings, we have derived and solved kinetic equations for the non-equilibrium magnon\ndistribution. The e\u000bect of magnon decays is taken into account microscopically via collision in-\ntegrals derived from interaction vertices involving three powers of magnon operators. Our results\nagree quantitatively with the experimental data.\nI. INTRODUCTION\nIn a recent experiment1the parametric excitation of\nmagnons in the magnetic insulator yttrium-iron garnet\n(YIG) was investigated by coupling an oscillating mi-\ncrowave \feld into the system and measuring the magnon\ndensity via the inverse spin-Hall e\u000bect.2This e\u000bect,\nwhich converts a spin current into an electric \feld per-\npendicular to the directions of the spin current and the\nspin polarization, is caused by the relativistic spin-orbit\ninteractions that are also responsible for the direct spin-\nHall e\u000bect.3In solids this e\u000bect is enhanced due to the\nstrong potential of atomic nuclei.4In the experiment1\na thin YIG \flm was exposed to an oscillating magnetic\n\feldH(t) =H0ez+H1cos(!0t)ez, where the static part\nH0ezforces the macroscopic magnetization to be aligned\nalong thez-axisez, while the oscillating part with am-\nplitudeH1\u001cH0drives the magnons in the sample out\nof equilibrium. Noack et al.1observed that the spin-\npumping e\u000bect was enhanced for certain values of the\nstatic \feld H0, and that the magnon density in the sta-\ntionary non-equilibrium state displayed peaks or dips for\nthose values of H0where magnon decays due to the con-\n\ruence of two parametrically excited magnons with iden-\ntical energy and momentum becomes kinematically pos-\nsible. Recall that magnon decays due to the con\ruence\nand the reverse splitting process conserve the total en-\nergy and momentum of the magnons involved in these\nscattering processes.5,6\nIn this work we provide a quantitative microscopic ex-\nplanation for the experimental observations of Ref. [1].\nIt turns out that therefore a proper understanding\nof magnon damping under non-equilibrium conditions\nin YIG is crucial. We therefore construct a kinetic\ntheory of pumped magnon gases including microscopi-\ncally derived collision integrals describing the relevant\ndissipative e\u000bects. While theoretical investigations of\npumped magnon gases in magnetic insulators have a long\nhistory5{24in all works published so far the e\u000bect of\ncollisions on the non-equilibrium magnon dynamics was\nconsidered only phenomenologically by introducing (by\nhand) a relaxation rate into the kinetic equations for themagnon distribution functions. Although the relevant\nmicroscopic collision integrals have been derived within\nthe Born approximation in Ref. [11], to our knowledge\na microscopic treatment of the e\u000bect of magnon colli-\nsions on the non-equilibrium dynamics of magnons is still\nmissing in the literature. An alternative method to in-\nvestigate the dynamics of pumped magnons in YIG is\nbased on the numerical solution of the stochastic non-\nMarkovian Landau-Lifshitz-Gilbert equation with a mi-\ncroscopically derived noise and dissipation kernel.25The\napproach based on kinetic equations adopted here has\nthe advantage that it allows us to identify the experi-\nmentally relevant con\ruent scattering processes directly\nin the collision integral. Still, the resulting non-linear\nintegro-di\u000berential equations are very complicated and\ncan only be solved numerically. Moreover, the deriva-\ntion of the collision integrals starting from an e\u000bective\nspin Hamiltonian for YIG is a demanding technical prob-\nlem because the distribution function of the magnon gas\nin YIG with external pumping has an o\u000b-diagonal com-\nponent so that we have to deal with various types of\nanomalous cubic interaction vertices. While in principle\nthe collision integrals can be derived diagrammatically\nusing the Keldysh formalism,26to keep track of all terms\ncontributing to the collision integrals we have found it\nmore convenient to use an unconventional method de-\nveloped in Ref. [27] based on a systematic expansion of\nthe collision integrals in terms of connected equal-time\ncorrelation functions.\nThe rest of this article is organized as follows. In\nSec. II we introduce the e\u000bective Hamiltonian describ-\ning pumped magnons in YIG which is the starting point\nfor our investigations. In Sec. III we derive collision-\nless kinetic equations for the magnon distribution func-\ntions in YIG. We also discuss the usual phenomenological\nstrategy of introducing dissipative e\u000bects into the colli-\nsionless kinetic equations, derive the resulting stationary\nnon-equilibrium distributions for YIG, and show that the\nexperimental results of Noack et al.1cannot be explained\nwithin this approximation. In Sec. IV we derive the col-\nlision integrals containing the cubic vertices using an ex-\npansion in powers of connected equal-time correlations.27arXiv:2012.07870v2 [cond-mat.stat-mech] 18 Feb 20212\nOur numerical results for the stationary non-equilibrium\nsolution including the e\u000bects of the cubic vertices are pre-\nsented in Sec. V. Finally, in Sec. VI we summarize our\nresults and present our conclusions. To make this work\nself-contained we have added three appendices with tech-\nnical details. In Appendix A we outline the derivation\nof the Hamiltonian of pumped magnons in YIG follow-\ning mainly Refs. [28,29]. In Appendix B we review the\nmethod of deriving kinetic equations via an expansion in\nterms of connected equal-time correlations developed by\nFricke,27and in Appendix C we give the explicit expres-\nsions for the relevant collision integrals for YIG obtained\nwith this method.\nII. HAMILTONIAN FOR PUMPED MAGNONS\nIN YIG\nIn the experimental setup of Ref. [1] a thin stripe of\nYIG is exposed to an oscillating microwave \feld in the\nparallel pumping geometry where the oscillating compo-\nnent of the magnetic \feld is parallel to its static com-\nponent. At the energy scales of interest the magnon dy-\nnamics can be described by the following time-dependent\ne\u000bective Hamiltonian,5,6,19,20,28{31\nH(t) =\u00001\n2X\nijX\n\u000b\fh\nJij\u000e\u000b\f+D\u000b\f\niji\nS\u000b\niS\f\nj\n\u0000[h0+h1cos (!0t)]X\niSz\ni; (2.1)\nwhere the indices i;jlabel theNsites of a cubic lat-\ntice and\u000b;\fdenote the three spin components x;y;z of\nthe spin operators S\u000b\ni. The nearest neighbor exchange\ncouplings connecting lattice sites riandrjare denoted\nbyJij, whileD\u000b\f\nijdenotes the matrix elements of the\ndipolar tensor de\fned in Eq. (A1) of Appendix A. The\nlast term in Eq. (2.1) represents the coupling of the spins\nto a static magnetic \feld H0and a time-dependent mi-\ncrowave magnetic \feld H1oscillating with frequency !0,\nwhereh0=\u0016H0andh1=\u0016H1are the corresponding\nZeeman energies. The geometry of the system and our\nchoice of the coordinate system is shown in Fig. 1. The\nHamiltonian (2.1) can be bosonized using the Holstein-\nPrimako\u000b transformation32as described in Appendix A.\nWe expand the resulting bosonized Hamiltonian in pow-\ners of the inverse spin quantum number 1 =S,\nH(t) =H0(t) +H2(t) +H3+H4+O(S\u00001=2);(2.2)\nwhereHncontainsnpowers of the boson operators. Ex-\nplicit expressions for the terms in the expansion (2.2) are\ngiven in Refs. [20,24,28,29] and are reproduced in Ap-\npendix A. It is convenient to use a canonical (Bogoliubov)\ntransformation to diagonalize the time-independent part\nofH2(t), which then assumes the form given in Eq. (A10).\nFor our purpose it is su\u000ecient to further simplify H2(t)\nby dropping all non-resonant terms which are explicitly\nwx\nyzd = aNH0k\nθkFIG. 1: Sketch of a long YIG stripe oriented along the z-\naxis with width winy-direction and thickness d=aNinx-\ndirection. Here ais the lattice spacing and Nis the number of\nlattice sites in x-direction. In this work we consider wavevec-\ntorskin they-z-plane with \u0012kbeing the angle between kand\nthe static magnetic \feld magnetic \feld H0=H0ez.\ntime-dependent in the rotating reference frame de\fned by\nthe canonical transformation (2.9) below.20,24,29In this\napproximation\nH2(t) =X\nk\u0014\n\u000fkay\nkak+1\n2Vke\u0000i!0tay\nkay\n\u0000k\n+1\n2V\u0003\nkei!0ta\u0000kak\u0015\n;(2.3)\nwhereakanday\nkannihilate and create magnons with\nmomentum kand energy \u000fk. For small kthe magnon\nenergy can be approximated by28,33,34\n\u000fk=q\u0002\nh0+\u001ak2+ (1\u0000fk) \u0001 sin2\u0012k\u0003\n[h0+\u001ak2+fk\u0001];\n(2.4)\nwhile the pumping energy Vkcan be written as\nVk=h1\u0001\n4\u000fk\u0002\n\u0000fk+ (1\u0000fk) sin2\u0012k\u0003\n: (2.5)\nHere,\u001ais the exchange sti\u000bness of long-wavelength\nmagnons,28the dipolar energy scale\n\u0001 =4\u0019\u00162S\na3(2.6)\nis determined by the e\u000bective magnetic moment \u0016and\nthe e\u000bective spin S[see Eq. (A18)], and the form factor\nfkfor a thin stripe of YIG shown in Fig. 1 is given by28,33\nfk=1\u0000e\u0000jkjd\njkjd; (2.7)\nwheredis the thickness of the YIG stripe. We\nparametrize the in-plane wavevector as\nk=kyey+kzez=jkj(sin\u0012key+ cos\u0012kez);(2.8)3\nwhere\u0012kis the angle between the wavevector kand the\nstatic magnetic \feld H0ezas shown in Fig. 1.\nThe explicit time-dependence of the quadratic part of\nthe Hamiltonian (2.3) can be removed via a canonical\ntransformation to the rotating reference frame,\n~ak= ei!0\n2tak;~ay\nk= e\u0000i!0\n2tay\nk: (2.9)\nThe quadratic part of the Hamiltonian then be-\ncomes20,24,29\n~H2=X\nk\u0014\nEk~ay\nk~ak+Vk\n2~ay\nk~ay\n\u0000k+V\u0003\nk\n2~a\u0000k~ak\u0015\n;(2.10)where\nEk=\u000fk\u0000!0=2 (2.11)\nis the shifted magnon energy in the rotating reference\nframe. It turns out that in this frame the cubic and\nthe quartic parts of the magnon Hamiltonian acquire an\nexplicit time-dependence. Explicitly, after Bogoliubov\ntransformation and transformation the cubic and quar-\ntic part of the magnon Hamiltonian are in the rotating\nreference frame of the form\n~H3(t) =1p\nNX\nk1;k2;k3\u000ek1+k2+k3;0\u00141\n2\u0000\u0016aaa\n1;2;3e\u0000i!0t=2~ay\n\u00001~a2~a3+1\n2\u0000\u0016a\u0016aa\n1;2;3ei!0t=2~ay\n\u00001~ay\n\u00002~a3\n+1\n3!\u0000aaa\n1;2;3e\u00003i!0t=2~a1~a2~a3+1\n3!\u0000\u0016a\u0016a\u0016a\n1;2;3e3i!0t=2~ay\n\u00001~ay\n\u00002~ay\n\u00003\u0015\n; (2.12)\n~H4(t) =1\nNX\nk1;k2;k3;k4\u000ek1+k2+k3+k4;0\"\n1\n(2!)2\u0000\u0016a\u0016aaa\n1;2;3;4~ay\n\u00001~ay\n\u00002~a3~a4+1\n3!e\u0000i!0t\u0000\u0016aaaa\n1;2;3;4~ay\n\u00001~a2~a3~a4\n+1\n3!ei!0t\u0000\u0016a\u0016a\u0016aa\n1;2;3;4~ay\n\u00001~ay\n\u00002~ay\n\u00003~a4+1\n4!e\u00002i!0t\u0000aaaa\n1;2;3;4~a1~a2~a3~a4+1\n4!e2i!0t\u0000\u0016a\u0016a\u0016a\u0016a\n1;2;3;4~ay\n\u00001~ay\n\u00002~ay\n\u00003~ay\n\u00004\u0015\n;(2.13)\nwhere we have introduced the short notation ki!ifor\nthe momentum labels. In Eqs. (A14) and (A15) of Ap-\npendix A we explicitly give the rather cumbersome ex-\npressions for the vertices appearing in Eqs. (2.12) and\n(2.13). At the \frst sight it seems that within the rotating-\nwave approximation we should drop all oscillating terms\nin Eqs. (2.12) and (2.13). However, as will be shown in\nSec. IV, the collision integrals originating from the cu-\nbic part ~H3(t) of the Hamiltonian contain products of\ntwo cubic vertices, so that some of the time-dependent\nfactors in Eq. (2.12) cancel in the collision integrals and\nat this point we do not neglect the oscillating terms in\nEq. (2.12).\nWe conclude this section with a cautionary remark\nabout the validity of the spin Hamiltonian (2.1) which\ndescribes only the lowest (acoustic) branch of the magnon\nspectrum. Since YIG is a ferrimagnetic insulator with a\nrather large number of spins per unit cell, the magnon\nspectrum has also several high-energy (optical) branches5\nwhich are not taken into account via the spin Hamilto-\nnian (2.1). It turns out, however, that in thermal equi-\nlibrium at room temperature these optical magnons have\na much lower occupancy than the low-energy magnons,\nso that at the energy scales probed in the experiment1\nwe can safely neglect the optical magnons. In principle\nwe cannot exclude the possibility that non-equilibrium\nscattering processes lead to a signi\fcant population of\nthe optical magnons. In fact, a recent calculation of\nthe inverse spin-Hall voltage and the spin Seebeck ef-fect in YIG by Barker and Bauer35suggests that optical\nmagnons can signi\fcantly contribute to spin transport.\nOn the other hand, in Ref. [35] is is also shown that the\ninclusion of the optical magnons does not qualitatively\nchange the predicted inverse spin-Hall voltage. Since in\nthe present work we do not attempt to calculate the ab-\nsolute size of the inverse spin-Hall voltage but consider\nonly the magnon density (which is expected to be pro-\nportional to the inverse spin-Hall voltage), for our pur-\npose it is su\u000ecient to work with the e\u000bective low-energy\nspin Hamiltonian (2.1). The high-energy magnon bands\ncan at least partially be taken into account by consid-\nering the parameters in Eq. (2.1) as e\u000bective quantities\nwhich include renormalization e\u000bects due to the optical\nmagnon bands. This argument is further strengthened\nby the fact that the Hamiltonian (2.1) correctly describes\nthe dynamics of non-equilibrium magnon condensation in\nYIG.25\nIII. COLLISIONLESS KINETIC EQUATIONS\nAND S-THEORY WITH PHENOMENOLOGICAL\nDAMPING\nBefore deriving in Sec. IV kinetic equations for the\ndistribution functions of magnons in YIG including the\nrelevant collision integrals, it is instructive to consider\n\frst the collisionless limit. As recently pointed out\nin Ref. [24], for a complete description of the non-4\nequilibrium time-evolution of the magnon distribution in\nYIG, we should take into account that in the presence of a\ntime-dependent microwave \feld the magnon annihilation\noperators can have a \fnite expectation value exhibiting\na non-trivial dynamics. In the rotating reference frame\nwe de\fne\n~ k(t) =h~ak(t)i=ei!0t=2hak(t)i=ei!0t=2 k(t);(3.1)\nwhere the time-evolution is in the Heisenberg picture and\nh:::idenotes to the non-equilibrium statistical average.\nIn addition, we should consider the time-evolution of the\nconnected diagonal- and o\u000b-diagonal distribution func-\ntions,\nnc\nk(t) =h\u000eay\nk(t)\u000eak(t)i=h\u000e~ay\nk(t)\u000e~ak(t)i;(3.2)\n~pc\nk(t) =h\u000e~a\u0000k(t)\u000e~ak(t)i= ei!0tpk(t); (3.3)\nwhere\u000eak(t) =ak(t)\u0000hak(t)i=ak(t)\u0000 k(t). Note\nthat the phase factors e\u0006i!0t=2generated by the trans-\nformation to the rotating reference frame cancel in the\ndiagonal distribution function nc\nk(t).\nA. Collisionless kinetic equations\nThe equations of motion for the distribution functions\ncan be derived from the Heisenberg equations of motion\nfor the operators in the rotating reference frame,\ni@t~ak=\u0002\n~ak;~H(t)\u0003\n; (3.4a)\ni@t~ay\nk=\u0002\n~ay\nk;~H(t)\u0003\n: (3.4b)\nTo begin with, let us approximate the magnon Hamil-\ntonian by its quadratic part ~H2neglecting all magnon-\nmagnon interactions. In this approximation,24\n@tnc\nk+i\u0002\nVk(~pc\nk)\u0003\u0000V\u0003\nk~pc\nk\u0003\n= 0; (3.5a)\n@t~pc\nk+ 2iEk~pc\nk+iVk[2nc\nk+ 1] = 0; (3.5b)\n@t~ k+iEk~ k+iVk~ \u0003\n\u0000k= 0: (3.5c)\nUnfortunately, these equations do not provide a satisfac-\ntory description of the experimental results of Ref.[1]. In\nparticular, in the strong pumping regime jVkj>jEkj\nthese equations predict an exponential growth of the\nmagnon distributions,9,10,20whereas experimentally one\nobserves a saturation for su\u000eciently long times. To de-\nscribe this saturation we have to take magnon-magnon\ninteractions into account. This can be done by employing\na time-dependent self-consistent Hartree-Fock approxi-\nmation, which in this context is called S-theory.6{8,17,19\nThe kinetic equations (3.5) are then replaced by non-\nlinear integro-di\u000berential equations, which in the rotating\nreference frame take again the form24\n@tnc\nk+ih\n~Vk(~pc\nk)\u0003\u0000~V\u0003\nk~pc\nki\n= 0; (3.6a)\n@t~pc\nk+ 2i~Ek~pc\nk+i~Vk[2nc\nk+ 1] = 0; (3.6b)\n@t~ k+i~Ek~ k+i~Vk~ \u0003\n\u0000k= 0; (3.6c)where the renormalized magnon energy ~Ekand the renor-\nmalized pumping energy ~Vkdepend on the distribution\nfunctions as follows,\n~Ek=Ek+1\nNX\nqTk;q\u0010\nnc\nq+\f\f~ q\f\f2\u0011\n; (3.7a)\n~Vk=Vk+1\n2NX\nqSk;q\u0010\n~pc\nq+~ \u0000q~ q\u0011\n:(3.7b)\nHereTk;qandSk;qare de\fned via the following ma-\ntrix elements of magnon-magnon interaction vertices in\nEq. (2.13),\nTk;q= \u0000\u0016a\u0016aaa\n\u0000k;\u0000q;q;k; (3.8a)\nSk;q= \u0000\u0016a\u0016aaa\n\u0000k;k;\u0000q;q: (3.8b)\nNote that in Eq. (3.7) we have dropped oscillating terms\narising from the vertices of ~H4(t) in Eq. (2.13) involving\ntime-dependent factors of e\u0006i!0tande\u00062i!0t, which is\nconsistent within the rotating-wave approximation.\nB. Stationary non-equilibrium distribution with\nphenomenological damping\nIn the experiment by Noack et al.1the magnetic-\feld\ndependence of the magnon distribution in a stationary\nnon-equilibrium state of a YIG sample subject to an os-\ncillating microwave \feld is measured. Let us now try\nto explain this experiment using a simple modi\fcation\nof the collisionless kinetic equations (3.6) where we in-\ntroduce (by hand) a phenomenological damping rate \rk.\nNote that without such a damping rate the solutions of\nthe collisionless kinetic equations never reach a stationary\nnon-equilibrium state.24In the rotating reference frame\nthe equations of motion for the magnon operators includ-\ning the phenomenological damping \rkare\n@t~ak(t) = (\u0000iEk\u0000\rk) ~ak\u0000iVk~ay\n\u0000k;(3.9a)\n@t~ay\nk(t) = (iEk\u0000\rk) ~ay\nk+iV\u0003\nk~a\u0000k: (3.9b)\nIn Refs. [7,8] it was argued that the damping selects the\npair of magnon modes with momentum \u0006kthat is char-\nacterized by the smallest damping to be the only signif-\nicantly occupied modes, so that the dynamics of these\nmodes is e\u000bectively decoupled from the other modes.\nMoreover, it is argued that, if initially other magnon\nmodes are signi\fcantly occupied as well, after su\u000eciently\nlong times only this single pair of magnon modes will\nsurvive. This argument justi\fes the approximation of re-\nplacing the integrals de\fning the renormalized energies\nin Eq. (3.7) by a single term where the loop momentum\nqis equal the external momentum k,\n~Ek\u0019Ek+1\nNTk;k\u0010\nnc\nk+\f\f~ k\f\f2\u0011\n; (3.10a)\n~Vk\u0019Vk+1\n2NSk;k\u0010\n~pc\nk+~ \u0000k~ k\u0011\n:(3.10b)5\n800 900 1000 1100 120000.020.040.060.080.1\nH0 / Oens / N7dB\n8dB\n10dB\n12dB\nFIG. 2: Dependence of the magnon density ns=N =P\nkns\nk=Non the external magnetic \feld strength H0in\nthe stationary non-equilibrium state within S-theory given\nby Eq. (3.11a) for di\u000berent pumping strengths. The maxi-\nmum ofVkwas chosen to be larger than the relaxation rate\n\rk= 2:19\u000210\u00003GHz. To describe the experiment of Noack\net al1we have performed our calculations for a thin YIG \flm\nwith thickness d= 22:8\u0016m (corresponding to N= 18422)\nsubject to a microwave \feld with frequency !0= 13:857 GHz.\nNeglecting the expectation values of the magnon opera-\ntors, Zakharov et al.7,8\fnd that the stationary solution\nof the collisionless kinetic equations (3.6) with additional\ndamping is given by\nns\nk=Np\nV2\nk\u0000\r2\nk\u0000\f\fEk\f\f\nTk;k+1\n2Sk;k; (3.11a)\n~ps\nk=\u0000ns\nk; (3.11b)\nprovided the pumping is strong enough to compensate\nthe losses due to damping,\njVkj>j\rkj: (3.12)\nWe shall refer to Eq. (3.11) as the stationary solution\nwithin S-theory. Taking explicitly the expectation values\nof the magnon operators in Eq. (3.10) into account yields\nthe same result,24,36\nnc\nk+\f\f\f~ k\f\f\f2\n=ns\nk; (3.13a)\n~pc\nk+~ 2\nk=\u0000ns\nk: (3.13b)\nIn Fig. 2 we plot the stationary magnon density ns=P\nkns\nkwithin S-theory obtained from Eq. (3.11) as a\nfunction of the external magnetic \feld assuming a con-\nstant phenomenological relaxation rate \rk= 2:08\u0002\n10\u00003GHz. For comparision, we reproduce in Fig. 3 the\nexperimental results for the inverse spin-Hall e\u000bect volt-\nage from Fig. 4 a) of Ref. [1], which is expected to be pro-\nportional to the density of pumped magnons. Obviously,\n800 900 1000 1100 1200010203040\nH0 / Oe VISH / µ V7dB\n8dB\n10dB\n12dBFIG. 3: Experimental results for the inverse spin-Hall voltage\nVISHreproduced from Fig. 4 a) of Ref. [1].\nin a certain range of magnetic \felds the experimental\ndata exhibit characteristic features which are missed by\nS-theory, which explains only the average linear growth\nof the observed magnon density with increasing magnetic\n\feld. An obvious reason for the failure of S-theory is that\nthe phenomenological damping introduced by hand nei-\nther takes into account the kinematic constraints nor the\nmicroscopic magnon dynamics responsible for the dissi-\npative e\u000bects which are essential for the emergence of a\nstationary non-equilibrium state in the pumped magnon\ngas. For a satisfactory explanation of the experimental\ndata1reproduced in the lower part of Fig. 3 we should\ntherefore use kinetic equations with microscopically de-\nrived collision integrals describing the relevant scattering\nprocesses. The collisionless kinetic equations (3.5) are\nthen replaced by\n@tnc\nk(t) +ih\n~Vk(t) (~pc\nk(t))\u0003\u0000~V\u0003\nk(t)~pc\nk(t)i\n=In\nk(t);\n(3.14a)\n@t~pc\nk(t) + 2i~Ek(t)~pc\nk(t) +i~Vk(t) [2nc\nk(t) + 1] =Ip\nk(t);\n(3.14b)\n@t~ k(t) +i~Ek(t)~ k(t) +i~Vk(t)~ \u0003\n\u0000k(t) =I \nk(t);\n(3.14c)\nwhere all interactions beyond S-theory are taken into ac-\ncount via three types of collision integrals In\nk(t),Ip\nk(t),\nandI \nk(t). These collision integrals should be derived\nfrom the Hamiltonian (2.2), including the cubic part\n~H3(t) which determines the damping to leading order\nin the small parameter 1 =S. In spite of many decades of\ntheoretical research on pumped magnon gases,5{24a com-\nplete derivation of the relevant collision integrals In\nk(t),\nIp\nk(t), andI \nk(t) and the subsequent numerical solution\nof the resulting kinetic equations cannot be found in the\nliterature. In the rest of this work we will solve this6\ntechnically very complicated problem using an unconven-\ntional approach to non-equilibrium many-body systems\ndeveloped by J. Fricke27which we review in Appendix B.\nBefore deriving in the following section explicit micro-\nscopic expressions for the collision integrals in Eq. (3.14)\nlet us generalize the construction of a stationary solu-\ntion with phenomenological damping discussed above by\nassuming that the collision integrals are of the form\nIn\nk(t) =\rn\nknk(t); (3.15a)\nIp\nk(t) =\rp\nk~pk(t); (3.15b)\nwhere\rn\nkand\rp\nkare assumed to be constant in time\nand independent of the magnon distribution functions.\nFor simplicity we assume that the expectation values of\nthe magnon operators are negligible and set I \nk(t) = 0.\nIn this case the stationary non-equilibrium solution of\nEq. (3.14) can easily be obtained analytically. The imag-\ninary part of \rp\nkcan be grouped together with the renor-\nmalized magnon energy ~Ekand we therefore modify the\nexpression for the renormalized magnon energy as fol-\nlows,\n~Ek=Ek\u00001\n2Im\rp\nk+1\nNX\nqTk;qnq(t): (3.16)\nForjVkj>1\n4\rn\nkRe\rp\nkthe stationary non-equilibrium so-\nlution of Eq. (3.14) is then given by\nns\nk=s\nRe\rp\nk\n\rn\nkj~pkj; (3.17a)\n~ps\nk=\u0000 s\n1\u0000\rn\nkRe\rp\nk\n4V2\nk+is\n\rn\nkRe\rp\nk\n4V2\nk!\nj~pkj;\n(3.17b)\nj~ps\nkj=Nq\nV2\nk\u00001\n4\rn\nkRe\rp\nk\u0000jEkjp\n\rn\nk=Re\rp\nk\nTk;k+1\n2Sk;k:\n(3.17c)\nNote that for1\n2\rn\nk=1\n2\rp\nk\u0011\rkwe recover the sta-\ntionary solution within conventional S-theory7,8given in\nEqs. (3.11). Contrary to the case without collision inte-\ngrals, the result for non-vanishing expectation values ~ k\ndi\u000bers as the collision integrals cannot be written in the\nform\rn\nk(nk+j~ kj2).\nIV. COLLISION INTEGRALS\nIn this section we present a microscopic derivation of\nthe collision integrals In\nk(t),Ip\nk(t), andI \nk(t) appearing\nin the kinetic equations (3.14). Given the fact that for\nYIG the e\u000bective spin S\u001914 is rather large,28we work\nto leading order in 1 =Swhere only the cubic part ~H3(t)\nof the Hamiltonian in Eq. (2.12) has to be taken intoaccount. The assumption that the experimentally ob-\nserved \fne structure of the inverse spin-Hall signal shown\nin Fig. 3 can be explained with the help of the scatter-\ning processes described by the cubic vertices contained\nin~H3(t) is also supported by the fact that the peaks and\ndips of the observed signal as a function of the magnetic\n\feld agree with the points where the splitting processes\n(in which one magnon is absorbed and two magnons\nare emitted) and the con\ruence processes (in which two\nmagnons are absorbed and one magnon is emitted) de-\nscribed by the vertices in ~H3(t) become kinematically\npossible.1Note that a \fnite cubic part ~H3(t) of the\nmagnon Hamiltonian arises entirely from dipole-dipole\ninteractions. The corresponding scattering processes con-\nserve energy and momentum, but do not conserve the\nnumber of magnons.37As we do not expect magnon-\nphonon interactions, magnon-defect interactions, and in-\nteractions with thermal optical magnons to be responsi-\nble for the e\u000bect observed in the experiment1we neglect\nthese interactions.\nIn principle, the collision integrals can be derived us-\ning the Keldysh formalism.26However the Keldysh for-\nmalism has the disadvantage that it produces two-time\ncorrelations, whereas in our case we are only interested\nin equal-time correlations. Although the reduction of\ntwo-time correlations to equal-time correlations can be\nachieved by means of standard methods such as the gen-\neralized Kadano\u000b-Baym-Ansatz,38in view of the com-\nplexity of the collision integrals for YIG we \fnd it more\ne\u000ecient to use a method involving only equal-time corre-\nlations at every step of the calculation. We therefore use\nthe method developed by J. Fricke,27which allows us to\nto derive directly a hierarchy of coupled kinetic equations\nfor equal-time correlations and provides us with a system-\natic scheme for decoupling the correlations for arbitrary\norder. To make this work self-contained, in Appendix B\nwe outline the main features of this method.\nA. Collision integrals due to cubic interaction\nvertices\nConsider \frst the diagonal collision integral In\nk(t) ap-\npearing in the kinetic equation (3.14a) for the connected\npartnc\nk(t) of the diagonal magnon distribution. Us-\ning the method developed in Ref. [27] (which we review\nin Appendix B) and omitting for simplicity the time-\narguments, we \fnd\nIn\nk(t) =ip\nNP\nqh\n1\n2\u0000\u0016aaa\nk;q;k\u0000qe\u0000i!0t=2h~ay\nq~ay\nk\u0000q~akic\u0000c:c:\n+(\u0000\u0016aaa\nq;q\u0000k;k)\u0003ei!0t=2h~ay\nq~aq\u0000k~akic\u0000c:c:i\n;\n(4.1)\nwhere we have used momentum conservation to carry\nout one of the summations. Here h~ay\nq~ay\nk\u0000q~akicand\nh~ay\nq~aq\u0000k~akicare connected equal-time correlations in-7\nk kq\nk-qk kq\nq-k\nFIG. 4: Diagrammatic representation of contributions to the\ncollision integral In\nk(t) given in (4.1) which determine the\ntime-evolution of the connected diagonal distribution func-\ntionnc\nk(t). For simplicity we do not draw the two conjugated\ndiagrams obtained by \ripping the direction of each arrow cor-\nresponding to the complex conjugated terms in Eq. (4.1).\nThe symbols have the following meaning: Outgoing arrows\nrepresent creation operators, incoming arrows represent an-\nnihilation operators, and the black dots represent external or\ninteraction vertices. The left diagram contains two external\nvertices and the interaction vertex \u0000\u0016aaa\nk;q;k\u0000q; the empty circle\n(correlation bubble) represents the correlation h~ay\nq~ay\nk\u0000q~akic.\nAs the lines between the correlation bubble and the interac-\ntion vertex in the left diagram form a pair of equivalent lines\nwe have to insert a prefactor of 1 =2 in front of the \frst vertex\nin Eq. (4.1). The right diagram contains the vertex \u0000\u0016a\u0016aa\nk;q\u0000k;q\nand the correlation h~ay\nq~aq\u0000k~akic.volving three magnon operators. In the graphical rep-\nresentation of Eq. (4.1) shown Fig. 4 these correlations\nare represented by empty circles with three external legs\n(correlation bubbles). Note that the diagrams shown in\nFig. 4 di\u000ber from Feynman diagrams as they represent\ncontributions to the di\u000berential equations for the corre-\nlations at a \fxed time. Next, we express the three-point\ncorrelations in Eq. (4.1) in terms of the four-point corre-\nlations using the equation of motion. As a representative\nexample, let us consider the correlation h~ay\nq~ay\nk\u0000q~akicin\nthe \frst term on the right-hand side of Eq. (4.1) and ex-\nplicitly evaluate only the diagram shown in Fig. 5. The\nother terms entering the equation of motion correspond-\ning to the remaining diagrams have the same form and\nare represented by the dots in Eqs. (4.2){4.4) below. The\ncalculations leading to the collision integrals are analo-\ngous for all terms. The equation of motion implies\n\u0014d\ndt+i(\u000fk\u0000\u000fq\u0000\u000fk\u0000q)\u0015\nh~ay\nq~ay\nk\u0000q~akic=\u0000ip\nNX\nq0\u00141\n2\u0000\n\u0000\u0016aaa\nk;q0;k\u0000q0\u0001\u0003ei!0t=2h~ay\nq~ay\nk\u0000q~aq0~ak\u0000q0ic+:::\u0015\n: (4.2)\nIntegrating Eq. (4.2) over the time we obtain\nh~ay\nq~ay\nk\u0000q~akic=\u0000ip\nNX\nq02\n41\n2tZ\nt0dt0e\u0000i(\u000fk\u0000\u000fq\u0000\u000fk\u0000q)(t\u0000t0)\u0000\n\u0000\u0016aaa\nk;q0;k\u0000q0\u0001\u0003ei!0t=2h~ay\nq~ay\nk\u0000q~aq0~ak\u0000q0ic+:::3\n5: (4.3)\nFinally, substituting Eq. (4.3) into Eq. (4.1) we obtain\nIn\nk(t) =1\nNX\nq;q02\n41\n2tZ\nt0dt0cos [(\u000fk\u0000\u000fq\u0000\u000fk\u0000q) (t\u0000t0)] \u0000\u0016aaa\nk;q;k\u0000q\u0000\n\u0000\u0016aaa\nk;q0;k\u0000q0\u0001\u0003h~ay\nq~ay\nk\u0000q~aq0~ak\u0000q0ic+:::3\n5\nt0!\u00001\u0000\u0000\u0000\u0000\u0000\u0000!2\u0019\nNX\nq;q0\u00141\n2\u000e(\u000fk\u0000\u000fq\u0000\u000fk\u0000q) \u0000\u0016aaa\nk;q;k\u0000q\u0000\n\u0000\u0016aaa\nk;q0;k\u0000q0\u0001\u0003h~ay\nq~ay\nk\u0000q~aq0~ak\u0000q0ic+:::\u0015\n; (4.4)\nwhere in the last step we have taken the limit t0!\u00001\nand the dots denote the contributions of the other di-\nagrams. The other terms entering this equation repre-\nsented by the dots are of the same form. Note that the\nterms with two annihilation operators or two creation op-\nerators within the two-particle correlations are complex.\nTherefore, there appears an exponential function with\nimaginary valued argument instead of the cosine func-\ntion leading in the thermodynamic limit to a term of the\nsame form as in Eq. (4.4) without the factor of two. In\nthis way all terms entering the equation of motion forthe one-particle distribution functions can be obtained\nfrom the diagrams. A complete list of all diagrams con-\ntributing to the equation of motion of the three-point\ncorrelationsh~ay\nq~ay\nk\u0000q~akicandh~ay\nq~aq\u0000k~akicis shown in\nFig. 18 of Appendix C.\nThe approach outlined above can also be used to ob-\ntain the o\u000b-diagonal collision integral Ip\nk(t) in the kinetic\nequation (3.14b) for the o\u000b-diagonal distribution function\n~pc\nk(t). In this case there are only two diagrams containing\nthe relevant vertices shown in Fig. 6. The corresponding8\nkq\nk-qq'\nkq\nk-q\nkq\nk-qqk\nk-q\nqk\nk-q\nk-qk\nqk-qk\nq\nk-qk\nqqk\nk-q\nk\nqk-qq'\n-q' q'\nq' -q'\nk-q+q' q-k-q'\n-q'\nk-q+q'\nq'k-q' q'-k\nk-q' q'-q\nq-q' q-q'\n-q'\nFIG. 5: One of the diagrams contributing to the equation\nof motion of the three-point correlation h~ay\nq~ay\nk\u0000q~akic. This\ndiagram, which corresponds to the term explicitly written out\nin Eq. (4.2), contains the interaction vertex \u0000\u0016a\u0016aa\nq0;k\u0000q0;kand\nthe four-point correlation h~ay\nq~ay\nk\u0000q~aq0~ak\u0000q0ic. As the lines\nbetween the correlation bubble and the interaction vertex are\na pair of equivalent lines this diagram should be weighted by\nan extra factor of 1 =2.\nk -kq\nk-qk -kq\nq-k\nFIG. 6: The two diagrams contributing to the time-evolution\nof the o\u000b-diagonal distribution function pk=h~a\u0000k~aki. The\ndiagrams correspond to the two terms on the right-hand side\nof Eq. (4.5). The left diagram contains the interaction ver-\ntex \u0000\u0016a\u0016aa\nq;k\u0000q;kand the correlation h~a\u0000q~aq\u0000k~a\u0000kic. The left\ndiagram should be multiplied by a factor of 1 =2 because the\nlines between the correlation bubble and the vertex are equiv-\nalent. The right diagram contains the vertex \u0000\u0016aaa\nq\u0000k;q;kand the\ncorrelationh~ay\nq~aq\u0000k~a\u0000kic.\nexpression for the o\u000b-diagonal collision integral is\nIp\nk(t) =\u0000i1p\nNX\nqh\n1\n2\u0010\n\u0000\u0016aaa\nk;q;k\u0000q\u0011\u0003\nei!0t=2h~a\u0000q~aq\u0000k~a\u0000kic\n+\u0000\u0016aaa\nq\u0000k;q;ke\u0000i!0t=2h~ay\nq~aq\u0000k~a\u0000kici\n:\n(4.5)\nThe correlationh~a\u0000q~aq\u0000k~a\u0000kicin the \frst term leads\nfor large times to a delta function of the form\n\u000e(\u000fk+\u000fq+\u000fk\u0000q). Keeping in mind that the magnon\ndispersion\u000fkis positive for all momenta, this term does\nnot contribute to the o\u000b-diagonal collision integral Ip\nk(t)\nfor large times. The diagrams contributing to the corre-\nlationh~ay\nq~aq\u0000k~a\u0000kichave already been discussed in the\ncontext of the diagonal collision integral In\nk(t), see Fig. 18\nin Appendix C. Finally, the collision integral I \nk(t) enter-\ning the kinetic equation (3.14) for the expectation values\n~ kof the magnon operators vanishes,\nI \nk(t) = 0; (4.6)\nbecause there is no diagram contributing to the time-\nevolution of ~ k(t) that is quadratic in the three-point\nvertices.B. Decoupling of the equations of motion for the\nconnected correlations\nSo far, we have expressed the contributions to the col-\nlision integrals involving the various types of three-point\nvertices in terms of connected four-point correlations.\nThe next step is to decouple the hierarchy of equations\nof motion by replacing the connected four-point correla-\ntions by one-point and connected two-point correlations.\nKeeping in mind that the only non-vanishing distribution\nfunctions are nc\nk, ~pc\nk, and ~ kwe \fnd\nh~ay\nk~ak~ay\nq~aqic=\u00001!h~ay\nk~akih~ay\nq~aqi+ 2!h~ay\nk~akih~ay\nqih~aqi\n+2!h~ay\nkih~akih~ay\nq~aqi\u00003!h~ay\nkih~akih~ay\nqih~aqi\n=\u0000nc\nknc\nq+nc\nkj qj2+nc\nqj kj2\n\u00003j kj2j qj2: (4.7)\nAnalogous expressions can be written down for the other\nfour-point correlations, so that the collision integrals can\nbe expressed in terms of the two types of two-point cor-\nrelationsnc\nk(t) and ~pc\nk(t) and the non-equilibrium expec-\ntation values k(t) of the magnon operators. It is conve-\nnient to decompose the collision integrals as\nIp\nk=Ip\nk;in\u0000Ip\nk;out; (4.8a)\nIp\nk=Ip\nk;in\u0000Ip\nk;out; (4.8b)\nwhereIk;inis the in-scattering or arrival term, and Ik;out\nis the out-scattering or departure term. The explicit ex-\npressions for the various contributions to the collision in-\ntegrals are rather cumbersome and are given in Eqs. (C1)\n- (C4) of Appendix C. Within the rotating-wave approx-\nimation the fast oscillating terms containing factors of\ne\u0006i!0tshould be neglected to be consistent with a simi-\nlar approximation in the renormalized magnon dispersion\n~Ekand the pumping energy ~Vk.\nV. EXPLANATION OF THE MAGNETIC\nFIELD DEPENDENCE OF THE INVERSE\nSPIN-HALL SIGNAL IN YIG\nHaving derived explicit expressions for the collision in-\ntegralsIn\nk(t) andIp\nk(t) we can now construct stationary\nsolutions of the kinetic equations (3.14) and determine\nthe non-equilibrium magnon distribution which is pro-\nportional to the inverse spin-Hall signal observed in the\nexperiment.1As discussed in Sec. III B, in order to under-\nstand the magnetic \feld dependence of the inverse spin-\nHall signal we need a microscopic understanding of the\nmomentum-dependent magnon damping. In this section\nwe \frst calculate the magnon damping in thermal equi-\nlibrium which we need in the subsequent calculation of\nthe collision integrals. We then present an approximate\nsolution of the kinetic equations (3.14) with microscopic\ncollision integrals derived in Sec. IV and obtain excellent\nagreement with the experiment.19\nA. Magnon damping in thermal equilibrium\nIn thermal equilibrium with temperature Tthe nor-\nmal magnon distribution is given by the Bose-Einstein\ndistribution\nnk=1\ne\u000fk=T\u00001: (5.1)\nThe magnon damping in equilibrium can then be ob-\ntained from the imaginary part of the magnon self-energy\nobtained within the imaginary-time (Matsubara) formal-\nism. Alternatively, the magnon damping \rn\nkin equilib-\nrium can be obtained by writing the departure term of\nthe collision integral as\nIn\nk;out=\rn\nknk; (5.2)\nwhere for simplicity we consider only the normal (diag-\nonal) part In\nk;outof the collision integral. To simplify\nthe explicit evaluation of the damping \rn\nklet us assume\nthat the momentum kis su\u000eciently large so that we\ncan neglect the e\u000bect of dipole-dipole interactions on the\nmagnon dispersion. In this regime the long-wavelength\nmagnon dispersion is determined by the exchange inter-\naction,\n\u000fk=q\nA2\nk\u0000jBkj2\u0019jAkj=h0+\u001ak2; (5.3)\nwith exchange sti\u000bness\n\u001a=JSa2: (5.4)\nIn the expressions for the magnon dispersion given in\nAppendix A [see Eqs. (A9c) and (A11)] we can then set\nBk= 0 andVk= 0. According to Ref. [28], for the e\u000bec-\ntive exchange energy in YIG is J\u00191:29K, the e\u000bective\nspin isS\u001914:2, and the lattice constant is a\u001912:376\u0017A.\nThe Bogoliubov transformation from Holstein-Primako\u000b\nbosonsbkto magnon operators akis then not neces-\nsary so that we may identify the corresponding vertices,\n\u0000\u0016aaa\nk1;k2;k3= \u0000\u0016bbb\nk1;k2;k3. Moreover, in the regime where the\nmagnon dispersion is dominated by the exchange energy\nwe may neglect the diagonal elements of the dipolar ten-\nsorD\u000b\f\nkde\fned in Eq. (A19). In the geometry shown in\nFig. 1 the only non-zero elements of the dipolar tensor\nare thenDyz\nk=Dzy\nk, see Eq. (A19d). This greatly sim-\npli\fes all quantities appearing in the kinetic equations\nfor the magnon distribution. To get a rough estimate for\nthe order of magnitude of the damping, let us also ne-\nglect the contributions from the o\u000b-diagonal distribution\npk(t) and the expectation values kof the magnon oper-\nators to the collision integral In\nk;outin Eq. (5.2). In this\napproximation we obtain\n\rn\nk=\rn\nk;con+\rn\nk;split; (5.5)\nwhere the contribution from the con\ruent process is\n\rn\nk;con=\u0019\nNX\nq\u000e(\u000fk\u0000\u000fk\u0000q\u0000\u000fq)\n\u0002j\u0000\u0016aaa\nk;q;k\u0000qj2[nq+nk\u0000q+ 1];(5.6)(a) (b)\nFIG. 7: Feynman diagrams representing the contributions to\nthe magnon self-energy which generate (a) the con\ruent and\n(b) the splitting contributions to the magnon damping given\nin Eqs. (5.6) and (5.7). Here the arrows represent the magnon\npropagators and the dots represent the cubic interaction ver-\ntices.\nand the contribution from the splitting process is\n\rn\nk;split =2\u0019\nNX\nq\u000e(\u000fk+\u000fq\u0000k\u0000\u000fq)\n\u0002j\u0000\u0016aaa\nq;k;q\u0000kj2[nq\u0000k\u0000nq]: (5.7)\nNote that these expressions can also be obtained di-\nrectly from the diagonal part of the imaginary frequency\nmagnon self-energy \u0006( k;i!) via analytic continuation,\n\rn\nk=\u0000Im\u0006(k;\u000fk+i0+): (5.8)\nThe Feynman diagrams for the self-energy corrections\nassociated with the con\ruence and the splitting processes\nare shown in Fig. 7. For vanishing wavevector k= 0 the\ncon\ruent contribution has been carefully evaluated by\nChernyshev.39Here we are only interested in the range of\nwavevectors kwhere the magnon dispersion is dominated\nby the exchange energy so that it can be approximated by\n\u000fk=h0+\u001ak2. Keeping in mind that in our geometry the\nonly non-vanishing matrix elements of the dipolar tensor\nareDyz\nk=Dzy\nkand using Eq. (A19d) we \fnd that the\nrelevant cubic interaction vertex in Eqs. (5.6) and (5.7)\nis given by\n\u0000\u0016aaa\nk1;k2;k3= \u0000\u0016bbb\nk1;k2;k3=r\nS\n2\u0000\nDzy\nk2+Dyz\nk3\u0001\n\u0019 \u0000\u0001p\n2S\u0012k2yk2z\nk2\n2+k3yk3z\nk2\n3\u0013\n;(5.9)\nwhere the energy scale \u0001 associated with the dipolar in-\nteraction is de\fned in Eq. (2.6). Since the experiment1\nhas been performed at room temperature which is large\ncompared with the typical magnon energies, we may\napproximate the equilibrium magnon distribution in\nEqs. (5.6) and (5.7) by a Rayleigh-Jeans distribution,\nnq\u0019T=\u000fq; n k\u0000q\u0019T=\u000fk\u0000q: (5.10)\nShifting the integration variable q=q0+k=2 in Eq. (5.6),\nwe obtain for the ratio of the con\ruent magnon damping\nto the magnon energy at high temperatures,\n\rn\nk;con\n\u000fk=T\n8J\u0012\u0001\nh0S\u00132\n\u0002(jkj\u0000\u0014)Fcon(k=\u0014);(5.11)10\nwhere the threshold momentum \u0014is de\fned by\n\u00142= 2h0=\u001a; (5.12)and the dimensionless function Fcon(p) is de\fned via the\nfollowing integral\nFcon(p) =Z2\u0019\n0d'\n2\u00191\nh\n1 +1\n2\u0010\np+^q'p\np2\u00001\u00112ih\n1 +1\n2\u0010\np\u0000^q'p\np2\u00001\u00112i\n\u00022\n64\u0010\npy+p\np2\u00001 cos'\u0011\u0010\npz+p\np2\u00001 sin'\u0011\n\u0010\np+^q'p\np2\u00001\u00112+\u0010\npy\u0000p\np2\u00001 cos'\u0011\u0010\npz\u0000p\np2\u00001 sin'\u0011\n\u0010\np\u0000^q'p\np2\u00001\u001123\n752\n;(5.13)\n1 1.5 2 2.5 3 3.5 400.00050.0010.00150.0020.0025\npy\nFcon(py, 0)\nFIG. 8: Numerical evaluation of the function Fcon(py;0)\nde\fned in Eq. (5.13) as a function of py=ky=\u0014. For large py\nwe \fnd that Fcon(py;0)/1=p4\ny.\nwhere ^q'=eycos'+ezsin'. At the threshold momen-\ntumk=\u0014^kthis reduces to\nFcon(^k) =16\n9^k2\ny^k2z: (5.14)\nA numerical evaluation of Fcon(py;pz= 0) is shown in\nFig. 8. A rough estimate for the order of magnitude of the\ncon\ruent magnon damping for YIG at room temperature\nis given by the prefactor in Eq. (5.11), which yields1\n\rn\nk;con\n\u000fk\u0019T\n16J\u0012\u0001\nh0S\u00132\n=290K\n16\u00021:29K\u00121750G\n1000G\u000214\u00132\n= 14\u0002(0:125)2\u00190:22: (5.15)\nThis indicates that at room temperature the damping\ndue to magnon con\ruence can be substantial.\nNext, consider the contribution from the splitting pro-\ncess to the magnon damping in equilibrium representedby the diagram (b) in Fig. 7. With the same approxima-\ntions as above we obtain\n\rn\nk;split\n\u000fk= 2\u0019Ta2Zd2q\n(2\u0019)2\u000e(h0\u00002\u001ak\u0001q)\n\u000fq\u000fq+k\n\u0002\u00012\n2Sh\n^ky^kz+ ^qy^qzi2\n: (5.16)\nSetting for simplicity kz= 0, we see that the \u000e-function\nenforcesqy=q0\ny=h0=(2\u001aky). The condition jq0\nyj\u0014\u0019=a\nthen reduces to jkyj> h 0a=(2\u0019\u001a) =\u00142a=(4\u0019). With\n\u0014a\u001c1, it is clear that the splitting contribution to\nthe magnon damping has a much lower threshold than\nthe con\ruent contribution. Using the quadratic approx-\nimation (5.3) for the magnon dispersion and the de\fni-\ntion (5.12) of \u0014we \fnd that for parametrically pumped\nmagnons with \u000fk=!0=2 the conditionjkj>\u0014is satis\fed\nfor\nh0 ! 0=6 the damping is dominated by the splitting\nprocesses.\nUnfortunately, the approximations made in this sec-\ntion are only valid for small pumping energy jVkj,\nwhereas the experiment1has been performed in the\nregime of parametric instability where jVkj>jEkj.\nTherefore we expect that the estimates for the magnon\ndamping in this subsection are not relevant for the ex-\nperiment of Ref. [1]. This is also con\frmed by the linear\nmagnetic \feld dependence of the damping due to the\ncon\ruent and the splitting processes in thermal equi-\nlibrium shown in Fig. 9, which can be obtained by nu-\nmerically evaluating Eqs. (5.6) and (5.7). In Fig. 10 we\nshow the corresponding magnon density obtained by in-\nserting this damping into the expression (3.11a) for the\nmagnon distribution predicted by S-theory. Obviously,\nthe magnetic-\feld dependence is linear in a wide range\nof \felds and shows a small discontinuity at H0\u001982011\n800 900 1000 1100 120005e-061e-051.5e-052e-05\n H0 / Oe γn / T γ n\ncon / T\n γ n\nsplit / T\nFIG. 9: Magnetic \feld dependence of the magnon damping\nin thermal equilibrium due to the con\ruence and the split-\nting processes. The plotted damping rates \rn\nconand\rn\nsplitare\nobtained from Eqs. (5.11) and (5.16) by averaging over all\nmomenta ksatisfying\u000fk=!0. For the calculation we have\nassumed a \flm thickness of d= 22:8\u0016m and a pumping fre-\nquency!0= 13:857 GHz.\n800 900 1000 1100 12000.020.030.040.050.060.070.08\nH0 / Oen / N7dB\n8dB\n10dB\n12dB\nFIG. 10: Magnetic \feld dependence of the stationry magnon\ndensity within S-theory given by Eq. (3.11a) for the same\nparameters as in Fig. 9. The continuous lines are obtained\nassuming a constant magnon damping \rk= 2:19\u000210\u00003GHz,\nwhile the dashed lines are obtained by substituting the equi-\nlibrium magnon damping shown in Fig. 9 into Eq. (3.11a).\nOe where the condition (5.17) is violated. By compar-\ning Fig. 10 with the experimental result for the inverse\nspin-Hall voltage shown in Fig. 3, we conclude that by\ninserting the equilibrium magnon damping into the S-theory result for the stationary magnon density of the\npumped magnon gas we cannot explain the experimental\nresults.\nB. Solution of the kinetic equations with\nmicroscopic collision integrals\nIn this section we show that the experimental results\ncan be explained when the e\u000bect of collisions on the sta-\ntionary distribution of the pumped magnon gas is taken\ninto account microscopically within a non-equilibrium\nmany-body approach where we approximately solve the\nkinetic equations (3.14) with collision integrals given in\nAppendix C. As it stands, this system of non-linear\nintegro-di\u000berential equations is very complicated and we\nhave not been able to solve it directly. Fortunately,\nwe have found an approximation strategy which is su\u000e-\nciently simple to allow for a numerical solution of the ki-\nnetic equations while it still contains the relevant physical\nprocesses which determine the detailed form of the exper-\nimentally observed inverse spin-Hall signal. Our strategy\nis to divide the magnons into the following two groups\ncorresponding to di\u000berent regimes in momentum space\nand di\u000berent energy windows:\n1.Parametric magnons are directly excited by the os-\ncillating microwave \feld via parametric resonance.\nFrom S-theory7,8,24we know that only magnons in\na small area of the momentum space near the res-\nonance surface de\fned by \u000fk=!0=2 are generated\nby the parametric pumping so that it is justi\fed\nto assume that all parametric magnons ful\fll the\nresonance condition \u000fk=!0=2.\n2.Secondary magnons are created by con\ruence pro-\ncess of two parametric magnons. As a consequence,\ntheir energy \u000fk=!0is twice as large as the energy\nof parametric magnons.\nAssuming that the non-equilibrium magnon dynamics is\ndominated by these two groups of magnons, we can ap-\nproximate the distribution of all other magnons in the\ncollision integrals by the thermal equilibrium distribu-\ntion. These approximations signi\fcantly simplify the col-\nlision integrals as the arguments of the delta functions\nonly vanish if two of the energies correspond to paramet-\nric magnons and the other one to secondary magnons.\nThe complexity of evaluating the collision integrals nu-\nmerically is then greatly reduced. Neglecting the expec-\ntation values of the magnon operators we \fnd from the\ngeneral expressions for the collision integrals given in Ap-\npendix C that the collision integrals associated with the\ntwo di\u000berent magnon groups can be written as12\nIn(1)\nk =2\u0019\nNX\nq\n\u000fq=!0\n\u000fq\u0000k=!0=2\u0014\f\f\u0000\u0016aaa\nq;k;q\u0000k\f\f2\u0010\nn(2)\nqh\n1 +n(1)\nq\u0000ki\n\u0000n(1)\nkh\nn(1)\nq\u0000k\u0000n(2)\nqi\u0011\n+Reh\u0000\n\u0000\u0016aaa\nq;k;q\u0000k\u0001\u0003\u0000\u0016aaa\nq\u0000k;k;q(~p(2)\nq)\u0003~p(1)\nq\u0000ki\u0015\n; (5.18a)\nIn(2)\nk =2\u0019\nNX\nq\n\u000fq=!0=2\n\u000fk\u0000q=!0=2\u00141\n2\f\f\u0000\u0016aaa\nk;q;q\u0000k\f\f2\u0010\nn(1)\nqn(1)\nk\u0000q\u0000n(2)\nqh\n1 +n(1)\nq+n(1)\nk\u0000qi\u0011\n\u0000Reh\n\u0000\u0016aaa\nk;q;k\u0000q\u0000\n\u0000\u0016aaa\nq;k\u0000q;k\u0001\u0003~p(2)\nk(~p(1)\nq)\u0003+\u0000\n\u0000\u0016aaa\nk;q;q\u0000k\u0001\u0003\u0000\u0016aaa\nk\u0000q;k;q~p(2)\nk(~p(1)\nk\u0000q)\u0003i\u0015\n;(5.18b)\nIp(1)\nk=2\u0019\nNX\nq\n\u000fq=!0\n\u000fq\u0000k=!0=2\u0014\n\u0000\u0016aaa\nq\u0000k;k;q\u0000\n\u0000\u0016aaa\nk;q\u0000k;q\u0001\u0003~p(1)\nq\u0000k\u0010\nn(2)\nq\u0000n(1)\nk\u0011\n\u0000\f\f\u0000\u0016aaa\nq\u0000k;k;q\f\f2~p(1)\nk\u0012\n1 +n(1)\nq\u0000k\u00001\n2n(2)\nq\u0000~p(2)\nq\u0013\u0015\n; (5.18c)\nIp(2)\nk= 0; (5.18d)\nwheren(1)\nkand ~p(1)\nkrefer to the magnon distribution func-\ntions of parametric magnons and n(2)\nkand ~p(2)\nkrefer to\nthe secondary magnon group. When summing over the\nloop momentum q, we have to implement the conditions\n\u000fk=\u000fq\u0000k=!0=2,\u000fq=!0in the collision integrals of the\nparametric magnon group, and the conditions \u000fk=!0\nand\u000fq=\u000fk\u0000q=!0=2 for the secondary magnon group.\nWhen all of these conditions can be ful\flled simultane-\nously, there is only one possible combination of wavevec-\ntors so that only a single term contributes to the sums in\nEq. (5.18). In order to calculate the collision integrals nu-\nmerically we thus have to \fnd the speci\fc combination of\nwavevectors that ful\fll momentum and energy conserva-\ntion. Then, we interpolate linearly between the magnon\ndistribution functions de\fned on a \fnite grid in momen-\ntum space and evaluate the expressions (5.18). It is also\npossible that for certain parameters the conservation laws\ncannot be ful\flled, so that the collision integrals vanish\nin our approximation. All other magnons which do not\nbelong to the above two groups are assumed to be in\nthermal equilibrium where the stationary distributions\nare given by the Bose-Einstein distribution (5.1) with\nT= 290 K. We take the contribution of these equilibrium\nmagnons to the damping of the non-equilibrium magnons\ninto account using the equilibrium damping rates derived\nin Sec. V A.\nTo obtain a self-consistent solution of the kinetic equa-\ntions (3.14) with collision integrals given by Eq. (5.18) we\nuse the following iterative procedure: Initially, we com-\npletely neglect the collision integrals and use the station-\nary distribution (3.17) of the kinetic equations with phe-\nnomenological damping \rn\nk=\rp\nk=\r0= 2:87\u000210\u00003GHz\nto construct the initial seed for the iteration. We thensubstitute the resulting stationary distribution back into\nour microscopic expressions (5.18) for the collision inte-\ngrals and calculate a new estimate for the collision inte-\ngrals. Next, we use the result to re-calculate a re\fned\nestimate for the stationary solution of the kinetic equa-\ntions (3.17). To obtain new values for non-equilibrium\ndamping rates \rn(1)\nkand\rp(1)\nkwe assume that the terms\nproportional to n(1)\nkand ~p(1)\nkdominate the collision in-\ntegrals and estimate \rn(1)\nkand\rp(1)\nkbyIn(1)\nk=n(1)\nkand\nIp(1)\nk=~p(1)\nk. The result is again substituted into the right-\nhand side of the collision integrals (5.18) and the proce-\ndure is iterated again. Gradually, we obtain corrections\nto the initial estimate of the magnon distribution in the\nstationary non-equilibrium state. To control the conver-\ngence of this algorithm we estimate the error by evaluat-\ning the derivatives @tnkand@t~pkgiven by the equations\nof motion (3.14) and summing up the absolute values for\nevery magnon mode. This expression should vanish if our\nestimates for the magnon distributions approach the ex-\nact stationary solutions. If this estimated error tends to\nzero during the iteration, our algorithm has produced a\nself-consistent stationary solution of the kinetic equations\n(3.14). Note that the vanishing of the o\u000b-diagonal colli-\nsion integral Ip(2)\nkassociated with the secondary magnons\nimplies that the stationary solution of the kinetic equa-\ntion (3.17a) has the property that n(2)\nkvanishes indepen-\ndently of the value of ~ p(2)\nk.\nIn Fig. 11 we show our numerical results for a YIG \flm\nwith thickness d= 22:8\u0016m (corresponding to N= 18423)\nin a microwave \feld with frequency !0= 13:857GHz for\nfour di\u000berent pumping strengths between 7dB and 12dB,13\n800 900 1000 1100 120000.0050.010.0150.020.025\nH0/ Oe\nn / N7dB\n8dB\n10dB\n12dB\nFIG. 11: The magnon density obtained by the procedure de-\nscribed in Sec. V B for a thin YIG \flm of thickness d= 22:8\u0016m\nand!0= 13:857 GHz is plotted over the external \feld\nstrengthH0for four di\u000berent pumping strengths. The pa-\nrameter for the pumping strength is hVk1\u0000\r0ik1with the\naverage taken over all momenta k1of parametric magnons.\nOur theoretical result shown in this \fgure should be compared\nwith the experimental results by Noack et al.1reproduced in\nFig. 3.\nwhere the parameter controlling the pumping strength is\nhVk1\u0000\r0ik1with the average taken over all momenta\nk1of parametric magnons. The magnon density shown\nin Fig. 11 is approximated by taking the sum over all\nmagnon modes used for the calculations,\nns=N\u0012X\ni=1ns\ni; (5.19)\nwhere the momentum dependence of the magnon distri-\nbution functions are parameterized by the angle \u0012i=\u0012ki\nof the in-plane wavevectors de\fned in Eq. (2.8) and we\nuseN\u0012= 40 angles of equal size in the interval [0 ;\u0019=2].\nThe wavevectors k1andk2of parametric and secondary\nmagnons for a given angle \u0012iare calculated by solving\nthe equations \u000fk1=!0=2 and\u000fk2=!0numerically for\nk1andk2with magnon dispersion given by Eq. (2.4).\nApart from a small o\u000bset in the overall \feld strength by\nabout 50 Oe, the main features of the experimentally ob-\nserved line-shape of the inverse spin-Hall signal shown\nin Fig. 3 are reproduced remarkably well by our calcu-\nlation. Recall that S-theory with phenomenological con-\nstant damping cannot explain this line-shape. In partic-\nular, the experimentally observed dip around H0\u00191050\nOe for small pumping strength which evolves into a peak\nat the same \feld for larger pumping strength is repro-\nduced by our method. Note, however, that in the exper-\niment these features appear at a slightly lower \feld of\nH0\u00191000 Oe. A possible explanation for this discrep-\nancy in the overall \feld strength is the in\ruence of cu-\nbic crystallographic and uni-axial anisotropy \felds whichcan modify the saturation magnetization. It is therefore\nplausible that the experimentally relevant value of the\nsaturation magnetization di\u000bers from the value of 1750\nG assumed in our calculation which can explain the 50\nOe shift in the position of the peaks and dips in the upper\nand lower part of Fig. 11 .\nTo show that dip and the peak are related to the con-\n\ruent magnon damping, we have plotted in Fig. 12 the\ncumulative damping rates \rn=PN\u0012\ni=1\rn\niand Re\rp=PN\u0012\ni=1Re\rp\nifor the stationary non-equilibrium state we\nhave obtained from our kinetic equations. Obviously, the\n(a)\n800 900 1000 1100 120000.020.040.060.080.1\nH0/ Oe\nγn/ GHz\n(b)\n800 900 1000 1100 120000.050.10.150.2\nH0/ Oe\nRe γp/ GHz\nFIG. 12: The damping de\fned by Eqs. (3.15) in the stationary\nnon-equilibrium state shown in Fig. 11 is plotted over the\nexternal \feld strength H0for the same parameter values as\nin Fig. 11.\npeaks in the cumulative magnon damping are observed at\nthe same magnetic \feld strength where the enhancement\nof the magnon density takes place. Not all magnon modes\nshow these enhancements. The distribution functions for\nmost of the magnon modes still increase linearly with\nthe external \feld strength and only a few magnon modes\naround\u0012k\u001940\u000ehave peaks between H0= 1050 Oe and\nH0= 1100 Oe.\nIt is interesting to compare the order of magnitude14\nof the cumulative non-equilibrium damping \rnshown\nin the upper panel of Fig. 12 with the established\nvalue of the Gilbert damping used in phenomenologi-\ncal approaches for YIG.40{42Usually the momentum-\ndependent damping \rkis parameterized in terms of a\ndimensionless damping parameter \u000b=\rk=(2\u000fk), where\n\u000fkis the magnon dispersion.40According to Refs. [41,42]\nfor thermal acoustic magnons in YIG the typical value\nof\u000bis for small wavevectors of order 10\u00004. On the\nother hand, our cumulative non-equilibrium damping \rn\nin the upper panel of Fig. 12 is typically of order 0 :02\nGHz, which yields a dimensionless damping parameter\n\u000b\u00191:4\u000210\u00003. We conclude that the non-equilibrium\ndamping obtained within our microscopic approach is\nroughly an order of magnitude larger than the accepted\nphenomenological value of the equilibrium damping of\nthermal magnons in YIG.\nThe rather complicated dependence of the non-\nequilibrium magnon density on the external magnetic\n\feld shown in Fig. 12) cannot be reproduced within con-\nventional S-theory where the microscopic collision inte-\ngrals are replaced by a phenomenological relaxation rate.\nIn the relevant parameter regime, S-theory predicts a lin-\near dependence of the magnon density on the external\n\feld strength as shown in Fig. 2. Note also that within\nS-theory the damping is assumed to be strong so that\nonly magnon modes near the maximum of the pumping\nenergyVkat\u0012k= 90\u000eare signi\fcantly occupied. In fact,\nthe magnon modes which we have identi\fed to be re-\nsponsible for the observed peaks and dips are assumed\nto be suppressed by the phenomenological damping in\nS-theory. Thus, it is evident that the experimentally ob-\nserved structures in the non-equilibrium magnon density\nare caused by the con\ruence and splitting decay pro-\ncesses; the kinematic constraints controlling these pro-\ncesses are fully taken into account in our collision inte-\ngrals which couple pairs of parametric magnons at spe-\ncial wavevectors depending on the external \feld strength.\nThe mathematical structure of the equations of motion\nis complicated and leads to peak structures appearing in\nthe collision integrals at certain \feld strengths. This in\nturn gives rise to similar structures in the \feld-dependent\nmagnon density close to magnetic \felds where con\ruent\nmagnon decay is kinematically possible.\nVI. SUMMARY AND CONCLUSIONS\nIn this work we have derived and solved kinetic equa-\ntions for pumped magnons in YIG with collision integrals\ndiscribing dissipative e\u000bects associated with magnon de-\ncays. The collisionless limit of these equations has re-\ncently been discussed in Ref. [24]. However, to explain\nrecent experimentel data1for the magnetic \feld depen-\ndence of the inverse spin-Hall voltage in the stationary\nnon-equilibrium state of pumped magnons in YIG a mi-\ncroscopic understanding of magnon decays is crucial. We\nhave derived the relevant collision integrals due to cu-bic interaction vertices using a systematic expansion in\npowers of connected equal-time correlations.27We have\nobtained the collision integrals for the diagonal and o\u000b-\ndiagonal distribution functions containing terms which\nare linear and quadratic in the magnon distribution func-\ntions as well as the expectation values of the magnon op-\nerators. In previous works these collision integrals were\nnot taken into account due to their complexity or were\nonly derived within Born approximation11and evaluated\nin thermal equilibrium.\nWe have found a way to numerically solve the result-\ning kinetic equations within an approximation where only\ntwo groups of magnons are asumed to be driven out of\nequilibrium: parametric magnons that are generated by\nthe pumping, and secondary magnons that are involved\nin con\ruence and splitting processes described by the\nmicroscopic collision integrals. We have explicitly con-\nstructed the stationary non-equilibrium solution of the\nkinetic equations for the pumped magnon gas.\nOur results show in a large parameter regime a roughly\nlinear magnetic \feld dependence of the magnon density,\nin agreement with previous results obtained within a col-\nlisionless kinetic theory. However, near the magnetic \feld\nstrength where magnon decays (con\ruence and splitting\nprocesses) become kinematically allowed, we have ob-\ntained peak and dip structures in the magnon density,\nin good agreement with the experiment by Noack et al.1\nwhere the non-equilibrium magnon density has been mea-\nsured via the inverse spin-Hall e\u000bect.\nACKNOWLEDGEMENTS\nWe are grateful to A. A. Serga for his comments on the\nmanuscript. We also thank A. A. Serga and T. Noack for\nhelping us to prepare Fig. 3 and for their permission to\npresent the experimental data of Ref. [1] in this \fgure.\nAPPENDIX A: HAMILTONIAN FOR PUMPED\nMAGNONS IN YIG\nHere we derive the magnon Hamiltonian for the para-\nmetrically pumped magnon gas in YIG following mainly\nRef. [28]. We start from the e\u000bective spin Hamiltonian\nfor YIG5,6,19,20,28{31given in Eq. (2.1). The exchange\ncouplingsJijassume the value J\u00191:29 K for all pairs\nof nearest neighbor spins located at lattices sites riand\nrj, and the dipolar tensor is28,37\nD\u000b\f\nij= (1\u0000\u000eij)\u00162\njrijj3h\n3^r\u000b\nij^r\f\nij\u0000\u000e\u000b\fi\n; (A1)\nwhere\u0016is the magnetic moment of the spins, rij=\nri\u0000rj, and ^rij=rij=jrijj. After Holstein-Primako\u000b\ntransformation32and expansion in powers of 1 =Sthe spin\nHamiltonian is mapped onto an e\u000bective boson Hamilto-\nnian of the form (2.2) where the terms Hican be ex-\npressed in terms of Holstein-Primako\u000b bosons biandby\ni.15\nThe zeroth order contribution H0(t) can be dropped as\nit does not contain any boson operators. Transforming\nto momentum space,\nbi=1p\nNX\nkeik\u0001ribk; (A2)\nwhereNis the total number of lattice sites, the contribu-\ntions to the Hamiltonian up to fourth order in the bosons\ncan be written as29\nH2(t) =X\nk\u0014\nAkby\nkbk+Bk\n2\u0010\nby\nkby\n\u0000k+b\u0000kbk\u0011\u0015\n+h1cos (!0t)X\nkby\nkbk; (A3a)\nH3=1p\nNX\nk1;k2;k3\u000ek1+k2+k3;01\n2!h\n\u0000\u0016bbb\n1;2;3by\n\u00001b2b3\n+\u0000\u0016b\u0016bb\n1;2;3by\n\u00001by\n\u00002b3i\n; (A3b)\nH4=1\nNX\nk1;:::;k4\u000ek1+\u0001\u0001\u0001+k4;0\"\n1\n(2!)2\u0000\u0016b\u0016bbb\n1;2;3;4by\n\u00001by\n\u00002b3b4\n+1\n3!\u0000\u0016bbbb\n1;2;3;4by\n\u00001b2b3b4+1\n3!\u0000\u0016b\u0016b\u0016bb\n1;2;3;4by\n\u00001by\n\u00002by\n\u00003b4\u0015\n:\n(A3c)\nThe vertices in (A3a)-(A3c) can be expressed in terms\nof the Fourier transforms of the exchange and dipolar\ncouplings,\nJk=X\nie\u0000ik\u0001rijJij; (A4a)\nD\u000b\f\nk=X\nie\u0000ik\u0001rijD\u000b\f\nij: (A4b)\nThe coe\u000ecients AkandBkin Eq.(A3a) are\nAk=h0+S(J0\u0000Jk) +S\u0014\nDzz\n0\u00001\n2(Dxx\nk+Dyy\nk)\u0015\n;\n(A5a)\nBk=\u0000S\n2[Dxx\nk\u00002iDxy\nk\u0000Dyy\nk]; (A5b)\nwhile the cubic vertices depend only on the dipolar tensor\nas follows,\n\u0000\u0016bbb\n1;2;3=r\nS\n2\u0002\nDzy\nk2\u0000iDzx\nk2+Dzy\nk3\u0000iDzx\nk3\n+1\n2(Dzy\n0\u0000iDzx\n0)\u0015\n; (A6a)\n\u0000\u0016b\u0016bb\n1;2;3=\u0010\n\u0000\u0016bbb\n3;2;1\u0011\u0003\n; (A6b)and the quartic vertices are\n\u0000\u0016b\u0016bbb\n1;2;3;4=\u00001\n2[Jk1+k3+Jk2+k3+Jk1+k4+Jk2+k4\n+Dzz\nk1+k3+Dzz\nk2+k3+Dzz\nk1+k4+Dzz\nk2+k4\n\u00004X\ni=1\u0000\nJki\u00002Dzz\nki\u0001#\n; (A7a)\n\u0000\u0016bbbb\n1;2;3;4=1\n4\u0002\nDxx\nk2\u00002iDxy\nk2\u0000Dyy\nk2+Dxx\nk3\u00002iDxy\nk3\u0000Dyy\nk3\n+Dxx\nk4\u00002iDxy\nk4\u0000Dyy\nk4\u0003\n; (A7b)\n\u0000\u0016b\u0016b\u0016bb\n1;2;3;4=\u0010\n\u0000\u0016bbbb\n4;1;2;3\u0011\u0003\n: (A7c)\nNext, we diagonalize the time-independent part of H2(t)\nby introducing magnon annihilation and creation opera-\ntorsakanday\nkvia the Bogoliubov transformation,\n\u0012bk\nby\n\u0000k\u0013\n=\u0012\nuk\u0000vk\n\u0000v\u0003\nkuk\u0013\u0012ak\nay\n\u0000k\u0013\n; (A8)\nwhere\nuk=r\nAk+\"k\n2\"k; (A9a)\nvk=Bk\njBkjr\nAk\u0000\"k\n2\"k; (A9b)\n\"k=q\nA2\nk\u0000jBkj2: (A9c)\nIn terms of the magnon operators the time-dependent\nterm in Eq. (A3a) leads to o\u000b-diagonal terms, so that\nthe total quadratic Hamiltonian reads,29\nH2(t) =X\nk\u0014\n\"kay\nkak+\"k\u0000Ak\n2\n+h1cos (!0t)\u0012Ak\n\"kay\nkak\u0000\"k\u0000Ak\n2\"k\u0013\u0015\n+X\nkh\nVkcos (!0t)ay\nkay\n\u0000k+V\u0003\nkcos (!0t)a\u0000kaki\n;\n(A10)\nwith pumping energy\nVk=\u0000h1Bk\n2\"k: (A11)\nExpressing also the cubic and quartic parts of the Hamil-\ntonian in terms of magnon operators we obtain2916\nH3=1p\nNX\nk1;k2;k3\u000ek1+k2+k3;0\u00141\n2\u0000\u0016aaa\n1;2;3ay\n\u00001a2a3+1\n2\u0000\u0016a\u0016aa\n1;2;3ay\n\u00001ay\n\u00002a3+1\n3!\u0000aaa\n1;2;3a1a2a3+1\n3!\u0000\u0016a\u0016a\u0016a\n1;2;3ay\n\u00001ay\n\u00002ay\n\u00003\u0015\n;\n(A12)\nH4=1\nNX\nk1;k2;k3;k4\u000ek1+k2+k3+k4;0\"\n1\n(2!)2\u0000\u0016a\u0016aaa\n1;2;3;4ay\n\u00001ay\n\u00002a3a4+1\n3!\u0000\u0016aaaa\n1;2;3;4ay\n\u00001a2a3a4\n+1\n3!\u0000\u0016a\u0016a\u0016aa\n1;2;3;4ay\n\u00001ay\n\u00002ay\n\u00003a4+1\n4!\u0000aaaa\n1;2;3;4a1a2a3a4+1\n4!\u0000\u0016a\u0016a\u0016a\u0016a\n1;2;3;4ay\n\u00001ay\n\u00002ay\n\u00003ay\n\u00004\u0015\n; (A13)\nwith cubic vertices given by\n\u0000aaa\n1;2;3=\u0000\u0000\u0016bbb\n1;2;3v1u2u3\u0000\u0000\u0016bbb\n2;1;3v2u1u3\u0000\u0000\u0016bbb\n3;1;2v3u1u3+ \u0000\u0016b\u0016bb\n1;2;3v1v2u3+ \u0000\u0016b\u0016bb\n2;3;1v2v3u1+ \u0000\u0016b\u0016bb\n1;3;2v1v3u2;(A14a)\n\u0000\u0016aaa\n1;2;3= \u0000\u0016bbb\n1;2;3u1u2u3+ \u0000\u0016bbb\n2;1;3v1v2u3+ \u0000\u0016bbb\n3;1;2v1v3u2\u0000\u0000\u0016b\u0016bb\n3;2;1v3v2v1\u0000\u0000\u0016b\u0016bb\n1;2;3v2u1u3\u0000\u0000\u0016b\u0016bb\n1;3;2v3u1u2;(A14b)\n\u0000\u0016a\u0016aa\n1;2;3=\u0000\n\u0000\u0016aaa\n3;2;1\u0001\u0003; (A14c)\n\u0000\u0016a\u0016a\u0016a\n1;2;3=\u0000\n\u0000aaa\n1;2;3\u0001\u0003; (A14d)\nand quartic vertices\n\u0000aaaa\n1;2;3;4= \u0000\u0016b\u0016bbb\n1;2;3;4u1u2v3v4+ \u0000\u0016b\u0016bbb\n1;3;2;4u1u3v2v4+ \u0000\u0016b\u0016bbb\n1;4;2;3u1u4v2v3+ \u0000\u0016b\u0016bbb\n2;3;1;4u2u3v1v4\n+\u0000\u0016b\u0016bbb\n2;4;1;3u2u4v1v3+ \u0000\u0016b\u0016bbb\n3;4;1;2u3u4v1v2\n\u0000\u0000\u0016bbbb\n4;1;2;3u1u2u3v4\u0000\u0000\u0016bbbb\n3;1;2;4u1u2u4v3\u0000\u0000\u0016bbbb\n2;1;3;4u1u3u4v2\u0000\u0000\u0016bbbb\n1;2;3;4u2u3u4v1\n\u0000\u0000\u0016b\u0016b\u0016bb\n2;3;4;1u1v2v3v4\u0000\u0000\u0016b\u0016b\u0016bb\n1;3;4;2u2v1v3v4\u0000\u0000\u0016b\u0016b\u0016bb\n1;2;4;3u3v1v2v4\u0000\u0000\u0016b\u0016b\u0016bb\n1;2;3;4u4v1v2v3; (A15a)\n\u0000\u0016aaaa\n1;2;3;4=\u0000\u0000\u0016b\u0016bbb\n2;1;3;4u2v1v3v4\u0000\u0000\u0016b\u0016bbb\n3;1;2;4u3v1v2v4\u0000\u0000\u0016b\u0016bbb\n4;1;2;3u4v1v2v3\u0000\u0000\u0016b\u0016bbb\n2;3;1;4u2u3u1v4\n\u0000\u0000\u0016b\u0016bbb\n2;4;1;3u2u4u1v3\u0000\u0000\u0016b\u0016bbb\n3;4;1;2u3u4u1v2\n+\u0000\u0016bbbb\n1;2;3;4u1u2u3u4+ \u0000\u0016bbbb\n4;3;2;1u3u2v1v4+ \u0000\u0016bbbb\n3;4;2;1u4u2v1v3+ \u0000\u0016bbbb\n2;4;3;1u4u3v1v2\n+\u0000\u0016b\u0016b\u0016bb\n1;2;3;4u4u1v2v3+ \u0000\u0016b\u0016b\u0016bb\n1;2;4;3u3u1v2v4+ \u0000\u0016b\u0016b\u0016bb\n1;3;4;2u2u1v3v4+ \u0000\u0016b\u0016b\u0016bb\n4;3;2;1v4v2v3v1; (A15b)\n\u0000\u0016a\u0016aaa\n1;2;3;4= \u0000\u0016b\u0016bbb\n1;2;3;4u1u2u3u4+ \u0000\u0016b\u0016bbb\n1;3;4;2u1u4v3v2+ \u0000\u0016b\u0016bbb\n1;4;3;2u1u3v4v2+ \u0000\u0016b\u0016bbb\n2;3;4;1u2u4v3v1\n+\u0000\u0016b\u0016bbb\n2;4;3;1u2u3v4v1+ \u0000\u0016b\u0016bbb\n3;4;2;1v1v2v3v4\n\u0000\u0000\u0016bbbb\n4;3;2;1u3v2v1v4\u0000\u0000\u0016bbbb\n3;4;2;1u4v2v1v3\u0000\u0000\u0016bbbb\n2;3;4;1u2u3u4v1\u0000\u0000\u0016bbbb\n1;3;4;2u1u3u4v2\n\u0000\u0000\u0016b\u0016b\u0016bb\n2;3;4;1u2v3v4v1\u0000\u0000\u0016b\u0016b\u0016bb\n1;3;4;2u1v3v4v2\u0000\u0000\u0016b\u0016b\u0016bb\n1;2;4;3u1u2u3v4\u0000\u0000\u0016b\u0016b\u0016bb\n1;2;3;4u1u2u4v3; (A15c)\n\u0000\u0016a\u0016a\u0016a\u0016a\n1;2;3;4= \u0000aaaa\n1;2;3;4; (A15d)\n\u0000\u0016a\u0016a\u0016aa\n1;2;3;4=\u0000\n\u0000\u0016aaaa\n4;3;2;1\u0001\u0003: (A15e)\nFinally, let us give simpli\fed expressions for the Fourier\ntransforms JkandD\u000b\f\nkfor the geometry shown in Fig. 1\nwhich reduce the complexity of the coe\u000ecients Akand\nBkand the higher-order vertices. For the energy scales\nprobed in the experiment1it is su\u000ecient to retain only\nthe lowest magnon band, so that we can derive the dis-\npersion from an e\u000bective in-plane Hamiltonian. The sim-\nplest approximation for the lowest transverse mode is\nthe uniform mode approximation where we approximate\nthe transverse modes by plane waves.28This approach is\nvalid if the thickness dof the YIG \flm is small comparedto the extensions in y- andz-direction. Then we \fnd\nAk=h0+JS[4\u00002 cos (kya)\u00002 cos (kza)]\n\u0000S\n2(Dxx\nk+Dyy\nk) +\u0001\n3; (A16)\nBk=\u0000S\n2(Dxx\nk\u0000Dyy\nk); (A17)\nwhere\n\u0001 =4\u0019\u00162S\na3(A18)17\nis the dipolar energy and the Fourier transformed ele-\nments of the dipolar tensor are28\nDxx\nk=4\u0019\u00162\na3\u00141\n3\u0000fk\u0015\n; (A19a)\nDyy\nk=4\u0019\u00162\na3\u00141\n3\u0000(1\u0000fk) sin2\u0012k\u0015\n;(A19b)\nDzz\nk=4\u0019\u00162\na3\u00141\n3\u0000(1\u0000fk) cos2\u0012k\u0015\n;(A19c)\nDyz\nk=Dzy\nk=\u00002\u0019\u00162\na3sin (2\u0012k); (A19d)\nDxy\nk=Dyx\nk= 0: (A19e)\nThe form factor fkis given in Eq. (2.7). For in-plane\nwavevectors Dyz\nk=Dzy\nkis the only non-zero o\u000b-diagonal\nmatrix element of the dipolar tensor. Within these ap-\nproximations the expressions for the magnon energy \u000fk\nand the pumping energy Vkreduce to Eqs.(2.4) and (2.5)\nof the main text.\nAPPENDIX B: EXPANSION IN POWERS OF\nCONNECTED CORRELATIONS\nIn this appendix we review the method of deriving ki-\nnetic equations in terms of connected equal-time correla-\ntions developed by J. Fricke in Ref. [27]. In the follow-\ning we refer to this method as the Fricke approach . In\nSec. IV we have used this method to derive the leading\ncontributions of the cubic interaction vertices to the col-\nlision integrals appearing in the kinetic equations (3.14).\nWhile it is also possible to use the Keldysh formalism26\nfor this task, the Fricke approach is more e\u000ecient for\nour purpose because it produces directly a hierarchy of\ncoupled kinetic equations involving only equal-time cor-\nrelations and provides us with a systematic decoupling\nscheme for correlations of arbitrary order. Note also that\nthe Fricke approach generates an expansion of the colli-\nsion integrals in powers of connected equal-time correla-\ntions and is therefore very convenient for including the\ne\u000bect of time-dependent non-Gaussian correlations in the\nnon-equilibrium dynamics; in contrast, the Keldysh for-\nmalism relies on the perturbative expansion in terms of\nsingle-particle Green functions.\n1. Equations of motion\nConsider the bosonic many-body system with second\nquantized Hamiltonian Hwhich may explicitly depend\non time. In the Heisenberg picture the time-dependence\nof an operator A(t) is given by the Heisenberg equation\nof motion,\nid\ndtA(t) = [A(t);H]: (B1)The expectation value of A(t) is given by\nhAit= Tr [\u001a0A(t)]; (B2)\nwhere the density matrix \u001a0speci\fes a mixture of states\nat the initial time t0. The time-dependence of the expec-\ntation value is described by\nid\ndthAit=h[A;H ]it: (B3)\nWritingH=Ht\n0+V, where the one-particle part Ht\n0\ncontains the terms that are quadratic in the bosonic op-\nerators and Vdescribes interactions, we obtain\nid\ndthAit\u0000h\u0002\nA;Ht\n0\u0003\nit=h[A;V]it: (B4)\nThe contribution of the one-particle Hamiltonian Ht\n0to\nthe time-evolution of the system is easy to handle. In\norder to derive the contribution of the right hand side of\nEq. (B4) containing the interaction Hamiltonian V, it is\nuseful to introduce connected correlations.\n2. Connected correlations\nIn order to express expectation values of an arbitrary\nset of bosonic operators at the same time in terms of\nconnected equal-time correlations we introduce the clus-\nter expansion. Following again Ref. [27], let us consider\na set of bosonic operators Bilabeled by a set of integers\ni2N. The explicit expressions for the connected cor-\nrelations contain sums over all partitions Pof an index\nsetIde\fned as the set of all non-empty disjoint sub-\nsetsJofIwithS\nJ2PJ=I. Furthermore, we de\fne\nBI\u0011Bi1\u0001\u0001\u0001Bikas the product of all operators with in-\ndicesi1;:::;ik, wherei1<:::\ni) hybrid resonances, and the respective Q factors.\n[cm3]Bz[T]f<\ni[GHz] Q(L)<\ni f>\ni[GHz] Q(L)>\ni\n0 0.253 7.071 4159 7.117 4448\n1 0.262 7.315 3325 7.353 3501\n2 0.270 7.561 3979 7.599 2923\n3 0.279 7.778 3709 7.824 3556\n4 0.291 8.141 3540 8.174 3027\nFigure 5. Module of Transmission Coe\u000ecient S12 of hybrid resonances at di\u000berent\nvolume of liquid metal.\nIf we consider, for example, the cavity without liquid metal, the single spin coupling\nisg0= 0:016 Hz, so the total coupling strength is g= 5:18\u0001107Hz. The total coupling\nstrength measured is g= 4:60\u0001107Hz, which are comparable within about 10%. The\nsystem works as expected, and for all the di\u000berent levels of liquid metal we were able to\nobtain hybridization. This demonstrates the capability of our tunable resonant system\nof performing EPR measurement.\nIn conclusion, in this note we introduce a new method to tune the frequencies of the\nmodes of cavities using liquid metal, and how it can be exploited in an EPR application.\nThis process has been veri\fed in the 7 \u00048 GHz frequency range, however we can sweep\nover di\u000berent frequency ranges by changing the geometry of the empty cavity.\nAcknowledgments\nWe wish to thank doctor Antonio Barbon for helpful discussions concerning the\nexperiment.Microwave cavity tuned with liquid metal 7\nReferences\n[1] Pozar D 2004 Microwave Engineering (Wiley) ISBN 9780471448785\n[2] Liu X, Katehi L P B, Chappell W J and Peroulis D 2010 Journal of Microelectromechanical Systems\n19 774-784 ISSN 1057-7157\n[3] Stefanini R, Chatras M, Pothier A, Orlianges J C and Blondy P 2009 High q tunable cavity\nusing dielectric less rf-mems varactors 2009 European Microwave Integrated Circuits Conference\n(EuMIC) pp 391-394\n[4] Perigaud A, Pacaud D, Delhote N, Tantot O, Bila S, Verdeyme S and Estagerie L 2016 Frequency-\ntunable microwave-frequency wave \flter with a dielectric resonator including at least one element\nthat rotates uS Patent 9,343,791\n[5] E K 1969 Tunable microwave cavity using a piezoelectric device uS Patent 3,471,811\n[6] C Carvalho N, Fan Y and Tobar M 2016 Review of Scientic Instruments 87 094702\n[7] Sakaguchi J, Gilg H, Hayano R, Ishikawa T, Suzuki K, Widmann E, Yamaguchi H,\nCaspers F, Eades J, Hori M, Barna D, Horvth D, Juhsz B, Torii H and Yamazaki\nT 2004 Nuclear Instruments and Methods in Physics Research Section A: Accelerators,\nSpectrometers, Detectors and Associated Equipment 533 598 { 611 ISSN 0168-9002 URL\nhttp://www.sciencedirect.com/science/article/pii/S0168900204014639\n[8] Carter P S 1961 IRE Transactions on Microwave Theory and Techniques 9 252{260 ISSN 0097-\n2002\n[9] Tabuchi Y, Ishino S, Ishikawa T, Yamazaki R, Usami K and Nakamura Y 2014 Phys. Rev. Lett.\n113(8) 083603" }, { "title": "0902.3138v1.Theory_of_coherence_in_Bose_Einstein_condensation_phenomena_in_a_microwave_driven_interacting_magnon_gas.pdf", "content": " 1Submitted for publication in Physical Review B January 29, 2009 \n \n \n \n \n \nTheory of coherence in Bose -Einstein condensation phenomena in a microwave driven \ninteracting magnon gas \nSergio M. Rezende \nDepartamento de Física, Univ ersidade Federal de Pernambuco, Recife, PE 50670 -901, Brazil \n \n \nStrong experimental evidences of the formation of quasi -equilibrium Bose -Einstein condensation \n(BEC) of magnons at room temperature in a film of yttrium iron garnet (YIG) excited by \nmicrowave r adiation have been recently reported. Here we present a theory for the magnon gas \ndriven by a microwave field far out of equilibrium showing that the nonlinear magnetic \ninteractions create cooperative mechanisms for the onset of a phase transition leading to the \nspontaneous generation of quantum coherence and magnetic dynamic order in a macroscopic \nscale. The theory provides rigorous support for the formation of a BEC of magnons in a YIG film \nmagnetized in the plane. We show that the system develops cohere nce only when the microwave \ndriving power exceeds a threshold value and that the theoretical result for the intensity of the \nBrillouin light scattering from the BEC as a function of power agrees with the experimental data. \nThe theory also explains quantita tively experimental measurements of microwave emission from \nthe uniform mode generated by the confluence of BEC magnon pairs in a YIG film when the \ndriving power exceeds a critical value. \n \n PACS numbers: 75.30.Ds, 03.75.Nt, 05.30.Jp \n \nI. Introduction \n \nIn a recent series of papers Demokritov and co -workers have reported remarkable experimental evidence \nof the formation of Bose -Einstein condensation (BEC) and related phenomena in a magnon gas driven by \nmicrowave radiation [1 -6]. Bose -Einstein condensation, a phenomenon that occurs when a macroscopic \nnumber of bosons occupies the lowest available quantum e nergy level [7 ], has only been unequivocally \nobserved in a few physical systems, such as superflu ids [7 ], excitons and biexcitons in semiconductors \n[8,9], atomic gases [10 ] and certai n classes of quantum magnets [11 ]. BEC phenomena usually takes place \nby cooling the system to very low temperatures. The room temperature experiments reported in [1 -6] ha ve \ningeniously materialized earlier proposals for producing Bose -Einstein condensation of magnons [ 12,13] \nand demonstrated powerful techniques for observing its unique properties. \nThe experiments were done at room temperature in epitaxial crystalline films of yttrium -iron \ngarnet (YIG) magnetized by an applied in -plane field. In these films the combined effects of the exchange \nand magnetic dipolar interactions among the spins produce a dispersion relation (frequency ωk versus \nwavevector k) for magnons propag ating at angles with the field smaller than a critical value that has a \nminimum 0k at 0k ~ 105 cm-1. In bulk samples the dispersion relation has the usual parabolic shape with \na minimum at k = 0, where the density of states vanishes. In films the energy minimum away from the \nBrillouin zone center produces a peak in the density of states at 0k, providing an important condition for \nthe formation of the condensate. 2The experiments reported in [1 -6] employ a microwave magnetic field with pumping frequency \npf= 8.1 GHz applied parallel to the static field in the so -called parallel pumping process [ 14,15] to drive \nmagnons in YIG films magnetized in the plane. In some of the latest experiments reported [4,5], short \nmicrowave pulses (30 ns) are used to create a hot magnon gas, allowing its evolution to be observed with \ntime resolved Brillouin light scattering (BLS). Several important features are observed with increas ing \nmicrowave pow er. Initially, when the power exceeds a first threshold value, there is a large increase in the \npopulation of the parametric magnons with frequency in a narrow range around 2/pf = 4.05 GHz. Then \nthe energy of these primary magnons redis tributes in about 50 ns through modes with lower frequencies \ndown to the minimum frequency 2/0 min k f = 2.9 GHz (for H = 1.0 kOe) as a result of magnon \ninteractions that conserve the number of magnons. This produces a hot magnon gas that remai ns \ndecoupled from the lattice for over 200 ns due to the long spin -lattice relaxation time. The BLS spectrum \nin this time span reflects the shape of the magnon density of states weighted by the appropriate the rmal \ndistribution exhibiting a peak at the freq uency minf. Thereafter this peak decays exponentially in time in \nthe range of several hundred ns due to the thermalization with the crystal lattice. However if the \nmicrowave power exceeds a second threshold value, much larger than the on e for parallel pumping, two \nstriking features are observed, namely, the decay rate of the BLS peak at minf doubles in value while its \nintensity increases by two orders of magnitude. The behavior of the BLS peak was attributed to a cha nge \nin the magnon state from incoherent to coherent, indicating the formation of a room -temperature BEC of \nmagnons [4,5]. \nCoherence of photon fields has a formal quantum treatment developed by Roy J. G lauber over \nfour decades ago [16 ]. Coherent magnon states, introduced in analogy with the photon states also have a \nformal quantum treatment [ 17,18]. In this paper we show that an interacting magnon system in a YIG film \ndriven by microwave radiation develops a spontaneous coherent state with properties that expla in the main \nfeatures of the experimental observations. Since the coherent state corresponds to a quantum macrosc opic \nwavefunction, the theory provides rigorous support for the existence of Bose -Einstein condensation of \nmagnons in the experiments of [1 -6]. Note that r ecently it has been argued [19 ] that the intermagnon \ninteractions in a YIG film magnetized in the plane prevents the conditions for stabilization of the BEC. \nContrary to the conclusions of [19 ], we show that the magnon -magnon interactions play a n essential role \nin the formation of the BEC at room -temperature in a YIG film driven by microwave radiation as in the \nexperiments of Demokritov and co -workers [1 -6]. \nIn another recent paper of the same group, Dzyapko et al. [6] show that if the applied in-plane \nstatic field has a value such that the frequency of the 0k magnon is 0= 20k, a microwave radiation \nsignal is generated by 0k magnons created by pairs of BEC magno ns 0 0,k k through a three -magnon \nconfluent process. The 0k value is necessary for emission because the wavenumber of electromagnetic \nradiation with frequency 1.5 GHz, as in the experiments [6], is f k /2 0.3 cm-1. In an earlier paper \n[20] we have show n that the 0k magnons created by the BEC are coherent magnons states, \ncorresponding to a nearly uniform magnetization precessing with frequency 0 and generating a \nmicrowave signal. The microwave emission from the collective action of the spins is identified with \nsuperradiance. Here we present other aspects of the theoretical model for this phenomenon and show that \nthe predicted radiated signal power agrees with the ex perimental data [6]. \nThe paper is organized as follows. In Sec. II we discuss the nature of the spin -wave modes in thin \nfilms based on the results of earlier work by several authors, in order to establish the background for the \nremainder of the paper. Sec. III is devoted to a review of the properties of coherent magnons states. In Sec. \nIV we discuss the excitation of spin waves in films by the parallel pumping technique. Sec. V is dev oted \nto the proposed cooperative mechanism for the formation of the BEC of magnons. In Sec. VI we show \nthat the states resulting from the cooperative action have quantum coherence. In Sec. VII we show th at the \nresults of the model agree with experimental data for the intensity of the Brillouin light scattering from the \nBEC and f or the microwave emission from the uniform mode resulting from the coalescence of a pair of \nBEC magnons. Sec. VIII summarizes the main results. 3II. Spin -wave modes in thin films \n \nSince the pioneering work of Damon and Eshbach [21] the theory of spin waves in ferromagnetic films \nhas been studied and reviewed by many authors [22 -31]. The theory of Damon and Eshbach (DE) was \ndeveloped for waves with very small wavenumbers k that have energies with negligible contribution from \nthe exchange interaction between t he spins. They used a semi -classical approach for the equation of \nmotion for the magnetization in which the magnetic dipolar field plays a dominant role. This field w as \nobtained with the so -called magnetostatic approximation valid for wavenumbers much larg er than the \nvalues for the electromagnetic field ( k ~1 cm-1) so DE coined the term magnetostatic waves to the \nresulting wave solutions. Later several authors included the exchange interaction in the equations o f \nmotion and in the boundary conditions, used various approaches and approximations to find the normal \nmodes and introduced other names to the waves, such as dipole -exchange waves. Actually they are all \nsimply spin waves, pictured classically by the view of the spins precessing about the equilibrium d irection \nwith a phase that varies along the direction of propagation. Various results have been successfully applied \nto explain experimental observations in thin slabs or films of YIG and other low loss ferrite materi als as \nwell as in ultrathin films of fe rromagnetic metals. In this section we present the background information \non th e normal spin -wave modes in a thin ferromagnetic film necessary for the discussion of the theory of \nthe interacting spin waves. Initially we employ the DE theory extended to inc lude exchange in order to \nobtain exact dispersion relations for waves in films corresponding to the nearly uniform transverse mode. \nThen we develop a quantum model based on the second quantization of the spin excitations involving \nmagnon creation and annih ilation operators which is the most convenient approach to treat interactions. \nConsider an unbounded flat ferromagnetic film with thickness d magnetized in the plane by a \nstatic magnetic field H. We use a coordinate Cartesian system with the x and z coordi nates in the plane of \nthe film, zˆ along the field direction. Anisotropy is neglected since it is very small in YIG so that the \nmagnetization M\n in equilibrium lies along zˆ and one can write y x z my mx MzM ˆ ˆ ˆ \n. The DE approach \nconsists of solving the Landau -Lifshitz equations of motion for the small -signal time -varying components \nof the magnetization xm and ym under the action of the magnetic dipol ar field they create added to the \nstatic field H [21]. Furthermore it is assumed that xm and ym are described by waves with frequency ωk \nand wavevector k\n propagating in the film x-z plane and a standing wave pattern in the perpendicular \ndirection. The corresponding dipolar field dh\n can be obtained from Maxwell’s equations in the \nmagnetostatic approximation 0dhx\n, which allows expressing the field in terms of a magnetic potential \n as dh\n. The equation for follows from 0) 4 (. m hd\n and its solutions are subjected to the \nelectromagnetic boundary conditions involving the internal and external fields on the two surfaces of the \nfilm. One then obtains a transcendental equation relating the frequency ωk with the wavevector \ncomponents [21,27 -29], \n \n01 sin ) 1( ) cot()() 1(22 2 2 2/1 k ydk (1) \nwhere k is the angle between the wavevecto r k\n in the plane and the z-direction, ky is the wavenumber \ncharacterizing the mode pattern in the direction normal to the film and the other parameters are rel ated to \nthe frequency by \n2 2\nk HM H\n , 2 2\nk HM k\n and (2) \n \n1sin 12\nk , (3) \nwhere HH , MM 4 and = gB/ is the gyromagnetic ratio (2.8 GHz/kOe for YIG). Note that \nthe components of the wavevector k\n in the plane enter in (1) -(3) through k xkksin and k zkkcos . 4From the equation for the potential in the film one can see [21,29] that the transverse wavenumber ky is \nrelated to the wavenumber k in the plane by \n k ky2/1)( . (4) \n It follows that for each pair of values of kx, kz , or equivalently k, θk, Equation (1) has several \nsolutions for the frequency ωk, each corresponding to a different tranverse mode pattern characterized by a \ndiscrete ky. From (2) -(4) it is clear that ky can be real or imaginary, depending on the range of frequency. \nReal value s of ky correspond to the so -called volume magnetostatic modes, for which the magnetization \ncomponents have a dependence on the transverse coordinate y of the type cos kyy, sin kyy. Imaginary \nvalues of ky correspond to the surface modes, which have an expo nential dependence on y decaying away \nfrom one of the film surfaces. The surface modes have a unique property of being non -reciprocal, in the \nsense that the wave associated with one surface propagates only in one direction but not in the oppo site \n[21,22,27 -29]. From (2) -(4) it can be shown [27 -29] that for each frequency there is a critical angle of \npropagation θkc above which δ becomes positive so that ky is imaginary and the mode is a surface wave, \n 2/12 2\n) ( sin\nHH k\nkc . (5) \nFor typical numbers appropriate to the experiments of [1 -6] with YIG films, H = 1.0 kOe, M4 = \n1.76 kG, 2/k f = 4.0 GHz, the critical angle is θkc = 50.26o. For the specific case of the surface wave \nwith θk = 90o, Equation (1) has a simple solution with an explicit dependence of the frequency on the \nwavevector given by [29], \n ) 1(412 2 2 2 kd\nM M H H k e . (6) \n The introduction of the exchange interaction complicates considerably the problem of finding the \nspin-wave normal modes in films. Fi rst of all one can see that in films with thickness on the order of 1 µm \nor less, the exchange introduces a sizeable separation in the frequencies of the volume modes with \ndifferent transverse patterns because ky ~ ny π /d and the exchange energy varies with the square of ky. \nThe exact solution of the wave equations must in volve the matching of mixed electromagnetic and \nexchange boundary conditions [24 -26]. A nearly exact expression for the frequency of the lowest lying \nexchange branch can be obtained by simply introducing the exchange interaction as an effective field in \nEquations (1) -(4) which is added to the applied field, so that the parameter ωH becomes, \n ) (2kDHH , (7) \nwhere BgaSJ D / 22 is the exchange stiffness, J being the nearest neighbor exchange constant and a the \nlattice parameter of the film. The dispersion relations obtained by solving numerically Equ ations (1) -(4), \nwith ωH as in (7), are shown by the solid lines in Figure 1 for several angles θk in two YIG films with \nthickness d = 0.1 µm and 5 µm, using H = 1.0 kOe, M4 = 1.76 kG, and D = 2 x 10-9 Oe.cm2. The main \nfeature of the dis persion curves is that for propagation angles below certain values the frequency exhibits \na minimum at a k value that depends on the thickness. This is a consequence of the fact that the frequency \ninitially decreases with increasing k due to the role of th e dipolar energy but then at larger values of k it \nchanges slope due to the effect of exchange as in (7). \nIn the quantum approach which will be used to treat interactions we use a Hamiltonian in the \nform, \n )('int 0 tH H HH , (8) \nwhere H0 is the unperturbed Hamiltonian that describes free magnons, Hint represents the nonlinear \nmagnetic interactions and )('tH represents the external microwave driving. The magnetic Hamiltonian can \nbe written as H = HZ + Hexc + Hdip, represen ting respectively, the Zeeman, exchange, and dipolar \ncontributions. We treat the quantized excitations of the magnetic system with the approach of Holste in-\nPrimakoff [32 -35], which consists of three transformations that allow the spin operators to be expresse d in \nterms of boson operators that create or destroy magnons. In the first transformation the components of the \nlocal spin operator are related to the creation and annihilation operators of spin deviation at site j, denoted \nrespectively by \njaand ja, which satisfy the boson commutation rules ij j iaa],[ and 0],[j iaa . Using a 5 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \ncoordinate system with zˆ along the equilibrium direction of the spins, def ining y\njx\nj j iSSSand \ny\njx\nj j iSSS, where the factor i is the imaginary unit, not to be confused with the subscript denoting lattice \nsite i, it can be shown that the relations that satisfy the commutation rules for the spin components and the \nboson operators are [32 -34] \njj j\nj a\nSaaS S2/1 2/1)\n21()2(\n , (9a) \n2/1 2/1)21( )2(Saaa S Sj j\nj j\n , (9b) \nj jz\nj aaSS , (9c) \nwhere S is the spin and j j j aan is the operator for the number of spin deviations at site j. One of the \nmain advantages of this approach is that the nonlinear interactions are treated analytically by expa nding \nthe square root in (9a) and (9b) in Taylor series. We use only the first two terms of the expansion, so that \n )4/ ()2(2/1S aaa a S Sj j j j j (10a) \nand )4/ ()2(2/1S aaa a S Sj j j j j . (10b) \nIn order to find the normal modes of the system we use the linear approximation, whereby only \nthe first terms in (9c) and (10) are kept, i.e., j j a S S2/1)2(, j j a S S2/1)2( , and SSz\nj. With these \ntransformations one can express the magnetic Hamiltonian in a quadratic form containing only lattice \nsums of products of two boson operators. The second step is to introduce a transformation from the \nlocalized field operators to collective boso n operators \nkaand ka using the Fourier transform \n \nkkrki\nj aeNa\n.\n2/11 (11) \nwhere N is the number of spins in the system. The condition that the new collective operators satisfy the \nboson commutation rules ', '],[kk k kaa and 0],['k kaa , requires that the transformation coefficients \nsatisfy the usual orthonormality relations. The contributions from the Zeeman and exchange energies to \nthe Hamiltonian H0 with quadratic form in boson operat ors can be shown to be [32 -35] \n \n \nkk k exc Z aakDH H H ) (2 . (12) 10310410510623456789Figure 1 (a)\n40o\n20o (a)\nH = 1.0 kOe\nd = 0.1 m\nk = 90o \nk = 0 Frequency f = / 2 (GHz)\nWavenumber k (cm-1)10110210310410510623456789Figure 1 (b)\nf = 4.05 GHz60o\n50o\n40o\n20o (b)\nH = 1.0 kOe\nd = 5.0 m\nk = 90o \nk = 0 Frequency f = / 2 (GHz)\nWavenumber k (cm-1)\n \n \nFigure 1: Dispersion relations for spin waves propagating at various angles with the in -plane \napplied field H = 1.0 kOe in a YIG film with thickness (a) 0.1 m and (b) 5 m. The curves with full \nlines represent the calculation with the DE theory inclu ding exchange, Equation (1) while the dotted \nlines represent the calculation with the approximate theory Equation (26) for θk = 0, 20o and 40o. \n 6 The contribution of the dipolar energy to the Hamiltonian can be obtained with approximations \nvalid for the nearly uniform transverse mode, which corresponds to the lowest lying exchan ge branch with \nky ~ 0. Following [30 -31] we neglect the variation of the magnetization on the transverse coordinate and \nwork with the averages over y, \n \n 2/\n2/, , , );,(1);( );,(d\ndyx yx yx dytzx mdtr mtzx m . (13) \n The magnetic potential created by the spat ial variation of the small -signal transverse \ncomponents of the magnetization is written in the form [30,31], \n \nkrki\nkeyVzyx\n.\n2/1)(1),,( (14) \nwhere V is the volume of the film and k\n and r denote the wavevector and the position vector in the \nplane. The Fourier transform of the potential )(yk can be obtained from the solution of m. 42 \nderived from Maxwell’s equations subject to the electromagnetic boundary conditions at 2/d y [31], \n \n )(1)(sinh 4)( ]1)( cosh [4)(2/\n22/kmkky e kmkkky ei yykd\nxx kd\nk (15) \n \nwhere the Fourier components of the magnetization appearing in (15) can be expressed in terms of the \ncollective boson operators using the relation yx B yx SVN g m, , )/( in (10) and (11), \n ) ()2( )(2/1 \n k k x aaVNSkm , (16a) \n ) ()2( )(2/1 \n k k y aaVNSi km . (16b) \nThe small -signal transverse components of the dipolar field can be obtained from the magnetic potential \nwith dh\n so that the contribution of the dipolar energy to the magnetic Hamiltonian can be \ncalculated with \n ) (21dip\ny ydip\nx x dip hm hmdzdydx H . (17) \n The integration in (17) can be performed without difficulty by expressing the magnetization and \nthe dipolar field in terms of their Fourier transforms and using the orthon ormality relations. One can show \nthat, \n \nkk k k k k k k k k k dip ch aaF F aaF F M H }.. ] sin) 1([{21] sin) 1([ 22 2 . (18) \n \n With (12) and (18) one can write the total Hamiltonian for the free magnon system as, \n \nkk k k k k k k k k aaB aaB aaA H* *\n021\n21 (19) \nwhere \n ] 2 sin) 1( 2 [2 2\nk k k k FM F M DkH A , (20a) \n ] 2 sin) 1( 2[2\nk k k k FM F M B , (20b) \n kd e Fkd\nk /) 1( . (20c) \nIn order to diagonalize the quadratic Hamiltonian it is necessary to introduce new collective boson \noperators \nkcand kc satisfying the commutation rules ' '],[kk k kcc and 0],['k kcc , related to \nkaand \nkathrough the Bogoliubov transformation [32-34] \n ` k k k k k cvcua , (21a) \n k k k k k cv cua* , (21b) 7where 12 2k kvu , as appropriate for a unitary transformation. The coefficients of this transformation must \nbe such that the quadratic Hamiltonian acquires the diagonal form \n \nkk k kcc H 0 , (22) \nbecause this leads to the Heisenberg equation of moti on \n k k kkci Hci dtdc ],[1\n0 . (23) \nThis equation has stationary solutions of the form tkie which assures that kc is the operator for the \nnormal -mode excitations of the magnetic system. Hence \nkc and kc are the creation and annihilation \noperators for magnons. It can be shown [32-34] that the coefficients of the transformations (21) are given\n \n 2/1)2(\nkk k\nkAu , (24a) \nand 2/1 2/1 2)2( )1 (\nkk k\nk kAu v , (24b) \nwhere the sign of vk in (24b) is the opposite one of the parameter Bk and the frequency k of the \neigenmodes is \n 2/122) (k k k B A . (25) \n \n Using the expressions for the parameters in (20) we obtain from (25) an explicit equ ation for the \ndependence of the spin -wave frequency on the wavevector in the plane, \n \n ] 4 [] sin) 1( 4 [2 2 2 2 2\nk k k k FM DkH F M DkH (26) \n \n This equation is the same as the one obtained for the lowest lying branch of the “dipole -exchange” \nmodes with more rigorous treatment o f the exchange interaction [24 -26]. It also agrees with the results of \n[30,31] in the limit kd << 1. The dispersion curves shown by the dotted lines in Figure 1 are obtained with \n(26). The agreement with the Damon -Eshabch result extended to include exchang e is quite good for any \nangle θk in the YIG film with d = 0.1 µm. In the case of the film with d = 5 µm the agreement is good for \nθk < 50o. The results in Figure 1 shows that the second quantization approach just presented describes \nquite well the nearly uniform transverse spin -wave mo de in films. \n To conclude this section we express the components of the magnetization vector operators in \nterms of the magnon creation and annihilation operators using the relations with the spin operators and the \ntransformations (10), (11) and (21), \n ) )( ()2()(* .\n2/1 k k k k\nkrki\nx ccvu eNSMrm , (27a) \n ) )( ()2()(* .\n2/1 k k k k\nkrki\ny ccvueNSMi rm , (27b) \n With these equations one can calculate the expectation values of the magnetization components \nfor any spin excitation in films expressed in terms of the magnon states. \n \n \nIII- Coherent m agnon states \n \nIf the nonlinear interactions are neglected, the spin -wave excitations with wavevector k and frequency k \ndescribed by magnon creation and annihilation operators \nkcand kcform a sy stem of independent harmonic \noscillators, governed by the unperturbed Hamiltonian \nkk k kcc H 0 . The eigenstates kn of this 8Hamiltonian which are also eigenstates of the number operator k k k ccn can be obtaine d by applying \nintegral powers of the creation operator to the vacuum, \n0])!/()[(2/1\nkkn\nk k n c n , (28) \n \nwhere the vacuum state is defined by the condition 00kc . These stationary states describe systems with \na precisely defined number of magnons kn and uncertain phase. They form a complete orthonormal set \nwhich can be used as a basis for the expansion of any state of spin excitation. They are used in nea rly all \nquantum treatments of thermodynamic properties, relaxat ion mechanisms, and other phenomena \ninvolving magnons. However, as can be seen from the expressions in (27), they have zero expectation \nvalue for the small -signal transverse magnetization operators xm and ym and th us do not have a \nmacroscopic wavefunction. In order to establish a correspondence between classical and quantum spin \nwaves one should use the concept of coherent magnon states [ 17,18], defined in analogy to the coherent \nphoton states introduced by Glauber [16]. A coherent magnon state is the eigenket of the circularly \npolarized magnetization operator y xim m m. It can be written as the direct product of single -mode \ncoherent states, defined as the eigenstates of the annihilation operator, \n \n k k k kc , (29) \nwhere the eigenvalue k is a complex number. Although the coherent states are not eigenstates of the \nunperturbed Hamiltonian and as such do not have a well defined number of magnons, they have nonzero \nexpectation values for the magnetization mwith a well defined phase. Here we review a few important \nproperties of the coherent states. First we recall that they can be expanded in terms of the eigenst ates of \nthe unperturbed Hamiltonian [16-18], \n k\nknkkn\nkk\nk n n e2/122/1)!/()( . (30) \nThe probability of finding kn magnons in the coherent state k obtained directly from (30) is given \n 2 2 2)!/ ( )(k\nkkn\nk k k k coh en n n . (31) \nThis function is a Poisson distr ibution [1 6] that exhibits a peak at the expectation value of the occupation \nnumber operator 2\nk kn in the coherent state. It can be shown that coherent states are not orthogonal to \none another, but they form a complete set, so that they c onstitute a basis for the expansion of an arbitrary \nstate. The distribution (31) is very different from the one prevailing in systems in thermal equilib rium, \nwhich cannot be described by pure quantum states. Instead they are described by a mixture in which one \ncan find any number of magnons kn with energy k. The average number of magnons with energy k \nin thermal equilibrium at a temperature T is given by the Bose -Einstein distribution \n 11\n/TBkkken (32) \nwhere Bk is the Boltzmann constant. The probability of finding kn magnons with energy k in the \nmixture describing the thermal equilibrium with the average value (32) can be shown to be [1 6] \n1) 1()()(\nkn\nkkn\nk\nk thnnn . (33) \nNote that for large kn Equation (33) approaches the exponential function ) exp(kn . To stress the \ndifference between the coherent state and the mixture descr ibing the thermal equilibrium we show in \nFigure 2 the distributions (31) and (33) corresponding to 50kn . \n \n \n \n 9 \n \n \n \n \n \n \n \n \nFigure2: Distributions of magnons in a system \nin thermal equilibrium and in a coherent state \nwith 50kn . \n \n \nAnother important property of a coherent state is that it can be generated by the application of a \ndisplacement operator to the vacuum [1 6-18], \n \n 0)(k kD , (34a) \nwhere \n) exp()(*\nk k k k k c c D . (34b) \n \nIn order to stud y the coherence properties of a magnon system, it is convenient to use the density matrix \noperator ρ and its representation as a statistical mixture of coherent states, \n \n k k k k d P 2)( , (35) \nwhere )(kP is a probability density, called P representation, satisfying the normalization condition \n1 )(2k kd P and ) (Im) (Re2\nk k k d d d . As shown by Glauber [1 6], if ρ corresponds to a coherent \nstate, )(kP is a Dirac δ -function. On the other hand, if ρ represents a thermal Bose -Einstein distribution, \n)(kP will be a Gaussian function. \n To conclude this section it is important to obtain the expectation values of the components of the \nmagnetization operators for a single coherent state with eigenvalue ) exp(k k k i . Using (29) in the \nexpressions (27) it is straightfor ward to show that \n ) .(cos) ()2/(),(\n2/1 k k k k k x t rk vuNSMtrm , (36a) \n ) .(sin) ()2/(),(\n2/1 k k k k k y t rk vuNSMtrm . (36b) \n The transverse components of the magnetization in (36) together with zMzˆ correspond to the \nclassical view of a spin wave, namely, the magnetizati on precesses around the equilibrium direction with a \nphase that varies along the direction of propagation and with an ellipticity given by \n \nkk k\nk kk k\nyx BA\nvuvu\nmm\n ) (\nmaxmax\n . (37) \n Note that the elliptical precession of the transverse magnetization with freq uency k results in an \noscillation of the z-component with frequency k2. As is well known it is this fact that makes possible to \nexcite spin -waves with a microwave field parallel to the static field. \n \n 0 20 40 60 80 1000.000.020.040.060.08Figure 2\n = 50\n = 50Magnon distribution\nNumber of magnons\n 10 IV- Microw ave excitation of spin waves \n \nSpin waves can be nonlinear excited in a magnetic material by means of several techniques employing \nmicrowave radiation, with the microwave magnetic field applied either perpendicular or parallel to t he \nstatic field. The exci tation is provided by the oscillation in the coupling parameter between two or more \nmagnon modes, so the processes are called parametric. As in other nonlinear processes, the excitatio n \noccurs when the driving field exceeds a certain threshold value which depends on the rate at which the \nmagnon mode relaxes to the heat bath. In the parallel pumping process the driving Hamiltonian in (8) \nfollows from the Zeeman interaction of the microwave pumping field ) cos(ˆ t hzpwith the magnetic \nsystem. One ca n express the Zeeman interaction in terms of the magnon operators using (9c), (11) and \n(21) and keeping only terms that conserve energy and show that the driving Hamiltonian for a \nferromagnetic film is given by \n \n \nkk ktpi\nk ch cceh tH ..2)(', (38a) \nwhere \nk k k k M k k k F F vu 4/] sin) 1([2 (38b) \nrepresents the coupling of the pumping field h (frequency p) with the kk\n, magnon pair with \nfrequency k equal or close to 2/p . Note that for a thick film, or a large wavevector, or a combination \nof both such that kd >> 1 Equation (20c) gives Fk << 1. In this case the coupling coefficient approaches \nthe value for bulk samples k k M k 4/ sin2 . This is maximum for waves propagating perpend icularly \nto the field since they have the largest ellipticity and vanishes for waves with k\n along the field. However \nin films with kd on the order of 1 or less Fk is finite and the parallel pumping field can drive waves with \nany value of k. This is what happens in the case of the experiments in [1 -6] with H = 1.0 kOe. As seen in \nFigure 1 (b) in a YIG film with d = 5 µm magnons with frequency 4.05 GHz and k = 0 can have two \nvalues for k, approximately 2 x 103 cm-1 and 5 x 105 cm-1. The first value corresponds to 1kd and \n6.0kF and the second to 250kd and 0~kF . This means that magnons with frequency 4.05 GHz and k ~ \n2 x 103 cm-1 with k = 0 have a finite ellipticity and can be parallel -pumped. In fact, as can be seen in \nFigure 1 (b), for H = 1.0 kOe only waves with k in the range from 0 to about 50o can be pumped at \n2/pf = 4.05 GHz. It turns out that as k increases with fixed frequency the wavevector k increases so Fk \ndecreases. In a film with thickness d = 5 µm this approximately compensates the increase in the k2sin \nterm so that the factor k kvu which determines the parallel -pumping coupling remains about 0.2 in the \nwhole range of k, 0 -50o. \nThe Heisenberg equation of motion for the operators kcand \nkc with the Hamiltonian \n)('0 tH HH given by (22) and (38) can be easily solved assuming that the pumping field is applied at t \n= 0 to g ive the evolution of the expectation value of the number of magnons, \n t\nk kke n tn2)0( )( , (39a) \nwhere \n k k k k h 2/12 2] )([ , (39b) \n)0(kn is assumed to be the thermal number of magnons, 2/p k k is the de tuning from the \nfrequency of maximum pumping strength and k is the magnon relaxation rate which was introduced \nphenomenologically in the equations of motion. \nEquations (39) express the well known effect of the parallel -pumping excita tion. Magnon pairs \nwith frequency k equal or close to 2/p and wavevectors kk\n, determined by the dispersion relation 11 are driven parametrically and their population grow exponentially when the fie ld amplitude exceeds a \ncritical value hc, given by the condition 0k in (39b), \n \n k k k ch /) (2/1 2 2 . (40) \nThe large increase in the magnon population enhances the nonlinear interactions causing a \nreaction that limits its grow th. Due to energy and momentum conservation the important mechanism in \nthis process is the four -magnon interaction, which can be represented by a Hamiltonian of the form [32 -\n36] \n ' '\n',' ' ' ' 21 )4((k k k k\nkkkk k k k k kk ccccT ccccS H\n\n ) , (41) \nwhere the interaction coefficients are determined mainly by the dipolar and exchange energies. For the k-\nvalues relevant in the experiments [1 -6] the contribution from the exchange energy is negligible compared \nto the dipolar [33]. The four -magnon dipolar Hamiltonian can be obtained from (17) using for m and diph\n \nthe first and second terms of the expansions in (10), following procedures similar to those in Sec. II and \nkeeping only terms with two creation and two annihilation magnon operators. The result has several terms \nwith coefficients containing the form factor Fk in (20c) and products of the parameters uk and vk in (24), as \ngiven in Ref. [ 19]. It turns out that for the conditions of the experiments, Fk << 1, uk ~ 1 and vk << 1, so \nthat the coefficien ts in (41) are given approximately by NS T SM kk kk / 2 2' ' . Using the Hamiltonian (8) \nwith (41) as the interaction term one can write the Heisenberg equations for the operators kcand \nkc from \nwhich several quanti ties of interest can be obtained. One of them is the correlation function k defined by \n[36], \n tki ki\nk k k k een cc 2\n , (42) \nwhere kn is the magnon number operator and k the phase between the states of the pair. From the \nequation of motion for k it can be shown that for h > hc, in steady -state [36 -38] \n \n \n)4(2/1 2 2\n2] ) [(\nVhnk k k\nss k , (43) \nwhere \n NS T S VM kk kk / 4 2)4( . (44) \nIt can also be shown that the phase k varies from 2/ to as h increases from hc to infinity. In the \nrange of pumping power of the experiments [1 -6] 2/ ~k . By using methods of quantum statistical \nmechanics and t he probability density defined in (35) it has been demonstrated that the magnon pairs \nexcited by parallel -pumping are in coherent magnon states but this is so only when the four -magnon \ninteraction is taken into account [38]. \n Equation (43) shows that magn on pairs with frequency within a certain range around 2/p are \npumped by the microwave field when its amplitude exceeds a critical value given by \n \n \nkk k\nch2/1 2 2) ( . (45) \nNote that the population of the parametric magnons i s maximum for 2/p k and for the allowed k that \nmaximizes k. The modes with 2/p k are excited when the field amplitude h is larger than a critical \nvalue k k ch/ . In the reported experiments the minimum hc corresponds to a critical power pc in the \nrange of 100 µW to 1 mW determined by the experimental geometry and the spin -lattice relaxation rate in \nYIG, ~SL 2 x 106 s-1 [1-6]. However, when very short microwave pulses are used, much higher power \nlevels are required to reduce the rise time and to build up large magnon populations. In this case i t is the \nlarger magnetic relaxation rate, SL m 25~ 5 x 107 s-1 in the experiments [4,5], t hat must be overcome by 12 the driving. So one can define a critical field SL m c k m c h h / /1 for driving magnons with short pulses. \nUsing the fact that the driving microwave power p is proportional to h2, we can write from (43) an \nexpression for the ste ady-state number of parametric magnons with frequency 2/p k as a function of \npower, \n \nmc c\nss kVp ppn/ 2]/) [(\n)4(2/1\n1 1 (46) \nwhere 2\n1 )/(SL m c cp p . Using numbers appropriate for the experiments [4 -5], pc = 100 µW, m= (1/20 \nns) = 5 x 107 s-1, pc1 = 0.0625 W, M NSV 4)4( = 1.24 x 1011 s-1, for a driving power p = 4 W, Equation \n(46) gives for the normalized number of parametric magnons NS n\nss k/ = 1.6 x 10-3. The number of \nmagnons pumped by the microwave field is actually larger than this because many modes with frequency \nin the vicinity of 2/p are also driven. From (46) one can write an approximate equation for the total \nnumber of magnons pumped into the system as \n \n 2/1\n1 1 ]/) [(c c H p p p pp nrn (47a) \nwhere \n M m m H NS V n 8/ 2/)4( (47b) \nand pr is a factor that represents the number of pumped modes weighted by a factor relative to the \nnumber of magnons of the mode with maximum coupling. \n \n \nV. Mod el for Bose -Einstein condensation in the microwave driven interacting magnons \n \nIn the experiments of [1 -6] magnon pairs are parametrically driven by parallel -pumping in a YIG film at \nlarge numbers compared to the thermal values. The population of these pri mary magnons with frequency \nequal or close to 2/p is quickly redistributed over a broad frequency range down to the minimum \nfrequency 2/0 min k f . This redistribution is caused by four -magnon scattering events which conserve \nthe total number of magnons so that a quasi -equilibrium hot magnon gas is formed. Since the spin -lattice \nrelaxation time in YIG is much longer than the intermagnon decay time, the hot magnon gas remains \npractically decoupled from the lattice for several h undred ns with an essentially constant number of \nmagnons. In this situation the occupation number of the system is given by the Bose -Einstein distribution \n \n 11),,(\n/) (\n TBk BEeT n\n\n (48) \nwhere is the associated chemical potential. As is well known [ 7] in systems with constant number of \nparticles it is (48) and not (32) that determines the distribution of the number of bosons with ener gy at \na given temperature T, provided the system is in equilibrium and there is no interaction between the \nbosons. The experiments of [1 -5] were done with 8.1 GHz microwave pumping in two types of pulsed \nregimes and the properties of the pumped magnon system were measured with time -resolved Brillouin \nlight scattering. In the first one long pulses o f duration 1 s were employed to ensure that quasi -\nequilibrium was established in the hot magnon gas while still decoupled from the lattice. This made \npossible the observation of the full thermal equilibrium spectr a between fmin and the parametric magnon \nfrequency of 4.05 GHz as a function of the microwave pumping power. The authors of [1 -5] argue that \nwithout external driving the magnons are in thermal equilibrium with the lattice and have uncertain \nnumber so that = 0. If a microwave driving is applied a nd the power exceeds the threshold for parallel \npumping the total number of particles in the magnon gas increases and can be expressed as \n 13 dT n D NBE tot ),,()( (49) \nwhere )(D is the magnon density of states and the integral in (49) is carried out over the whole range of \nmagnon frequencies. Clearly as the microwave power is raised the total number of magnons increases s o \nthat the temperature and the chemical potential increase. Using (49) and the similar equation for th e \nenergy of the system it is possible to determine the values of and T for a given Ntot. In the experiments \nwith long pulses [1 -3] the BLS spectra could be fitted with the spectral density function ),,()( T n DBE , \nallowing the determination of and T for each power value. At a high enough power the chemical \npotential reaches the energy corresponding to fmin resulting in an overpopulation of magnons with that \nfrequency relative to the theoretical fit. It was then necessary to add a singularity at fmin to fit the spectrum \n[2]. This was interpreted as a signature of the Bose -Einstein condensation of magnons, namely: when the \nnumber of magnons reaches a critical value defined by the condition min2fc the gas is spontaneously \ndivided in two part s, one with the magnons distributed according to (48) and another one with the \nmagnons accumulated in the state of minimum energy. \nThe experiments with short microwave pulses (30 ns) [4,5] allowed the observation of the \ndynamics of the redistribution of e nergy from the primary magnons to the modes in the broader energy \nrange and the formation of the strong BLS peak at fmin. The behavior of the peak intensity and of the \nrelaxation to the lattice with increasing microwave pumping power revealed that above a critical power \nlevel the magnons accumulated at the bottom of the spectrum develop a spontaneous emergence of \ncoherence. The coherence of the BEC was further confirmed in experiments showing the microwave \nemission from the k = 0 mode generated by the coal ition of a pair of BEC magnons when the applied field \nhas a value for which its frequency is 2 fmin [6]. While the thermodynamic interpretation of the \nexperiments in [1 -5] is quite satisfactory and explains qualitatively several observed features, it fails in \nproviding quantitative results to compare with data and, most serious, it does not explain the obser ved \nspontaneous emergence of quantum coherence in the BEC of magnons. This is not surprising because a \nsystem of free noninteracting magnons cannot poss ibly evolve spontaneously from quantum states \ndescribing thermal magnons, represented by the distribution (33), to coherent magnons states \ncorresponding to (31). The theory presented in this section shows that the cooperative action of the \nmagnon gas throu gh the four -magnon interaction can provide the mechanism for the observed \nspontaneous emergence of quantum coherence in the BEC. The theory relies in part on some assumptions \nbased on the experimental observations and on some approximations to allow an ana lytical treatment of \nthe problem. The ultimate justification for the assumptions and approximations is the good agreement of \nthe theoretical results with the experimental data for the BLS intensity and for the emitted microwave \nsignal as a function of the microwave pumping power presented in th e next section . \n We consider that with microwave pumping the magnon system can then be decomposed in two \nsub-systems, one with frequency above 2/p in thermal equilibrium with the lattice at room te mperature \nand another one with frequency in the range 2/0 p k in quasi -equilibrium at a higher temperature T. \nThe second sub -system, which we call the magnon reservoir, is characterized by an occupation number \ngiven by the Bose -Einstein dis tribution with its own temperature and chemical potential. We also assume \nthat after the hot magnon reservoir is formed by the redistribution of the primary magnons, the corr elation \nbetween the phases of the magnon pairs lasts for a time that can be as lar ge as m/4 , which is about 100 ns \nin the experiments [1 -6]. This is a sufficient time for the four -magnon interaction to come into play for \nestablishing a cooperative phenomenon to drive a specific k mode. The effective driving Hamiltoni an for \nthis process is obtained from Equation (41) by taking averages of pairs of destruction operators for \nreservoir magnons to form correlation functions as defined in (42), \n \n \n \nRkk ktRkiRki\nRk Rkk ch cc eenS tH .. )('2\n21 . (50) \nEquation (50) has a form that resembles t he Hamiltonian (38) for parallel pumping, revealing that under \nappropriate conditions magnon pairs can be pumped out of equilibrium in the gas. To treat (50) we note 14 that since the number of the magnons pn pumped into the system is muc h larger than the number of \nthermal magnons in the range 2/0 p k one can write for the magnon reservoir \n dT n D nBE p ),,()( , (51) \nwhere pn is related to the power as in (47). Of course the calculation of the population in each state of the \nreservoir as a function of power is a formidable task. So we use some approximations to treat the problem \nanalytically . Consider that the population of the primary magnons is distributed among the RN modes Rk \nin the magnon reservoir, so that with (47) we can write an expression for the average population of modes \nRk as a function of pumping power p, \n 2/1\n1 1 ]/) [(c c H R p pp nr n , (52a) \nwhere \nR pNrr / . (52b) \n If all the reservoir states had the same magnon number the sum in Rk in (50) would reproduce the density \nof states D(ω). Actually the number of magnons in each state Rk depends on its energy as given by (48) \nand can be written approximately as R kR BE Rk n f n )( , where )(kR BEf is a function proportional to (48) \nwith a normalizati on constant so that its average over the frequency range of the reservoir modes is unity, \n \n BE BE BE C n f /)( )( , (53a) \n dn CBE\nRBE1 , (53b) \n0 2/k p R being the frequency range of the reservoir modes. Thus the relev ant quantity for \ndetermining the frequency dependence of the coefficient in the Hamiltonian (50) is the density of st ates \nweighted by the normalized Bose -Einstein distribution, \n \n )()( )( BEf D G . (54) \nNote that )(BEf and )(G also vary with and T but we omit them in the functions to simplify \nthe notation. Figure 3 shows plots of (54) for several values of and the corresponding T for a 5 m thick \nYIG film. The density of states was calculated numerically using the approximate dispersion relation (26) \nby counting the number of states with z z z x x x L n kL n k /2 ,/2 having frequenc ies in discrete \nintervals 2x 1.0 MHz in the range 2/ 0p . The value of were chosen so that their differences to \n0k are the same as the ones used in [3] to fit the measured BLS spectra with varying microwave power. \nThe corresponding values of T were estimated by the fits to the BLS spectra in [3]. The dimensions used \nto calc ulate the density of states are Lx = Lz = 2 mm. As expected )(G has a peak at the minimum \nfrequency that becomes sharper the chemical potential rises and approaches the minimum energy. The \nconsequence of this is that as the microwave pu mping power increases and TkB k /) (0 becomes very \nsmall the peak in )(G dominates the coefficient in (50) revealing that it is possible to establish a \ncooperative action of the modes with frequency \nRk close to 0k so as to drive magnon pairs nonlinearly \nas in the parallel pumping process. Considering that the pumping is effective for frequencies \nRk in the \nrange m k0 , the sum over Rk in (50) can be replaced by m kD)(0 so that one can write an effective \nHamiltonian for driving 0 0,k k\n magnon pairs as, \n \n .. )()('\n0 00 2ch cc e h t Hk ktk i\neff eff \n , (55a) \nwhere \n2/ )( )()4( 0 R m k eff nV Gi h (55b) 15 \n \n \n \n \n \n \nFigure 3: )(G as a function of frequency for \nspin waves in a 5 m thick YIG film in a field \nH = 1.0 kOe with the following parameters: \n= 0, T = 300 K (lowest values at fmin = 2.898 \nGHz); / h = 2.718 GHz, T = 900 K; / h = \n2.868 GHz, T = 120 0 K ( h is Plank’s \nconstant). \n \n \n \nrepresents an effective field proportional to the average number of magnons Rn in the reservoir. Note that \nthe factor –i in (55b) arises from the phase between pairs that is approximately 2/ in the range of \npower of interest. From the analysis in Sec. IV one can see that there is a critical number of reser voir \nmodes above which they act cooperatively to pump the 0 0,k k\n magnons parametrically. The condition \nm effh)( gives the critical average number of reservoir magnons \n )( /20 )4( k c GV n . (56) \n Since the Hamiltonian (55) has the same form as (38), the population of the 0k mode driven by the \neffective field and saturated b y the effect of the four -magnon interaction is calculated in the same manner \nas done for the direct parallel -pumping process. Thus from (43) with 0k we have \n \n)4(2/1 22\n02] )([\nVh\nnm eff\nk\n . (57) \n Using (47b), (55b) and (56) in Equati on (57) one can write the population of the 0k mode in \nterms of the average reservoir number Rn, \n 2/1 2 2\n0 ) (c R\ncH\nk nnnnn . (58) \nAlternatively 0kn can be written in terms of the pumping p ower using (52) and (56) in (58), \n \n 2/1\n1 2 2 0] (/) [(c c c H k p p pp n n , (59) \nwhere Hn is given by (47b) and \n}])( [/161{2\n0 1 2 k m c c Gr p p (60) \n \nis another threshold power level pc2 >> pc1. Note that with (52) and (60) the effective driv ing field (55b) \ncan be expressed in terms of power as \n \n 2/1\n1 2 2 ] (/) [( )(c c c m eff p p pp i h . (61) \n Notice that since )(0kG depends on and consequently on the power, the value of µ that enters \nin (56) and (60) is the one for 2cpp. Equations (58) and (59) are valid only for c Rnn or equivalently \n \n 2.5 3.0 3.5 4.0 4.50.05.0x1051.0x1061.5x106Figure 3 G () (number / MHz)\nFrequency f (GHz)\n 16 \n \n \n \n \n \n \nFigure 4: Variation with microwave pumping \npower of the normalized reservoir average \nmagnon number and of the BEC magnon \npopulation . \n \n \n \n \n \n2cpp and they represent the first important result of this paper. For nR < nc, or p < pc2 the population of \nthe 0k mode is that of thermal equilibrium with the reservoir given by \n \n )(0 0 k BE R k fn n . (62) \nHowever, for c Rnn or 2cpp the population of mode 0k is pumped -up out of equilibrium as a result of a \nspontaneous cooperative action of the reservoir modes. As it will be shown in the next section the 0k \nmode with population given by (57) -(59) above the threshold is in a coherent magnon state. This means \nthat when the average reservoir magnon number reaches the critical value (56) the magnon gas separat es \nin two parts, one in thermal equilib rium with the reservoir having frequencies in a wide range and one \nwith a higher magnon number in a narrow range around the minimum frequency. This is one of the \ncharacteristic features of a Bose -Einstein condensate. \nWe now have the necessary elements to i nterpret the behavior of the magnon system with \nincreasing microwave pumping power. First we note that in the interacting magnon gas the formation o f \nthe BEC occurs at a value of the chemical potential that is close but not equal to the minimum energ y \n0k. This is so because as the microwave power increases and approaches 0k, the average reservoir \nnumber reaches the critical value (56) corresponding to a small but finite ) (0k . The value of the \nchemical potential satisfying (56) can be identified as the critical value c for the formation of the BEC. \nUsing (48), (53), (54) and (60) one can obtain the following relation between c and the critical power pc2 \n 2/1\n1 1 20\n0 ]/) [(4)(\nc c c\nBEB k m\nc k p p pCTk Dr , (63) \nwhere we have considered that TkB c k /) (0 << 1 to use the binomial expansion of the exponential \nfunction in (48). Of course Equation (63) is not an explicit expression for the critical chemical po tential in \nterms of pc2 because CBE and also the effect ive temperature T vary with . Equation (63) is important to \ndemonstrate that the difference ) (0 c k is finite in the interacting magnon gas. As the microwave \npower increases above pc1 the average reservoir magnon number nR increase s contin uously as given by \n(52). The variation of nR with p is shown in Figure 4. Correspondingly the chemical potential increases \nwith power and reaches the critical value c when p reaches pc2, giving rise to the nonlinear driving of the \n0k mode. This process leads to a sharp increase in the magnon population at the state with minimum \nfrequency 0k characteristic of the condensation of bosons . Thus the population 0kn will henceforth be \ncalled condensat e or BEC magnon number . For 2cpp the chemical potential locks at the value c so that \nthe dependence of effh)( on power is entirely contained in (61). Since the four -magnon interaction that \nproduces the cooperative actio n conserves the number of magnons, as p increases further the number of 2.5 3.0 3.5 4.0 4.5 5.00.01.0x10-42.0x10-41.0x10-31.5x10-32.0x10-3Figure 4\nnk0 nR/ rMagnon number / NS\nPumping power p (W)\n 17 magnons in the reservoir stays constant and the additional magnons originating from the primary magn ons \nend up at the condensate state. Figure 4 also shows the variation with power of the BEC number 0kn for \n2cpp. \n \n \nVI. Quantum coherence of the Bose -Einstein condensate \n \nIn order to study the coherence properties of the 0k mode pumped above threshold one has to use \nmethods of st atistical mechanics appropriate for boson systems interacting with a heat -bath. We follow \nhere the same procedure used to study the direct parallel pumping process [3 8]. The first step is to \nrepresent the magnon reservoir and its interactions with a specif ic k mode by a Hamiltonian that allows a \nfull description of the thermal and driving processes for the interacting magnon system, \n \nRS R eff H Ht H H HH )(')4(\n0 , (64) \n \nwhere the first three terms are given respectively by (22), (41) and (55), \n \n \nRkkR kR kR R cc H (65) \nis the Hamiltonian for the magnon reservoir, assumed to be a system with large thermal capacity and in \nthermal equilibrium and \n k kR kRk\nRkkk kR kRk RS cc cc H,\n,*\n, (66) \nrepresents a linear interaction between the magnons k and the heat reservoi r. Note that (66) also has its \norigin in the four -magnon interaction which provides the main mechanism for the intermagnon relaxation. \nUsing the Heisenberg equation for the magnon operators for a mode k in the vicinity of k0 with the total \nHamiltonian (64) and assuming that nk = n-k we obtain, \n \n )( )( ) 2 (0 2\n)4( tF c e hicnVi idtdc\nk ktki\neff k k m kk \n (67) \nwhere \n2\n,)(kRk k m D , (68a) \ntkRi\nkR\nkRkRk k ec i tF, )( , (68b) \nrepresent respectively the magnetic relaxation rate expressed in terms of the interaction between ma gnon k \nand the heat reservoir and a Langevin random force with correlators of Markoffian systems type [3 8-40]. \nUsing Equation (67) and the corresponding one for the operator \nkc, transforming them to the \nrepresentation of coherent magnon states k and working with variables in a rotating frame \nktki\nk k k et c )( we obtain an equation of motion for coherent state eigenvalue with k = k0, \n )( )( )(4)( 2)( 4\n2\n)4(22\n2\n)4(tSt tVh V\ndtt d\nk k km eff\nmk\n\n\n\n\n \n (69a) \nwhere \ntki\nk\nmeff tki\nk k etFhi etFtS \n\n )()()( )(* . (69b) \n \nEquations (69) contain all the information carried by the equations of motion for the magnon \noperators. It is a typical nonlinear Langevin equation which appears in Brownian motion studies and laser \ntheory [3 9,40]. It shows that the magnon modes with amplitud e kare driven thermally by the hot magnon 18 reservoir and also by an effective driving field. The solutions of (69) confirm the previous analysi s. For \nnegative values of the driving term ] )([22\nm effh the magnon amplitudes are essentially the ones of the \nthermal reservoir. For positive values they grow exponentially and are limited by the effect of the four-\nmagnon interactions. Above the threshold condition the steady -state solution of (69) gives for the number \nof magnons 2\nk kn an expression identical to (57). The final step to obtain information about the \ncoherence of the excited mode is to find an equation for the probability density )(kP, defined in (35), \nthat is stochastically equivalent t o the Langevin equation. Using ) exp(k k k i a we obtain a Fokke r-Plank \nequation in the form [38 ], \n \n ) (1) (1) ([)(1\n'22\n22 4\nkP\nx xPxxxPxxAxxtP\n\n , (70) \n \nwhere \n t n n tH m k3/1 2 3 2\n0 )/ (' , (71a) \n \nk k H a nn x6/1\n02) /2( (71b) \n \nrepresent normalized time and m agnon amplitude and the parameter A is given by, \n \n 2\n03/2\n02\n)4(2/1 22\n3/2\n02)2(2] )([\n)2(k\nk Hm eff\nk Hnnn Vh\nnnA \n. (71c) \nNote that A can alternatively be written in terms of the average reservoir number Rn or the power p as, \n ]1)/[(])(2[2 3/2\n0 c R\nR k BEHnnn fnA , (72a) \n) () (] [])(2[\n1 22 3/1\n11 3/2\n0 c cc\ncc\nk BE p ppp\nppp\nfrA\n . (72b) \n \n Application of Equation (70) to describe the full dynamics of the pulsed experiments [1 -6] must \nconsider that the factors relating t’ to t and x to ka, as well as the parameter A, are all time dependent . \nHowever, for typical numbers appropriate for the experiments, t’ ~ t x 2x106 s-1, so that the dynamics of \nthe pulsed experiments is relatively slow in the renormalized time scale. Thus in a first approximat ion we \nassume that all parameters are constant a nd obtain the stationary solution of (70) independent of kin the \nform, \n ) (exp )(6\n61 2\n21x xA CxP . (73) \nwhere C is a normalization constant such that the integral of P(x) in the range of x from zero to infinity is \nequal to unity. No te that for obtaining (73) all integration constants were set to zero to satisfy this \ncondition. Figure 5 shows plots of P(x) for four values of the parameter A, -1, 0, 80 and 250. In choosing \nthe positive values we have considered parameters which enter i n (72a) and (72b) appropriate for the \nexperiments [1 -6]: cp = 100 mW, 1cp = 0.0625 W and 2cp = 2.8 W; )(0k BEfr ~ 8 x10-7 obtained from \nthe fit of theory to the BLS data as shown in the next Section. With these numbers we obtain A = 250 for \nc Rnn/ = 1.0 23, or equivalently 2/cpp = 1.047 . \nEquation (72a) shows that for reservoir average populations below the critical number, c Rnn, the \nparameter A is negative. In this case the function P(x) in (73) behaves as a Gaussian distribution, \ncharacteristic of systems in thermal equilibrium and described by incoherent magnon states [1 6]. On the \nother hand for c Rnn, or 2cpp, A > 0 and the stationary state consists of two components, a coherent \none convoluted with a much smaller fluctuation with Gaussian distribution. Since the variance of P(x) is 19 \n \n \n \n \nFigure 5: Probability density characteristic of a \nmicrowave driven interacting magnon system \nfor several values of the parameter A: Negative \nvalues correspond to c Rnn or for 2cpp ; A = \n0 corresponds to the threshold; A = 80 and 250 \ncorrespond to c Rnn/ = 1.008 and 1.023, or to \n2/cpp = 1.015 and 1.047. \n \n \n \n \nproportional do A-1, for A >> 1 the function P(x) becomes a delta -like distribution, characteristi c of a \ncoherent magnon state [1 6]. Figure 5 shows that in the conditions of the experime nts P(x) becomes a \ndelta -like function at power levels just above the critical value. Note that only in the presence of the f our-\nmagnon interaction the magnon state driven collectively by the reservo ir modes is a coherent state [38 ]. \nNote also that P(x) has a peak at 4/1\n0Ax , so that it represents a coherent state with an average number of \nmagnons given by 2/1 2\n0Ax . From (71b) and (71c) we see that this corresponds to a magnon number 2\n0a \nwhich is precisely the value \n0kn given by (58) and (59). This means that the magnon 0k driven \ncooperatively by the reservoir modes is a quantum coherent state. This is the second and most import ant \nresult of this paper since the coher ence implies a macroscopic wavefunction satisfying an essential \ncondition for the condensate. \n The theoretical interpretation of the observations of Demokritov and co -workers [1 -6] is now \nclear. After the reservoir of hot magnons with population Rn is formed as a result of the fast redistribution \nof the energy of the primary parallel -pumped magnons, the modes with frequency \nRkclose to 0k act \ntogether to drive the mode 0k. However only if the microwave power is above a critical value 2cp, Rn \nexceeds cn and the system spontaneously develops a coherent state with frequency 0k. According to (36) \nthe small -signal dynamic magnetization is proportional to the amplitude of the coherent state, \n2/1\n0 0 k kn a m. Thus one can write from (59) that for 2cpp the dynamic magnetization scales with \nmicrowave power as 4/1\n2) (cpp m, characteristic of a second -order phase transition. The spontaneous \nemergence of quantum coherence [41 ] caused by a phase -transition and the associated magnetic dynamic \norder in a macroscopic scale, constitute rigorous theoretical support for the for mation of Bose -Einstein \ncondensation of magnons at room temperature, as claimed by Demokritov and co -workers [1 -6]. \n \n \n \nVII. Comparison with experimental data \n \nIn this section we apply the model for the formation of the BEC of magnons developed to treat an \ninteracting magnon gas driven by microwave radiation in a YIG film. We compare the results of the \ntheory with the data obtained by Demokritov and co -workers [1 -6] using two very different techniques, \nBrillouin light scattering form the magnon condensate a nd microwave emission from the uniform mode \ndriven by BEC magnon pairs. In both cases the theory developed here allows the calculation of quanti ties \nof interest as a function of microwave pumping power to compare with data. \n 0 1 2 3 4 5 6 7 80.00.10.20.30.40.50.6Figure 5\nA = 250\nA = 80\nA = -1\nA = 0Probability density P (x)\nNormalized magnon amplitude x\n 20 a- Intensity of the Brillouin Light Scattering \n \n In the experiments of [4,5] with short microwave pulse driving the coherence properties of the \nexcited magnons states emerge clearly in the behavior of the intensity of the BLS peak at minf. As argued \nin [4,5], for i ncoherent scatterers the BLS intensity is proportional to their number, whereas for coherent \nscatterers it is proportional to the number squared. Thus, in order to compare theory with data we e xpress \nthe BLS intensity in terms of the microwave power p in two regimes: for 2cpp the number of magnons \nwith frequency fmin is the thermal number 0kn given by (52) and (62); for 2cpp the condensate is \ncharacterized by a coherent magnon state with number given by (59). Consider that the relevant number of \nscatterers is the number of magnons per spin site. Using (52) and (62) we obtain for 2cpp, \n \n 2/1\n11\n00) ()()( ) (\ncc H\nk BEk inc\nppp\nNnfrbNnb I , (74) \nand with (59) we have for 2cpp, \n \n) ()( ) (\n1 22 2 2 0\nc cc H k coh\np ppp\nNnbNnb I , (75) \nwhere b is a scale factor proportional to the magneto -optical constant and involves electromagnetic, \nmagnetic and geometrical quantities . Figure 6 shows a fit of (74) and (75) to data, using 2/1\n1 1 ) (cincppc I \nand ) (2 2 ccohppc I , with c1 = 6.7, c2 = 370.0 and 2cp = 2.8 W. Using (47b), (74) and (75) one can obtain \na relation that allows the calculation of the factor )(0k BEfr at the critical chemical potential from the \nfitting parameter s, \n \n22/1\n1\n21\n08)(\ncc\nMm\nk BEpp\nccfr , (76) \nfrom which we obtain )(0k BEfr = 8.1 x 10-7. Before discussing the implications of this result it is \ninteresting to compare its value with the one obtained directly from the measured 2cp = 2.8 W. Using this \nvalue and 1cp = 0.0625 W in (52) and (60) we obtain 6.0 )()(0 0 m k k BE D fr . Considering )(0kD 105 / \nMHz, calculated numerically as described earlier, and 2/m 8 MHz, we find )(0k BEfr = 7.4 x 10-7, \nwhich is very close to the value obtained from (76). \n \n \n \n \n \n \n \n \n \nFigure 6: Fit of the theoretical result (solid line) \nfor the BLS intensity as a function of \nmicrowave pumping power to the experimental \ndata (symbols) of Demokritov and co -workers \n[4,5]. \n \n \n \n \n 2 3 4 5 6 7101102103\n \nMicrowave power p (W)Figure 6BLS Intensity (a.u.)\n 21 To obtain a value for )(0k BEf at the critical chemical potential we use the definition (53a) and \nconsider that the difference between the minimum energy 0k and µc is, in frequency units, in the range \n(10 – 20) MHz. The normalization constant CBE is calculated by the integration of (53b) in the frequency \nrange (2.9 – 4.05) GHz using the binomial expansion of the exponential in (48) and assuming T = 103 K. \nWe obtain CBE = (0.8 – 0.9) x 105 and )(0k BEf (10 – 25) for the range of µc above. With these value s we \nhave an order of magnitude estimate for 710~/R pNrr . Considering the number of reservoir states \n9 810 10~RN obtained numerically we find for the pumping factor 21010~pr . This is quite sm all \ncompared to the value 4 310 10~pr calculated numerically by counting the states with frequency in the \nrange m p2/ for the conditions of the experiments. We attribute this discrepancy to one or a \ncombination of the followin g reasons: a flaw in the theoretical model; a failure of Equation (26) in \nreproducing the correct slopes of the dispersion curves near the frequency minima introducing \nconsiderable error in the calculation of density of states; a large number of magnons is lost on the way to \nthe region of minimum frequency in the process of redistribution of the primary magnon population. \n \n \n \nb- Microwave emission from the BEC of magnons \n \n \nAs observed by Dzyapko et al. [6], if the static field applied to a microwave pu mped YIG film has a value \nsuch that the frequency of the 0k magnon is 0= 20k, a microwave signal is emitted with frequency \n0. They interpret this radiation as due to 0k magnons created by pairs of BEC magnons 0 0,k k \nthrough a three -magnon confluent process. The 0k value is necessary for emission because the \nwavenumber of electromagnetic radiation with frequency 1.5 GHz, as in the experiments [6], is \n f k /2 0.3 cm-1. Figure 7 illustrates the three -magnon confluent process in the dispersion relation for \nmodes propagating along the field in a 5 µm thick YIG film for H = 520 Oe , which is the field value for \n0= 20k. As w e have sh own earlier [20] , the 0k magnons created by the BEC are coherent magnons \nstates . Thus they correspond to a nearly uniform magnetization precessing with frequency 0 that emits \nelectromagnetic radiation with this fr equency [42 -44]. To calculate the power emitted by the uniform \nmode as a function of the microwave pumping power we need to study the process by which this mode is \ndriven by the BEC magnon pairs. Consider a Hamiltonian as in (8) in which the magnon interac tion \ninclude three -and four -magnon contributions , \n \n )(')4( )3(\n0 t H H H HHeff , (77) \n \nwhere )('t Heff is the effective Hamiltonian for driving 0 0,k k\n magnon pairs given by (55) and (61) and \nthe Hamiltonian for th e three -magnon confluence process is [32 -34] \n \n ..0 0 0 )3()3(ch cccV Hk k , (78) \n \nwhere the vertex of the interaction for small wavevectors is dominated by the dipolar interaction be tween \nthe spins S and is given approximately by 2/1\n)3( )2/(SN VM . To study the process by which the pairs of \nBEC coherent magnons 0 0,k k\n are produced and then generate k ~ 0 modes we use t he Hamiltonian (77) \nto obtain the Heisenberg equations of motion for the magnon operators, \n \n \n 22 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFigure 7: Dispersion relation for magnons \npropagating along the field H = 520 Oe applied \nin the plane of a YIG film with thickness 5 m \nwith illustration of the 3 -magnon coalescence \nprocess that generates a k = 0 from a pair of \nBEC magnons. \n \n \n \n \n 0 0 )3( 0 0 )4( 0 00) (k kccVicnVi idtdc\n , (79) \n\n 00 2\n0 )3( 0 0 )4( 0 00] )( [ ) 2 (ktki\neff k k k kkc e h cVi cnVi idtdc , (80) \n \nwhere the relaxation was introduced phenomenologically. We consider that all states involved are \ncoherent magnon states as demonstrated earlier and work with the corresponding eigenvalues k. In \naddition we assume that there are 0kp pair modes with wavevectors close to 0 0,k k\n to drive the k ~ 0 \nmodes and that the resonance condition is satisfied 0 02k , determined by the value of the applied field \nH. The equations of motion for the eigenvalue 0 and the correlation function 0 0 0 k k k in a frame \nrotating with frequency 0 become, \n0 )3( 0 0 0 )4( 00) (k kVip niVdtd , (81) \n 0 0 0 )3( 0 0 )4( 00])( / [2 ) 2 (2k eff k k k kkn h p Vi nVidtd . (82) \nNote that in (82 ) the term representing the coupling with the k = 0 mode is divided by the number of \nmodes 0kp assumed in the driving because 0k represents only one pair -mode 0k. The coupling term in \n(82) represents a reaction of the k = 0 mode that influences the behavior of the BEC modes. In steady -state \n0 /dtd (81) leads to, \n 0\n0 )4( 0)3( 0\n0 kk\nniVVip . (83) \nThis result , valid for the resonanc e condition 0 02k , shows that the BEC magnon pairs drive the \nuniform mode as an effective microwave magnetic field by means of the three -magnon interaction. Note \nthat there is no threshold condition in this process, BEC magnon pairs with any value of 0kn will create k = 10-710-510-310-110110310510712345Figure 7\nk00\n-k0k0Frequency f = / 2 (GHz)\nWavenumber k (cm-1)\n 23 0 magnons. This is in contrast to the so -called subsidiary resonance instability process in which the three -\nmagnon splitting process occurs only if the microwave field exceeds a critical value [34,37,45] . The \npresence of the term 0 )4(niV in the denominator due to the four -magnon interaction represents a detuning \nfrom the resonance condition due to the renormalization of the k = 0 mode frequency. In fact, this term is \nresponsible for the sa turation in the growth of the k = 0 mode amplitude with microwave pumping power \nobserved experimentally [6]. In order to compare theory with data we have solved numerically the \ncoupled equations (8 1) and (8 2) with their real and imaginary parts to find th e steady -state values of the \nmagnon populations 0n and 0kn for each value of the pumping power. The calculations were done \nconsidering that the relaxation of all modes involved is dominated by the magnetic interacti ons, \nm k0 0 . We also use normalized variables and parameters: SNnnk k / ' , t tm' , m SN V V 2/)( '2/1\n)3( )3( , \nm SNV V 2/)( ')4( )4( and m eff eff h h /)( )'( . With M4 1.76 kG and m 5x107 s-1 we have 0.219')3(V \nand 0. 1240')4(V . Figure 8 shows the variation with microwave power of the normalized steady -state \nvalues of the populations of the uniform mode n0 and the BEC mode nk0 multiplied by the fa ctor pk0. \nNotice that they are both nonzero only for pumping power above the threshold value. \nThe total power radiated by the uniform magnetization precessing about the static field with \nfrequency 0 is given by [44 ], \n ) (322 2\n34\n02 2\ny xm mcNP (84) \nwhere N is the number of spins in the region of emission, is the volume of the spin unit cell, c is the \nspeed of light and mx and my are the small -signal components of the transverse magnetization. In (84 ) we \nhave written the volume of the sample as NV to stress the dependence of the radiated power on the \nsquare of the number of spins. This characterizes superradiance, a term introduced in 1954 by Dicke [46] \nto designate the type of spontaneou s emission of radiation from an assembly of N atoms that has as an \nintensity proportional to N 2 instead of N as in usual situations. This emission requires some kind of \nquantum coherence in the atomic states, a topic which became understood many years aft er Dicke’s paper \nwas published. The observation of macroscopic superradiance of microwaves in ferromagnetic resonance \nin YIG was achieved only in the 1970s [43]. The recent experiments of Dzyapko et al. [6] constitute the \nfirst observation of superradiance originating from a Bose -Einstein condensate. \n \n \n \n \n \n \n \n \n \n \n \nFigure 8: Variation with microwave pumping \npower of the normalized steady -state magnon \nnumbers of the uniform mode n0 and the BEC \nmode nk0 (multiplied by the factor pk0 = 5 x 104). \n \n \n \n \n \n \n 4.0 4.5 5.0 5.5 6.0 6.5 7.00.00.20.40.60.81.01.21.41.61.82.0Figure 8\nn0 nk0 x pk0Magnon number / NS\nPumping power p \n 24 \n \n \n \n \n \n \n \n \n \nFigure 9: Microwave emission signal power vs \npumping power. Symbols represent the \nexperimental data of Dzyapko et al. [6] and the \nsolid line is the fit with theory. \n \n \n \n \n \n \n \n Since the microwave signal power is a fraction of the total radiated power given by (84), we use \nthe expression 0'nCps to fit the data of Dzyapko et al. [6]. In Figure 9 the symbols represent the data of \n[6] and the solid line represents the theoretical fit with using C = 13.2 W, 0kp= 5 x 103 and 2cp= 4.45 W. \nThe fit is quite good but it is important to check if the values of the fitting parameters bear conn ection to \nreality. A good estimate for the number of BEC modes that drive the k = 0 magnon is obtained by \ncounting the modes with frequency in the range 2/0 0 m k k and with k\n in the z-direction of the static \nfield, z z Lnk / , where n is an integer and zL the sample length. The result obtained numerically wit h the \ndispersion relation (26) is 20 x 103. The value of 0kp obtained from the fitting is somewhat smaller than \nthis, which is expected since it represents the number of modes weighted by the number of magnons of the \nmode k relative to the maximum number at k0 . To calculate the emitted microwa ve signal we use in \nEquation (84 ) the expressions for the magnetization components of a coherent state (37) obtaining, \n \n 0 32 4\n02\n'ncM VP . (85) \nUsing in (8 5) 20x 3.0 GHz, M = 300 G, c = 3 x 1010 cm/s and an estimated emission volume \nV = 1 mm x 0.5 mm x 5 m = 2.5 x 10-6 cm3, we obtain for the factor of 0'n in (8 5) approximately 400 \nW. This is two orders of magnitude larger than the value of C obtained from the fit of theory to \nexperiment, which is quite reasonable considering that the measured signal power is only a very smal l \nfraction of the t otal radiated power given by (84 ). It is important to note that if (8 1) and (8 2) are solved \nconsidering 10kp , the calculated 0'n is smaller than the value obtained with 0kp = 5 x 103 by a factor 107. \nThis means that with 10kp the total emitted power calc ulated with (85 ) would be smaller than the \nmeasured signal power by a factor 105, which is completely unrealistic. \nNote that this model is also consistent with the 6 MHz linewidth of the microwave emission \nspectrum observed in [6]. This value was considered too large by the authors of [6] who expected a \nlinewidth one order of magnitude smaller corresponding to the spin -lattice relaxation rate. In fact the \nlinewidth is close to the value determined by the magnetic relaxation rate, 2/m = 8 MHz, which in our \ntheory d ominates decay process. \n 4.0 4.5 5.0 5.5 6.0 6.5 7.00123456Figure 9Signal power ps\nPumping power p \n 25 VIII - Summary \n \n \nIn conclusion, we have shown that in a magnon system in a YIG film driven by microwave radiation far \nout of equilibrium, the four -magnon interactions acting on the reservoir modes with frequencies close to \nthe m inimum in the dispersion relation create the conditions for the spontaneous generation of coherent \nmagnon states. As the microwave power p is increased and exceeds a critical value 2cp, the magnetic \nquantum states change from incoherent to coherent magnon states. Correspondingly, the small -signal \nmagnetization changes from zero to 4/1\n2) (cpp m for 2cpp. Since the magnetization represents the \norder parameter of the dynamic magnetic system, this characterizes a true second order phase transition \nwith critical exponent 1/4 . The spontaneous em ergence of quantum coherence [41 ] caused by a phase -\ntransition and the associated magnetic dynamic order in a macroscopic scale, constitute rigorous \ntheoretical support for the formation of Bose -Einstein condensation of magnons at room temperature, as \nclaimed by Demokritov and co -workers [1 -6]. We have also shown that the nearly uniform mode \ngenerated by Bose -Einstein condensate (BEC) magnon pairs emits superradiance as a re sult of the \ncooperative action of the spins. The theory explains quantitatively recent experimental observations of \nDzyapko et al. [6] of microwave emission when the driving power exceeds a critical value. The theoretical \nresults fit very well the data for the emitted signal power versus microwave pumping power with realistic \nparameters. \n \n The author would like to thank Professor Roberto Luzzi of UNICAMP for calling our attention to \nthe recent challenges of BEC of magnons and Professor Sergej Demokritov of University of Muenster for \nproviding important information on the experiments. The author is also very grateful to Professor Cid B. \nde Araújo for many stimulating discussions and for the Ministry of Science and Technology for \nsupporting this work. \n \n \nREFER ENCES \n[1] S.O. Demokritov, V.E. Demidov, O. Dzyapko, G.A. Melkov, A.A. Serga, B. Hillebrands, and A.N. \nSlavin, Nature 443, 430 (2006). \n[2] V.E. Demidov, O. Dzyapko, S.O. Demokritov, G.A. Melkov, and A.N. Slavin, Phys. Rev. Lett. 99, \n037205 (2007). \n[3] O. D zyapko, V.E. Demidov, S.O. Demokritov, G.A. Melkov, and A.N. Slavin, New J. Phys. 9, 64 \n(2007). \n[4] V.E. Demidov, O. Dzyapko, S.O. Demokritov, G.A. Melkov, and A.N. Slavin, Phys. Rev. Lett. 100, \n047205 (2008). \n[5] S.O. Demokritov, V.E. Demidov, O. Dzyapko, G.A. Melkov, and A.N. Slavin, New J. Phys. 10, \n045029 (2008). \n[6] O. Dzyapko, V.E. Demidov, S.O. Demokritov, G.A. Melkov, and V.L. Safonov, Appl. Phys. Lett. 92, \n162510 (2008). \n[7] See for example, R.P.Feynman, Statistical Mechanics: a Set of Lectures (W.A. Benjamin, Reading, \nMassachusetts, 1972); L.D. Landau and E.M. Lifshitz, Statistical Physics (Pergamon Press, Oxford, 1980) \n[8] See for example, S. A. Moskalenko and D.W. Snoke, Bose Einstein Condensation of Excitons and \nBiexcitons (Cambridge Univ. Pres s, Cambrige, 2000). \n[9] J. Kasprzak et al., Nature 443, 409 (2008). \n[10] See for example, Bose Einstein Condensation in Atomic Gases , Proceedings of the International \nSchool of Physics “Enrico Fermi”, edited by M. Inguscio, S. Stringari, and C.E. Wieman ( IOS Press, \nAmsterdam, 1999). \n[11] L. Yin, J.S. Xia, V.S. Zapf, N.S. Sullivan, and A. Paduan -Filho, Phys. Rev. Lett. 101, 187205 (2008). \n[12] Yu. D. Kalafati and V.L. Safonov, Zh. Eksp. Teor. Fiz. 95, 2009 (1989); Sov. Phys. JETP 68, 1162 \n(1989). 26 [13] G.A. Melkov, V.L.Safonov, A.Yu. Taranenko, and S.V. Sholom, J. Mag. Mag. Mat. 132, 180 (1994). \n[14] F.R. Morgenthaler, J. Appl. Phys. 31, 95S (1960). \n[15] E. Schlömann, J.J. Green, and V. Milano, J. Appl. Phys. 31, 386S (1960). \n[16] R.J. Glauber, Phys. Rev. 131, 2766 (1963). \n[17] S.M. Rezende and N. Zagury, Phys. Lett. A 29, 47 (1969). \n[18] N. Zagury and S.M. Rezende, Phys. Rev. B 4, 201 (1971). \n[19] I.S. Tupitsyn, P.C.E Stamp, and A.L. Burin, Phys. Rev. Lett. 100, 257202 (2008). \n[20] S.M. Rezende, Phys. Rev. B (to be published). \n[21] R.W. Damon and J.R. Eshbach, J. Phys. Chem. Solids 19, 308 (1961). \n[22] M. Sparks, Phys. Rev. B 1, 3831 (1970). \n[23] T. Wolfram and R.E. DeWames, Phys. Rev. B 4, 3125 (1971). \n[24] B.A. Kalinikos, IEE Proc. (London) 127, (H1), 4 (198 0). \n[25] B.A. Kalinikos and A.N. Slavin, J. Phys. C 19, 7013 (1986). \n[26] M.G. Cottam and A.N. Slavin, in Linear and Nonlinear Spin Waves in Magnetic Films and \nSuperlattices , Ed. M.G. Cottam (World Scientific, Singapore, 1994), Chapter 1. \n[27] D.D. Stancil , Theory of Magnetostatic Waves (Springer Verlag, New York, 1993). \n[28] P. Kabos and V.S. Stalmachov, Magnetostatic Waves and Their Applications (Chapman and Hall, \nLondon, 1994). \n[29] M.J. Hurben and C.E. Patton, J. Mag. Mag. Mat. 139, 263 (1995). \n[30] R.E . Arias, and D.L. Mills, Phys. Rev. B 60, 7395 (1999). \n[31] P. Landeros, R.E. Arias, and D.L. Mills, Phys. Rev. B 77, 214405 (2008). \n[32] M. Sparks, Ferromagnetic Relaxation Theory (McGraw -Hill, New York, 1964). \n[33] A.I. Akhiezer, V.G. Bar’yakhtar, and S. V. Peletminskii, Spin Waves (North -Holland, Amsterdam, \n1968). \n[34] R.M. White, Quantum Theory of Magnetism , 3rd Edition (Springer -Verlag, Berlin 2007). \n[35] S.M. Rezende, F.M. de Aguiar, and A. Azevedo, Phys. Rev. B 73, 094402 (2006). \n[36] V.E. Zakharov, V.S. L’vov, and S.S. Starobinets, Usp. Fiz. Nauk. 114, 609 (1974) [Sov. Phys. Usp. \n17, 896 (1975)]. \n[37] S.M. Rezende and F.M. de Aguiar, Proc. IEEE 78, 893 (1990). \n[38] Cid B. de Araújo, Phys. Rev. B 10, 3961 (1974). \n[39] H.Haken, Rev. Mod. Phys. 47, 67 ( 1975). \n[40] P. Meystre and M. Sargent III, Elements of Quantum Optics (Springer -Verlag, Berlin, 1992). \n[41] D. Snoke, Nature (London) 443, 403 (2006). \n[42] N. Bloembergen and R.V. Pound, Phys. Rev. 95, 8 (1954). \n[43] E. Montarroyos and S.M. Rezende, Solid St. Comm. 19, 795 (1976). \n[44] S. Chaudhuri anf F. Keffer, J. Phys. Chem. Solids 45, 47 (1984). \n[45] Cid B. de Araújo and S.M. Rezende, Phys. Rev. B 9, 3074 (1974). \n[46] R.H. Dicke, Phys. Rev. 93, 99 (1954). \n \n \n \n \n \n \n \n " }, { "title": "2301.05592v1.Voltage_Controlled_Magnon_Transistor_via_Tunning_Interfacial_Exchange_Coupling.pdf", "content": "1 \n Voltage -Controlled Magnon Transistor via Tunning Interfacial Exchange Coupling \nY. Z. Wang#, T. Y. Zhang#, J. Dong, P. Chen, C. H. Wan*, G. Q. Yu, X. F. Han* \n1Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, University of \nChinese Academy of Sciences, Chinese Academy of Sciences, Beijing 100190, China \n2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of \nSciences, Beijing 100049, China \n3Songshan Lake Materials L aboratory, Dongguan, Guangdong 523808, China \n*Email: xfhan@iphy.ac.cn ; wancaihua@iphy.ac.cn \nAbstract : Magnon transistors that can effectively regulate magnon transport by an electric field are \ndesired for magnonics which aims to provide a Joule -heating free alternative to the conventional \nelectronics owing to t he electric neutrality of magnons (the key carriers of spin -angular momenta \nin the magnonics). However, also due to their electric neutrality, magnons have no access to \ndirectly interact with an electric field and it is thus difficult to manipulate magnon transport by \nvoltages straightforwardly. Here, we demonstrated a gate voltage ( 𝑉g) applied on a nonmagnetic \nmetal/magnetic insulator (NM/MI) interface that bended the energy band of the MI and then \nmodulated the possibility for conduction electrons in the NM to tunnel into the MI can \nconsequently enhance or weaken the spin -magnon conversion efficiency at the interface. A \nvoltage -controlled magnon transistor based on the magnon -mediated electric current drag (MECD) \neffect in a Pt/Y 3Fe5O12 (YIG)/Pt sandwich was then experimentally realized with 𝑉g modulating \nthe magnitude of the MECD signal. The obtained efficiency (the change ratio between the MECD \nvoltage at ±𝑉g) reached 10% /(MV/cm) at 300 K. This prototype of magnon transistor offers an \neffective scheme to control magnon transport by a gate voltage. \nMagnons as the collective excitation of a magnetically ordered lattice possess both spin -angular \nmomenta and phases but no charges [1], born an ideal information carrier for the Joule -heating -\nfree electronics [2,3] . In order to efficiently manipulate magnon transport, magnon transistors, as \nan elementary brick for magnonics, are long -desired. Despite of great achievements in efficiently \nexciting [4-10], propagating [11-13] and detecting [14-18] magnons, the ele ctric neutrality of \nmagnons sets a high level of difficulty in controlling magnon transport by electric fields. 2 \n Several magnon gating methods have been realized. The YIG/Au/YIG/Pt magnon valves [19] and \nYIG/NiO/YIG/Pt magnon junctions [20-22] are gated by an external magnetic field (H). Large \n(small) spin -Seebeck voltage was output by setting the two YIG layers into the parallel \n(antiparallel) state by H currently, though spin -orbit torques (SOT) are potentially used to gate the \nmagnon valves/junctions in t he future [23]. Another magnon -spin valve YIG/CoO/Co was also H-\ngateable [24]. Its spin pumping voltage depends on the H-controlled parallel/antiparallel states \nbetween YIG and Co. Another method is gating current . In the magnon transistor consisting of \nthree Pt stripes on top of a YIG film [25], a charge current in the leftmost Pt stripe excites a magnon \ncurrent in YIG via (i) the spin Hall effect (SHE) in Pt and (ii) the interfacial s-d coupling at the \nPt/YIG interface. The as -induced magnon current diffu ses toward the rightmost Pt stripe where \nthe inverse process occurs, resulting in a detectable voltage. This phenomenon, featured by the \nnonlocal electric induction across a MI with the help of magnons, is named as the magnon -\nmediated electric current drag (MECD) effect [4,6] . A gating current flowing in the middle Pt strip \nchanges magnon density of YIG in the gate region and consequently modifies the MECD \nefficiency. Gate voltage is advantageous in energy consumption. However, due to no direct \ncoupling of magnons with any electric fields, magnon transistors inherently controlled by Vg are \nstill missing. \nInspired by the model of Chen et al. [26,27] , we realize the spin mixing conductance ( 𝐺↑↓) at a \nNM/MI interface relies sensitively on the interfacial s-d exchange coupling. Here, we proposed a \nvoltage -gated magnon transistor (Fig.1 (a)) where Vg across the NM/MI interface tilts downward \n(upward) the energy band of the MI (Fig.1 (b)), decreases (increases) the probability of electrons \npenetrating into the MI (Fig.1 (c)), thus weakens (strengthens) the spin -magnon conversion \nefficiency at the interfa ce and consequently changes the magnon excitation efficiency in the \nmagnon transistor. \nWe extended the model by including the Vg-induced band bending of MI via the Hamiltonian \n𝐻MI=𝑝22𝑚⁄ +𝑉0+Г𝐒·𝛔+𝑒𝑧𝑉g𝑡⁄ (1) \n, where 𝑉0 is the energy barrier at the interface; Г𝐒·𝛔 describes the s-d coupling of electron spins \n𝛔 in NM with localized moments 𝐒 in MI; 𝑒𝑧𝑉g𝑡⁄ describes the conduction band bending by Vg \nand t is the MI thickness (calculation details in Supplementary Materials). The predicted V0 and 3 \n electric field E (𝐸=𝑉g𝑡⁄) dependence of the real part of 𝐺↑↓ (Gr) was plotted in Fig.1 (d) (taking \nFermi energy of NM 𝜀=5 eV and s-d coupling strength Г=0.5 eV for the Pt/YIG interface [4]). \nThe typical Gr-E curves at three V0 values (Fig.1 (e)) suggest the positive Vg can efficiently increase \nGr and vice versa. The spin -magnon convertance at the NM/MI interface is proportional to Gr [28] \nand thus the magnon current excited in MI can be modified by Vg as experimentally shown below. \n \nFig.1. Mechanism of voltage -gated magnon transistor. (a) Schematics of the voltage -gated magnon transistor. A \nspin current is generated by the spin Hall effect (SHE) in the bottom (B) -NM, which induces imbalanced spin \naccumulation ( 𝜇𝑠) at the B -NM/MI interface. Due to the s-d exchange coupling at the interface, 𝜇𝑠 relaxes by \nannihilating (generating) magnons in MI as 𝜇𝑠 has parallel (antiparallel) polarization to the magnetization of MI. \nThe excited magnon current was thus manipulated by Vg: positive (negative) Vg increase s (decreases) its \nmagnitude. (b) Schematics of potential profile near the B -NM/MI interface under positive Vg. (c) Schematics of \nprobability | φ|2 at the B -NM/MI interface under positive and negative Vg. (d) The predicted V0 and E dependence \nof Gr (the colo r scale bar in units of e2/ℏa2). (e) The E-dependence of Gr under V0=5.625, 5.675 and 5.725 eV \nextracted from Fig.1 (d). \nThe Vg-controlled magnon transistor was then experimentally achieved in a Pt(10)/YIG(80)/Pt(5 \nnm) sandwich (details in Method and Supplementary Material) where Vg across the YIG was able \nto tune the MECD effect. The measured voltage V along the top (T) -Pt electr ode follows the \n4 \n coming 3 characteristics: (1) the angular dependence of 𝑉=𝑉drag cos2𝜃 (𝜃 the angle between \nspin polarization 𝛔 and magnetization M, Fig.S6 (a)), (2) the linear dependence of 𝑉drag on the \ninput current ( 𝐼in) along the B -Pt electrode (Fig.S6 (b,c)) and (3) the 𝑇5/2 temperature -dependence \n(Fig.S6 (d)), all coinciding with Ref.6&7 [7,8] . These features confirmed the MECD nature of the \nmeasured voltage . The insulating property of YIG was also checked by 𝐼leak −𝑉g curves \n(Fig.S3 (b)) with the leakage current 𝐼leak. 𝐼leak was independent on H, assuring the irrelevance of \nthe observed H-dependent V with 𝐼leak (Fig.S4). \n \nFig.2. Voltage -controlled MECD effect. (a) The γ-dependence of ∆𝑉 with H rotated in the yoz plane and 𝐼in=\n5 mA under 𝑉g=−5,0 and +5 V. The open circles (solid lines) are the experimental data (fitted curves by \n∆𝑉=𝑉drag cos2γ). (b) The 𝐼in-dependences of 𝑉drag under different 𝑉g and their linear fittings. (c) The 𝑉g-\ndependence of magnon drag parameter α. Error bars for Device 1 and 2 are from the standard deviations of the \nlinear fittings of the 𝑉drag −𝐼in relation and the ∆𝑉=𝑉drag cos2γ fittings , respectively. The red line is the \nhyperbolic tangent fitting of the 𝛼- Vg curve. (d) The γ-dependence of the difference in ∆𝑉 between 𝑉g=±5 V. \nThe 𝑉g-controllability of the MECD effect is clearly shown in Fig.2. The MECD magnitude was \nnoticeably enhanced (weakened) under 𝑉g=+5 V (−5 V) (Fig.2 (a)), which was further \nconfirmed by the slope change of the 𝑉drag −𝐼in curves (Fig.2 (b)). The magnon drag parameter \n5 \n 𝛼 was then calculated by 𝑉drag\n𝑅T−Pt=𝛼𝐼𝑖𝑛. The 𝑉g-dependence of the extracted α (see method) \n(Fig.2 (c)) showed a clear change as 𝑉g= [−2 V,+2 V] and nearly saturated beyond the region. \nThe maximum 𝛼 tunability by 𝑉g ( 𝛼(𝑉g>+2𝑉)−𝛼(𝑉g<−2𝑉)\n𝛼(𝑉g<−2𝑉)) reached ~ 5% with 𝛼(𝑉g>\n+2𝑉)~1.71×10−5 and 𝛼(𝑉g<−2𝑉)~1.63×10−5. The 𝛼-controllability by Vg was also \nrepeated in another Device 2 . In order to trace the trend of the Vg-induced change in 𝛼, we fitted \nthe 𝛼-Vg curve by a hyperbolic tangent function 𝛼=𝑎+𝑏tanh (𝑐𝑉g) as shown by the red line in \nFig.2(c). Note that this fitting only mathematically impacts with |𝑏𝑎⁄| and c reflecting the \nmagnitude and saturation speed of the Vg-tunability , respectively . Here, for the 𝛼-Vg curve \n|𝑏𝑎⁄|=0.019 and c=-0.55 V-1. \nIn the following, we reveal the origin of the 𝑉g-tunability over the MECD effect. First, the 𝑉g-\ndependence of the MECD effect cannot be caused by any magnon coupling possibilities with the \nleakage current since Ileak increased divergently with the increa se in |𝑉g| but 𝛼 nearly saturated \nabove ±2 V. Second, the resistance of T -Pt directly changed by Vg was negligibly small (<0.008%, \nFig.S8), also impossible to cause such significant change ~5% in the MCD signal. Third, though \nnegligibly small in garnets [29-31], the interfacial Dzyaloshinsky -Moriya interaction (DMI) may \nintroduce an additional magnon -drift velocity 𝐯DMI =𝐳̂×𝐦̂2𝛾\n𝑀𝑠𝐷 to influence magnon transport \nwith 𝐳̂ the interfacial normal, 𝐦̂ (𝑀𝑠) the magnetization direction (saturated magnetization), 𝛾 the \ngyromagnetic ratio and 𝐷 a Vg-changeable parameter quantifying the DMI [32-34]. However, this \nDMI mechanism, if any, would bring about a 360o period in the yoz rotation owing to the 𝐦̂-\ndependence of 𝐯DMI. In stark contrast, the Δ𝑉+5 𝑉−Δ𝑉−5 𝑉 vs 𝛾 curve (Fig.2 d) shows a cos2 𝛾 \nsymmetry (180o period), thus ruling out the DMI origin of the 𝑉g controllability. \nTo be more specific, the MECD effect can be explicitly expressed as below [4,6] : \n𝐣eT−Pt∝𝜃SHtop𝜃SHbottom𝐺Ss−m𝐺Sm−s𝛔×(𝐌×𝐣eB−Pt) (2) \nhere, 𝐣eT−Pt (𝐣eB−Pt) is the induced (input) charge current density along the T -Pt (B -Pt) electrode, \n𝜃SHtop(bottom ) is the spin Hall angle of the top (bottom) Pt electrode, 𝐺Ss−m(𝐺Sm−s) is the effective \nspin-magnon (magnon -spin) convertance at the B -Pt/YIG (YIG/T -Pt) inter face, 𝛔 is the spin \npolarization perpendicular to 𝐣eT−Pt and M is the YIG magnetization. Ruling out the above 3 6 \n reasons, the MECD voltage can still be potentially manipulated by 𝑉g in the following scenarios: \n(1) 𝑉g-induced changes in the effective mag netization of YIG, (2) the spin Hall angles ( 𝜃SH) of Pt \nor (3) the spin -magnon conversion efficiency across the B -Pt/YIG or YIG/T -Pt interfaces. \nHereafter, we experimentally check their possibilities one -by-one. \n \nFig.3. Schematics setups for (a) spin pumping measurement where the spin pumping voltage ( 𝑉SP) was picked \nup along the B -Pt stripe with H perpendicular to the stripe and 𝑉g applied across the sandwich and for (e) SMR \nmeasurement where the resistance change Δ𝑅B of the B -Pt stripe was measured with H rotated in the yoz plane. \n(b) The H-dependence of the normalized 𝑉SP(𝐻)/𝑉SPmax under different rf frequencies ( 𝑓) and 𝑉g=-3.9, 0 and \n+3.9 V. (c) The 𝐻-dependence of 𝑉SP at 𝑓=5 GHz and 𝑉g=−3.9,0,+3.9 V. (Error bars from standard \ndeviation by fitting 𝑉SP−𝐻 curves with the Lorentzian function.) (d) The 𝛾-dependences of the Δ𝑅B (open \ncircles) and their ∆𝑅B=Δ𝑅SMR cos2γ fittings. (f) The resonance field ( 𝐻r) dependence of f under 𝑉g=\n−3.9,0 and +3.9 V (open circles) and their Kittle fittings. The 𝑉g-dependence of (g) the peak value of 𝑉SP−\n𝐻 curve ( 𝑉SPpeak) under 𝑓=5 GHz and (h) the SMR ratio. (Error bars from standard deviation of the ∆𝑅B=\nΔ𝑅SMR cos2γ fittings.) Red lines in Fig.3(c &d) are the hyperbolic tangent fitting of the 𝑉SPpeak-Vg and SMR ratio -\nVg curve s, respectively. \nTo investigate the 𝑉g-dependence of Ms, we conducted spin pumping experiments ( experimental \ndetails in Method). The spin pumping voltage VSP picked up in the B -Pt electrode at various Vg is \nexhibited in Fig.3 (a). The H-dependences of a normalized VSP at different f and 𝑉g show no \nnoticeable change s (variation<0.3%) in the resonance field ( 𝐻r) (Fig.3 (b)) and the overlapped \nKittle fittings manifested no changes in the magnetization and anisotropy of YIG under Vg. \nInterestingly, the magnitude of 𝑉SPpeak changed by Vg (Fig.3 (c)). The tunability defined by \n7 \n 𝑉SPpeak(𝑉g=+3.9 𝑉)−𝑉SPpeak(𝑉g=−3.9 𝑉)\n𝑉SPpeak(𝑉g=−3.9 𝑉) was also ~5 %. Moreover, the 𝑉SPpeak-Vg tendency seemed similar to \nthe Vdrag-Vg relation , with |𝑏𝑎⁄|=0.021 and c=-0.54 V-1 extracted from the hyperbolic tangent \nfitting . We also tested VSP along the T -Pt stripe, which had ideally identical Hr but opposite polarity \nwith the B -Pt stripe (Fig.S7 (a)). However, 𝑉SPpeak was not changed by Vg for the T -Pt detector \n(Fig.S7 (c)). Since spin currents were both pumped out from the sandwiched YIG, the different Vg-\ncontrollability on VSP for the B -Pt and the T -Pt detectors strongly hinted an interfacial gating origin \ninstead of any bulk YIG reasons. \nThe following Vg-dependent spin H all magnetoresistance (SMR) effect also supported this \ninterfacial claim. Since SMR originates from spin -transfer at interfaces and shunted by a thick Pt \nlayer, we fabricated another Pt(4)/YIG(80)/Pt(5 nm) sandwich. Its Δ RB-Pt-γ relation at various Vg \nand the summarized Vg-dependence of the SMR ratio are shown in Fig.3 (d,h). The similar \ncoefficient s of |𝑏𝑎⁄|=0.022 and c=-0.51 V-1 were obtained from the hyperbolic tangent fitting (the \nred line in Fig.3(h)), illustrat ing the Vg-tunability on the SMR ratio also followed the similar trend \nas the Vg-dependence of 𝛼 and 𝑉SPpeak. The SMR effect in the T -Pt stripe was independent on Vg \n(Fig.S7 (b,d)). \n \nFig.4. (a) The γ-dependence of Δ V(Vg=5V) -ΔV(Vg=-5V) under different T. (b) The T-dependence of the \ndifference in the magnon drag parameter Δ α=α(Vg=5 V) -α(Vg=-5 V) between Vg=± 5 V. The solid lines are \nobtained by fitting data using ∆𝛼=𝐴𝑒−∆𝐸kB𝑇 ⁄. (c) The calculated Vg-dependence of Gr by taking redistributed \nvoltage on the contact r esistance ( Rcontact ) into consideration . The red line is the hyperbolic tangent fitting result. \nAfter the above analysis we have narrowed possibility for the Vg-controlled MCD effect to (1) a \nVg-changeable spin Hall angle in B -Pt or (2) a Vg-controllable spin -magnon conversion efficiency \nacross the B -Pt/YIG interface. If the bulk spin Hall angle was modulated by Vg, we would not \nexpect a substantial difference between the B -Pt and T -Pt stripes si nce they were both textured in \n8 \n the (111) orientation (Fig.S5). The Vg-independent resistivity of B -Pt (Fig.S8) did not support a \nVg-modulated spin Hall angle of the B -Pt as well [35]. \nWe further measured the Vg-controlled MECD effect at different T. The Vg-tunability over the \nMECD effect was strongly depended on T from 240 K to 300 K (Fig.4 (a)). The difference in α \nunder Vg=± 5 V increased by a factor of 3.5 (from 0.6× 10-7 at 240 K to 2.1× 10-7 at 300 K) (Fig.4 (b)). \nThis strong T-dependence cannot favor the possibility of a Vg-controlled intrinsic spin Hall \nconductivity ( 𝜎SHint) since the electronic structure of Pt varies little with T. Nevertheless, the strong \nT-dependence can be naturally obtained as following. According to the spin -mixing conductance \nmodel across a NM/MI interface [4,6,26,27,36] , the spin -torque -transfer efficiency and the spin -\nmagnon convertance both depend on the s-d exchange coupling strengt h and thus probability of \nelectrons penetrating into the insulating YIG as evanescent states. The probability certainly \ndepends on the interface barrier (thus Vg) and also T since T determines the kinetic energy of \nelectrons in YIG. Supposing (1) ± 5 V gati ng leads to the similar band bending at different T and \n(2) the classic thermal activation theory holds, we would expect an exponential T-dependence \n(Arrhenius law [37]) for the MECD coefficient. Fig.4 (b) shows the fitting well matched the \nexperimental dat a and the caused difference in the effective tunneling barrier by ± Vg reached 0.13 \neV. Since the spin -mixing conductance depended on the s-d coupling in the same way as the spin -\nmagnon convertance, the SMR shared the same Vg-dependence as the MECD effect naturally. \nBand bending at interfaces relies on charged defect density which pins the Fermi level and \ninfluences bending degree, which probably accounts for the observation that a smoother and well -\ncrystallized B -Pt/YIG interface (evidenced by a sharper el ectron diffraction pattern at this region) \ncontributed to the Vg-controllability. \nNow the above experimental data persuade us to attribute the Vg-controlled MECD effect to the \nVg-induced changes in the spin -magnon conductance across the B -Pt/YIG interface. However, the \nmeasured Vg-α deviated from the theoretical prediction by the saturation trend at large Vg. We \nattribute this deviation to the redistributed voltage on the contact resistance ( Rcontact ) since Ileak \nincreases divergently with Vg. In practice, we rewrote the Hamiltonian in YIG 𝐻MI=𝑝22𝑚⁄ +\n𝑉0+Г𝐒·𝛔−𝑒𝑧𝑉g−𝐼leak ∙𝑅contact\n𝑡, considering the voltage dropped on Rcontact . The calculated Gr-Vg \nrelation (Fig.4 (c)) using parameters for Pt/YIG: Fermi energy 𝜀=5 eV, 𝑉0=5.5 eV, Г=0.5 eV [4] \nand 𝑅contact =15 MΩ agrees well with experiment. Gr increased (decreased) with positive 9 \n (negative) Vg and saturated at 𝑉g≈±2 V. The calculated Gr change by Vg saturated at \n13%/(MV/cm), also in a quantitative agreement with the experiment value ~10%/(MV/cm). The \ncalculated result can also be well fitted with the hyperbolic tangent function with |𝑏𝑎⁄|=0.041 and \nc=-0.45 V-1, which was the reason why we had used the hyperbolic tangent fitting to \nmathematically trace the Vg-dependences of α, 𝑉SPpeak and SMR ratio. \nIn summary we have experimentally demonstrated a field -effect magnon transistor based on the \nMECD effect in the P t/YIG/Pt sandwich. With the voltage -induced band bending of YIG, the \nenergy profile of the B -Pt/YIG interfacial barrier and consequently its spin -magnon convertance \nwas modulated. In this sense, the MECD effect was directly modulated by the gate voltage. O ur \nfinding promises direct modulation of spin -magnon conversion by electric fields, which shows a \nfeasible pathway toward electrically controllable magnonics. \nReferences : \n[1] F. Bloch, Z. Angew. Phys. 61, 206 (1930). \n[2] A. V. Chumak, A. A. Serga, and B. Hillebrands, Nat. Commun. 5, 4700 (2014). \n[3] A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands, Nat. Phys. 11, 453 (2015). \n[4] S. S. L. Zhang and S. Zhang, Phys. Rev. Lett. 109, 096603 (2012). \n[5] S. S. L. Zhang and S. Zhang, Phys. Rev. B 86, 214424 (2012). \n[6] S. M. Rezende, R. L. Rodrí guez -Suá rez, R. O. Cunha, A. R. Rodrigues, F. L. A. Machado, G. A. \nFonseca Guerra, J. C. Lopez Ortiz, a nd A. Azevedo, Phys. Rev. B 89, 014416 (2014). \n[7] H. Wu, C. H. Wan, X. Zhang, Z. H. Yuan, Q. T. Zhang, J. Y. Qin, H. X. Wei, X. F. Han, and S. Zhang, \nPhys. Rev. B 93, 060403 (2016). \n[8] J. Li, Y. Xu, M. Aldosary, C. Tang, Z. Lin, S. Zhang, R. Lake, and J. Shi, Na t. Commun. 7, 10858 \n(2016). \n[9] K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa, and E. Saitoh, Nature \n455, 778 (2008). \n[10] O. Mosendz, J. E. Pearson, F. Y. Fradin, G. E. W. Bauer, S. D. Bader, and A. Hoffmann, Phys. Rev. \nLett. 104, 046601 (2010). \n[11] L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and B. J. van Wees, Nat. Phys. 11, 1022 (2015). \n[12] L. J. Cornelissen and B. J. van Wees, Phys. Rev. B 93, 020403 (2016). \n[13] L. J. Cornelissen, J. Shan, and B. J. van Wees, Phys. Rev. B 94, 18040 2 (2016). \n[14] A. V. Chumak, A. A. Serga, M. B. Jungfleisch, R. Neb, D. A. Bozhko, V. S. Tiberkevich, and B. \nHillebrands, Appl. Phys. Lett. 100, 082405 (2012). \n[15] Y. Onose, T. Ideue, H. Katsura, Y. Shiomi, N. Nagaosa, and Y. Tokura, Science 329, 297 (2010). \n[16] G. P. Zhang, W. Hü bner, G. Lefkidis, Y. Bai, and T. F. George, Nat. Phys. 5, 499 (2009). \n[17] S. Murakami and A. Okamoto, J. Phys. Soc. Jpn. 86, 011010 (2016). \n[18] G. G. Siu, C. M. Lee, and Y. Liu, Phys. Rev. B 64, 094421 (2001). \n[19] H. Wu, L. Huang, C. Fang, B. S. Yang, C. H. Wan, G. Q. Yu, J. F. Feng, H. X. Wei, and X. F. Han, \nPhys. Rev. Lett. 120, 097205 (2018). \n[20] C. Y. Guo et al. , Phys. Rev. B 98, 134426 (2018). \n[21] C. Y. Guo et al. , Nat. Electron. 3, 304 (2020). \n[22] Z. R. Yan, C. H. Wan, and X. F. Han, Phys. Rev. Appl. 14, 044053 (2020). 10 \n [23] C. Y. Guo, C. H. Wan, M. K. Zhao, H. Wu, C. Fang, Z. R. Yan, J. F. Feng, H. F. Liu, and X. F. Han, \nAppl. Phys. Lett. 114, 192409 (2019). \n[24] J. Cramer et al. , Nat. Commun. 9, 1089 (2018). \n[25] L. J. Cornelissen, J. Liu, B. J. van Wees, and R. A. Duine, Phys. Rev. Lett. 120, 097702 (2018). \n[26] W. Chen, M. Sigrist, J. Sinova, and D. Manske, Phys. Rev. Lett. 115, 217203 (2015). \n[27] W. Chen, M. Sigrist, and D. Manske, Phys. Rev. B 94, 104412 (2016). \n[28] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A. Duine, and B. J. van Wees, Phys. Rev. B 94, \n014412 (2016). \n[29] C. O. Avci, E. Rosenberg, L. Caretta, F. Bü ttner, M. Mann, C. Marcus, D. Bono, C. A. Ross, and G. \nS. D. Beach, Nat. Nanotechnol. 14, 561 (2019). \n[30] S. Vé lez et al. , Nat. Commun. 10, 4750 (2019). \n[31] S. Ding et al. , Phys. Rev. B 100, 100406 (2019). \n[32] H. Wang et al. , Phys. Rev. Lett. 124, 027203 (2020). \n[33] H. T. Nembach, J. M. Shaw, M. Weiler, E. Jué , and T. J. Silva, Nat. Phys. 11, 825 (2015). \n[34] J.-H. Moon, S. -M. Seo, K. -J. Lee, K. -W. Kim, J. Ryu, H. -W. Lee, R. D. McMichael, and M. D. Stiles, \nPhys. Rev. B 88, 184404 (2013). \n[35] S. Dushenko, M. Hokazono, K. Nakamu ra, Y. Ando, T. Shinjo, and M. Shiraishi, Nat. Commun. 9, \n3118 (2018). \n[36] S. Ok, W. Chen, M. Sigrist, and D. Manske, J. Phys.: Condens. Matter 29, 075802 (2016). \n[37] A. K. Galwey and M. E. Brown, Thermochim. Acta 386, 91 (2002). \nAcknowledgements : This work was fi nancial supported by the National Key Research and \nDevelopment Program of China [MOST Grant No. 2022YFA1402800], the National Natural \nScience Foundation of China [NSFC, Grant No. 51831012, 12134017], and partially supported by \nthe Strategic Priority Resear ch Program (B) of Chinese Academy of Sciences [CAS Grant No. \nXDB33000000, Youth Innovation Promotion Association of CAS (2020008)]. \nContributions : X.F.H. led and was involved in all aspects of the project. Y.Z.W., J. D. and P. C. \ndeposited stacks and fabri cated devices. Y.Z.W. and C.H.W. conducted magnetic and transport \nproperty measurement. T.Y.Z., C.H.W. and Y.Z.W. contributed to modelling and theoretical \nanalysis. C.H.W., Y.Z.W., T. Y. Z., G. Q. Y. and X.F.H. wrote the paper. X.F.H. and C.H.W. \nsupervised and designed the experiments. All the authors contributed to data mining and analysis. \nConflict of Interests : The authors declare no competing interests. \nMethods : \nSample Preparation: \nThe Si/SiO 2//Pt(10)/Y 3Fe5O12 (YIG)(80)/Pt(5 nm) heterostructures are deposited by ultrahigh \nvacuum magnetron sputtering system (ULVAC -MPS -400-HC7) with a base pressure <5× 10-6 Pa. \nThe bottom Pt Hall bar (B -Pt) with dimensions of 20×200 μm2 was first fabricated on substrates 11 \n by standard photolithography, followed by deposition of 80 nm YIG film. After deposition, a \nhigh-temperature annealing was carried out in an oxygen atmosphere to improve the crystalline \nquality of both YIG and Pt/YIG interface. Finally, another round of deposition and \nphotolithography was carried out to fabricate top Pt Hall bar (T -Pt) with same dimensions. The \nterminal of T -Pt and B -Pt Hall bars are designed away from each other allow two Hall bars being \ninput and detected independently. For the spin pumping device, B -Pt and T -Pt are fabricated into \ntwo independent stripes with dimensions of 10×360 μm2 by the above mentioned method. And an \n80 nm Au co -planar wave guide (CPW) was deposited afterwards. The two Pt strips are placed in \nthe gap of the CPW. \nMeasurement of Magnetic Property: \nThe M -H hysteresis was measured with a vibrating sample magnetometer (VSM, MicroSense EZ -\n9) with field applied parallel to the film plane (IP curve) or perpendicular to film plane (OOP \ncurve). \nMeasurement of Transport Property: \nAll the magnon mediate curr ent drag (MCD) and spin magnetoresistance (SMR) test were carried \nout in a physical property measurement system (PPMS -9 T, Quantum design) with magnetic field \nup to 9 T and temperatures down to 1.8 K. During measurements, the input current was supplied \nby a Keithley 2400 source -meter while a Keithley 2182 nanovoltmeter detected the corresponding \nvoltage. The gate voltage was provided by another Keithley 2400 across the Hall channel of T -Pt \nand B -Pt (grounded) Hall bars. The magnetic field was fixed at 1 T a nd sample rotated in xoy, xoz \nor yoz plane. \nFor angular dependent of MCD signal measurements, the input current ( Iin) was applied in the long \naxis of B -Pt Hall bar and the voltage signal (Δ V) was picked up alone the long axis of T -Pt Hall \nbar. Then the ma gnitude of MCD voltage Vdrag under certain Iin was obtained by fitting the ∆𝑉−𝛾 \ncurves measured at different 𝐼in with ∆𝑉=𝑉drag cos2𝛾. The magnon drag parameter was then \ncalculated by 𝛼≡𝑉drag\n𝐼in𝑅T−Pt, where 𝑅T−Pt is the resistance of T -Pt electrode. 12 \n And for SMR test the resistance of the B -Pt (T -Pt) electrode was measured by four -terminal \nmethod, and the angular dependence of change in RPt (ΔRPt-γ) was well fitted by ∆𝑅Pt=\n𝑅SMR cos2𝛾 and the SMR ratio was thus ob tained by |𝑅SMR 𝑅Pt⁄ |. \nThe spin pumping test was carried out at room temperature in a home -build electromagnet with \nmagnetic up to ~ 3500 Oe. A signal generator (ROHDE&SCHWARZ SMB 100A) supplies a \nmicrowave signal modulated with a 1.172 kHz signal to CPW and the voltage signal was picked \nup by a lock -in amplifier (Stanford SR830), while external magnetic field H applied perpendicular \nto the direction that spin pumping voltage was picked up. To minimize interference, 𝑉g was \nprovided by dry batteries during sp in pumping measurements. \nData availability : The data that support the findings of this study are available from the \ncorresponding author upon reasonable request. " }, { "title": "2104.11304v1.Theory_for_electrical_detection_of_the_magnon_Hall_effect_induced_by_dipolar_interactions.pdf", "content": "Theory for electrical detection of the magnon Hall e\u000bect induced by dipolar\ninteractions\nPieter M. Gunnink,1,\u0003Rembert A. Duine,1, 2and Andreas R uckriegel3\n1Institute for Theoretical Physics and Center for Extreme Matter and Emergent Phenomena,\nUtrecht University, Leuvenlaan 4, 3584 CE Utrecht, The Netherlands\n2Department of Applied Physics, Eindhoven University of Technology,\nP.O. Box 513, 5600 MB Eindhoven, The Netherlands\n3Institut f ur Theoretische Physik, Universit at Frankfurt,\nMax-von-Laue Strasse 1, 60438 Frankfurt, Germany\n(Dated: April 26, 2021)\nWe derive the anomalous Hall contributions arising from dipolar interactions to di\u000busive spin\ntransport in magnetic insulators. Magnons, the carriers of angular momentum in these systems,\nare shown to have a non-zero Berry curvature, resulting in a measurable Hall e\u000bect. For yttrium\niron garnet (YIG) thin \flms we calculate both the anomalous and magnon spin conductivities. We\nshow that for a magnetic \feld perpendicular to the \flm the anomalous Hall conductivity is \fnite.\nThis results in a non-zero Hall signal, which can be measured experimentally using Permalloy strips\narranged like a Hall bar on top of the YIG thin \flm. We show that electrical detection and injection\nof spin is possible, by solving the resulting di\u000busion-relaxation equation for a Hall bar. We predict the\nexperimentally measurable Hall coe\u000ecient for a range of temperatures and magnetic \feld strengths.\nMost strikingly, we show that there is a sign change of the Hall coe\u000ecient associated with increasing\nthe thickness of the \flm.\nI. INTRODUCTION\nOne of the earliest successes of the concepts of geom-\netry and topology in condensed matter was the explana-\ntion of the anomalous Hall e\u000bect in terms of the Berry\nphase. The anomalous Hall e\u000bect was therefore a step-\nping stone for further understanding of geometrical and\ntopological e\u000bects, such as the quantum Hall e\u000bect [1].\nSince it is a geometrical e\u000bect, the anomalous Hall e\u000bect\nis not restricted to electronic systems. Indeed, it has also\nbeen observed for other types of carriers, such as phonons\nand photons [2{4]. Since spin waves, or magnons, are\nthe carriers of angular momentum in ferromagnets, the\nquestion thus naturally arises if a magnon analogue of\nthe anomalous Hall e\u000bect can also exist. Continuing the\nanalogy with the anomalous Hall e\u000bect, the magnon Hall\ne\u000bect could lead to further understanding of topology in\nmagnonic systems.\nPreviously, a thermal magnon Hall e\u000bect has been\nproposed, where magnons are the heat carriers. First\npredicted for chiral quantum magnets [5], it was subse-\nquently observed in Lu 2V2O7[6, 7]. In these systems\nthe chiral nature of the spin waves provides the time-\nreversal symmetry breaking that is necessary for a \fnite\nanomalous Hall response. For forward volume magneto-\nstatic spin waves in a thin-\flm ferromagnet a thermal\nmagnon Hall e\u000bect has also been proposed [8, 9], where\nthe dipole-dipole interaction provides the required sym-\nmetry breaking. A transverse thermal Hall conductivity\nhas also been calculated for this system [10], but has not\nyet been measured experimentally. This is most likely\n\u0003p.m.gunnink@uu.nldue to the small transverse thermal conductivities pre-\ndicted for the most commonly used insulating ferromag-\nnet, yittrium iron garnet (YIG) [6]. Moreover, phonons\nalso contribute to the thermal Hall e\u000bect, and it might\ntherefore be hard to disentangle the contributions of the\ntwo heat carriers. An e\u000bort has been made by Tanabe et\nal.[11] to excite spin waves using a coplanar waveguide\nand measure the temperature gradient perpendicular to\nthe propagation direction. However, they were only able\nto measure a transverse temperature gradient in the un-\nsaturated regime, which can therefore not directly be at-\ntributed to magnons.\nRecent advances have shown that it is possible to elec-\ntrically inject and detect spin waves using metallic leads\n[12]. This has opened the way to electrically measure\nthe magnon Hall e\u000bect. However, a complete picture of\nthe interaction between the electrical detection and the\nHall e\u000bect is still lacking. Electrical detection via metal\nstrips can signi\fcantly modify magnon transport prop-\nerties [13], and it is not clear if a \fnite magnon Hall\nresponse can still survive. In this work we therefore de-\nvelop a theory for the electrical detection of the magnon\nHall e\u000bect in order to determine if the magnon Hall e\u000bect\ncan be measured electrically.\nWe numerically calculate the Hall response, using the\ndi\u000busion-relaxation equation for magnons in a Hall bar\ngeometry, as depicted in Fig. 1. In order to determine\nthe magnitude of the expected Hall response two con-\ntributing factors need to be calculated: (1) the magnon\nspin and anomalous conductivities and (2) the bound-\nary conditions which incorporate the electrical detection.\nWe numerically calculate these using a microscopic de-\nscription. Starting from the Keldysh quantum kinetic\nequations [14], we derive the equation of motion of the\nmagnon distribution function to leading order in a semi-arXiv:2104.11304v1 [cond-mat.mes-hall] 22 Apr 20212\n0.5\n0.00.51.01.52.02.53.03.54.0\nFIG. 1. The Hall bar with electrical injection and detection of\nspin currents using Permalloy strips on top of YIG. Spin cur-\nrent is injected by the Py strip 1, and is detected by the strips\n2, 3 and 4. The colorscale shows the di\u000busion of the magnon\nchemical potential throughout the \flm, obtained by solving\nthe di\u000busion-relaxation equations as described in Sec. III B.\nThe Hall bar has size M\u0002M, and the Py detectors and de-\ntectors have size La\u0002Lb, whereLb\u001cLa. The magnetic\n\feld is oriented out of plane, as shown in Fig. 2, where also\nthe interface between the YIG and the Py is shown in more\ndetail.\nclassical expansion in gradients. This allows us to sepa-\nrate the spin di\u000busion and anomalous Hall contributions\nto the spin current.\nThis work is ordered as follows. We \frst discuss\nthe speci\fc Hall geometry required to measure a \fnite\nmagnon Hall e\u000bect in Sec. II. Next, in order to deter-\nmine the magnitude of the magnon Hall e\u000bect we derive\nthe equations of motion for the spin density in Sec. III.\nWe also show how the equations of motion have to be\nmodi\fed if a metallic lead is interfaced with the system,\nin order to detect or inject spins. From the equation of\nmotion we derive a di\u000busion-relaxation equation, which\nfully describes the magnon di\u000busion and relaxation in\nthe Hall bar geometry, including boundary conditions.\nIn Sec. IV we show how the conductivities and damping\ncan be numerically evaluated and we discuss results for a\ntypical thin \flm of YIG. In Sec. V we solve the di\u000busion-\nrelaxation equation numerically and present our results\nfor a YIG Hall bar, where spin waves are injected and de-\ntected electrically. A summary and conclusion are given\nin Sec. VI. In the appendices A-E we give a more de-\ntailed derivation of the quantum kinetic equations for\ngeneral bosonic systems, and more details regarding the\ndi\u000busion-relaxation equation and the Hamiltonian.\nFIG. 2. The considered geometry, with the magnetic \feld\npointing slightly o\u000b the ^ z-axis, as explained in the main text.\nThe Py strip on top of the YIG has a charge current Irunning\nparallel to the \flm, which induces a spin current Jssuch that\nthere is an accumulation of spin at the interface between the\nYIG and the Py.\nII. SETUP\nFirst, we discuss the experimental setup necessary to\nmeasure a magnon Hall e\u000bect electrically. We consider a\nHall bar geometry, as shown in Fig. 1. There are four ter-\nminals, formed by metal strips on top of a YIG thin \flm.\nThe strips act as injectors and detectors of spin currents.\nMagnons are injected at terminal 1 and di\u000buse through\nthe \flm. They are then detected at terminals 2, 3 and 4.\nBy comparing the detected currents at terminals 2 and\n4 a Hall signal can be measured. Note that in electronic\nHall experiments terminal 3 is necessary in order for a\ncurrent to \row, but in our case we have only included it\nfor completeness.\nThe Berry curvature is only non-zero if either time-\nreversal or inversion symmetry is broken [15]. Breaking\nthese symmetries can be achieved by applying a mag-\nnetic \feld perpendicular to the plane, which leads to for-\nward volume modes, as was previously suggested by Mat-\nsumoto and Murakami [8]. Conventionally, one would use\nthe spin Hall e\u000bect (SHE) in the metal strips to excite\nmagnons in the YIG \flm [12]. However, the polarization\nof the spin current induced by the SHE is always in-plane\n[16] and can therefore not excite forward-volume modes\nin the YIG \flm. Instead, we propose to use ferromagnetic\nPermalloy (Py) strips. If a charge current \rows through\nthe Py strip, the anomalous spin Hall e\u000bect (ASHE) in-\nduces a spin current polarized parallel to the magnetiza-\ntion of the Py strip, as shown in Fig. 2. For su\u000eciently\nlarge external magnetic \felds the magnetization of the\nPy strips and the YIG will both be aligned to the exter-\nnal \feld. This spin current can therefore excite magnons\nin the YIG \flm. However, the spatial direction of the\nspin current is Js\u0018I\u0002M, where IandMare the\ncharge current and magnetization respectively [17, 18].\nTherefore, if the magnetic \feld is oriented along the ^ z\ndirection and the charge current \rows along the ^ ydi-\nrection the spin current \rows along the ^ xdirection. In3\nother words, the spin current in the Py strip \rows par-\nallel to the YIG \flm and can therefore not enter it to\nexcite magnons. However, one can tilt the magnetic \feld\nslightly o\u000b-axis, i.e. o\u000b the ^ z-axis, as depicted in Fig. 2.\nThe spin current induced by the ASHE then gains an\nout-of-plane component and is able to excite magnons in\nthe YIG [18]. At the detectors the opposite process, the\ninverse ASHE, converts a spin current in a measurable\ncharge current.\nIII. METHOD\nIn this section we consider the microscopic Hamilto-\nnian for a thin \flm of YIG and derive the equations of\nmotion for the spin density. The formalism that we use,\nhowever, is completely general and can be applied to any\nbosonic Hamiltonian with anomalous coe\u000ecients.\nWe consider a thin \flm of YIG, with Nlayers, of thick-\nnessd=Na, with a magnetic \feld perpendicular to the\n\flm. We include both the dipole-dipole and exchange\ninteraction, which gives us a full description of the spin\nwave dynamics. We apply a Holstein-Primako\u000b trans-\nformation to the Hamiltonian, retain terms up to second\norder, and Fourier-transform along the x;ydirections.\nWe can then write the quadratic part of the Hamiltonian\nas\nHk=X\nk\u0000\nby\nkbk\u0001\u0012AkBk\nBy\nkAk\u0013\u0012bk\nby\n\u0000k\u0013\n; (1)\nwhere by\nk= (by\nk(z1);:::;by\nk(zN)) are the creation opera-\ntors for magnons with the two-dimensional wave vector\nkandAkandBkareN\u0002Nmatrices with Nthe number\nof internal degrees of freedom within a unit cell, which\nis in our case equivalent to the number of layers. More\ndetails are found in Appendix E. We evaluate the dipole-\ndipole interaction using the Ewald summation method\n[19]. This allows us to accurately compute the magnon\nspectrum, even at long wavelengths, where conventional\nsumming methods are slow [20], but where we do expect\nthe Berry curvature to be large [9]. From the anomalous\ncoe\u000ecients Bk, which are due to the dipole-dipole inter-\naction, it is clear that spin is not conserved. The dipolar\ninteractions couple the magnons the the lattice, which\ntherefore acts as a spin sink and/or source.\nWe note that the anomalous coe\u000ecients in the Hamil-\ntonian create a squeezed magnon state, which is not an\neigenstate of the spin in the z-direction [21]. Between a\nmetallic lead and the magnetic system there is thus an\ninterface of a squeezed (the YIG) and a spin state with\nde\fnite spin in the z-direction (the metallic lead). This\nleads to corrections to the spin current over the interface,\nwhich we show in more detail in Sec. III A.\nIn a bosonic system with anomalous coe\u000ecients, the\nBogoliubov-de Gennes (BdG) Hamiltonian Hkis diago-\nnalized by a para-unitary transformation [22], such thatTy\nkHkTk=Ek;Ty\nk\u0017Tk=\u0017; (2)\nwhereEk= diag\u0002\nE1\nk;:::;EN\nk;E1\n\u0000k;:::;EN\n\u0000k\u0003\n,\u0017=\ndiag [1;:::;1;\u00001;:::;\u00001] andTkis a para-unitary trans-\nformation matrix of size 2 N\u00022N. Note that we only\nhaveNdistinct bands, since the bands nandn+Nare\nrelated to each other via the para-unitary structure.\nIn order to derive the equations of motion we perform\nthe gradient expansion of the Hamiltonian. We \frst de-\n\fne the Berry connection (suppressing the k-label from\nhere onwards)\nA\u000b=i\u0017Ty\u0017(@k\u000bT); (3)\nwhere\u000b2(x;y). Numerically, we calculate the Berry\nconnection using the component-wise form\nA\u000b\nnm=\u0000i\u0002\nTy(@k\u000bH)T\u0003\nnm\nEn\u0000\u0017n\u0017mEm; n6=m; (4)\nwheren;m = 1;:::;2N. This form also makes it clear that\nthe Berry connection increases close to band crossings.\nFrom the Berry connection we de\fne the Berry curva-\nture for the n-th band as\n\n\u000b\f\nn=\u0000\n@k\u000bA\f\u0000@k\fA\u000b\u0001\nnn\n=i\u0000\nA\u000bA\f\u0000A\fA\u000b\u0001\nnn(5)\nThe Berry curvature satis\fes the sum ruleP\nn\n\u000b\f\nn= 0,\nwherenis summed over all 2 Nbands. We note that\nthese de\fnitions for the Berry phase and curvature are\nequivalent to those given by Shindou et al. [23], who were\nthe \frst to consider the topology of magnons, and also\nto those of Lein and Sato [24], who showed rigorously\nthat the concept of the Berry phase can be applied to\nBdG-type Hamiltonians.\nNow we are able to derive the equations of motions\nfor general bosonic systems with non-zero anomalous co-\ne\u000ecients. As noted, this is applicable to the magnons\ndescribed here, but also for other bosonic systems, such\nas phonons and photons [4, 25], where geometrical ef-\nfects are also known. We start from the quantum kinetic\nequations in the Keldysh formalism, which are derived\nby performing a Wigner transformation and expanding\nthe gradients up to \frst order [14]. Moreover, we assume\ndamped quasiparticles in (local) thermal equilibrium. We\nhave relegated the details of this calculation to Appendix\nA and will only state the equation of motion for the spin\ndensitysz(r;t) here, which is given by\n@tsz+r\u0001Js= \u0000s\u0016m; (6)\nwhere we have only kept terms up to \frst order in the\nmagnon chemical potential \u0016m. Here, \u0000 sdescribes the\nrelaxation rate of the magnons. The spin current Jsis\nwritten component-wise as\nJ\u000b\ns=\u001bs@r\u000b\u0016m+\u001bH\nsX\n\f\"\u000b\f@r\f\u0016m; (7)4\nwhere\u001bsis the magnon spin conductivity, \u001bH\nsis the Hall\nconductivity and \"\u000b\fis the two-dimensional Levi-Civita\nsymbol. The Berry curvature only a\u000bects the magnon\nHall conductivity \u001bH\ns, and bands with a greater Berry\ncurvature contribute to a larger Hall conductivity. From\nthe Keldysh formalism the coe\u000ecients \u001bs;\u001bH\nsand \u0000scan\nbe calculated using the microscopic Hamiltonian, by in-\ntegrating the relevant quantities over the entire Brillouin\nzone. We show the details of this calculation in Appendix\nE. We consider a clean system in the low-temperature\nlimit, such that the dominant damping source is the\nGilbert damping [26]. Moreover, we disregard heat trans-\nport, since long-range magnon transport is dominated by\nthe magnon chemical potential [27].\nThe complete magnon dynamics are thus given by\nEq. (6), where we calculate the transport coe\u000ecients us-\ning the microscopic Hamiltonian. We therefore do not\nhave to rely on \ftting parameters.\nA. Metallic lead\nIn order to model the electrical detection and injection,\nwe consider a metallic lead interfaced with the YIG \flm,\nas shown in Fig. 2. As a result of this interface the equa-\ntions of motion have to be modi\fed, such that we have at\nthe interface between the magnet and the metallic lead\nthat\n@tsz(r;t) +r\u0001Js= \u0000s\u0016m+A\u0016m+B\u0016e+C; (8)\nwhere\u0016eis the electron spin accumulation in the lead.\nWe show the detailed derivation of this correction and\nthe coe\u000ecients A;B andCin Appendix C. The correc-\ntionA\u0016m, withA > 0, describes the relaxation of the\nmagnons into the metallic lead. B\u0016eis the injection of\nspin driven by the chemical potential in the metallic lead.\nThe constant Cis related to the fact that the magnons\nare squeezed, whereas the spins in the metallic lead are\nnot squeezed. The main correction is a constant injec-\ntion of angular momentum into the YIG, even with zero\nchemical potential in the Py lead, which is a characteris-\ntic feature of elliptic magnonic systems [28]. The source\nof this spin current is the lattice, which couples to the\nmagnons via the dipole-dipole interaction. The constant\nCis therefore zero in the absence of dipolar interactions.\nThere are also corrections due to dipolar interactions to\nthe constants AandB, which are of less importance. In\nabsence of these corrections we would have A=\u0000B, such\nthat the spin current is zero when \u0016e=\u0016m[27]. With\nthe metallic lead modelled, we now have all the necessary\nparts for a full description of the dynamics of magnons\nin a Hall bar.\nB. Di\u000busion-relaxation\nWe now write down the full di\u000busion-relaxation equa-\ntion, which we solve numerically to give the full descrip-tion of the Hall bar, including electrical injection and\ndetection. Since the Hall conductivities enter through\nantisymmetric terms in the current, see Eq. (7), these\ndrop out in the \fnal di\u000busion-relaxation equation, which\nbecomes\n\u001bsr2\u0016m= \u0000s\u0016m: (9)\nThe Hall conductivities only appear in the expressions\nfor the boundary conditions, where we require that the\nnormal component of the current vanishes, i.e. that Js\u0001\n^n= 0 at the edges of the \flm if there is no metallic lead\npresent, where ^nis the normal vector to the boundary.\nTo measure a \fnite Hall response we consider a Hall bar\nsetup, as shown in Fig. 1. The Hall response can then\nbe measured between terminals 2 and 4. As far as we\nare aware, there are no analytical solutions for such a\ngeometry. We therefore numerically solve the di\u000busion-\nrelaxation equation, Eq. (9).\nSpeci\fcally, we solve the di\u000busion-relaxation equation\non the square 0\u0014x\u0014Mand 0\u0014y\u0014M, where the\ndi\u000busion is given by Eq. (9). We use a Finite Element\nMethod, with a symmetric square grid, implemented in\nthe FreeFEM++ software [29]. At the open boundaries\nwe require that Js\u0001^n= 0. At the injector and detectors\nwe have the boundary condition Js\u0001^n=Jint\ns(\u0016m), where\nthe interface current Jint\nsis a function of the magnon\nchemical potential at the interface \u0016int\nmand includes the\ncontributions A;B andCas discussed in Sec. III A. We\ngive the full form of Jint\nsin Appendix D. We then de\fne\nthe total spin current injected or detected at Py strip i\nasIi=R\n@SiJs\u0001^nds, where@Siis the interface between\nthe Py and the YIG.\nIV. HALL ANGLE AND DIFFUSION LENGTH\nWith the full description of the transport coe\u000ecients\ncomplete, we now numerically evaluate these using the\nmicroscopic Hamiltonian. We have relegated the deriva-\ntion of these coe\u000ecients to Appendix B. The parameters\nused in this work are shown in Table I. We only consider\nthe low-temperature regime T <2 K, since at higher tem-\nperatures we expect other damping mechanisms besides\nthe Gilbert damping to play a role. Moreover, one might\nexpect the ferrimagnetic branches in the YIG dispersion\nrelation to be relevant at room temperature [30], which\nare not captured in our model.\nFirst, we show the results for the spin di\u000busion length,\n`m=p\n\u001bs=\u0000s, for a \flm of thickness N= 75 in Fig. 3.\nThe di\u000busion length peaks for low temperatures, and\nconverges to a constant value in the high temperature\nregime. This can be explained by the energy dependence\nof the Gilbert damping: for low temperature only the\nlowest energy bands contribute, which have the lowest\nGilbert damping, since the damping is proportional to\nenergy. The drop-o\u000b of the di\u000busion length at low tem-\nperature and high magnetic \feld is explained by the fact\nthat the temperature is not high enough to occupy the5\n0.0 0.5 1.0 1.5 2.0\nT (K)102103lm(µm)N=75\n1800\n2000\n2200\n2400\n2600\n2800\n3000H (Oe)\nFIG. 3. The spin di\u000busion length lmfor a thin \flm of YIG\nwith thickness N=75, for varying magnetic \feld strength. The\ncorresponding Hall angle is shown in Fig. 4a.\nTABLE I. Parameters for YIG used in the numerical calcu-\nlations in this work. Note that Sfollows from S=Msa3=\u0016,\nwhere\u0016= 2\u0016Bis the magnetic moment of the spins, with \u0016B\nthe Bohr magneton. We are not aware of any values of the\nparameters \u0016eand\u000bIFfor a YIGjPy interface and have there-\nfore assumed values that are equivalent to the YIG jPlatinum\ninterface. Since the injection and detection is described in lin-\near response, their exact values do not a\u000bect the \fnal results.\nQuantity Value\na 12:376/RingA [31]\nS 14.2\n4\u0019Ms 1750 G [32]\nJ 1:60 K [19]\n\u000bG 10\u00004[33]\n\u000bIF 10\u00002[33]\n\u0016e 8\u0016V [27]\n\frst band, and there is thus no transport possible. At ele-\nvated temperatures we compare the spin di\u000busion length\nto a simple model that only considers the lowest exchange\nband of YIG, from which the spin di\u000busion length is es-\ntimated as lm\u00194p\nJ=3kBTMs\u000b2\nG[27]. We expect this\napproximation to be only valid for relatively high tem-\nperatures, where the higher exchange bands are occu-\npied, and for thicker \flms. We therefore compare this\napproximation with our calculations at T= 2 K and \fnd\nthatlm\u001935µm, whereas our numerical model found\nlm= 55 µm forN= 150 andH= 1800 Oe. Moreover, as\nis evident from Fig. 3, our numerically calculated di\u000bu-\nsion length also scales as 1 =p\nT. For di\u000berent thicknesses\n(not shown here) the behaviour and order of magnitude\nof the spin di\u000busion length is similar.\nNext, we consider the Hall angle, \u0012H=\u001bH\ns=\u001bs. We\ncompare two \flms with thicknesses N= 75 andN= 150\nin Fig. 4. It is clear that the Hall angle peaks for small\ntemperature, and tends to a lower constant value for\nhigher temperature. The complete drop-o\u000b at T= 0\nis explained by the fact that there are no magnons ther-mally excited at zero temperature. In order to further\nexplain these results we \frst need to focus on the Berry\ncurvature for these thin \flms, since the Berry curvature\nis directly related to the Hall conductivity in this system.\nWe therefore show the Berry curvature \nyz\nnof then-th\nband in Fig. 5 for these two \flms. We can see that the\nBerry curvature is largest for the lowest band, which we\ntherefore expect to dominate transport. Furthermore,\nin the dipolar regime, at small wavevectors, the Berry\ncurvature is largest. This explains the temperature de-\npendence of \u0012Hwe observe in Fig. 4. At low tempera-\ntures the dipolar magnons dominate transport, and they\nhave a large Berry curvature. Furthermore, the exchange\nbands naturally have a larger contribution to transport\nthan the dipolar magnons (not shown here). As the tem-\nperature increases, the ratio between the exchange and\ndipolar magnons shift towards the exchange magnons, in-\ncreasing the magnon spin conductivity, but not the Hall\nconductivity.\nFor the \flm with thickness N= 150, shown in Fig. 4b,\nthe Hall angle is negative for low magnetic \feld. Here\nthe shaded region indicates the error from integrating the\nBerry curvature \n nover the Brillouin zone. The larger\nerrors can be explained from the behaviour of the Berry\ncurvature close to band crossings, as shown in Fig. 5b.\nThe Berry curvatures grows at band crossings|but never\ndiverges, since none of the bands are ever degenerate.\nThis can also be seen from Eq. (4), where it is clear that\nthe Berry connection matrix and therefore the Berry cur-\nvature of the band nis inversely proportional to the en-\nergy gap. Integrating such a function is numerically very\ncostly, and we only reach the precision as indicated by\nthe shaded region. The avoided band crossings in the\ndispersion, which lead to an increased Berry curvature,\nare only present for thicker \flms ( N&150). The results\nfor the Berry curvature can directly be compared to the\nBerry curvature as obtained by Okamoto and Murakami\n[34], who showed the same behaviour as we have shown\nfor theN= 150 \flm, with an enhanced Berry curvature\nat the band crossings and a negative Berry curvature for\nsome of the higher bands.\nThe negative Hall angle can be explained from the neg-\native Berry curvature, which is present for N= 150,\nbut not for N= 75, as was shown in Fig. 5. This sign\nswitch of the Hall angle is similar to what was observed\nby Hirschberger et al. [35] in measuring the thermal Hall\ne\u000bect in a Kagome magnet.\nFor the forward volume modes, the magnetic \feld acts\nas a way to introduce a \fnite energy shift of the bands.\nThis can be used to explain the behaviour of the spin\ndi\u000busion length as shown in Fig. 3. A higher magnetic\n\feld reduces the di\u000busion length, since by shifting all the\nbands the magnetic \feld changes which bands are occu-\npied and therefore contribute. For the Hall angle, \u0012H,\nthe magnetic \feld dependence is more complicated, at\nleast for smaller magnetic \felds. As a function of mag-\nnetic \feld strength, the Hall angle rises rapidly, until\nit peaks for a \feld of strength \u00182400 Oe, after which6\n0.0 0.5 1.0 1.5 2.0\nT (K)0.00.51.01.5θH×10−7\n(a) N=75\n0.0 0.5 1.0 1.5 2.0\nT (K)−2024θH×10−6\n(b) N=150\n1800\n2000\n2200\n2400\n2600\n2800\n3000H (Oe)\nFIG. 4. The Hall angle \u0012H=\u001bH\ns=\u001bsfor two di\u000berent \flm thicknesses, (a) N= 75 and (b) N= 150. The shaded area indicates\nthe error, which results from a slowly converging integral over the Brillouin zone.\n102103104105106\nk(cm−1)0.00.51.01.52.0E (GHz)(a) N=75\n102103104105106\nk(cm−1)0.00.51.01.52.0E (GHz)(b) N=150\n10−2100102104Ωn(a.u.)\n−105−104−103−102−101−100100101102103104105106Ωn(a.u.)\nFIG. 5. The Berry curvature \nyz\nnper band for the forward-volume modes of a thin \flm with (a) N= 75 and (b) N= 150\nlayers, and a magnetic \feld strength H= 1800 Oe. Note the more complicated Berry curvature structure for N= 150, which\nis not present for the N= 75 thin \flm and is due to the band crossings. We also note that the Berry curvature is negative for\ncertain bands for N= 150, but for none for N= 75.\nit drops again. For higher \felds, the magnetic \feld es-\nsentially shifts the ratio between which type of magnons\ncontribute at a given energy: the exchange or the dipolar\nmagnons. This does not explain the low magnetic \feld\nbehaviour though, since we expect this behaviour to be\n(roughly) linear. Further research is needed to under-\nstand this in more detail.\nSince we have determined that thickness plays a role\nin the Hall e\u000bect of YIG, we also show the results for a\n\fxed magnetic \feld, with increasing thickness in Fig. 6.\nIt can clearly be observed that the Hall angle increases\nfor thicker \flms. However, one should be aware that\nthis is still assuming that there is no di\u000busive transport\nalong the \flm normal, i.e. the spin di\u000busion length is\nlarger than the \flm thickness. The spin di\u000busion length\nfor YIG thin \flms at the temperature range considered\nhere has not yet been measured, but for T= 30 K itis roughly 5 µm [36], which would make our description\nvalid for thin \flms up to N= 5000.\nWe have now calculated the transport coe\u000ecients\n\u001bs;\u001bH\nsand \u0000s. Not discussed in the main text are the\ncoe\u000ecients A;B andCthat govern spin injection at the\nmetallic lead interface, which we show in App. C. Next,\nwe solve the di\u000busion-relaxation equation, in order to de-\ntermine if the magnon Hall e\u000bect can be measured elec-\ntrically.\nV. DIFFUSION IN THE HALL BAR\nExperimentally, the main observable is the di\u000berence\nbetween the spin currents detected by terminals 2 and\n4. We de\fne a Hall coe\u000ecient as the signal di\u000berence7\n0.0 0.5 1.0 1.5 2.0\nT(K)10−1010−910−810−710−6θHN\n150\n100\n75\n50\n25\nFIG. 6. The Hall angle \u0012HforH= 2600 Oe, as a function of\ntemperature and for varying thicknesses. We were not able\nto numerically calculate \u0012Hfor thicker \flms, so it is not clear\nif the Hall angle will continue to increase.\n0.0 0.5 1.0 1.5 2.0\nT (K)02468∆I×10−8\n1800\n2000\n2200\n2400\n2600\n2800\n3000H (Oe)\nFIG. 7. The Hall coe\u000ecient \u0001 I, which follows from the nu-\nmerical solution to the di\u000busion-relaxation equation for a Hall\nbar geometry. The thickness of the \flm is N= 75 and this\ncan therefore be directly compared to the Hall angle \u0012Hin\nFig. 4a. From this comparison it is clear that a Hall response\ncan be measured, and that \u0012His a direct predictor of \u0001 I.\nbetween detectors 2 and 4,\n\u0001I=I2\u0000I4\nI2+I4: (10)\nIn order to con\frm that a non-zero Hall angle \u0012Hre-\nsults in a \fnite \u0001 Iwe numerically solve the di\u000busion-\nrelaxation equation. We choose M= 8µm,La= 3µm\nandLb= 0:1µm, which are the same dimensions used by\nDaset al. [18] to measure the planar Hall e\u000bect in YIG.\nThe distribution of the chemical potential for a typical\nsystem is shown in Fig. 1. The chemical potential dif-\nfuses through the \flm and gets picked up by the three\ndetectors. Note that the di\u000berence between the currents\npicked up by detectors 2 and 4, i.e. \u0001 I, is too small to\nbe visible on the color scale of Fig. 1.\nWe then calculate the Hall coe\u000ecient \u0001 IforN= 75\nand show the results in Fig.7. These results can be com-\npared to the Hall angle, \u0012H, in Fig. 4a. From this com-parison it is clear that the Hall angle \u0012His directly related\nto the Hall coe\u000ecient \u0001 I. We see little to no e\u000bect from\nthe magnon relaxation, since the spin di\u000busion length\nis much longer than the size of the Hall bar. Most im-\nportantly, there are no (large) corrections from interface\ne\u000bects due to the electrical injection and detection. This\nis also the case for di\u000berent thicknesses. We therefore\nconclude that the magnon Hall e\u000bect can in principle be\nmeasured electrically in a Hall bar geometry.\nVI. CONCLUSION AND DISCUSSION\nWe have derived and calculated the anomalous Hall\nconductivity for magnons in a thin \flm of YIG, us-\ning a microscopic model. Furthermore, we have shown\nthat a non-zero anomalous Hall conductivity results in a\nmeasurable signal in a Hall bar setup and can be mea-\nsured electrically. The magnon Hall e\u000bect has previ-\nously only been measured thermally in materials with a\nDzyaloshinskii-Moriya spin-orbit interaction [6], but with\na Hall bar setup as discussed here this magnon Hall e\u000bect\ncould also be measured electrically in YIG.\nUsing realistic parameters we have calculated the size\nof the expected Hall angle, and its dependency on tem-\nperature and magnetic \feld. Moreover, we have shown\nthat for thicker \flms of YIG, there is a sign change in\nthe Hall angle as a function of the magnetic \feld, which\nwould be a strong experimental indicator of the magnon\nHall e\u000bect.\nThe presented method can be applied to any bosonic\nsystem with anomalous coe\u000ecients to determine anoma-\nlous transport properties. In fact, the physical origin\nof the anomalous transport properties discussed here\nare the dipole-dipole interactions, which are universally\npresent in any magnetic system. As such, this method\ncan be applied to a wide range of magnetic materials.\nIn order to measure this e\u000bect it is possible to use the\nfact that the sign of the Hall angle switches as the \feld\nis reversed. Therefore, by comparing measurements with\nopposite \feld, the anomalous contributions can be iso-\nlated. This is especially useful since the spin di\u000busion\nand relaxation means that the distance between the in-\njector at lead 1 and the detectors at leads 2 and 4 is\ncritical.\nAs was shown by Takahashi and Nagaosa [37] and\nOkamoto et al. [38], for magnetoelastic waves the Berry\ncurvature is enhanced at the crossing of the magnon and\nphonon branches. This could therefore serve to further\nenhance the magnon Hall e\u000bect discussed here. The in-\nclusion of magnon-phonon coupling on our formalism is\nleft for future work.\nACKNOWLEDGMENTS\nR.D. is member of the D-ITP consortium, a program\nof the Dutch Organization for Scienti\fc Research (NWO)8\nthat is funded by the Dutch Ministry of Education, Cul-\nture and Science (OCW). This project has received fund-\ning from the European Research Council (ERC) under\nthe European Union's Horizon 2020 research and inno-\nvation programme (grant agreement No. 725509). This\nwork is part of the research programme of the Founda-\ntion for Fundamental Research on Matter (FOM), which\nis part of the Netherlands Organization for Scienti\fc Re-\nsearch (NWO). We thank Timo Kuschel for discussions\nand Ruben Meijs for doing his thesis work on this sub-\nject.\nAppendix A: Quantum Kinetic Equations\nIn this appendix we derive the equation of motion for\nthe spin density of a bosonic Hamiltonian. We start from\nthe quantum kinetic equations:\n\u0010\n^\u000f\u0000\u0017^H\u0011\n^GK=\u0017^\u0006K^GA+\u0017^\u0006R^GK; (A1)\n^GK\u0010\n^\u000f\u0000^H\u0017\u0011\n=^GR^\u0006K\u0017+^GK^\u0006A\u0017; (A2)\nwhere hats indicate matrices in space and time,\n^\u000f=\u000e(r\u0000r0)\u000e(t\u0000t0)i~@t0,^\u0006R=A=Kare the re-\ntarded/advanced/Keldysh self-energies, ^GR=A=Kare the\nretarded/advanced/Keldysh Green's functions and \u0017=\ndiag [1;:::;1;\u00001;:::;\u00001]. We apply a Wigner transforma-\ntion, de\fned as\nA(r;t;p;\") =Z\ndr0Z\ndt0\n^A\u0012\nr+r0\n2;t+t0\n2;r\u0000r0\n2;t\u0000t0\n2\u0013\ne\u0000i(k\u0001r0\u0000!t0)\nand expand up to \frst order in ~, such that we have\n(suppressing all labels from here on)\n\u0012\n\"\u0000\u0017H\u0000\u0017\u0006R+i~\n2@t\n+i\n2\u0017(rpH)\u0001rr\u0013\nGK=\u0017\u0006KGA; (A3)\nGK\u0012\n\"\u0000H\u0017\u0000\u0006A\u0017\u0000i~\n2 \u0000@t\n\u0000i\n2 \u0000rr\u0001(rpH)\u0017\u0013\n=GR\u0006K\u0017; (A4)where we assume that the Hamiltonian does not depend\nexplicitly on position or time, i.e. H(r;t;k;!) =H(k)\nand have used arrows to indicate to which function the\nderivative applies, if there are ambiguities.\nFurthermore, we de\fne a covariant derivative as\nDk\u000bE\u0011Ty(@k\u000bH)T=@k\u000bE+iEA\u000b\u0000i\u0017E\u0017A\u000b:(A5)\nWe introduce the transformed Green's functions\ngR=A=K=T\u00001GR=A=K\u0000\nTy\u0001\u00001and self-energies\n\u001bR=A=K=Ty\u0006R=A=KTand assume damped quasipar-\nticles in (local) thermal equilibrium, such that\n\u001bR=A(k;!) =\u0007i\u0017[\u0000mm(k;!) + \u0000mr(k;!)] ; (A6)\n\u001bK(r;k;!) =\u00002i\u0000mm(k;!)Fn(r;!)\n\u00002i\u0000mr(k;!)F\u0016m=0\nn (r;!);(A7)\nwhere\n\u0000\u0011\nmn(k;!) =\u000emn\u0002(\n\r\u0011(k;!) 1\u0014n\u0014N;\n\r\u0011(\u0000k;\u0000!)N+ 1\u0014n\u00142N;\nwith\u00112fmr;mmgrepresenting the magnon relaxation\nprocesses (which do not conserve spin) and magnon-\nmagnon interactions (which conserve spin) respectively.\nThe distribution function is de\fned as\nFmn(r;!) =\u000emn\u0002(\nfB(r;!) 1\u0014n\u0014N;\nfB(r;\u0000!)N+ 1\u0014n\u00142N;\nwherefB= coth\u0010\n~!\u0000\u0016m\n2kBT\u0011\nis the symmetrized\nBose-Einstein distribution. The distribution function\nF\u0016m=0\nn (r;!) describes the relaxation of magnons to the\nlattice. For brevity, we write \u0000 n(k;!) = \u0000mr\nn(k;!) +\n\u0000mm\nn(k;!).\nThe retarded and advanced Green's functions are then\ngiven by\ngR=A=\u000enm\n\u0017n(~!\u0006i\u0000n)\u0000En: (A8)\nFor the Keldysh Green function we \frst solve the diago-\nnal component of the distribution function, fn\u0011i~\n2gK\nnn,\nusing the di\u000berence between Eqs. (A1) and (A2), such\nthat\n@tfn+X\n\u000b@r\u000bj\u000b\nn=\u00002\u0000mr\nn\n~\"\nfn\u0000~\u0000n\n(~!\u0000\u0017nEn)2+ \u00002nF\u0016m=0\nn#\n\u00002\u0000mm\nn\n~\"\nfn\u0000~\u0000n\n(~!\u0000\u0017nEn)2+ \u00002nFn#\n; (A9)\nwhere the current density\nj\u000b\nn=\u0017n\n~(@k\u000bEn)fn+\u0017ni\n4X\nm6=n\u0002\n(Dk\u000bE)nmgK\nmn+gK\nnm(Dk\u000bE)mn\u0003\n; (A10)9\nhas contributions from the o\u000b-diagonal components.\nWe now assume that there is local thermal equilibrium, and thus that the local distribution function fncan be\ndescribed by small corrections \u000efnon top of the thermal equilibrium. This is possible because the spin conserving\nprocesses (represented by \u0000mm) are much faster than the non-spin-conserving processes (represented by \u0000mr). Thus,\nwe disregard the F\u0016m=0\nn term in Eq. (A9) and make the ansatz\nfn=~\u0000n\n(~!\u0000\u0017nEn)2+ \u00002nFn+\u000efn; (A11)\nwhere\u000efnis at least one order higher in gradients. In a steady state (such that @tfn= 0) we further note that from\nEq. (A9) it is clear that\nX\n\u000b@r\u000bj\u000b\nn=\u00002\u0000n\n~ \n\u000efn+\u0000mr\nn\n(~!\u0000\u0017nEn)2+ \u00002n\u0000\nFn\u0000F\u0016m=0\nn\u0001!\n: (A12)\nThis can then be solved up to \frst order in gradients by inserting the ansatz, Eq. (A11), into the current density,\nEq. (A10), and using the fact that gK\nnmis one order higher in gradients and can thus be discarded. Then we \fnd\n\u000efn=\u0000\u0017n~\n2(@k\u000bEn)1\n(~!\u0000\u0017nEn)2+ \u00002n(@r\u000bFn)\u0000~\u0000mr\nn\n(~!\u0000\u0017nEn)2+ \u00002n\u0000\nFn\u0000F\u0016m=0\nn\u0001\n: (A13)\nIn order to \fnd gK\nnmwe consider the sum of Eqs. (A1) and (A2) and \fnd for m6=nthat\n[2~!\u0000\u0017nEn\u0000\u0017mEm+i(\u0000n\u0000\u0000m)]gK\nnm=\u0000i\n2X\n\u000bX\nl\u0002\n\u0017n(Dk\u000bE)nl\u0000\n@r\u000bgK\nlm\u0001\n\u0000\u0017m\u0000\n@r\u000bgK\nnl\u0001\n(Dk\u000bE)lm\u0003\n:(A14)\nIt is convenient to proceed in the quasiparticle limit (lim \u0000n!0+), where\nlim\n\u0000!0+fn=\u0019\u000e(!\u0000\u0017nEn=~)Fn(!) +\u000efn: (A15)\nWe now use the fact that gK\nnmis one order higher in gradients than fn, and as such can write\ngK\nnm=1\n~i\u0019\n\u0017mEn\u0000\u0017nEmX\n\u000b@r\u000b(Dk\u000bE)nmh\n\u0017m\u000e(!\u0000\u0017nEn=~)Fn(!) +\u0017n\u000e(!\u0000\u0017mEm=~)Fm(!)i\n; (m6=n);(A16)\nwhere we have used the diagonal components fnto rewrite Eq. (A14), only keeping terms up to \frst order in gradients.\nUsing the de\fnition of the covariant derivative in Eq. (A5) we now write the current as\nj\u000b\nn=\u0017n(@k\u000bEn)\u0019\u000e(!\u0000\u0017nEn=~)\u0014\nFn\u0000\u0000mr\nn\n\u0000n\u0000\nFn\u0000F\u0016m=0\nn\u0001\u0015\n\u00001\n2\u0000n~X\n\f(@k\u000bEn)\u0000\n@k\fEn\u0001\n\u0019\u000e(!\u0000\u0017nEn=~)@r\fFn\n+i\n4~X\nm6=nX\n\f(\u0017n\u0017mEm\u0000En)\u0000\nA\u000b\nmnA\f\nnm\u0000A\f\nmnA\u000b\nnm\u0001\n@r\f[\u0017n\u0019~\u000e(!\u0000\u0017mEm=~)Fm+\u0017m\u0019~\u000e(!\u0000\u0017nEn=~)Fn];\n(A17)\nsuch that we now have a full description of the equation of motion, Eq. (A9) for the distribution function of the\nmagnons. Note that the \frst term in Eq. (A17) will be zero if integrated over, due to inversion symmetry.\nWe continue with the spin density, which is de\fned as\nsz(r;t) =\u0000i~\n4Trh\n^GKi\n;\n=\u0000i~\n4Zddk\n(2\u0019)dZd!\n2\u0019Tr\u0002\nTyTgK\u0003\n; (A18)\nsuch that\n@tsz(r;t) =1\n2Zddk\n(2\u0019)dZd!\n2\u0019X\nn\u0000\nTyT\u0001\nnn\"X\n\u000b@r\u000bj\u000b\nn+ 2\u0000mr\n~ \nfn\u0000~\u0000n\n(~!\u0000\u0017nEn)2+ \u00002nF\u0016m=0\nn!#\n; (A19)\nwhere we have only kept terms up to \frst order in gradients. Since the processes described by \u0000mmalways conserve\nspin and because we assume them to approximately conserve momentum, we furthermore disregard all terms related\nto \u0000mm, such that \u0000 n= \u0000mr\nn. Its inclusion up to this point was however necessary, since without it a local thermal\nequilibrium cannot be properly de\fned and a current density cannot be expressed in terms of the magnon chemical\npotential.10\nAppendix B: Coe\u000ecients\nFrom here on, we assume Gilbert damping for the magnon relaxation process, such that \rmr(k;!) = 2\u000bG~![26],\nwhere\u000bGis the bulk Gilbert damping parameter. With the generic equation of motion, Eq. (A19), we now derive\nthe equation of motion up to linear order in the magnon chemical potential, giving\n@tsz(r;t) +X\n\u000b@r\u000bJ\u000b\ns= \u0000s\u0016m; (B1)\nwhereJ\u000b\ns=\u001b\u000b\u000b@r\u000b\u0016m+P\n\f\u001b\u000b\f@r\f\u0016m, with\n\u001b\u000b\u000b=\u00001\n32~\u000bGkBTZd2k\n(2\u0019)2X\nn\u0000\nTyT\u0001\nnn(@k\u000bEn)2\nEncsch\u0014En\n2kBT\u00152\n; (B2)\n\u001b\u000b\f=1\n32kBT~Zd2k\n(2\u0019)2X\nn;m;m6=n\u0000\n\u0017n\u0000\nTyT\u0001\nnn+\u0017m\u0000\nTyT\u0001\nmm\u0001\n(\u0017n\u0017mEm\u0000En) \n\u000b\f\nmcsch\u0014En\n2kBT\u00152\n; (B3)\n\u0000s=\u00001\n2kBTZd2k\n(2\u0019)2Zd!\n2\u0019X\nn\u0000\nTyT\u0001\nnn(2\u000bG~!)2\n(~!\u0000\u0017nEn)2+ (2\u000bG~!)2csch\u0014\u0017n~!\n2kBT\u00152\n: (B4)\nHere we have disregarded the \u0000mmterm, since magnon-\nmagnon scattering preserves momentum and should\ntherefore not contribute to the magnon spin conductivity\n\u001b\u000b\u000b. We then have \u001bs=\u001bxx=\u001byyand\u001bH\ns=\u001bxy, since\nthe system is rotationally invariant.\nIn order to calculate these coe\u000eencts we diagonalize\nthe Hamiltonian Hwith a paraunitary matrix T, which\nalso gives the energies E. Moreover, we construct @k\u000bH,\nsuch that we calculate the Berry phase and subsequently\nthe Berry curvature using Eq. (4). These terms are\nfurther shown in Appendix E. We can then integrate\nthe coe\u000ecients \u001bH\ns;\u001bsand \u0000sover the entire Brillouin\nzone, where we use the translation invariance to employ\nthe one-dimensional Gauss{Kronrod quadrature formula,\nwhich also gives an error estimate. These results are\nshown in Sec. IV.\nAppendix C: Metallic lead\nWe now consider how the equation of motion for the\nspin density has to be modi\fed if a metallic lead is inter-faced to the ferromagnet. Attaching a metallic lead, the\nself-energies are modi\fed such that \u0006R=A=K= \u0006R=A=K\nbulk+\n\u0006R=A=K\nIF , with\n\u0006R=A\nIF(r;t;k;!) =\u0007i\u000bIF(~!\u0000\u0017\u0016e); (C1)\n\u0006K\nIF(r;t;k;!) = 2\u0006R\nIFFe(!); (C2)\nwhere\nFe(r;!) =\u000enm\u00028\n<\n:cothh\n~!\u0000\u0016e\n2kBTi\n1\u0014n\u0014N;\ncothh\n\u0000~!\u0000\u0016e\n2kBTi\nN+ 1\u0014n\u00142N;\n(C3)\nand\u000bIFis the interfacial Gilbert damping. The equation\nof motion for the spin density, Eq. (A19), is then modi\fed\nto@tsz+r\u0001Js= \u0000s+ \u0000IF\ns, where\n\u0000IF\ns=\u00001\n4Zddk\n(2\u0019)dZd!\n2\u0019Tr\u0002\nTy\u0017\u0006K\nIFTgA\u0000Ty\u0017\u0006K\nIFTgR+Ty\u0017\u0006R\nIFTgK\u0000Ty\u0017\u0006A\nIFTgK\u0003\n: (C4)\nNoting that, up to lowest order in the interfacial coupling, the Green's functions gR=A=Kare unchanged by the\ninterfacial self-energies, we can further write this as (in the quasiparticle limit)\n\u0000IF\ns=\u000bIF\n2~Zddk\n(2\u0019)dTr\u0002\u0000\nTy(E\u0000\u0016e)TF(\u0017E)\u0000Ty\u0017(E\u0000\u0016e)Fe(\u0017E)T\u0017\u0001\u0003\n: (C5)\nWe again keep only terms linear in \u0016mand\u0016e, such that we can write \u0000IF\ns=A\u0016m+B\u0016e+C, with11\nA=\u000bIF\n4~kBTZd2k\n(2\u0019)2Tr\"\nTyETcsch\u0014E\n2kBT\u00152#\n; (C6)\nB=\u0000\u000bIF\n2~Zd2k\n(2\u0019)2Tr\"\nTyTcoth\u0014E\n2kBT\u0015\n\u00001\n2kBTEcsch\u0014E\n2kBT\u00152\n+ coth\u0014E\n2kBT\u0015#\n; (C7)\nC=\u000bIF\n2~Zd2k\n(2\u0019)2Tr\u0014\u0000\nTyET\u0000E\u0001\ncoth\u0014E\n2kBT\u0015\u0015\n: (C8)\nAppendix D: Boundary conditions\nWith the equation of motion for the spin density com-\npletely determined, we can now consider the boundary\ncondition for the spin density in the Hall bar geome-\ntry. For the metal strips we assume a thin strip, where\nLa\u001cLband the long side Lbinterfaces the Hall bar, as\nshown in Fig. 1. Then the detector can be described by\nEq. (8), with the boundary condition that the current at\nits interface with the main region is continuous. Thus we\nhave,\nZ\n@SidsJs\u0001^n=Z\nSidS[(\u0000s+A)\u0016m+B\u0016e+C];(D1)\nwhereSiis the area of detector i. Note thate for the\ndetectors\u0016e= 0. We now Taylor expand the chemi-\ncal potential in the detector strip perpendicular to the\ninterface, and integrate over the short side of the strip,\nkeeping only terms linear in La, which gives the bound-\nary condition\nZ\n@SidsJs\u0001^n=LaZ\n@Sids[(\u0000s+A)\u0016m+B\u0016e+C];\n(D2)\nwhere we have required that Js\u0001^n= 0 at the other three\nsides of the detector. The boundary condition can now\nbe identi\fed as\nJs\u0001^n=Jint\ns(\u0016m); (D3)\nwhere\nJint\ns(\u0016m) =La[(\u0000s+A)\u0016m+B\u0016e+C]: (D4)\nAppendix E: Hamiltonian\nIn order to determine the dynamics of the magnons in\nthe YIG, we describe this system using the Heisenberg\nspin Hamiltonian [39]\nH=\u00001\n2X\nijJijSi\u0001Sj\u0000\u0016He\u0001X\niSi\n\u00001\n2X\nij;i6=j\u00162\njRijj3h\n3\u0010\nSi\u0001^Rij\u0011\u0010\nSj\u0001^Rij\u0011\n\u0000Si\u0001Sji\n;\n(E1)where the sums are over the lattice sites Ri, with Rij=\nRi\u0000Rjand ^Rij=Rij=jRijj. We only consider nearest\nneighbour exchange interactions, so Jij=Jfor near-\nest neighbours and 0 otherwise. Here \u0016= 2\u0016Bis the\nmagnetic moment of the spins, with \u0016B=e~=(2mec) the\nBohr magneton. Heis the external magnetic \feld, which\nwe take strong enough to fully saturate the ferromagnet.\nWe apply the Holstein Primako\u000b transformation up to\nquadratic order,\nS+\ni=p\n2Sbi;S\u0000\ni=p\n2Sby\ni;Sz\ni=S\u0000by\nibi(E2)\nto the Heisenberg spin Hamiltonian, Eq. (E1), and ap-\nply the Fourier transformation in the xy-plane, intro-\nducing k= (kx;ky). The coordinate system used is\nsummarized in Fig. 2 in the main text. We can now\nwrite the quadratic part of the Hamiltonian in the basis\n(bk(z1);:::;b k(zN);by\n\u0000k(z1);:::;by\n\u0000k(zN))Tas\nHk=\u0012AkBk\nBy\nkAk\u0013\n; (E3)\nwhere the amplitude factors are\nAk(zij) =X\nrije\u0000ik\u0001rA(zi\u0000zj;r);\n=\u000eij\"\nh+SX\nnDzz\n0(zin)#\n\u0000S\n2[Dyy\nk(zij) +Dxx\nk(zij)] +SJk(zij);(E4)\nBk(zij) =X\nrije\u0000ik\u0001rB(zi\u0000zj;r);\n=\u0000S\n2[Dxx\nk(zij)\u0000Dyy\nk(zij) +iDxy\nk(zij)];(E5)\nwhere\nJk(zij) =J[\u000eij(6\u0000\u000ej1\u0000\u000ejN\n\u00002 cos(kxa)\u00002 cos(kya))\u0000\u000eij+1\u0000\u000eij\u00001];(E6)\nrij= (xij;yij) andD\u000b\f\nk(zij) describes the dipole-dipole\ninteraction.\nFor the Berry curvature we need to calculate @k\u000bHk,\nwhere\u000b2(x;y). This is given by\n@k\u000bHk=\u0012@k\u000bAk@k\u000bBk\n@k\u000bBy\nk@k\u000bAk\u0013\n; (E7)12\nwhere\n@k\u000bAk(zij) =\u0000S\n2[@k\u000bDyy\nk(zij) +@k\u000bDxx\nk(zij)]\n+ 2SJasin(k\ra); (E8)\n@k\u000bBk(zij) =\u0000S\n2[@k\u000bDxx\nk(zij)\u0000@k\u000bDyy\nk(zij)\n+i@k\u000bDxy\nk(zij)]; (E9)\nFor the dipolar sums we apply the Ewald summation method, as previously developed by Kreisel et al. [19], and\n\fnd\nDzz\nk(zij) =\u0019\u00162\na2X\ng\u00128p\"\n3p\u0019e\u0000p2\u0000q2\u0000jk+gjf(p;q)\u0013\n\u00004\u00162\n3r\n\"5\n\u0019X\nr\u0000\njrijj2\u00003z2\nij\u0001\ncos (kxxij) cos (kyyij)'3=2(jrijj2\"); (E10)\nDyy\nk(zij) =\u0019\u00162\na2X\ng\u00124p\"\n3p\u0019e\u0000p2\u0000q2\u0000(ky+gy)2\njk+gjf(p;q)\u0013\n\u00004\u00162\n3r\n\"5\n\u0019X\nr\u0000\njrijj2\u00003y2\nij\u0001\ncos (kxxij) cos (kyyij)'3=2(jrijj2\"); (E11)\nDxy\nk(zij) =\u0000\u0019\u00162\na2X\ng(ky+gy)(kx+gx)\njk+gjf(p;q)\n\u00004\"5=2\u00162\np\u0019X\nryijxijsin(kxxij) sin(kyyij)'3=2(jrijj2\"); (E12)\nwhere\n'3=2(x) =e\u0000x3 + 2x\n2x2+3p\u0019Erfc (px)\n4x5=2(E13)\nandq=zijp\",p=jk+gj=(2p\") andf(p;q) =e\u00002pqErfc(p\u0000q) +e2pqErfc(p+q). The sums are either over the\nreal space lattice or the reciprocal lattice, where the reciprocal lattice vectors are gx= 2\u0019m,gy= 2\u0019n,fm;ng2Z.\n\"determines the ratio between the reciprocal and real sums. We choose \"=a\u00002, such that 2 pq\u00191 and exp[\u00062pq]\nconverges quickly. Note that Dxx\nkfrom the symmetry Dyy\nk=Dxx\nk(kx!ky;ky!kx). Taking the derivatives w.r.t.13\nkxandkywe \fnd\n@kyDzz\nk(zij) =\u0019\u00162\na2X\ng\u001216pp\"\n3p\u0019e\u0000p2\u0000q2@p\n@ky+p2p\"@f\n@ky+ky+gy\n2p\"pf(p;q)\u0013\n+4\u00162\n3r\n\"5\n\u0019X\nryij\u0000\njrijj2\u00003z2\nij\u0001\ncos (kxxij) sin (kyyij)'3=2(jrijj2\"); (E14)\n@kyDyy\nk(zij) =\u0000\u0019\u00162\na2X\ng \n8pp\"\n3p\u0019e\u0000p2\u0000q2@p\n@ky+(ky+gy)2\njk+gj@f\n@ky+2(ky+gy)jk+gj2\u0000(ky+gy)3\njk+gj3f(p;q)!\n+4\u00162\n3r\n\"5\n\u0019X\nryij\u0000\njrijj2\u00003y2\nij\u0001\ncos (kxxij) sin (kyyij)'3=2(jrijj2\"); (E15)\n@kxDyy\nk(zij) =\u0000\u0019\u00162\na2X\ng\u00128pp\"\n3p\u0019e\u0000p2\u0000q2@p\n@kx\u0000(ky+gy)2\njk+gj@f\n@kx+(ky+gy)2(kx+gx)\njk+gj3f(p;q)\u0013\n+4\u00162\n3r\n\"5\n\u0019X\nrzij\u0000\njrijj2\u00003y2\nij\u0001\ncos (kyyij) sin (kzzij)'3=2(jrijj2\"); (E16)\n@kyDxy\nk(zij) =\u0000\u0019\u00162\na2X\ng(ky+gy)(kx+gx)\njk+gj@f\n@ky+(kx+gx)jk+gj2\u0000(ky+gy)2(kx+gx)\njk+gj3f(p;q)\n\u00004\"5=2\u00162\np\u0019X\nry2\nijxijsin(kxxij) cos(kyyij)'3=2(jrijj2\"); (E17)\nwhere\n@p\n@k\u000b=k\u000b+g\u000b\n4\"p; (E18)\n@f\n@k\u000b=\u0012\n2qe2pqErfc(p+q)\u00002qe\u00002pqErfc(p\u0000q)\u00004p\u0019e\u0000p2\u0000q2\u0013k\u000b+g\u000b\n4p\"(E19)\nand the remaining terms follow from symmetry, by swapping ky$kx.\n[1] N. Nagaosa, J. Sinova, S. Onoda, A. H. MacDonald, and\nN. P. Ong, Anomalous Hall e\u000bect, Reviews of Modern\nPhysics 82, 1539 (2010).\n[2] T. Qin, J. Zhou, and J. Shi, Berry curvature and the\nphonon Hall e\u000bect, Physical Review B 86, 104305 (2012).\n[3] C. Strohm, G. L. J. A. Rikken, and P. Wyder, Phe-\nnomenological Evidence for the Phonon Hall E\u000bect,\nPhysical Review Letters 95, 155901 (2005).\n[4] M. Onoda, S. Murakami, and N. Nagaosa, Hall E\u000bect of\nLight, Physical Review Letters 93, 083901 (2004).\n[5] H. Katsura, N. Nagaosa, and P. A. Lee, Theory of the\nThermal Hall E\u000bect in Quantum Magnets, Physical Re-\nview Letters 104, 066403 (2010).\n[6] Y. Onose, T. Ideue, H. Katsura, Y. Shiomi, N. Nagaosa,\nand Y. Tokura, Observation of the Magnon Hall E\u000bect,\nScience 329, 297 (2010).\n[7] T. Ideue, Y. Onose, H. Katsura, Y. Shiomi, S. Ishiwata,\nN. Nagaosa, and Y. Tokura, E\u000bect of lattice geometry on\nmagnon Hall e\u000bect in ferromagnetic insulators, Physical\nReview B 85, 134411 (2012).\n[8] R. Matsumoto and S. Murakami, Theoretical Predictionof a Rotating Magnon Wave Packet in Ferromagnets,\nPhysical Review Letters 106, 197202 (2011).\n[9] R. Matsumoto and S. Murakami, Rotational motion of\nmagnons and the thermal Hall e\u000bect, Physical Review B\n84, 184406 (2011).\n[10] R. Matsumoto, R. Shindou, and S. Murakami, Thermal\nHall e\u000bect of magnons in magnets with dipolar interac-\ntion, Physical Review B 89, 054420 (2014).\n[11] K. Tanabe, R. Matsumoto, J.-I. Ohe, S. Murakami,\nT. Moriyama, D. Chiba, K. Kobayashi, and T. Ono, Ob-\nservation of magnon Hall-like e\u000bect for sample-edge scat-\ntering in unsaturated YIG, physica status solidi (b) 253,\n783 (2016).\n[12] L. J. Cornelissen, J. Liu, R. A. Duine, J. B. Youssef, and\nB. J. van Wees, Long-distance transport of magnon spin\ninformation in a magnetic insulator at room temperature,\nNature Physics 11, 1022 (2015).\n[13] L. J. Cornelissen, J. Liu, B. J. van Wees, and R. A. Duine,\nSpin-Current-Controlled Modulation of the Magnon Spin\nConductance in a Three-Terminal Magnon Transistor,\nPhysical Review Letters 120, 097702 (2018).14\n[14] A. Kamenev and A. Levchenko, Keldysh technique and\nnon-linear sigma-model: Basic principles and applica-\ntions, Advances in Physics 58, 197 (2009).\n[15] D. Xiao, M.-C. Chang, and Q. Niu, Berry Phase E\u000bects\non Electronic Properties, Reviews of Modern Physics 82,\n1959 (2010).\n[16] J. E. Hirsch, Spin Hall E\u000bect, Physical Review Letters\n83, 1834 (1999).\n[17] K. S. Das, W. Y. Schoemaker, B. J. van Wees, and I. J.\nVera-Marun, Spin injection and detection via the anoma-\nlous spin Hall e\u000bect of a ferromagnetic metal, Physical\nReview B 96, 220408 (2017).\n[18] K. S. Das, J. Liu, B. J. van Wees, and I. J. Vera-\nMarun, E\u000ecient Injection and Detection of Out-of-Plane\nSpins via the Anomalous Spin Hall E\u000bect in Permalloy\nNanowires, Nano Letters 18, 5633 (2018).\n[19] A. Kreisel, F. Sauli, L. Bartosch, and P. Kopietz, Mi-\ncroscopic spin-wave theory for yttrium-iron garnet \flms,\nThe European Physical Journal B 71, 59 (2009).\n[20] R. N. Costa Filho, M. G. Cottam, and G. A. Farias, Mi-\ncroscopic theory of dipole-exchange spin waves in ferro-\nmagnetic \flms: Linear and nonlinear processes, Physical\nReview B 62, 6545 (2000).\n[21] A. Kamra, W. Belzig, and A. Brataas, Magnon-squeezing\nas a niche of quantum magnonics, Applied Physics Let-\nters117, 090501 (2020).\n[22] J. H. P. Colpa, Diagonalization of the quadratic boson\nhamiltonian, Physica A: Statistical Mechanics and its\nApplications 93, 327 (1978).\n[23] R. Shindou, R. Matsumoto, S. Murakami, and J.-i. Ohe,\nTopological chiral magnonic edge mode in a magnonic\ncrystal, Physical Review B 87, 174427 (2013).\n[24] M. Lein and K. Sato, Krein-Schr odinger formalism of\nbosonic Bogoliubov{de Gennes and certain classical sys-\ntems and their topological classi\fcation, Physical Review\nB100, 075414 (2019).\n[25] L. Lu, J. D. Joannopoulos, and M. Solja\u0014 ci\u0013 c, Topological\nphotonics, Nature Photonics 8, 821 (2014).\n[26] S. A. Bender, R. A. Duine, A. Brataas, and\nY. Tserkovnyak, Dynamic phase diagram of dc-pumped\nmagnon condensates, Physical Review B 90, 094409\n(2014).\n[27] L. J. Cornelissen, K. J. H. Peters, G. E. W. Bauer, R. A.Duine, and B. J. van Wees, Magnon spin transport driven\nby the magnon chemical potential in a magnetic insula-\ntor, Physical Review B 94, 014412 (2016).\n[28] A. R uckriegel and R. A. Duine, Hannay Angles in Mag-\nnetic Dynamics, Annals of Physics 412, 168010 (2020).\n[29] F. Hecht, New development in freefem++, Journal of Nu-\nmerical Mathematics 20, 251 (2012).\n[30] J. Barker and G. E. W. Bauer, Thermal Spin Dynamics\nof Yttrium Iron Garnet, Physical Review Letters 117,\n217201 (2016).\n[31] S. Geller and M. A. Gilleo, The crystal structure and fer-\nrimagnetism of yttrium-iron garnet, Y 3Fe2(FeO 4)3, Jour-\nnal of Physics and Chemistry of Solids 3, 30 (1957).\n[32] B. R. Tittmann, Possible identi\fcation of magnetostatic\nsurface spin wave modes in ferromagnetic resonance on\nepitaxial yttrium-iron-garnet \flms, Solid State Commu-\nnications 13, 463 (1973).\n[33] M. Haertinger, C. H. Back, J. Lotze, M. Weiler,\nS. Gepr ags, H. Huebl, S. T. B. Goennenwein, and\nG. Woltersdorf, Spin pumping in YIG/Pt bilayers as a\nfunction of layer thickness, Physical Review B 92, 054437\n(2015).\n[34] A. Okamoto and S. Murakami, Berry curvature for\nmagnons in ferromagnetic \flms with dipole-exchange in-\nteractions, Physical Review B 96, 174437 (2017).\n[35] M. Hirschberger, R. Chisnell, Y. S. Lee, and N. P. Ong,\nThermal Hall E\u000bect of Spin Excitations in a Kagome\nMagnet, Physical Review Letters 115, 106603 (2015).\n[36] L. J. Cornelissen, J. Shan, and B. J. van Wees, Tempera-\nture dependence of the magnon spin di\u000busion length and\nmagnon spin conductivity in the magnetic insulator yt-\ntrium iron garnet, Physical Review B 94, 180402 (2016).\n[37] R. Takahashi and N. Nagaosa, Berry Curvature in\nMagnon-Phonon Hybrid Systems, Physical Review Let-\nters117, 217205 (2016).\n[38] A. Okamoto, S. Murakami, and K. Everschor-Sitte, Berry\ncurvature for magnetoelastic waves, Physical Review B\n101, 064424 (2020).\n[39] V. Cherepanov, I. Kolokolov, and V. L'vov, The saga\nof YIG: Spectra, thermodynamics, interaction and relax-\nation of magnons in a complex magnet, Physics Reports\n229, 81 (1993)." }, { "title": "2405.13303v1.Giant_Real_time_Strain_Induced_Anisotropy_Field_Tuning_in_Suspended_Yttrium_Iron_Garnet_Thin_Films.pdf", "content": " Giant Real-time Strain-Induced Anisotropy Field Tuning in Suspended Yttrium Iron Garnet Thin Films \n \nRenyuan Wang1†, Sudhanshu Tiwari2*, Yiyang Feng2, Sen Dai2, and Sunil A. Bhave2 \n1FAST LabsTM, BAE Systems, Inc. \n65 Spit Brook Road, Nashua, NH 03087, USA \n2Elmore Family School of Electrical and Computer Engineering, Purdue University \n1205 West State St., West Lafayette, IN 47907, USA \n \nYttrium Iron Garnet based tunable magnetostatic wave and spin wave devices are poised to revolutionize the \nfields of Magnonics, Spintronics, Microwave devices, and quantum information science. The magnetic bias \nrequired for operating and tuning these devices is traditionally achieved through large power-hungry \nelectromagnets, which significantly restraints the integration scalability, energy efficiency and individual \nresonator addressability. While controlling the magnetism of YIG mediated through its \nmagnetostrictive/magnetoelastic interaction would address this constraint and enable novel strain/stress \ncoupled magnetostatic wave (MSW) and spin wave (SW) devices, effective real-time strain-induced magnetism \nchange in YIG remains elusive due to its weak magnetoelastic coupling efficiency and substrate clamping \neffect. We demonstrate a heterogeneous YIG-on-Si MSW resonator with a suspended thin-film device \nstructure, which allows significant straining of YIG to generate giant magnetism change in YIG. By straining \nthe YIG thin-film in real-time up to 1.06%, we show, for the first time, a 1.837 GHz frequency-strain tuning in \nMSW/SW resonators, which is equivalent to an effective strain-induced magnetocrystalline anisotropy field \nof 642 Oe. This is significantly higher than the previous state-of-the-art of 0.27 GHz of strain tuning in YIG. \nThe unprecedented strain tunability of these YIG resonators paves the way for novel energy-efficient integrated \non-chip solutions for tunable microwave, photonic, magnonic, and spintronic devices. \n \nSingle crystal Yttrium iron garnet (YIG, Y 3Fe5O12) exhibits \nthe lowest magnon damping among known magnetic \nmaterials1. Fueled by the material’s many interesting cross-\nphysical-domain coupling properties, there have been many \nexplorations into using YIG to realize devices such as \nmagneto-optic devices for cryogenic applications2, non-\nreciprocal optical and RF/microwave devices3–5, tunable \nRF/microwave devices6–8, hybrid quantum circuits for \nquantum information processing9–13, spintronic devices14–17, \nand devices for realizing room temperature Bose-Einstein condensates18. In most of these applications, the magnetic bias \nneeded for operating and/or tuning of the YIG device was \nachieved through a bulky and power hungry electromagnet, \nwhich significantly restraints the integration and energy-\nefficiency scalability. Electrical control of the magnetism of \nYIG through its magnetoelastic interaction, for example, \nthrough piezoelectric actuation, would enable beyond CMOS \nscalable energy-efficient devices for high efficiency \nmagnetoelectric energy harvesting19, ultra-low power non-\nvolatile memory20, electrically small magnetoelectric \n \nFigure 1: (a) A 3D rendering of the YoS strain tuning device consisting of a YIG MSW resonator suspended over two movable Si shuttles, \nwhich are connected to a fixed Si frame by 28 thin Si springs. (b) The measurement setup used for characterization of the strain tunability \nof the device. (c) An optical image of the suspended YIG resonator and (d) A microscope photo of the strain-tuned resonator device in \n(a) consisting of a YIG thin-film resonator ion-sliced from a bulk single crystal YIG subsrate, suspended on a bulk micromachined silicon \nframe. (e) Measured impedance spectra of the device under various levels of applied strain in the YIG resonator. (f) Obtained strain vs \nfrequency response from the measured spectrum as shown in (e). \nantenna21, spin-state manipulation in quantum sensing and \nquantum information processing9,22–27, and novel spintronic \nand tunable devices28–38. However, effective real-time strain \nor stress mediated control of magnetism in YIG has remained \nintractable due to considerably small magneto-elastic \ncoefficients compared to other magnetoelastic materials such \nas terfenol-D and FeGaB39–41. This results in the requirement \nof a large amount of strain to generate a useful strain-induced \nmagnetocrystalline anisotropy field42. This is exacerbated by \nthe fact that high quality YIG thin-films are typically grown \non lattice matched crystalline bulk substrates42,43 that are \nincompatible with modern microfabrication technologies for \netching. Consequently, most reported literature on strain \ncontrol of YIG devices utilize solid mount YIG, which \nprevents transduction of large amounts of stress/strain in YIG \ndue to substrate clamping28,36,41,44. In addition, intimate \nheterogeneous integration of YIG with piezoelectric and \nferroelectric materials is needed to facilitate electrical control \nof strain/stress transduction, which has been proven \nchallenging to achieve45,46. State-of-the-art piezoelectric \nmaterials can only achieve 0.3% ~ 0.6% of maximum \nunloaded strain47 and under loaded conditions, this is not \nsufficient to generate a useful amount of strain (therefore \nstrain-induced magnetocrystalline anisotropy field) in YIG \nwith a solid-mounted device structure. To address these challenges and enable strong mechanically \nmediated control of magnetism in YIG, we demonstrate \nheterogeneous suspended thin-film YIG-on-Si (YoS) \nmagnetostatic wave resonators (Figure 1). The resonators \nwere fabricated on a YoS material platform (Figure 2), with \n2.19 µm thick YIG ion-sliced from bulk single crystal \nsubstrate, bonded to an oxidized Si wafer using a gold to gold \nthermocompression bonding process (see Methods). While \nhighly anisotropic etching of YIG is challenging to achieve \nwith conventional wet etching or reactive ion etching \ntechniques, we developed an anisotropic ion mill etching \nprocess to pattern thick (>2 µm) YIG thin-film device \nstructures, which is beneficial for minimizing spin-wave \nscattering. The devices consist of suspended ion-sliced YIG \nthin film spin-wave resonators anchored on two silicon \nshuttles connected to the Si substrate through Si spring beams, \nwhich are formed by deep reactive ion etching (DRIE) of \nsilicon. The suspended region of YIG has dimensions of 260 \nµm x 500 µm. Since the YIG is free-standing by removing the \nsubstrate, the device structure has significantly lower stiffness \ncompared to the solid mount devices. This allows a large \namount of mechanical strain to be transduced in to the free-\nstanding YIG membrane. By actuating the Si shuttles using \nlinear translation actuators, we transduce up to 1.06% of \ntensile strain in the suspended YIG thin film, which leads to a \n1.837GHz of real-time strain induced frequency tuning. This \n \nFigure 2: Ion-sliced and transferred YIG film on Si material platform: (a) laser interferometry measurement of ion-sliced YIG film on Si \nsubstrate; (b) cross-section schematic of the layer stack (from top to bottom: 2.19 µm YIG, 10 nm Ti, 60 nm Au, 10 nm Ti, 2 µm wet \nthermal oxide, 500 µm Si, 2 µm wet thermal oxide); (c) microscope photo of ion-sliced YIG film on Si, which was ion-sliced from a \nquarter of a 5mm diameter bulk single crystal YIG substrate; (d) SEM image of anisotropic etching profile of ion-mill patterning of YIG \nthin-film, etched through the gold bottom electrode stopping on the thermal oxide layer . \ncorresponds to a record strain-induced magnetocrystalline \nanisotropy field of 642 Oe28,36,41,44,48 (Methods). \nThe measurement setup used for strain tunability is \ndescribed in Figure 1. To strain the suspended YIG film in \nreal-time, we actuate the silicon shuttles in the length \ndirection by a linear translation stage (see Methods), and the \nactuation force is measured by a 6-axis load-cell. Meanwhile, \na magnetic bias field from a 3D projection electromagnet is \napplied to the thin film. This allows us to experimentally \ncharacterize the frequency tuning by strain-induced \nanisotropy field of all three types of MSWs that can exist in \nthe YIG thin-film. The magnetocrystalline anisotropy field of \nYIG in the (111) plane exhibits a 6-fold symmetry with \nrespect to the crystal basis. However, it is relatively weak \ncompared to the strain-induced anisotropy field relevant to \nthis work. Therefore, without any loss of generality, we orient \nthe length direction of our devices perpendicular to the crystal [1 -1 0] direction, which is determined by XRD before the \nfirst level of photolithography. On the other hand, the strain \ninduced anisotropy exhibits a 2-fold symmetry with respect to \nthe angle formed by the uniaxial stress and the saturation \nmagnetization (which is always along the direction of applied \nDC magnetic bias). Therefore, we study the strain induced \nanisotropy field frequency tuning in three scenarios: when the \nstatic magnetic bias is aligned i) perpendicular and ii) parallel \nto the length direction of the device within the YIG thin-film \nplane, and iii) perpendicular to the film plane, while tensile \nstraining the thin-film in the length direction. \nWhen the static magnetic bias is in the thin-film plane and \nperpendicular to the length direction of the device, the \nresonator operates predominantly in the magnetostatic \nbackward volume wave (MSBVW) mode due to the \nsymmetry of the transducer (Figure 3), where the wave is \nexcited through the out-of-plane component of the H field \n \nFigure 3: Measured strain-induced frequency tuning of suspended YIG thin-film MSW resonator operating in magnetostatic forward \nvolume wave, magnetostatic backward volume wave, and magnetostatic surface wave configurations. Due to the anisotropic contribution \nof the magnetoelastic energy to the total free energy of magnetization, the frequency tuning efficiency for the three different \nconfigurations exhibits vast difference: (a) impedance of the thin-film YIG resonator operating in MSBVW configuration under strain-\ninduced frequency tuning, inset annotates direction and magnitude of static magnetic bias and direction of strain; (b) resonant frequency \nvs. strain of the resonator operating in MSBVW configuration, showing a tuning efficiency of 160.3GHz/strain from linear regression \nfitting; (c) impedance of the same device operating in MSSW configuration under strain-induced frequency tuning, inset annotates \ndirection and magnitude of static magnetic bias and direction of strain; (d) resonant frequency vs. strain of the resonator operating in \nMSSW configuration, showing a tuning efficiency of -290.8GHz/strain from linear regression fitting; (e) impedance of the same device \noperating in MSFVW configuration under strain-induced frequency tuning, inset annotates direction and magnitude of static magnetic \nbias and direction of strain; (f) resonant frequency vs. strain of the resonator operating in MSFVW configuration, showing a tuning \nefficiency of 162.5GHz/strain from linear regression fitting. \nfrom the RF current flowing through the transducer fingers. \nThe wave travels predominantly along the width direction of \nthe suspended YIG film, parallel to the external applied \nmagnetic bias. Figure 1 shows the measured impedance under \na static magnetic bias of 526 Oe, while the suspended YIG \nthin film is strained in real-time by a linear translation stage \nfrom 0.06% to 1.06% of strain. Strong spurious modes exist \non the lower frequency side of the main resonance. This is \nconsistent with the fact that MSBVW exhibits anomalous \ndispersion. The measured resonant frequency of the main \nmode is tuned from 2.734 GHz to 4.571 GHz, resulting in a \n1.837 GHz of tuning range with a tuning efficiency of 183.7 \nGHz/strain. This is consistent with our numerical model (see \nMethods). The 1.837 GHz of tuning is equivalent to an \neffective strain-induced magnetocrystalline anisotropy field \nof 642 Oe. Figure 3a also shows the impedance spectrum of a \nlater iteration of the same design operating in the same \nconfiguration. This device is fabricated using an optimized \nprocess where the resputtered materials during ion-mill are \nremoved by phosphoric acid at a temperature of 70°C for 25 \nminutes. This modified process produces a cleaner etching \nprofile and results in sharper resonances in the spectrum. The \nmeasurement results shown in Figure 3a are with an in-plane \nbias of 1202 Oe. When no stress is applied, the resonant \nfrequency is ~5.2 GHz. This is consistent with the theoretical \nvalue predicted for a 2.19 µm thick YIG film assuming a \nsaturation magnetization of 1760 Oe (Methods), which \nindicates that the saturation magnetization of the suspended \nYIG film is consistent with the saturation magnetization of \nbulk single crystal YIG. When the uniaxial strain is varied \nfrom 0.1% to 0.28%, the resonant frequency is tuned from \n5.35 GHz to 5.65 GHz, resulting in a tuning efficiency of \n160.3 GHz/strain. As we further increase the external static \nmagnetic bias, we notice a reduction in strain-induced \nfrequency tuning efficiency as summarized in Figure 4. \nIntuitively, the total free energy at high bias is dominated by \nthe Zeeman energy leading to a diminishing effect on the \neffective bias from change of magnetoelastic energy by \nstraining. \n400 600 800 1000 1200 140050100150200250300Slope (GHz/strain)\nBias (Oe) \nFigure 4: Strain tuning efficiency of MSBVW under different \nmagnitudes of externally applied magnetic bias, showing decreasing \ntuning efficiency with increasing magnitude of externally applied \nstatic bias as the Zeeman energy dominates at high bias. Figure 3c shows the impedance of the same device when a \n969 Oe of external magnetic bias is applied parallel to the \nlength direction of the thin film. In this configuration, the \ntransducer predominantly couples to the magnetostatic \nsurface waves (MSSW) through the in-plane component of \nthe RF H-field. The wave travels predominantly along the \nwidth direction of the suspended thin-film, perpendicular to \nthe externally applied magnetic bias. As surface wave exhibits \nnormal dispersion, spurious models are predominantly on the \nhigher frequency side of the main resonance. Consistent with \nour theoretical model, the resonant frequency of the device \ndecreases as the suspended YIG is tensile strained, which is \nopposite to the MSBVW configuration. The tuning efficiency \nof MSSW is ~290.8 GHz/strain (Figure 3d), which is \napproximately 1.8 times higher than that of the MSBVW \nunder a similar static magnetic bias. Finally, Figure 3e shows \nthe measured strain-induced frequency tuning for the \nmagnetostatic forward volume waves (MSFVW), where the \nmagnetic bias is out of plane with a magnitude of 2670 Oe, \nand the wave propagates in the thin-film’s width direction. In \nthis configuration, the MSFVWs are excited through the in-\nplane component of the RF H-field from the transducer \nfingers. The tuning efficiency is 162.5 GHz/strain from linear \nfitting (Figure 3f). This is similar to that from the MSBVW \nconfiguration, and consistent with our numerical model. \nOur results demonstrate a material and device platform that \nenables opportunities to explore abundant physics and \nengineering applications of the YIG material system. \nCombining a suspended YIG thin-film MSW resonator \nstructure on a heterogeneous YoS material platform, we \nrealized giant magneto-mechanical interactions in YIG, \nresulting in a record 1.837 GHz of real-time strain induced \nfrequency tuning. Leveraging this device structure, we \ninvestigated the interaction of uniaxial tensile strain with the \nfrequency tuning behavior of all three types of magnetostatic \nwaves. An immediate advantage of this platform becomes \napparent when we consider that the strain is a normalized \nquantity; hence, scaling the actuator dimension of the \npiezoelectric transducer appropriately can result in a much \nlarger strain in the suspended YIG than the intrinsic strain \ncapability of the piezoelectric material. In combination with \nour heterogeneous YIG-on-Si material platform, this would \nallow potential future integration of voltage-controlled, \nefficient, piezoelectric strain transduction using CMOS \ncircuits. \nAcknowledgment : The YIG on silicon substrate material \nplatform was developed at FAST LabsTM, BAE systems. \nMicrofabrication including ion-milling of YIG, and back-side \ndeep silicon etching was performed at the Birck \nNanotechnology Center, Purdue University. Measurements \nwere performed at Seng-Liang Wang Hall at Purdue \nUniversity. The authors greatly appreciate the help and \nsupport from Prof. Michael Capano and Prof. Pavan Nukala \non X-ray diffraction measurements. The views, opinions, \nand/or findings expressed are those of the authors and should \nnot be interpreted as representing the official views or policies \nof the Department of Defense or the U.S. Government. This work was supported in part by the Air Force Research \nLaboratory (AFRL) and the Defense Advanced Research \nProjects Agency (DARPA). \nAuthors Contributions : R.W. invented device concept and \ndesign, completed modeling, and developed YoS material \nplatform. Y.F. and S.D. performed short-loop fabrication runs \nto identify YOI release recipes and YIG material properties. \nS.T. worked closely with R.W. to update the design for \ntestability and high yield, and micromachined the suspended YoS resonators. S.T. built measurement setup and conducted \nresonator measurements. R.W. and S.T. analyzed the \nexperimental data. R.W. and S.T wrote the manuscript with \ninput from others \n†rw364@cornell.edu \n*tiwari40@purdue.edu \n \n \n[1] Cullity, B. D., Cullity, B. D. & Graham, C. D. Introduction to \nMagnetic Materials . (IEEE Press, Piscataway, NJ, 2009). \n[2] An integrated magneto-optic modulator for cryogenic \napplications | Nature Electronics. \nhttps://www.nature.com/articles/s41928-022-00823-w. \n[3] Bi, L. et al. On-chip optical isolation in monolithically \nintegrated non-reciprocal optical resonators. Nature Photon 5, \n758–762 (2011). \n[4] Onbasli, M. C. et al. Optical and magneto-optical behavior of \nCerium Yttrium Iron Garnet thin films at wavelengths of 200–\n1770 nm. Sci Rep 6, 1–10 (2016). \n[5] Oliver, S. A., Zavracky, P. M., McGruer, N. E. & Schmidt, R. \nA monolithic single-crystal yttrium iron garnet/silicon X-band \ncirculator. IEEE Microw. Guid. Wave Lett. 7, 239–241 (1997). \n[6] Dai, S., Bhave, S. A. & Wang, R. Octave-Tunable \nMagnetostatic Wave YIG Resonators on a Chip. IEEE \nTransactions on Ultrasonics, Ferroelectrics, and Frequency \nControl 67, 2454–2460 (2020). \n[7] Du, X. et al. Magnetostatic Wave Notch Filters Frequency \nTuned Via a Zero DC Power Magnetic Bias Circuit. in 2024 \nIEEE International Microwave Filter Workshop (IMFW) 176–\n179 (2024). doi:10.1109/IMFW59690.2024.10477145. \n[8] Zhao, H. et al. Magnetotunable left-handed material consisting \nof yttrium iron garnet slab and metallic wires. Applied Physics \nLetters 91, 131107 (2007). \n[9] Yu, M., Shen, H. & Li, J. Magnetostrictively Induced \nStationary Entanglement between Two Microwave Fields. \nPhys. Rev. Lett. 124, 213604 (2020). \n[10] Huebl, H. et al. High cooperativity in coupled microwave \nresonator ferrimagnetic insulator hybrids. Phys. Rev. Lett. 111, \n127003 (2013). \n[11] Tabuchi, Y. et al. Hybridizing Ferromagnetic Magnons and \nMicrowave Photons in the Quantum Limit. Phys. Rev. Lett. 113, \n083603 (2014). \n[12] Zhang, D. et al. Cavity quantum electrodynamics with \nferromagnetic magnons in a small yttrium-iron-garnet sphere. \nnpj Quantum Inf 1, 1–6 (2015). \n[13] Lachance-Quirion, D. et al. Entanglement-based single-shot \ndetection of a single magnon with a superconducting qubit. \nScience 367, 425–428 (2020). \n[14] Collet, M. et al. Generation of coherent spin-wave modes in \nyttrium iron garnet microdiscs by spin–orbit torque. Nat \nCommun 7, 10377 (2016). \n[15] Osada, A. et al. Cavity Optomagnonics with Spin-Orbit \nCoupled Photons. Phys. Rev. Lett. 116, 223601 (2016). \n[16] Fan, Y. et al. Coherent magnon-induced domain-wall motion in \na magnetic insulator channel. Nat. Nanotechnol. 18, 1000–1004 \n(2023). [17] Stupakiewicz, A., Szerenos, K., Afanasiev, D., Kirilyuk, A. & \nKimel, A. V. Ultrafast nonthermal photo-magnetic recording in \na transparent medium. Nature 542, 71–74 (2017). \n[18] Demokritov, S. et al. Bose-Einstein condensation of quasi-\nequilibrium magnons at room temperature under pumping. \nNature 443, 430–3 (2006). \n[19] Puebla, J., Kim, J., Kondou, K. & Otani, Y. Spintronic devices \nfor energy-efficient data storage and energy harvesting. \nCommun Mater 1, 1–9 (2020). \n[20] Bauer, U., Przybylski, M., Kirschner, J. & Beach, G. S. D. \nMagnetoelectric Charge Trap Memory. Nano Lett. 12, 1437–\n1442 (2012). \n[21] Nan, T. et al. Acoustically actuated ultra-compact NEMS \nmagnetoelectric antennas. Nat Commun 8, 296 (2017). \n[22] Dietz, J. R., Jiang, B., Day, A. M., Bhave, S. A. & Hu, E. L. \nSpin-acoustic control of silicon vacancies in 4H silicon carbide. \nNat Electron 6, 739–745 (2023). \n[23] Coherent acoustic control of a single silicon vacancy spin in \ndiamond | Nature Communications. \nhttps://www.nature.com/articles/s41467-019-13822-x. \n[24] Olsson, K. S. et al. Spin-phonon interaction in yttrium iron \ngarnet. Phys. Rev. B 104, L020401 (2021). \n[25] Spin–phonon interactions in silicon carbide addressed by \nGaussian acoustics | Nature Physics. \nhttps://www.nature.com/articles/s41567-019-0420-0. \n[26] Cornelissen, L. J., Peters, K. J. H., Bauer, G. E. W., Duine, R. \nA. & van Wees, B. J. Magnon spin transport driven by the \nmagnon chemical potential in a magnetic insulator. Phys. Rev. \nB 94, 014412 (2016). \n[27] Manipatruni, S. et al. Scalable energy-efficient magnetoelectric \nspin–orbit logic. Nature 565, 35–42 (2019). \n[28] Das, J., Song, Y.-Y., Mo, N., Krivosik, P. & Patton, C. E. \nElectric-Field-Tunable Low Loss Multiferroic Ferrimagnetic–\nFerroelectric Heterostructures. Advanced Materials 21, 2045–\n2049 (2009). \n[29] Magnetic control of ferroelectric polarization | Nature. \nhttps://www.nature.com/articles/nature02018. \n[30] Spaldin, N. A. & Ramesh, R. Advances in magnetoelectric \nmultiferroics. Nature Mater 18, 203–212 (2019). \n[31] Kim, W. J. et al. Electrically and magnetically tunable \nmicrowave device using (Ba, Sr) TiO 3/Y3Fe5O12 multilayer. \nAppl Phys A 71, 7–10 (2000). \n[32] Ryu, J., Priya, S., Uchino, K. & Kim, H.-E. Magnetoelectric \nEffect in Composites of Magnetostrictive and Piezoelectric \nMaterials. Journal of Electroceramics 8, 107–119 (2002). \n[33] Non-volatile electrically-driven repeatable magnetization \nreversal with no applied magnetic field | Nature \nCommunications. \nhttps://www.nature.com/articles/ncomms2398. [34] Multiferroics: a magnetic twist for ferroelectricity | Nature \nMaterials. https://www.nature.com/articles/nmat1804. \n[35] Ramesh, R. & Spaldin, N. A. Multiferroics: progress and \nprospects in thin films. Nature Mater 6, 21–29 (2007). \n[36] Yang, G.-M., Wu, J., Lou, J., Liu, M. & Sun, N. X. Low-Loss \nMagnetically Tunable Bandpass Filters With YIG Films. IEEE \nTransactions on Magnetics 49, 5063–5068 (2013). \n[37] Ustinov, A. B. et al. Electric field tunable ferrite-ferroelectric \nhybrid wave microwave resonators: Experiment and theory. \nJournal of Applied Physics 100, 093905 (2006). \n[38] Sadovnikov, A. V. et al. Voltage-Controlled Spin-Wave \nCoupling in Adjacent Ferromagnetic-Ferroelectric \nHeterostructures. Phys. Rev. Appl. 7, 014013 (2017). \n[39] Liu, M. et al. Voltage Tuning of Ferromagnetic Resonance with \nBistable Magnetization Switching in Energy-Efficient \nMagnetoelectric Composites. Advanced Materials 25, 1435–\n1439 (2013). \n[40] Eerenstein, W., Mathur, N. D. & Scott, J. F. Multiferroic and \nmagnetoelectric materials. Nature 442, 759–765 (2006). \n[41] Srinivasan, G., Rasmussen, E. T., Levin, B. J. & Hayes, R. \nMagnetoelectric effects in bilayers and multilayers of \nmagnetostrictive and piezoelectric perovskite oxides. Phys. \nRev. B 65, 134402 (2002). \n[42] Wang, H., Du, C., Hammel, P. C. & Yang, F. Strain-tunable \nmagnetocrystalline anisotropy in epitaxial Y 3Fe5O12 thin films. \nPhys. Rev. B 89, 134404 (2014). \n[43] Sun, Y. et al. Growth and ferromagnetic resonance properties \nof nanometer-thick yttrium iron garnet films. Applied Physics \nLetters 101, 152405 (2012). \n[44] Fetisov, Y. K. & Srinivasan, G. Electric field tuning \ncharacteristics of a ferrite-piezoelectric microwave resonator. \nApplied Physics Letters 88, 143503 (2006). \n[45] Sharko, S. A. et al. Ferromagnetic and FMR properties of the \nYIG/TiO 2/PZT structures obtained by ion-beam sputtering. \nJournal of Magnetism and Magnetic Materials 514, 167099 \n(2020). \n[46] Lian, J. et al. Influence of the magnetic state on the voltage-\ncontrolled magnetoelectric effect in a multiferroic artificial \nheterostructure YIG/PMN-PZT. Journal of Applied Physics \n124, 064101 (2018). \n[47] Lim, L. C., Rajan, K. K. & Jin, J. Characterization of flux-\ngrown PZN-PT single crystals for high-performance piezo \ndevices. IEEE Trans. Ultrason., Ferroelect., Freq. Contr. 54, \n2474–2478 (2007). \n[48] Zhao, Y. et al. Voltage tunable low damping YIG/PMN-PT \nmultiferroic heterostructure for low-power RF/microwave \ndevices. J. Phys. D: Appl. Phys. 54, 245002 (2021). \n[49] Walker, L. R. Magnetostatic Modes in Ferromagnetic \nResonance. Phys. Rev. 105, 390–399 (1957). \n[50] Theory of Magnetostatic Waves . \n[51] Smith, J. & Beljers, H. G. Ferromagnetic resonance absorption \nin BaFe12O19, a highly anisotropic crystal. Philips Res. Rep. \n10, 113–130 (1955). \n[52] Spin Waves: Theory and Applications . (Springer US, Boston, \nMA, 2009). doi:10.1007/978-0-387-77865-5. \n[53] Kittel, C. On the Theory of Ferromagnetic Resonance \nAbsorption. Phys. Rev. 73, 155–161 (1948). \n[54] Pearson, R. F. Magnetocrystalline Anisotropy of Rare‐Earth \nIron Garnets. Journal of Applied Physics 33, 1236–1242 \n(1962). \n[55] The Measurement of Magnetostriction Constants by Means of \nFerrimagnetic Resonance - IOPscience. \nhttps://iopscience.iop.org/article/10.1143/JJAP.11.1303/meta. \n METHODS \nI. Magnetostatic wave dynamics \n MSW are lattice waves, where the lattice consists of magnetic dipole precessions. Under a strong DC torque exerting bias, a \nlocalized RF disturbance sets the dipoles into precession, which then propagates through the material. Collectively, they form \na propagating precession wave. In the classical regime (where wavelength is much longer than the exchange coupling length), \nthe wave dynamics are governed by the Landau-Lifshitz-Gilbert (LLG) equation49 coupled with Maxwell’s equations under \nmagneto-quasi-static approximation. The LLG equation governs the magnetic dipole precession dynamics, where M is the \nmagnetic dipole moment per unit volume, and Heff is the summation of any effective fields that can exert torque on the magnetic \ndipoles, The second term on the right-hand side of the equation accounts for the relaxation effect, suggested by T. L. Gilbert. \n𝜕𝑴\n𝜕𝑡= −𝛾𝑴×𝑯 𝒆𝒇𝒇+𝛼\n𝑀௦𝑴×𝜕𝑴\n𝜕𝑡 \nAs the wavelength approaches the characteristic exchange interaction length λex, the exchange interaction among nearby \nelectron spins become significant and gives rise to an extra torque exerting term ( 𝑯𝒆𝒙= 𝜆௫∇ଶ𝑴) in Heff. And magnetic dipole \nprecession wave in such regime are referred to as spin waves. To facilitate the study of stress induced anisotropy field, we \navoid the complexity arising from spin wave interactions by operating in the classical MSW regime in this work. \n \nFigure 1. Types of magnetostatic waves that can be supported by thin-film ferro/ferromagnetic materials. \nIt is well known that a ferro/ferromagnetic thin film structure, in general, supports three types of MSW due to the 2D \nconfinement of the film. Namely, there are magnetostatic forward volume waves, magnetostatic backward volume waves, and \nmagnetostatic surface waves. The particular type of waves that can be supported and excited in a thin-film structure depends \non the configuration of the directions of the static magnetic bias, the wave-vector, and the RF excitation field, which are \nannotated in Figure 2. Our MSW transducer is designed to couple to all wave types depending on the static bias configuration \nto facilitate the study of stress induced anisotropy field tuning, albeit the coupling efficiencies are different for different types \nof waves. \n \nFigure 2: HFSS simulation of small signal RF response (impedance) of the suspended YIG resonator devices operating in the MSBVW, \nMSSW, and MSFVW configurations: (a) simulated impedance of the resonator operating in the MSBVW configuration under 1250Oe static \nmagnetic bias; (b) simulated impedance of the resonator operating in the MSSW configuration under 1250Oe static magnetic bias; (c) \nsimulated impedance of the resonator operating in the MSFVW configuration under 1786Oe out of plan static magnetic bias. \nIn addition, it has been shown that the dispersion relations for the lowest order MSWs can be written as50 \nMSFVW: 𝜔ଶ= 𝜔ቂ𝜔+𝜔ெቀ1−ଵିషೖ\nௗቁቃ (1) \nMSBVW: 𝜔ଶ= 𝜔ቂ𝜔+𝜔ெቀଵିషೖ\nௗቁቃ (2) \nMSSW: 𝜔ଶ= 𝜔(𝜔+𝜔ெ)+ఠಾమ\nସ(1−𝑒ିଶௗ) (3) \nwhere ω0 = µ0γHeffDC, ωM = µ0γMs, and ω and k are respectively the frequency and wave-vector of the MSFW (parallel to film), \nd is the film thickness, γ is the gyromagnetic ratio, µ0 is the vacuum permeability, Ms is the magnitude of the saturation \nmagnetization. For our devices, we operate in the regime where kd << 1 (thin-film approximation), so that the tuning efficiency \nof MSW resonances approaches that of uniform precession mode. We model the small signal RF behavior of our devices using \nANSYS HFSS, as the physics is captured by Maxwell’s equations and the permeability tensor from linearized LLG equation. \nFigure 2a shows the simulated resonator impedance as a function of frequency for the device in main manuscript operating in \nthe MSBVW configuration. The internal magnetic bias is 1250Oe in the plane of the YIG thin film and perpendicular to the \ndevice length direction. Spurious modes exists on the lower frequency side of the main resonance, consistent with our \nmeasurements. Figure 2b shows the simulated impedance of the same device operating in the MSSW configuration with an \ninternal magnetic bias of 1250Oe, while Figure 2c shows the impedance of the device operating in the MSFVW wave with an \nout-of-plane internal magnetic bias of 1786Oe. \nII. Tuning of Resonator Frequency through Strain Induced Anisotropy Field \n \n \nFigure 3: Decomposition of the total free energy into its individual components: (a) total free energy surface only due to the \nmagnetocrystalline anisotropy; (b) total free energy surface only due to the Zeeman energy; (c) total free energy surface only due to the \ndemagnetization field; (d) total free energy surface only due to the magnetoelastic effect. \nIt has been shown that the uniform magnetic dipole precession frequency of a ferromagnetic body, hence the effective \nmagnetic bias, can be calculated as51 \n (4) \nwhere θ and φ are the polar coordinates, γ is the gyromagnetic ratio, µ0 is the vacuum permeability, 𝐻 is the effective \nmagnetic bias, and E is the total free energy of the magnetic dipole moments. Many fields that can exert torque on the dipole \nmoments contribute to the total free energy. As it is assumed that a strong static bias is always present during the operation of \nour devices, and the wavelength considered here is much longer than the exchange-coupling length in YIG52, we do not consider \nthe exchange field in our formulation. Here, we consider the energy from static magnetic bias (Zeeman energy1), \ndemagnetization field53, magnetocrystalline anisotropy field54, and stress induced magnetocrystalline anisotropy field \n(magnetoelastic energy42,55), and they can be written as below \n𝐸 = −𝜇 𝑀ௌ∙𝐻ா௫௧ (5) \n𝐸 = 𝜇𝑀ௌ∙𝐻/2 (6) \n𝐸= 𝐾+𝐾ଵ(𝛼ଵଶ𝛼ଶଶ+𝛼ଶଶ𝛼ଷଶ+𝛼ଷଶ𝛼ଵଶ)+𝐾ଶ(𝛼ଵଶ𝛼ଶଶ𝛼ଷଶ)+⋯ (7) \n𝐸ொ= −3𝜆 (𝛼ଵଶ𝜎ଵଵ+𝛼ଶଶ𝜎ଶଶ+𝛼ଷଶ𝜎ଷଷ)/2−3𝜆 ଵଵଵ(𝛼ଵ𝛼ଶ𝜎ଵଶ+𝛼ଶ𝛼ଷ𝜎ଶଷ+𝛼ଷ𝛼ଵ𝜎ଷଵ) (8) \nwhere Ms is the saturation magnetization, HExt is the externally applied static magnetic bias, HDemag is the demagnetization field \n(shape anisotropy field), Ki are the crystalline anisotropy constants, αi are the directional consines of the saturation \n𝜔=𝜇𝛾𝐻=𝛾\n𝑀௦sin𝜃 ඨ𝜕ଶ𝐸\n𝜕𝜃ଶ𝜕ଶ𝐸\n𝜕𝜑ଶ−ቆ𝜕ଶ𝐸\n𝜕𝜃𝜕𝜑ቇଶ\n magnetization with respect to the crystal axis, λijk are the magnetostriction constants, and σij are the components of the stress \ntensor. To model the strain-induced anisotropy field, we start with calculating the total free energy. For example, Figure 4b \nshows the total free energy surface of a (001) YIG thin film, with a 3500Oe external static magnetic bias and a 1% uniaxial \nstrain both applied in the [1 -1 0] direction, where the magnitude of the vector connecting the origin to the points on the surface \ndenotes the total free energy. The free energy surface exhibits a global minimum in the [1 -1 0] direction, which is consistent \nwith fact that the strong static bias dominates that potential energy so that the saturation magnetization is aligned in [1 -1 0]. \nFigure 3 shows the decomposition of the free energies into their individual components caused by only the magnetocrystalline \nanisotropy energy (Figure 3a), Zeeman energy (Figure 3b), demagnetization energy (Figure 3c), and strain-induced crystalline \nanisotropy energy (Figure 3d). As anticipated, the free energy surface, due only to the magnetocrystalline anisotropy exhibits \nsix maximum energy lobes pointing to the [001] equivalent directions, and 8 minimum energy points in the [111] equivalent \ndirections. This is consistent with the fact that the [001] directions are the hard axis of YIG while [111] are the soft axis of \nYIG. Meanwhile, the free energy \n \n \nFigure 4: Numerical calculation of effective bias from strain-induced anisotropy field: (a) total free energy when the material is stress free; \n(b) total free energy when the thin-film is subjected to 1% of uniaxial strain in the [1 -1 0] direction; (c) calculated frequency surface from \nthe total free energy surface in (a); calculated frequency surface from the total free energy surface in (b). \nsurface due only to the demagnetization field are minimized in the (001) plane, consistent with that the shape anisotropy of a \nferromagnetic thin film tends to align the magnetization within the thin film. The Zeeman energy surface exhibits a global \nminimum at the [1 -1 0] direction, which is consistent with that the external applied magnetic bias is in the [1 -1 0] direction. \nUsing eq. 4, we then numerically calculate the uniform precession resonant frequency (Figure 4d) and the effective magnetic \nbias, which results in a resonant frequency of 9.66GHz or equivalently an effective bias of 2681Oe calculated using Kittel’s \nformula53 assuming a saturation magnetization of 1760 Oe for YIG. It is worth noting that the resonant frequency surface only \nhas physical meaning in the direction of the global minimum of the free energy surface. Comparing to the exact same \nconfiguration without any strain in YIG (Figure 4a, c), the resonant frequency is 12.11GHz or equivalently an effective bias of \n3534Oe. The minor difference in the effective bias calculated from Kittel’s formula versus the 3500Oe model input was due to \nthe effect of crystalline anisotropy of YIG. \nAs indicated by eq. (5) ~ (8), the effective bias depends on the direction of the Ms as well as the stress tensor with respect to \nthe crystal axis. In Figure 5, we calculate the stress-induced frequency change of a (111) YIG thin-film under 2000 Oe of in-\nplane static magnetic bias, when an in-plane uniaxial stress of 1% is applied. The simulation is performed for different directions \nof uniaxial stress with respect to the crystal basis and for different directions of static magnetic bias with respect to the direction \nof the uniaxial stress. As shown in the figure, the strain-induced frequency tuning exhibits a 2-fold symmetry with respect to \nthe direction of the bias. When the bias is parallel to the uniaxial stress, the frequency tuning is -2.35GHz at 1% strain comparing \nto no strain. Meanwhile, when the bias is perpendicular to the uniaxial stress, the frequency tuning is positive 1.2GHz. In \naddition, the frequency change shows a very weak 6-fold symmetry with respect to the direction of the uniaxial stress, which \nis due to the weak interaction between the strain-induced anisotropy and the magnetocrystalline anisotropy. \n \nFigure 5: Strain-induced frequency tuning in a (111) YIG thin film under an in-plane uniaxial strain of 1% and an in-plane magnetic bias of \n2000Oe. \n \nFigure 6: Strain-induced frequency tuning for MSBVW under different externally applied magnetic bias. \nFigure 6 shows the calculated strain-induced frequency tuning under different externally applied magnetic bias. Here, the \nuniaxial stress is parallel to the YIG [1 -1 0] direction and the static bias is perpendicular to the [1 -1 0] direction, which \ncorresponds to the MSBVW case in our measurements. At an external bias of 434Oe, the frequency change at 1.1% strain is \n1.95GHz. This is consistent with our measurement in Figure 1, where a 1.02% strain led to 1.837GHz of frequency tuning. The \nfrequency tuning efficiency from straining exhibits weak dependence on the magnitude of externally applied magnetic bias. \nThe tuning efficiency at 434Oe, 934Oe, and 1434Oe are 177GHz/strain, 151GHz/strain, and 139GHz/strain, respectively. In \naddition, Figure 7 shows the frequency tuning as a function of strain for different wave configuration under different externally \napplied magnetic bias. The orange curve shows tuning corresponding to the MSBVW case, similar to Figure 6 but at an external \nbias of 1202Oe. The tuning efficiency is 148GHz/strain. Meanwhile, the yellow curve is for the case where the uniaxial stress \nis parallel to the YIG [1 -1 0] direction and the static bias is also parallel to the [1 -1 0] direction with a static bias of 969Oe, \ncorresponding to the MSSW case in our measurements. The tuning efficiency is 294GHz/strain, which matches with our \nmeasurements well. The simulated tuning efficiency of MSSW configuration at this bias is about 2 times of that of the MSBVW \ncase, while the measured tuning efficiency for the MSSW case is about 1.8 times of the MSBVW. In addition, the blue curve \nis for the case where the uniaxial stress is parallel to the YIG [1 -1 0] direction and the static bias is normal to the thin-film \nwith a static bias of 2670Oe, corresponding to the MSFVW case in our measurements. The tuning efficiency is about 12% \nlower than that of the MSBVW case in the model. In comparison, our measurements indicated that the tuning efficiencies are \nsimilar. We attribute these numerical mismatches between model and measurements to the inaccuracy of the material \nparameters used in the model as well as the fact that the stress in the suspended YIG thin-film is not strictly uniaxial. \n00.002 0.004 0.006 0.008 0.01 0.012\nStrain2000300040005000600070008000\nExternal Bias = 434Oe\nExternal Bias = 934Oe\nExternal Bias = 1434Oe \nFigure 7: Strain-induced frequency tuning as a function of strain induced by uniaxial stress for static bias perpendicular and parallel to the \nuniaxial stress. \nIII. Fabrication process flow \n \n \nFigure 8: Microfabrication process flow of the suspended YIG thin-film devices. The (a) Starting YoS material stack, (b) Optical image and \ncorresponding cross-sectional schematic after patterning of YIG layer, (c) Optical image and corresponding cross-sectional schematic after \npatterned deposition of Ti/Au layers which serve as transducers. (d) Cross-sectional schematic and an optical image of the sample after \nbackside DRIE of Si layer. (e) Cross-sectional schematic and an optical image of the sample after etching of SiO 2, and bonding metallic \nlayers. The sample is on a carier wafer for steps (d-e). (f) Optical image and a scross-sectional schematic after demounting of the sample \nfrom the carier wafer. \nThe fabrication process (Figure 8) begins with a thin-film YoS substrate (Main manuscript), which is fabricated by an ion-\nslicing, and thin-film transferred process. During the ion-slicing process, a bulk single crystal YIG grown by floating zone \nmethod is irradiated with 1MeV He+ ions. This creates a damaged layer centered at ~3 µm blow the YIG surface. Next, the \nYIG is flipped bonded on a high resistivity Si wafer with 2 µm thick thermal oxides on both sides by gold to gold compression \nbonding process. The bonding layer consists of 10nm of Ti adhesion layer and 30nm of Au bonding layer on both the Si and \nthe YIG. This is followed by an anneal process that slice off the YIG film from the plane damaged by the high energy helium \nions. A phosphoric acid etch at 65C with 85% concentration selectively removes the ion-implantation damaged surface layer \non the sliced-off YIG, which results in a YIG thin-film of around 2.4 µm thickness (Figure 8a). The high Si substrate resistivity \nminimize RF loss for the final resonator device. As the bonding strength of YIG on the Si wafer is crucial for the straining of \nthe suspended YIG thin-film, the bonding strength has been tested by die shearing test indicating a >100MPa bonding shear \nstrength. In addition, samples have been thermally cycled to over 450℃, and IR imaging of the bonding interface showed no \nsigns of delamination. As the thermal expansion coefficients of YIG and Si are 10.4ppm/℃ and 2.6ppm/℃, the peak shear \nthermal stress at the bonding interface from the thermal cycling exceeds 300MPa, indicating extremely high bonding quality. \nThe microfabrication process flow after on the ion sliced YIG-on-Si wafer is shows in Fig. 8. The cross-sectional schematics \nare accompanied by an optical image of the sample at each step. The optical from topside of the wafer are situated over the \ncross-sectional schematic while the bottom side optical images are situated under the schematic. After slicing off, the YIG \nfilms (>2 µm) are patterned through ion milling utilizing an AJA International, Inc. system. An optimizes photoresist mask is \nused to avoid burning of photo-resist during this thick YIG etching (Figure 8b). This ion milling process causes some sputtering \nof etched material on the vertical sidewalls. To remove this resputtered material, the sample is immersed in phosphoric acid at \na temperature of 70 °C for 25 minutes. Consequently, this combined etching procedure results in an almost vertical sidewall \nprofile. Additionally, the phosphoric acid soak reduces the thickness of the YIG film by 100 nm. During the ion milling process \nfor YIG etching, an over-etch is carried out to etch the Au/Ti layers, which were used for bonding the YIG to the Si wafer. \nConsequently, the buried SiO 2 layer is exposed. This 2 μm SiO 2 layer is removed using reactive ion etching (RIE). Next, a top \nelectrode consisting of 10nm Ti adhesion layer and 300nm of Au is defined over the YIG by lift-off (Figure 8c) process, which \nserves as the magnetostatic wave transducer. After the front-side process, the YoS substrate is flip-mounted on a carrier wafer \nfor back-side processing. To protect the top side of the sample, a photoresist layer is spin coated, and a 100 nm layer of \nAluminum (Al) is deposited. The Al layer serves to prevent the formation of a difficult-to-clean mixture of photoresist and \ncrystal-bond 555, which is utilized for bonding the sample to a carrier wafer. Next, deep reactive ion etching (DRIE) is used to \netch through the bulk of the Si wafer. The thermal oxide on the backside of the Si wafer is patterned by RIE using photoresist \nmasks, which serves as a hardmask for the DRIE process. The buried thermal oxide between the bonding metal and Si serves \nas the etch stop for the DRIE (Figure 8d), which is exposed after the DRIE etch. The buried SiO 2 layer is then partially etched \nusing reactive ion etching. The remaining SiO 2 layer and bonding metal layers (Ti/Au/Ti) are removed using wet chemical \nprocesses (Figure 8e). The removal of the gold layer is accomplished using a standard Au etchant, while BOE is employed for \nthe removal of the SiO 2 and Ti layers. Throughout this entire process, the sample remains attached to the carrier wafer. \nSubsequently, the sample is demounted by immersing the carrier wafer in hot water. Following the demounting, the sample is \nsoaked in acetone to lift off the protective Al layer. As a result of this process, a suspended YIG film with MSW transducers \non top is obtained (Figure 8f). \nIV. Measurements \nThe strain tuning measurement requires force-displacement measurement simultaneously with RF measurement under a \nmagnetic bias field. In order to achieve this feature, a custom test setup was designed and assembled. The measurement setup \nconsists of a linear translation stage (X-LDM060C from Zaber Technologies Inc) to provide necessary movements, thereby \nenabling strain of YIG film, a 6-axis load cell (MC3A from Advanced Mechanical Technology, Inc.) to completely characterize \nthe forces and moments generated during the movement of the linear stage, a projection magnet (Model 5201 from GMW \nAssociates) and a typical RF measurement setup (i.e., VNA and GSG RF probes along with a microscope). Two machined \naluminum plates, one each, are mounted on the linear stage and the load cell. These plates are machined with a M1.4 screw \nwhole where a partially screwed M1.4 screw is fixed. The sample is mounted between the linear stage and load cell by aligning \nthe holes in the Si with the screws. This allows the stretching of the Si plates, which in turn transfers stresses to the YIG thin \nfilm. The measurement setup is schematically shown in Figure 9. The equivalent spring model of this measurement is shown \nin Figure 10. Both the load cell and the linear stage have their own stiffnesses as denoted by K LC and K LS, which are connected \nin series with the device where the suspended YIG film and the straight Si springs are in parallel combination. When the linear \nstage is actuated, the complete spring assembly comes under tension, and a fraction of the total force is transferred to the YIG \nfilm. After each stage movement the force reading is allowed to stabilize, and the corresponding RF response of the device is \nsaved using the VNA. A constant bias field is maintained using the projection magnet, and measurements are taken for \nincremental displacement intervals. This process is repeated for a combination of bias fields in all three axes. The spring model \ncan be further simplified by using an effective spring of stiffness, K C which, accounts for K LS and K LC in series. To measure \nthis stage assembly stiffness K C, a rigid Si block with the same mounting hole but without any spring is fabricated. A force vs. \ndisplacement response is measured after mounting this Si block on the measurement setup. Since the Si block can be assumed rigid for this measurement, the slope of this curve yields the stiffness of the stage assembly. For accurate characterization of \nthe spring constant of the Si springs, the force displacement measurement is carried out on a device with ruptured YIG film. \nFrom this measurement, the combined stiffness of stage assembly and Si springs (K Si and K C in series) is obtained. Since K C \nhas already been calculated, K Si can be easily calculated. From the different stiffness measurements the fraction of total force \nthat is experienced by the YIG film is calculated. This force is used to calculate the strain in the YIG film for each measurement \npoint. \n \n \nFigure 9: Setup for testing the suspended thin-film YIG devices. \n \n \nFigure 10: The equivalent spring connection model of the full characterization setup. \n \n" }, { "title": "1607.02358v3.Control_of_magnon_photon_coupling_strength_in_a_planar_resonator_YIG_thin_film_configuration.pdf", "content": "arXiv:1607.02358v3 [cond-mat.mtrl-sci] 24 Nov 2016Control of magnon-photon coupling strength in a planar reso nator/YIG thin film\nconfiguration\nV. Castel,1A. Manchec,2and J. Ben Youssef3\n1)T´ el´ ecom Bretagne, Technopole Iroise-Brest, CS83818, 29 200 Brest,\nFrance.\n2)Elliptika (GTID), 29200 Brest, France.\n3)Universit´ e de Bretagne occidentale, Laboratoire de Magn´ etisme de Bretagne CNRS,\n29200 Brest, France\n(Dated: 26 July 2021)\nA systematic study of the coupling at room temperature between f erromagnetic res-\nonance (FMR) and a planar resonator is presented. The chosen ma gnetic material is\na ferrimagnetic insulator (Yttrium Iron Garnet: YIG) which is positio ned on top of a\nstop band (notch) filter based on a stub line capacitively coupled to a 50 Ω microstrip\nline resonating at 4.731 GHz. Control of the magnon-photon couplin g strength is dis-\ncussed interms ofthe microwave excitation configurationandtheY IG thickness from\n0.2 to 41 µm. From the latter dependence, we extract a single spin-photon co upling\nof g0/2π=162±6 mHz and a maximum of an effective coupling of 290 MHz.\nKeywords: Cavity spintronic, quantum detector, Yttrium Iron Ga rnet, notch filter,\nmagnon-photon coupling\n1I. INTRODUCTION\nA recent field, known as cavity spintronics1,2, is emerging from the progress of spintronics\ncombined with the advancement in Cavity Quantum Electrodynamics ( QED) and Cavity\nPolaritons3,4. Cavity QED allows the use of coherent quantum effects for quantu m informa-\ntion processing and offers original possibilities for studying the stro ng interaction between\nlight and matter in a variety of solid-state systems5–7. A superconducting two-level system\nis quantum coherently coupled to a single microwave photon and an an alogy to spintronics\n(spin two-level system) has been made. The high spin density of the ferromagnet used in\nRef.8,9hasmade it possible to create a strongly coupled magnonmode. Magn on-photoncou-\npling has been investigated in several experiments at room tempera ture where a microwave\nresonator (three-dimensional cavity10–18and planar configuration19–21) was loaded with a\nferrimagnetic insulator such as the Yttrium Iron Garnet (YIG, thin film and bulk). A study\non a transition metal like Py (structured thin film) coupled with a Split R ing Resonator\n(SRR) was done by Gregory et al.22in order to demonstrate the possibility to achieve YIG-\ntype functionalities and to overtake the working frequency limitatio n of YIG. More recently,\nL. Bai et al.15have developed an electrical method to detect magnons coupled wit h photons.\nThis method has been established by placing a hybrid YIG/Pt system in a microwave cavity\nshowing distinct features not seen in any previous spin pumping expe riments but already\npredicted by Cao et al.23.\nII. COMPACT DESIGN DESCRIPTION\nThe main objective of the present paper is to demonstrate the con trol of a magnon-\nphoton coupling regime at room temperature in a compact design bas ed on a stub line\ncoupled with a microstrip with YIG thin film. Control of a magnon-phot on coupling regime\nin such configuration offers manifold opportunities in the developmen t of integrated spin-\nbased microwave applications, such as a sensitive reconfigurable st op-band filtering function.\nInsteadofusingaSplitRingResonator(SRR)configuration,thech oicewasmadetostudy\ntheYIGthickness dependence ofthecoupling regime withastub lineg eometry forwhich the\nmicrowaveexcitationofthemagneticmediumissimplified. Figure1(a)r epresentsthesketch\nof the experimental setup based on the stub/YIG film system excit ed by a microwave signal\n2/s76\n/s115/s119\n/s115/s49/s103\n/s119\n/s115/s50\n/s119/s40/s99/s41/s40/s98/s41\n/s83/s32/s112/s97/s114/s97/s109/s101/s116/s101/s114/s115/s32/s91/s100/s66/s93/s32/s83\n/s49/s49/s32/s40/s77/s41\n/s32/s83\n/s50/s49/s32/s40/s77/s41\n/s32/s83\n/s49/s49/s32/s40/s83/s41\n/s32/s83\n/s50/s49/s32/s40/s83/s41\n/s70/s114/s101/s113/s117/s101/s110/s99/s121/s32/s91/s71/s72/s122/s93/s40/s97/s41\n/s89/s73/s71/s47/s71/s71/s71\n/s72/s48\n/s53\n/s49/s53/s49/s48\nFIG. 1. (a) Experimental setup: A Vector Network Analyzer (V NA) is connected to a 50 Ω\nmicrostrip line which is capacitively coupled to the resona tor. Numbers from 0 to 15 are referred\nto the YIG sample position (an example is given for which the c enter of the sample is placed\nat x=10 mm=0.25 λ). (b) Dimension of the microwave stop band resonator configu ration. (c)\nFrequency dependence of S parameters measured (M) and simul ated (S) by CST simulation of the\nempty resonator (without YIG sample).\nunder anin-plane static magnetic field, H, at θ=0◦.θis defined by the angle formed between\nH and the stub line. P1 and P2 correspond to the 2 ports of the VNA f or which a TSOM\ncalibration were realized (including cables). The frequency range is fi xed from 3 to 6 GHz at\nan microwave power of P=-10 dBm. The circuit is fabricated on a pre- metallized (double-\nsided 25 µm copper coating) ROGERS substrate (3003) presenting a relative permittivity\nofεr=3 and losses tan δ=2×10−3(dimension are shown in Fig. 1 (b)). The narrow stop-\nband (notch) resonator configuration is based on a main 50 Ω micros trip line (W=1.23 mm)\ncoupled by a gap of g=150 µm to an open circuited half wavelength stub (L s=15.52 mm,\nWs1=280µm and W s2=1.0 mm). It has been designed with an attenuation of 13 dB at 4.75\nGHz and 80 MHz of bandwidth. The frequency dependence of the S1 1 and S21 parameters\n(measured andsimulated) oftheempty resonatorareshown inFig. 1(c). TheS21resonance\npeak has a half width at half maximum (HWHM) ∆F HWHMof 32 MHz indicating that the\ndampingoftheresonator(workingatthefrequency F0)isβ=∆FHWHM/F0=1/2Q=6.8 ×10−3.\nThis leads to a quality factor Q of 74. The latter definitions of the Q fa ctor and β(used\n3in the following discussion) are based on the expression extracted f rom recent studies in the\nfield of magnon-photon coupling (2D20,21and 3D cavities13–18). Nevertheless, this definition\ndoes not reflect properly the electrical performances of our not ch filter which are defined by\nQ0=F0//bracketleftbig\n∆FS21\n−3dB(1−S11F0)/bracketrightbig\n=153.\nSingle-crystal Y 3Fe5O12(YIG) samples from 0.2 to 41 µm were elaborated by Liquid\nPhase Epitaxy (LPE) on top of a 500 µm thick GGG substrate in the (111) orientation.\nYIG samples have been cut in rectangular shape (4 mm ×7 mm) and placed on the stub line\nas shown in Fig. 1 (a) with the crystallographic axis [1,1, ¯2] parallel to the planar microwave\nfield generated by the stub line. The magnetic losses (Gilbert damping parameter, α) of the\nsetofYIGsamplewereinvestigatedbyFMRmeasurementsusingahig hlysensitivewideband\nresonance spectrometer within a range of 4 to 20 GHz. Measureme nts were carried out at\nroom temperature with a static magnetic field applied in the plane of YI G samples. These\ncharacterizations have given rise to a parameter α≤2×10−4for the set of samples which is\nin agreement with previous studies24.\nIII. RESULTS AND DISCUSSION\nWe first studied the magnitude of the coupling strength as a functio n of the position\nof a 9µm YIG sample on top of the planar resonator, as shown in Fig. 1 (a). F igures\n2 (a) to (c) illustrate the dependence of the resonator features (at H=0 Oe), such as the\nresonant frequency F0, linewidth ∆F HWHM, damping of the resonator β(Q factor), and\nthe dependence of S11 and S21 at the resonant frequency F0.F0can be tuned from 4.35\nto 4.715 GHz (tuning of 8.4 %) and presents a maximum at x=0.25 λwhich is closed to\nthe resonant frequency of the empty resonator (represented by horizontal dash dot lines).\nNote that the YIG position corresponds to the center of the samp le as illustrated in Fig.\n1 (a). The wavelength is defined by λ=λ0√εeff, whereεeffcorresponds to the effective\npermittivity. The configuration of the notch filter (open circuit (OC ) at x=0.5 λ) induced\nnecessarily the definition of short circuit (SC) at x=0.25 λwhich explains the limited impact\nof YIG (at this position) on the resonator features. Introductio n of a YIG layer ( εr=15\nand losses tan δ=2×10−4) on CST simulation make it possible to correctly reproduce this\ndependence at zero field (solid black line) which is attributed to the mo dification of the\neffective permittivity. Here, only the electrical properties of the Y IG sample were taken into\n4FIG. 2. (a) to (c): YIG sample position dependence (at H=0 Oe) of (a)F0and ∆F HWHM,\n(b) Q factor and losses, (c) S11 and S21 at the resonant freque ncyF0. Horizontal dash dot\nlines correspond to parameters extracted from the empty res onator whereas the vertical dash dot\nline illustrates the position of YIG (respect to the center) at x=0.25 λas shown in Fig. 1 (a).\n(d) and (e): Signature of the coupling. Frequency dependenc e of the magnitude in dB of S21\n(d) and the associated phase φS21(e) for a YIG position at x=0.25 λ. Solid blue and red line\ncorrespond respectively to the response at H=0 Oe and at H=H RES.F1andF2(represented by\nvertical red dash lines) correspond to hybridized mode freq uencies whereas g eff/2πcorresponds\nto the coupling strength parameter. (f) Dependence of g eff/2π(measured, black triangles) and\nmicrowave field amplitude (CST simulation, solid red line) a s function of the YIG sample position.\nAll measurements have been carried on at room temperature on a YIG sample which presents\na thickness of (9 µm). The inset shows the spatial distribution of the microwav e magnetic field\nsimulated at F0.\naccount. Contrary to a ferromagnetic conductor, such as an ex tended thin film of Permalloy\n(Py, NiFe)22, no eddy current shielding effect of YIG on the stub line was observe d. YIG is\na ferrimagnetic insulator with a band gap of 2.85 eV and the high quality YIG samples used\n5in this study allow the reduction of negative impacts on the planar res onator. As shown\nin Fig. 2 (a), ∆F HWHMreaches a maximum of 34 MHz at x=0.3 λ, which represents an\nenhancement of only 2 MHz with respect to the empty resonator (a reduction of 5 MHz\nbeing achievable at x=0.45 λ). Slightly changes in the Q factor ( β) from 70 to 77 (6.4 to\n7.2×10−3) were obtained. In the meantime, attenuation represented by th e S11 parameter\natF0are closed to the value extracted from the empty resonator from x=0.4 to 0.3 λ(-2.35\ndB).\nFor each position of the YIG sample, measurement at room tempera ture of the frequency\ndependence of S parameters (magnitude and phase) at P=-10 dBm was done with respect\nto the applied magnetic field. Figure 2 (d) and (e) represent, respe ctively, the frequency\ndependence of S21 and Φ S21of the notch/YIG system at x=0.25 λ(as shown in Fig. 1\n(a)). Solid (dash) blue and red lines are associated with the experime ntal (analytic solution\nfrom Eq. (3) from Ref.18) response under an applied magnetic field of H=0 Oe and H=H RES,\nrespectively. TheFMRandthenotchfilterinteractbymutualmicro wavefields, generatedby\nthe oscillating currents in the stub and the FMR magnetization prece ssion which led to the\nfollowing features observed in Fig. 2 (d) and (e): (i) Hybridization of resonances (magnitude\nand phase25), (ii) Annihilation of the resonance at F0, and (iii) Generation of two resonances\natF1andF2. At the resonant condition H=H RES, the frequency gap, Fgap, between F1and\nF2is directly linked to the coupling strength of the system ( Fgap/2=geff/2π). Several models\ncan be used to analyze the hybridized mode frequency F1andF2in the system. Recently,\nHarder et al.18have examined the accuracy to describe the microwave transmissio n line\nshape of a cavity/YIG system through three different models: cou pled harmonic oscillators,\ndynamic phase correlation, and microscopy theory. Here, the ana lysis has been focussed on\nthe harmonic coupling model for which we can define theupper ( F2) andlower ( F1) branches\nby:\nF1,2=1\n2/bracketleftbigg\n(F0+Fr)±/radicalBig\n(F0−Fr)2+k4F2\n0/bracketrightbigg\n(1)\nTheFMRfrequency, Fr, ismodelledbytheKittelequation, Fr=γ\n2πµ0/radicalbig\nH(H+Ms), which\ndescribes the precession frequency of the uniform mode (without taking into account spin\nwave distribution) in an in-plane magnetized ferromagnetic film. The p arameter kused in\nEq. 1 corresponds to the coupling strength which is linked to the exp erimental data g eff/2π\n6by the following equation18:Fgap=F2−F1=k2F0. As shown in Fig. 2 (f), sensitive\ncontrol of g eff/2π(and thus k) can be achieved by adjusting the YIG sample position on\nthe resonator from 54 MHz ( k=0.1573) at x=0.45 λto 127 MHz ( k=0.2315) at x=0.25 λ.\nIn order to understand the dependence of g eff/2πon the YIG position, CST simulations\nwere carried out in order to determine the microwave field ( hMW) generated at each position\n(represented in solid red line). It ends up that hMWfollows exactly the same trend of the\ncoupling factor, in agreement with the fact that the effective coup ling strength depends on\nthe mutual microwave field interaction between the FMR and the stu b line. The latter\ndependence is defined by the following equation9,12:\ngeff\n2π=η\n4πγe/radicalbigg\n/planckover2pi1ω0µ0\nVc√\nN, (2)\nwhereγeistheelectrongyromagneticratioof2 π×28.04GHz/T, µ0isthepermeability ofthe\nvacuum, Vccorresponds to the volume of the cavity, and Nis the total number of spins. The\ncoefficient η≤1 describes the spatial overlap and polarization matching conditions between\nthe microwave field and the magnon mode. In agreement with Zhang e t al.12(Appendix\nA), we demonstrated the dependence of g eff/2πas function of the spatial distribution of the\nmicrowave magnetic field along the stub line which is maximum at x=0.25 λ(short circuit).\nTABLE I. Notch/YIG configuration versus SRR & cavity/YIG sys tems\nRef. β[10−3]geff/2π[MHz]F0[GHz] k\n151.8 80 10.506 0.1234\n160.708 31.8 9.650.0812\n172.3 65 10.847 0.1095\n180.3 31.5 10.556 0.0773\n13,141.92 130 3.5350.2712\n209.85 270 3.20.4108\n215.04 63 4.960.1594\nThis work[1]6.89 127 4.7160.2315\nThis work[2]6.89 290 4.7190.3508\nTable I gives a picture of recent work on the determination and cont rol of magnon-\nphoton coupling regimes in SRR20,21and cavity13–18/YIG systems. Ref.15–17correspond to\n7the research field associated with the electrical detection of magn ons coupled with photons\nvia combined phenomena in a hybrid YIG/Pt system. Despite the fact that these later\nstudies have been realized in a cavity, insertion of a hybrid stack inclu ding a highly electrical\nconductor induced an enhancement of the intrinsic loss rate β(factor of 515to 1216). The\nvalueofkobtainedattheoptimizedpositionatx=0.25 λissignificantlyhigherthanRef.15–18\nand comparable to Ref.13,14,21but still much smaller than the value obtained by Bhoi et al.20.\nIt should be noted that the normalization of kby the intrinsic loss rate βchanges the latter\ncomparison drastically.\nNext, the dependence of the coupling strength between the FMR a nd the notch filter\nwas investigated with respect to the YIG thickness from 0.2 to 41 µm. YIG samples were\nplaced at the optimized position which has been determined previously (x=0.25 λ). This\nparticular position gives an access to the highest coupling (determin ed at P=-10 dBm) and\npresents the best compromise in terms of the electrical performa nce of the notch filter.\nSample position was adjusted by tracking F0at H=0 Oe ( F0=4.715±0.002 GHz). It should\nbe noted that no dependence of the insertion rate of the resonat or (β=6.89±0.01 10−3) and\nattenuation (S11 F0=-2.45±0.04 dB) have been observed with respect to the YIG thickness.\nAs shown in Fig. 3 (a), we demonstrated a strong coupling regime via t he anti-crossing\nfingerprint. A good agreement of F1,2based on Eq. 1 (solid lines) with experimental data\nis obtained for the various YIG thicknesses. The color plot in Fig. 3 (a ) is associated with\nthe S21 parameter for which the dark area corresponds to a magn itude of -10 dB. This\nrepresentation underlines the complexity of the response by incre asing the YIG thickness\nfrom 0.2 to 41 m, well illustrated by the additional anti-crossing signa ture between 0.75\nand 0.90 kOe (upper resonance). In the following discussion, the ex traction of the coupling\nfactor is only based on the uniform mode without taking into account the dispersion relation\nof spin waves. Figure 3 (b) represents the frequency dependenc e of the transmission spectra\nfor the notch/YIG system at the resonant condition for which the effective coupling was\nextracted. Control of the frequency gap can be achieved from 5 9 to 581 MHz through an\nenhancement of the YIG thickness from 0.2 to 41 µm, respectively. Parameters associated\nwith the thicker YIG are summarized in Tab I (last row).\nThe originality of this study is described in Fig. 3 (c) which represents the dependence of\nthe effective coupling g eff/2πas a function of the square root of the YIG volume interacted\nwith the 1 mm width microwave resonator (V=4 mm ×1 mm×YIGthickµm). Cao et al.23\n8FIG. 3. Control of the coupling strength as function of the YI G thickness. (a) Magnetic field\ndependence of the frequency: Observation of the strong coup ling regime via the anti-crossing\nfingerprint. The color map is associated to the response of th e thicker YIG sample (41 µm). (b)\nFrequency dependence of S21 at the resonant condition for va rious YIG thickness (0.2, 9, and 41\nµm). (c) Coupling strength of the Kittel mode to the microwave resonator mode as a function of\nthe square root of the YIG volume. Colored triangles corresp ond to the dispersion of g eff/2πfrom\nFig. 2 (f). The inset represents the YIG thickness dependenc e ofk. All measurements were done\nat room temperature and at x=8 mm as shown in Fig. 1 (a).\nshows that the filling factor of magnetic medium in a cavity can be used as a measure of\nthe total number of spins, N. The large effective coupling strength is due to the large\nspin density of YIG, ρs=2.1×1022µBcm−3(µB; Bohr magneton). The linear fit of the\ndependence presented in Fig. 3 (c) gives rise to a slope of 742 ±29 MHz mm3/2which\n9makes it possible to extract the single spin-photon coupling g 0/2π=162±6mHz based on the\nfollowing equation9,16geff=g0√\nN. Tabuchi et al.9demonstrate a good agreement between\nthe evaluation of the single-spin coupling strength from the fitting ( 39 mHz) and theory\nderived from the quantum optics community (38 mHz). The latter va lue is calculated from\nEq.2 by taking the coefficient η= 1. The higher value of g 0/2πobtain in the present work\nis mainly due to the compactness of our resonator. A rough estimat ion of the volume of our\nnotch filter working at F0=4.750 GHz can be done by using a one dimensional transmission-\nline cavity26which defines the volume as Vc=πr2λ/2. By assuming r=0.5 mm (distance\nbetween the feed line and the ground), λ=c/(√εeffF0), andη= 1, we evaluate g 0/2π=177\nmHzwhenthecavityiscompletely filledbyair( εeff=εr=1)which isclosed totheextracted\nvalue of g 0/2πfrom the fitting. Nevertheless, this value does not reflect the fac t that the\ncavity is nonuniformly filled with other dielectric materials such as the s ubstrate, GGG, and\nYIG. An enhancement of g 0/2πfrom 177 to 219 mHz can be achieved by taking into account\nan effective permittivity of εeff=2.449. The latter value is determined27for the notch filter\nloaded with a YIG film at x=0.25 λwhich induced a diminution of F0from 4.750 to 4.715\nGHz.\nWe have demonstrated the presence of a strong coupling regime via the anti-crossing\nfingerprint of the FMR from an magnetic insulator and a planar reson ator. Control of\nthe coupling with respect to the YIG thickness from 0.2 to 41 µm makes it possible the\ndetermination of the single spin photon coupling of our system at roo m temperature. We\nhave found that g 0/2πin a thin film configuration is equal to 162 ±6 mHz. In the meantime,\nwe demonstrate an effective coupling strength of 290 MHz for the t hicker YIG. Improvement\non insertion losses of the planar resonator can be achieved in order to be more competitive\nregarding the 3D cavity system by changing the design of the reson ator (SRR, array of\nSRR, enhancement of the capacitive coupling) and/or by using a low lo ss substrate ( <\n10−2). Other tuning channel of the coupling strength such as microwav e power or spin wave\ndispersion might help for the realization of YIG-based devices.\nREFERENCES\n1O. O. Soykal and M. E. Flatt´ e, “Strong field interactions between a nanomagnet and a\nphotonic cavity,” Phys. Rev. Lett. 104, 077202 (2010).\n102C. M. Hu, “Dawn of cavity spintronics,” Physics in Canada 72, 76 (2016).\n3R. W. Sanders, V. Jaccarino, and S. Rezende, “Magnetic polariton , impurity mode en-\nhancement, and superradiance effects infef2,” SolidStateCommun ications28, 907(1978).\n4D. L. Mills and E. Burstein, “Polaritons: the electromagnetic modes o f media,” Reports\non Progress in Physics 37, 817 (1974).\n5C. Cohen-Tannoudji, “Atoms in electromagnetic fields,” World Scient ific Series onAtomic,\nMolecular and Optical Physics 3(2004).\n6R. Laflamme, E. Knill, D. G. Cory, E. M. Fortunato, T. Havel, C. Mique l, R. Martinez,\nC. Negrevergne, G. Ortiz, M. a. Pravia, Y. Sharf, S. Sinha, R. Som ma, and L. Viola,\n“Introduction to nmr quantum information processing,” arXiv (200 2).\n7A. Wallraff, D. I. Schuster, A. Blais, L. Frunzio, J. Majer, S. Kumar , S. M. Girvin, and\nR. J. Schoelkopf, “Strong coupling of a single photon to a supercon ducting qubit using\ncircuit quantum electrodynamics,” Nature 431, 1 (2004).\n8H. Huebl, C. W. Zollitsch, J. Lotze, F. Hocke, M. Greifenstein, A. Ma rx, R. Gross, and\nS. T. B. Goennenwein, “High cooperativity in coupled microwave reso nator ferrimagnetic\ninsulator hybrids,” Phys. Rev. Lett. 111, 127003 (2013).\n9Y. Tabuchi, S. Ishino, T. Ishikawa, R. Yamazaki, K. Usami, and Y. Na kamura, “Hy-\nbridizing ferromagnetic magnons and microwave photons in the quan tum limit,” Phys.\nRev. Lett. 113, 083603 (2014).\n10L. Kang, Q. Zhao, H. Zhao, and J. Zhou, “Magnetically tunable nega tive permeability\nmetamaterial composed by split ring resonators and ferrite rods,” Opt. Express 16, 8825\n(2008).\n11J. N. Gollub, J. Y. Chin, T. J. Cui, and D. R. Smith, “Hybrid resonant p henomena in a\nSRR/YIG metamaterial structure.” Opt. Express 17, 2122 (2009).\n12X. Zhang, C.-L. Zou, L. Jiang, and H. X. Tang, “Strongly coupled ma gnons and cavity\nmicrowave photons,” Phys. Rev. Lett. 113, 156401 (2014).\n13N. J. Lambert, J. a. Haigh, and a. J. Ferguson, “Identification of spin wave modes in\nyttrium iron garnet strongly coupled to a co-axial cavity,” Journal of Applied Physics\n117, 053910 (2015).\n14J. A. Haigh, N. J. Lambert, A. C. Doherty, and A. J. Ferguson, “D ispersive readout\nof ferromagnetic resonance for strongly coupled magnons and mic rowave photons,” Phys.\nRev. B91, 104410 (2015).\n1115L. Bai, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao, and C.-M. Hu, “Spin pumping\nin electrodynamically coupled magnon-photon systems,” Phys. Rev. Lett.114, 227201\n(2015).\n16H.Maier-Flaig, M. Harder, R.Gross, H. Huebl, andS.T. B.Goennen wein, “Spinpumping\nin strongly coupled magnon-photon systems,” Phys. Rev. B 94, 054433 (2016).\n17L. Bai, K. Blanchette, M. Harder, Y. P. Chen, X. Fan, J. Q. Xiao, an d C. M. Hu, “Control\nof the magnon-photon coupling,” IEEE Transactions on Magnetics 52, 1 (2016).\n18M. Harder, L. Bai, C. Match, J. Sirker, and C. Hu, “Study of the ca vity-magnon-polariton\ntransmission line shape,” Science China Physics, Mechanics & Astrono my59, 117511\n(2016).\n19G. B. G. Stenning, G. J. Bowden, L. C. Maple, S. A. Gregory, A. Spo sito, R. W. Eason,\nN. I. Zheludev, and P. A. J. de Groot, “Magnetic control of a meta -molecule,” Opt.\nExpress21, 1456 (2013).\n20B. Bhoi, T. Cliff, I. S. Maksymov, M. Kostylev, R. Aiyar, N. Venkatar amani, S. Prasad,\nand R. L. Stamps, “Study of photon-magnon coupling in a yig-film split -ring resonant\nsystem,” Journal of Applied Physics 116, 243906 (2014).\n21S. Klingler, H. Maier-Flaig, R. Gross, C.-M. Hu, H. Huebl, S. T. B. Goen nenwein,\nand M. Weiler, “Combined Brillouin light scattering and microwave absor ption study of\nmagnon-photon coupling in a split-ring resonator/YIG film system,” A pplied Physics Let-\nters109, 072402 (2016).\n22S. A. Gregory, G. B. G. Stenning, G. J. Bowden, N. I. Zheludev, an d P. A. J.\nDe Groot, “Giant magnetic modulation of a planar, hybrid metamolecu le resonance,”\nNew Journal of Physics 16, 063002 (2014).\n23Y. Cao, P. Yan, H. Huebl, S. T. B. Goennenwein, and G. E. W. Bauer, “Exchange\nmagnon-polaritons in microwave cavities,” Physical Review B 5, 094423 (2014).\n24V. Castel, N. Vlietstra, J. B. Youssef, and B. J. V. Wees, “Yttrium iron garnet thickness\nand frequency dependence of the spin-charge current convers ion in YIG / Pt systems,”\nPhys. Rev. B 90, 214434 (2014).\n25M. Harder, P. Hyde, L. Bai, C. Match, and C.-M. Hu, “Spin dynamical phase and antires-\nonance in a strongly coupled magnon-photon system,” Phys. Rev. B 94, 054403 (2016).\n26R. J. Schoelkopf and S. M. Girvin, “Wiring up quantum systems,” Natu re451, 664–669\n(2008).\n1227I. J. Bahl and D. K. Trivedi, “A designer’s guide to microstrip line,” Micr owaves16, 174\n(1977).\n13" }, { "title": "1702.03119v1.Relative_weight_of_the_inverse_spin_Hall_and_spin_rectification_effects_for_metallic_Py_Fe_Pt_and_insulating_YIG_Pt_bilayers_estimated_by_angular_dependent_spin_pumping_measurements.pdf", "content": "arXiv:1702.03119v1 [cond-mat.mes-hall] 10 Feb 2017Relative weight of the inverse spin Hall and spin rectificati on effects for\nmetallic Py,Fe/Pt and insulating YIG/Pt bilayers estimate d by angular\ndependent spin pumping measurements\nS. Keller,1J. Greser,1M. R. Schweizer,1A. Conca,1B. Hillebrands,1and E. Th. Papaioannou1\nFachbereich Physik and Landesforschungszentrum OPTIMAS, Technische Universit¨ at Kaiserslautern,\nErwin-Schr¨ odinger-Str. 56, 67663 Kaiserslautern, Germa ny\n(Dated: 13 February 2017)\nWe quantify the relative weight of inverse spin Hall and spin rectificat ion effects occurring in RF-sputtered\npolycrystalline permalloy, molecular beam epitaxy-grown epitaxial iro n and liquid phase epitaxy-grown\nyttrium-iron-garnet bilayer systems with different capping materia ls. To distinguish the spin rectification\nsignal from the inverse spin Hall voltage the external magnetic field is rotated in-plane to take advantage of\nthe different angular dependencies of the prevailing effects. We pro ve that in permalloy anisotropic magne-\ntoresistance is the dominant source for spin rectification while in epit axial iron the anomalous Hall effect has\nan also comparable strength. The rectification in yttrium-iron-gar net/platinum bilayers reveals an angular\ndependence imitating the one seen for anisotropic magnetoresista nce caused by spin Hall magnetoresistance.\nSpintronic bilayers composed of a ferromagnetic (FM)\nand a nonmagnetic (NM) layer with large spin-orbit-\ninteraction are promising devices for the spin-to-charge\nconversion for future applications. At ferromagnetic res-\nonance (FMR) the spin pumping (SP) effect allows for\nthe injection of a pure spin current from the FM into the\nNM layer1. There, the spin current is converted into a\ncharge current by the inverse spin Hall effect (ISHE)2.\nA wide range of metallic, semiconducting or insulating\nferro-3and ferrimagnets4and NM5materials, like Au,\nPd, Ta, W, and Pt, have been investigated up to this\npoint. In metallic FM layers, an overlapping additional\neffect take place, the so called spin rectification (SR) ef-\nfect, which hinders the access to the pure ISHE signal.\nDifferent approachesforseparationhavebeen thoroughly\ninvestigated6–8. Thickness variations of the FM and the\nNM layers show different dependencies for ISHE and SR,\nbut require a lot of effort for producing whole sample\nseries or wedged microstructures. Another method is a\nsweep of the excitation frequency, which cannot be ap-\nplied to all experimental setups and requires a careful\ncalibration of the microwave transmission properties of\nthe setup. Also the minimization of the electrical mi-\ncrowave field at the location of the sample by using a\nmicrowavecavity is possible, but in most cases only fixed\nfrequencies can be applied. The rotation of the magneti-\nzation angle by rotatingthe external magnetic field in- or\nout-of-plane is one of the most common and practicable\nmethods, with out-of-plane rotation normally requiring\nlarger magnetic fields for thin films7,8. Here, we quan-\ntify with the help of the in-plane angular dependent spin\npumping measurements the ISHE and the SR contribu-\ntions, mainly anisotropic magnetoresistance (AMR) and\nanomalous Hall effect (AHE). Therefore, bilayers com-\nposed of magnetic (Fe, Py, and YIG) and non-magnetic\n(Pt, Al and MgO) materials have been used. Capping\nlayers with significant spin Hall angle Θ SH(Pt) should\nshow a large ISHE, while materials with small Θ SH(Al)\nand insulating materials (MgO) should not. All bilayer\nFIG. 1. Experimental setup and coordinate system: x,yand\nzare the lab fixed coordinates. The external field /vectorHrotates\nin-plane, while the angle Θ His defined as the angle between\nzand/vectorH. The bilayer films are lying in the xandzplane\nandyis the out-of-plane coordinate. The exciting stripline\nantenna is parallel to zand is generating an in-plane dynamic\nmagnetic field hx, an out-of-plane field hyand also a dynamic\nelectrical field ez, which induces an electrical current jzin the\nsamples in zdirection. Eddy currents jeddypotentially can\nflow transverse to the microwave electrical field in xdirection.\nThe electrical contacts for measuring the DC voltage are ei-\nther transverse ( Vx) or parallel ( Vz) to the stripline antenna.\nsamples with metallic FM (Py/Al, Py/Pt, Fe/MgO and\nFe/Pt) have the dimensions of (10 ×10) mm2, while the\nYIG/Pt sample is of smaller dimensions (2 ×3) mm2.\nWe first address the measurements on polycrystalline\nPy/Pt and Py/Al bilayers, that is, with presence and ab-\nsence of ISHE voltage, respectively. We will use the data\nofthis model system to illustrate the angulardependence\nof the measured signal and the analysis method used2\nFIG. 2. Theoretical in-plane magnetization angular depen-\ndencies of spin rectification effects and ISHE with contacts\ntransverse to the microwave antenna ( xdirection) and differ-\nent dynamic magnetic field geometries, adapted from Harder\net. al.8. ΘHis the magnetic field angle (defined in Fig. 1),\nALandADare the amplitudes of the effects contributing to\nthe symmetric voltage (L: Lorentzian) and the antisymmetri c\nvoltage (D: Dispersive).\nto separate the different contributions. Second, we will\npresentthedataforepitaxialFe/PtandFe/MgOsamples\nand we will apply again the same analysis method com-\nparing the weights of the different contributions with the\nPycase. Finally, resultsinYIG/Ptbilayersarepresented\nto compare the situation for a system with an insulating\nmagnetic layer where no AMR or AHE can be present.\nPrior to concluding, we will present some important re-\nmarks about the validity and limitations of the analysis\nmethod based on angular measurements.\nIn the experiment for a fixed excitation frequency and\nexternal field angle, the external field amplitude is swept.\nThe voltage measured by lock-in-amplification technique\nexhibits peaks consisting of symmetric and antisymmet-\nric componentswhich arefitted by the followingequation\nfor each individual external magnetic field sweep5:\nVmeas(H) =Vsym(∆H)2\n(H−HFMR)2+(∆H)2\n+Vasym−2∆H(H−HFMR)\n(H−HFMR)2+(∆H)2,(1)\nwhereVsymandVasymaretheamplitudeofthesymmetric\nand antisymmetric components, respectively. ∆ His the\nFIG. 3. Angular dependent spin pumping measurements of\nPy(12nm)/Al(10nm) (top graph) and Py(12nm)/Pt(10nm)\n(bottom graph) at 13 GHz excitation frequency with contacts\ntransverse to the direction of the stripline antenna. Black and\norange arrows are highlighting the side-maxima/minima ori g-\ninating from AMR.\nlinewidth, His the applied magnetic field, and HFMRis\nthe corresponding FMR field value. While the SP/ISHE\neffect contributes only to Vsym, the SR effects contribute\nto both voltage amplitudes. The relative contribution of\nAMR to VsymandVasymand AHE to VsymandVasym\nis determined by the phase difference between the dy-\nnamic magnetization /vector m(t) and the microwave electrical\nfield induced AC current /vectorj(t) inside the FM layer. This\nphase difference is not easily accessible5and the relative\ncontribution of AMR does not necessarily have to be the\nsame as the one of AHE8. To fit the measured voltage\namplitudes it is needed to calculate the angular depen-\ndencies of SP/ISHE and SR (a detailed derivation can be\nfound in7,8). The symmetric aswell asthe antisymmetric\nvoltage will then be fitted.\nFirst let us consider the coordinate system (see Fig. 1),\nwherexandzare the in-plane and ythe out-of-plane\nlab fixed coordinates, Θ His the angle between the ex-\nternal magnetic field /vectorHand the zaxis. The electrical3\ncontacts are either in x(transverse to the stripline an-\ntenna) or z(parallel to the stripline antenna) direction.\njzinduced by the microwave electrical field ezandjeddy\ninxdirection (explained later) are the in-plane current\ncomponents. The dynamic magnetic microwave fields hx\n(in-plane) and hy(out-of-plane) are determined by the\nmicrowave stripline antenna.\nAt first the model of the measurements, where the DC\nvoltage is measured in xdirection (transverse to the an-\ntenna, shown in Fig. 1), is discussed: For this measure-\nment configuration significant values for jzandhx(in-\nplane dynamic magnetic field component), and smaller\nvalues for hy(out-of-plane dynamic magnetic field com-\nponent), which is estimated a magnitude smaller than\nthe in-plane field components, are considered. The the-\noretical angular dependencies of the underlying effects\nare graphically shown in Fig. 27,8. It can be recog-\nnized that in-plane excited AHE is similar to in-plane\nexcited ISHE bearing only one maximum and one mini-\nmum, but with different slopes at zero crossing. In-plane\nexcited AMR is showing three maxima/minima where\none is of higher amplitude (referred to as main maxi-\nmum/minimum in the following) and two of smaller am-\nplitude (referred to as side maxima/minima). Out-of-\nplane excited AMR is showing two maxima/minima with\nequal amplitude. Out-of-plane AHE will generate a con-\nstant offset and out-of-plane ISHE has an identical shape\nas in-plane AHE and can therefore not be distinguished\nfromit. As tobe shownlaterin the measurementsforthe\nPy samples, an additional AMR effect also takes place.\nThis AMR effect is shownin Fig. 2in blue and is the only\none antisymmetric around 0◦. This AMR scales with an\nelectrical current jxperpendicular to the microwave in-\nduced currents and with an out-of-plane microwave field\ncomponent hyand is affiliated to eddy currents9. To fit\nthe experimental data all considered effects are linear su-\nperimposed:\nVx\nsym=Vhx\nISHEcos3(ΘH)+Vhy,hx\nISHE,AHEcos(ΘH) +\nVhy\nAHE+Vhx,jz\nAMRcos(2Θ H)cos(Θ H) +\nVhy,jz\nAMRcos(2Θ H)+Vhy,jeddy\nAMRsin(2Θ H).\nVx\nasym=Vhx\nAHEcos(ΘH)+Vhy\nAHE+\nVhx,jz\nAMRcos(2Θ H)cos(Θ H) +\nVhy,jz\nAMRcos(2Θ H)+Vhy,jeddy\nAMRsin(2Θ H).(2)\nEquations 2were then used to fit the angular depen-\ndent spin pumping measurements shown in Fig. 3and4\nfor the of VsymandVasymof Py/Al, Py/Pt, Fe/MgO and\nFe/Pt bilayers. The voltage amplitudes from the fits of\nthe Py and Fe sample measurements have been summa-\nrized in Table Ifor comparison.\nAfter familiarizing with the angular dependencies of\nthe ISHE and SR effects the measurements for the Py bi-\nlayers are now discussed: In Fig. 3we see for the Py/Al\nFIG. 4. Angular dependent spin pumping measurements of\nFe(12nm)/MgO(10nm) (top graph) and Fe(12nm)/Pt(10nm)\n(bottom graph) at 13 GHz excitation frequency with contacts\ntransverse to the direction of the stripline antenna.\nsample that the signal is mainly consisting of AMR in\nthe symmetric as well as in the antisymmetric ampli-\ntude, since the signals exhibit pronounced side-maxima\n(arrows). The antisymmetricvoltageamplitudeofPy/Pt\nhas almost identical shape as the one of Py/Al. For\nboth samples the AMR to AHE ratio of the antisymmet-\nric voltage is approximately 1 to 4 (see Table I). Py/Al\nand Py/Pt also show that their side-maxima (arrows)\nare having not the same amplitudes. This is correlated\nto AMR caused by eddy currents with an out-of-plane\ndynamic magnetic field component (see Table I).\nThe measurements of Fe/MgO and Fe/Pt can be seen\nin Fig.4. Since epitaxial Fe has a strong magneto-\ncrystalline anisotropy the magnetization will in general\nnot be aligned to the external magnetic field due to the\nanisotropy fields. The ISHE and SR effects are, however,\nonly dependent on the angle of magnetization Θ M. For\nthis reason, an additional rescalingof the angle axis is re-\nquired. A numerical analysis has been performed where\nΘMhas been calculated for the data measured at Θ H.\nFor this K1/Ms(K1: cubic anisotropy constant, Ms:4\nVsym/VasymSample Vhx\nISHE(µV)Vhy,hx\nISHE,AHE†(µV)Vhy\nAHE(µV)Vhx,jz\nAMR(µV)Vhy,jz\nAMR(µV)Vhy,jeddy\nAMR (µV)\nVsymPy/Al 0 1.43±0.04 0.15±0.025.63±0.06 0 -1.68±0.03\nPy/Pt amb. amb. 0.02 ±0.02 amb. 0 -0.61±0.02\nFe/MgO 0 6.85±0.12 -0.01±0.055.12±0.150.18±0.09 0\nFe/Pt amb. amb. 0.12 ±0.05 amb. -0.25 ±0.08 0\nVasymPy/Al 0 -1.49±0.05 0.03±0.03-5.95±0.07 0 1.02±0.04\nPy/Pt 0 -0.61±0.03 0.01±0.01-2.36±0.04 0 0.44±0.02\nFe/MgO 0 4.07±0.15 0.07±0.06-6.20±0.190.18±0.10 0\nFe/Pt 0 3.55±0.09 0.01±0.04-7.88±0.110.13±0.05 0\nTABLE I. Results of the angular spin pumping measurements: s ymmetric and antisymmetric voltage amplitudes of Py/Al,\nPy/Pt, Fe/MgO and Fe/Pt. Items marked with amb. are ambiguou s (see text). The voltage of the effects mainly contributing\nare marked in bold. The voltage marked with†corresponds to the term ∝cos(Θ H), which is comprised of in-plane AHE and\nout-of-plane ISHE in the symmetrical voltage and only of in- plane AHE in the antisymmetric voltage (to be seen in Fig. 1).\nAbsolute values between samples are not comparable because of different excitation frequencies.\nsaturation magnetization) has been extracted from the\ndependence of HFMRon the frequency (Kittel fit10). For\nRF-sputtered polycrystalline samples which are isotropic\nΘHand Θ Mare identical. However, in the case of epi-\ntaxial Fe they can differ more than 10◦.\nAfter the angle rescaling the angular dependent mea-\nsurementsoftheFe bilayerscanbe discussed: In thesebi-\nlayers (Fig. 4) in-plane excited AHE seems to be equally\nprominentasin-planeexcited AMR, ascanbe recognized\nfrom the lack of side-maxima and also from the voltage\namplitudesofthefits shownin Table I. Thisisamaindif-\nference to the Py case where AMR is strictly dominant.\nThe AHE excited by the out-of-plane dynamic magnetic\nfield is rather small (as it also is in the case for Py). The\ndifference is not due to the difference in growth (poly- or\nsingle-crystalline) of the FM layers. For instance, recent\nresults on also polycrystalline CoFeB/Pt and CoFeB/Ta\nlayers show that there AHE is the only dominant effect\nwhile AMR is almost negligible11. The weight of the\ndifferent spin rectification contribution is reflecting only\nthe strength of the different effects (AHE, AMR) in the\nferromagnetic material. The different capping materials\n(insulator, respectively metal) is changing the relative\ncontribution of the SR effects onto Vasym. Additionally\nthe epitaxial Fe samples, especially Fe/MgO, show char-\nacteristic features around angles, where the external field\nis oriented equidistant between the magnetic hard (e.g.\n45◦) and easy axis (e.g. 0◦) of Fe. This is due to the\nintrinsic magnetic anisotropy influencing the angular de-\npendencies of ISHE and SR.\nIn addition, to compare with the measurements of the\nbilayers with metallic FM, a bilayer with an insulator\nmagnetic material was measured with the same setup.\nFor this YIG(100 nm)/Pt(10nm), where AMR and AHE\nare suppressed, was chosen and the results are shown\nin Fig.5(top graph) and Table II. A surprisingly non-\nvanishing antisymmetric voltage with angular dependen-\ncies similar to AMR and AHE can be seen. The sym-\nmetric voltage amplitude seems to be consisting mainly\nof an ISHE contribution and of a contribution ∝cos(ΘH)\nwhich can be either in-plane ISHE or out-of-plane AHE,\nas shown in Fig. 2. In order to understand this behav-\nFIG. 5. Angular dependent spin pumping measurements of\nYIG(100nm)/Pt(10nm) at 6.4 GHz excitation frequency with\ncontacts transverse (top graph) and parallel (bottom graph )\nto the direction of the stripline antenna.\nior we performed a second measurement with electrical\ncontacts parallel to the stripline antenna ( z-direction),\nmeasurements shown in Fig. 5(bottom graph). In this\ncontact geometry the ISHE and SR effects have differ-\nent angular dependencies as shown in Fig. 6. Here in-5\nVsym/VasymContacts Vhx\nISHE(µV)Vhy\nISHE(µV)Vhx\nAHE(µV)Vhy\nAHE(µV)Vhx,jz\nAMR(µV)Vhy,jz\nAMR(µV)\nVsymtransverse amb. -1.35⋆amb. -0.02 ±0.01 amb. -0.04 ±0.02\nparallel -1.48†-1.82±0.03-0.19±0.040.05±0.02 0†-0.09±0.02\nVasymtransverse 0 0 0.53±0.030.00±0.010.20±0.030.03±0.02\nparallel 0 0 0.11 ±0.03-0.01±0.020.65±0.04-0.08±0.03\nTABLE II. Results of the angular spin pumping measurements: symmetric and antisymmetric voltage amplitudes of YIG/Pt\nwith contacts transverse and parallel to the microwave ante nna. Values marked with * are ambiguous (see text). The volta ge\nof the effects mainly contributing are marked in bold. The out -of-plane ISHE voltage with transverse contacts marked wit h⋆\nhas the same shape as the AHE and could easily be confused with it, but the comparison with the measurement with parallel\ncontacts confirms it as an ISHE voltage. In-plane ISHE in the p arallel contacts case marked with†cannot be distinguished\nfrom in-plane AMR. In-plane AMR and AHE in the symmetrical vo ltage are estimated small since out-of-plane AMR and AHE\nare also small despite of relatively high out-of-plane exci tation fields.\nplane excited ISHE and AMR have the same angular de-\npendence, but the out-of-plane excited ISHE exhibits an\nunique cos(Θ H) dependence. To fit the measured data\nwith contacts parallel to the antenna following equations\nhas been used:\nVz\nsym=Vhx\nISHE,AMRsin(2Θ H)cos(Θ H) +\nVhy\nISHEsin(ΘH)+Vhx\nAHEcos(ΘH) +\nVhy\nAHE+Vhy,jz\nAMRsin(2Θ H).\nVz\nasym=Vhx\nAHEcos(ΘH)+Vhy\nAHE+\nVhx\nAMRsin(2Θ H)cos(Θ H) +\nVhy,jz\nAMRsin(2Θ H).(3)\nLookingatTable IItheout-of-planeISHEcontribution\nVhy\nISHEin transverse contacts ( −1.82µV) has almost the\nsame value as the one of parallel contacts ( −1.35µV).\nThe discrepancy is roughly reflecting the difference in\nsample width (2 mm) and length (3 mm) where the DC\ncontacts have been applied to. The term ∝cos(ΘH) used\nfor the fit of Vsymin Fig.5(top graph) is therefore con-\nfirmed as out-of-plane ISHE contribution.\nTo understand the enhancement of the out-of-plane\nmagnetic microwave field component it is needed to con-\nsider that the size of the YIG/Pt sample is much smaller\nthan the Fe and Py samples, its dimensions being closer\nto the width of the stripline antenna. This changes the\ndistribution of the microwave fields and therefore en-\nlarges the out-of-plane field components to the extent\nthat they can be comparable in magnitude to the in-\nplane fields. In Fig. 5(bottom graph) we also see a\nnon-vanishing antisymmetric voltage with AMR-like de-\npendence. Other authors also reported rectification ef-\nfects in YIG/Pt bilayers in spin pumping experiments\nat room temperature caused by spin Hall magnetoresis-\ntance (SMR)12–14. SMR is a rectification effect occuring\nin bilayers consisting of a FM insulator and a NM layer,\nwhere a spin current induced by spin Hall effect (SHE)\nforms a spin accumulation at the interface. When the\nmagnetization is aligned parallel to the polarization of\nthe accumulation, fewer spin currents can enter the FM\nFIG. 6. Theoretical in-plane magnetization angular depen-\ndencies of spin rectification effects and ISHE with contacts\nparallel to the microwave antenna and different dynamic ex-\nternalmagnetic fieldgeometries, adaptedfrom Harderet.al .8.\nΘHis the magnetic field angle (defined in Fig. 1),ALand\nADare denoting the amplitudes of the effects contributing to\nthe symmetric voltage (L: Lorentzian) and the antisymmetri c\nvoltage (D: Dispersive).\nlayerandspinback-flowinducesanadditionalchargecur-\nrent by ISHE reducing the resistivity of the NM layer.\nThis in-plane angular dependent change in resistivity in-\nduces effects similar to AMR and AHE. Additionally the\nmagnetic proximity effect can also contribute to spin rec-\ntification in YIG/Pt bilayers15,16: a ferromagnetic layer\nin contact to Pt can induce a finite magnetic moment in\nPt near the interface because of the high paramagnetic\nsusceptibility of Pt. This thin ferromagnetic Pt film can\nalso exhibit spin rectification by itself with the same an-6\ngular dependence. This is also true in metallic systems\nbut therethe spinrectificationgeneratedbytheFM layer\nis dominating. Summarizing this section we have shown\nthat the symmetric voltage of YIG/Pt is mainly consist-\ning of ISHE contributions and the antisymmetric voltage\nis indeed small but not negligible and consisting of SMR\ninduced rectification.\nFurthermore, the results from the analysis of the\nYIG/Pt can be used to interpret the ISHE contribution\nin Py/Pt, seen in Fig. 3: There the side-maxima of the\nsymmetric amplitude are stronger pronounced than the\nones of Py/Al. This is due to the reduction of the am-\nplitude of the main-maximum of Vsymof Py/Pt. The\nreason for this is the opposite sign of the ISHE to the\nSR contributions. As shown in Table IIISHE from the\nYIG/Pt measurements shows a negative sign. The sign\nofthe ISHE voltageis determined by the sign ofspin Hall\nangle, thedirectionofthespinpolarizationandthedirec-\ntion of the spin current. They are all the same for both\nPy/Pt and YIG/Pt, therefore, the voltages generated by\nISHE in Py/Pt and YIG/Pt should have the same sign.\nIn Tables IandIIsome values of the fits have not\nbeen shown, indicated by “amb.”. An intrinsic limita-\ntion of the analysis procedure is present when rotating\nthe external magnetic field in-plane and investigating in-\nplane excited effects. According to Equation 4an ambi-\nguity exists with the main in-plane contributions of this\nmeasurement configuration: ISHE, AMR and AHE are\nmathematically linearly dependent. Therefore, the abso-\nlute values obtained from the fits for the Py/Pt, Fe/Pt\nand YIG/Pt from Vsymmay not be relevant. Neverthe-\nless, the overall angular dependence and the Vasymdata,\nwhere no ambiguity is present, support the interpreta-\ntions shown in this paper.\ncos(2Θ H)cos(Θ H) =[2cos2(ΘH)−1]cos(Θ H)\n=2cos3(ΘH)−cos(ΘH).(4)\nIn summary, we have shown that the spin rectification\neffect does scale differently in Fe, Py and YIG bilayer\nsystems, as summarized in Table IandII: While AMR is\nmore pronounced than AHE in RF magnetron sputtered\nPy, AHE seems to be equal in magnitude for epitaxial\nFe systems. Spin rectification with an angular depen-\ndence similar to AMR is appearing in the antisymmetric\nLorentzianshape in nanometer thin YIG/Pt bilayerfilms\noriginating from the spin Hall magnetoresistance. The\nsymmetric signal of YIG/Pt is mainly consisting of equal\nISHE contributions excited by in- and out-of-plane dy-\nnamic magnetic fields. In epitaxial Fe systems the effects\ndue to non-collinearitybetween the external field and themagnetization needs to be taken into account.\nThe Carl Zeiss Stiftung is gratefully acknowledged for\nfinancial support.\n1Y. Tserkovnyak, A. Brataas, and G. E. Bauer, Enhanced Gilbert\nDamping in Thin Ferromagnetic Films , Phys. Rev. Lett. 88\n117601 (2002).\n2E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Conversion of\nspin current into charge current at room temperature: Inver se\nspin-Hall effect , Appl. Phys. Lett. 88182509 (2006).\n3A. Conca, S. Keller, L. Mihalceanu, T. Kehagias, G. P. Dimi-\ntrakopulos, B. Hillebrands, E. Th. Papaioannou, Study of fully\nepitaxial Fe/Pt bilayers for spin pumping by FMR spectrosco py,\nPhys. Rev. B 93, 134405 (2016).\n4F. D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler, M. Altham-\nmer, I.-M. Imort, G. Reiss, A. Thomas, W. Schoch, W. Limmer,\nH. Huebl, R. Gross, and S. T. B. Goennenwein, Scaling behav-\nior of the spin pumping effect in ferromagnet/platinum bilay ers,\nPhys. Rev. Lett. 107046601 (2011).\n5A. Azevedo, L.H. Vilela-Le˜ ao, R.L. Rodr´ ıguez-Su´ arez, A .F. Lac-\nerdo Santos, S.M. Rezende, Spin pumping and anisotropic mag-\nnetoresistance voltages in magnetic bilayers: Theory and e xper-\niment, Phys. Rev. B 83, 144402 (2011).\n6E.Th. Papaioannou, P. Fuhrmann, M.B. Jungfleisch, T. Br¨ ach er,\nP. Pirro, V. Lauer, J. L¨ osch, B. Hillebrands, Optimizing the spin-\npumping induced inverse spin Hall voltage by crystal growth in\nFe/Pt bilayers , Appl. Phys. Lett. 103, 162401 (2013).\n7R. Iguchi, and E. Saitoh, Measurement of spin pumping voltage\nseparated from extrinsic microwave effects , arXiv:1607.04716v1\n(2016).\n8M. Harder, Y. Gui, and C.-M. Hu, Electrical detec-\ntion of magnetization dynamics via spin rectification effect s,\narXiv:1605.00710v1 (2016).\n9V. Flovik, and E. Wahlstrœm, Eddy current interactions in a\nFerromagnet-Normal metal bilayer structure, and its impac t on\nferromagnetic resonance lineshapes , J. Appl. Phys. 117143902\n(2015).\n10C. Kittel, On the Theory of Ferromagnetic Resonance Absorp-\ntion, Phys. Rev. 73, 155 (1948).\n11A. Conca, B. Heinz, M. R. Schweizer, S. Keller, E. Th. Pa-\npaioannou, and B. Hillebrands, Lack of correlation between the\nspin mixing conductance and the ISHE-generated voltages in\nCoFeB/Pt,Ta bilayers , arXiv:1701.09110v1 (2017).\n12R.Iguchi, K. Sato, D.Hirobe, S. Daimon, and E. Saitoh, Effect of\nspin Hall magnetoresistance on spin pumping measurements i n\ninsulating magnet/metal systems , Appl. Phys. Express 7, 013003\n(2014).\n13P. Wang, S. W. Jiang, Z. Z. Luan, L. F. Zhou, H. F. Ding, Y.\nZhou, X. D. Tao, and D. Wu, Spin rectification induced by spin\nHall magnetoresistance at room temperature , J. Appl. Phys. 109,\n112406 (2016).\n14Z. Fang, A. Mitra, A. L. Westerman, M. Ali, C. Ciccarelli,\nO. Cespedes, B. J. Hickey and A. J. Ferguson, Thickness de-\npendence study of current-driven ferromagnetic resonance in\nY3Fe5O12/heavy metal bilayers , arXiv:1612.06111 (2016).\n15M. Caminale, A. Ghosh, S. Auffret, U. Ebels, K. Ollefs, F. Wil-\nhelm, A. Rogalev, and W. E. Bailey, Spin pumping damping and\nmagnetic proximity effect in Pd and Pt spin-sink layers , Phys.\nRev. B. 94, 014414 (2016).\n16H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida, Y. Kaji-\nwara, D. Kikuchi, T. Ohtani, S. Gepr¨ ags, M. Opel, S. Takahas hi,\nR. Gross, G. E. W. Bauer, S. T. B. Goennenwein, and E. Saitoh,\nSpin Hall magnetoresistance induced by a nonequilibrium pr ox-\nimity effect , Phys. Rev. Lett. 110, 206601 (2013)." }, { "title": "1905.04555v1.Giant_Spin_Seebeck_Effect_through_an_Interface_Organic_Semiconductor.pdf", "content": "1 \n Giant Spin Seebeck Effect through an Interface Organic Semiconductor \nV. Kalappattil1, R. Geng2, R. Das1, H. Luong2, M. Pham2, T. Nguyen2, A. Popescu1, \nL.M. Woods1, M. Kläui3, H. Srikanth1, and M.H. Phan1 \n1 Department of Physics, University of South Florida, Tampa, Florida 33620, USA \n2 Department of Physics and Astronomy, University of Georgia, Athens, GA 30602, USA \n3 Institute of Physics, Johannes Gutenberg University Mainz, 55128 Mainz, Germany \n \nInterfacing an organic semiconductor C 60 with a non -magnetic metallic thin film (Cu or Pt) \nhas creat ed a novel heterostructure that is ferromagnetic at ambient temperature , while its \ninterface with a magnetic metal (Fe or Co) can tune the anisotropic magnetic surface \nproperty of the material . Here, we demonstrate that sandwiching C60 in between a \nmagnetic insulator ( Y3Fe5O12: YIG) and a non -magnetic , strong spin -orbit metal (Pt) \npromotes highly efficient spin current transport via the thermally driven spin Seebeck \neffect (SSE). Experiment s and first principles calculations consistently show that t he \npresence of C 60 reduces significantly the conductivity mismatch between YIG and Pt and \nthe surface perpendicular magnetic anisotropy of YIG , giving rise to enhanced spin mixing \nconductance across YIG/C60/Pt interface s. As a result, a 600% increase in the SSE voltage \n(VLSSE) has been realized in YIG/C 60/Pt relative to YIG/Pt. Temperature -dependent SSE \nvoltage measurements on YIG/C 60/Pt with varying C 60 layer thicknesses also show an \nexponential increa se in VLSSE at low temperatures below 200 K, resembling the \ntemperature evolution of spin diffusion length of C 60. Our study emphasizes the important \nroles of the magnetic anisotropy and the spin diffusion length of the intermediate layer in 2 \n the SSE in YIG/C 60/Pt structures, providing a new pathway for developing novel spin -\ncaloric materials. \n \nGenerating pure spin current s has been the main challenge for realizing highly efficient \nspintronics devices.1 Notable effects under study for attaining pure spin current s are the spin Hall \neffect (SHE )2, spin pumping mechanism3,4, and the spin Seebeck effect (SSE).5,6 In the last two \napproaches, a pure spin current is generated in a ferromagnetic (FM) material ( which could be a \nmetal7, insulator8, or semiconductor9) and converted into a voltage drop via the inverse spin Hall \neffect (ISHE) in a nonmagnetic metal (NM) possessing strong spin -orbit coupling. In case of the \nSSE, the spin current Js can be expressed as10 \n𝐽𝑠=𝐺\n2𝜋𝛾ℏ\n𝑀𝑠𝑉𝑎𝐾𝑏Δ𝑇, (1) \nwhere G is the spin mixing conductance, 𝛾 is the gyromagnetic ratio, Va is the magnetic \ncoherence volume, Ms is the saturation magnetization, and Δ𝑇 is the temperature difference \nbetwe en magnons in FM and electrons in NM. One of the key parameters essential for an \nefficient spin transport across a FM/NM interface is having a large spin mixing conductance , \nwhich directly correlates with a large spin current, as evident from Eq. (1).10 According to Eq. \n(1), increasing G is essential to transport large spin current s from FM to NM. \n Since the first observation of SSE in the ferromagnetic insulator Y3Fe5O2 (YIG) in 2010 ,8 \nthis material has become one of the most intensively studied systems for fundamental \nunderstanding of the underlying spin transport and for prospective spin caloritronic applications , \namong others .5,6 Significant efforts have been devoted towards improv ing the value of G and \nhence the SSE voltage by utilizing YIG/Pt interface s and adding various thin intermediate layer s, \nsuch as Cu, NiO, CoO, Fe 70Cu30, NiFe, or Py.11-15 Inserting a non -magnetic layer of Cu, for 3 \n example, between YIG and Pt has been reported to decrease the SSE signal11, while the addition \nof an ultrath in magnetic layer of Fe 70Cu3014\n or NiFe15 between YIG and Pt has increased the \nmagnetic moment density or G at their interface, leading to an overall improvement in the SSE \nvoltage . Recently, Lin et al. reported a significant enhancement of the SSE in YIG/ M/Pt (M = \nNiO or CoO) heterostructures,12 demonstrating the important role played by an additional \nantiferromagnetic layer on the spin current transport. Despite the enhancement of SSE reported \nin these heterostructures, the responsible underlying mechanis ms associated with the role of the \nintermediate layer on the SSE have remained an open question.10-15 \n It is generally accepted that spin transport through a material is limited by its spin \ndiffusion length 𝜆. While YIG has a large 𝜆 (~10 μm), a small 𝜆 value of Pt (~ 2 nm ) has been \nreported .16 Consequently, undesired effects, such as large surface roughness of YIG17and/or large \nperpendicular surface magnetic anisotropy of YIG18 causing strong spin scattering, could \nsuppress considerably the spin current injection into the Pt layer. The large conductivity \nmismatch between YIG and Pt could also decrease considerably the efficient spin transport in \nYIG/Pt.19 It is therefore desirable to seek a n intermediate material that can reduce both the \nperpendic ular surface magnetic anisotropy of YIG and the conductivity mismatch between the \nYIG and Pt layers . \n In this regard, an organic semiconductor (OSC) such as C 60 buckyballs can be \nconsidered as a promising candidate material due to its low spin-orbit coupling that essentially \nresults in weak spin scattering and consequently a large spin diffusion length ( 𝜆 can be of the \norder of several hundred nanometers).20,21 Additionally, t he C60 buckyballs are semiconducting \nin nature 22, thus when sandwiched between YIG and Pt layers, the conductivity mismatch \nbetween them is likely to be reduced. Recent studies have also revealed that C60 hybridizes 4 \n strongly with metal lic substrates , which leads to inducing ferromagnetic order at the surface \nlayer of a non -magnetic metal , such as Cu or Pt23, or tuning the surface magnetism (e.g. surface \nmagnetic anisotropy) of a magnetic metal , such as Fe or Co .24 These findings lead us to propose \na new approach for improving the SSE in ferromagnetic insulator/metal sy stems such as YIG/Pt \nby adding a thin intermediate layer of an organic semiconductor such as C 60 and forming a novel \nheterostructure of YIG/C 60/Pt, as shown in Fig. 1 . In this Letter, we synthesize YIG/C 60/Pt \nsystems containing buckyball layers of various thickness es and report the first comprehensive \nexperimental demonstration of thermally generated pure spin current s in the systems through the \nlongitudinal SSE (LSSE) . Our experiments show that the spin current in the YIG/C 60/Pt \nheterostructures is si gnificantly enhanced as compared to YIG/Pt structures. This enhancement \ncan be as large as 600% and it is dependent on temperature and the thickness of the C 60 layer. \nWe demonstrate that the long spin diffusion length of C 60 has a much pronounced positive \nimpact on the SSE signal . The exponential temperature dependence of both the SSE voltage and \n𝜆 suggests that 𝜆 scales with the SSE voltage in magnitude. Our first principles calculations \nbased on density functional theory (DFT) corroborate the experimental measurements and \nprovide further insight into the role of C 60 on the transport and magnetic properties of the \nYIG/C 60/Pt heterostructure. \n Effect of C 60 on the bulk and surface magnetic properties of YIG : First, we show how \nthe coating of C 60 modifies the bulk and surface magnetic properties of YIG by means of \nmagnetometry and radio -frequency (RF) transverse susceptibility. Figure 2a shows the magnetic \nhysteresis ( M-H) loops taken at 300 K for YIG, YIG/Pt and YIG/C 60(5 nm)/Pt structures. All \nthree plots superimpose d on each other , indicate that it is not possible to use magnetometry to \nidentify the magnetic difference among these samples. In a recent study, we have demonstrated 5 \n the excellent capacity of using our RF transverse susceptibility (TS) technique to probe the \nsurface perpendicular magnetic anisotropy (PMA) field and its temperature evolution in YIG, \nproviding the first experimental evidence for a strong effect of the surface PMA on VLSSE.25 Note \nthat TS uses a self-resonant tunnel diode oscillator with a resonant frequency of ~12 MHz . The \nsensitivity of 10 Hz has been reached and validated by us over the years as a highly efficient tool \nfor precisely measuring magnetic anisotropy in a wide range of magnetic mat erials.25-29 In the \npresent study, we have performed detailed TS experiments on YIG, YIG/Pt, and YIG/C 60/Pt \nstructures. Figure 2b shows typical TS curves at 200 K for these samples as dc magnetic field \nwas swept from positive saturation to negative saturation. As the field was swept from positive to \nnegative saturation, the first peak corresponds to the bulk magnetocrystalline anisotropy field \n(HK) and the second peak corresponds to the surface/interface magnetic anisotropy field (HKS) of \nthe system. The unique TS method of measuring magnetic anisotropy provides the advantage of \nmeasuring surface and bulk anisotropy separately . Since SSE is a surface/interface -related \nphenomen on, we are interested in HKS and its temperature evolution, as it controls surface \nmagnetization and hence LSSE voltage . Figure 2c shows t he temperature dependence of HKS for \nthe YIG, YIG/Pt, and YIG/C 60/Pt samples. As expected, HKS (T) for YIG shows a peak around \n75 K, which has been attributed to the rotation of surface spins away from the perpendicular \neasy-axis direction.25 It is worth noting in Fig. 2c that while the coating of Pt on the surface of \nYIG considerably increases HKS in YIG/Pt, as compared to YIG, the coating of C 60 on the \nsurface of YIG drastically decreases HKS in YIG/C 60/Pt. The decrease in HKS in YIG/C 60/Pt can \nbe due to the hybridization between the d z2 orbital of Fe and p orbitals of C atoms.24 As HKS \ninversely scales with VLSSE,25 the decrease in HKS of YIG due to C 60 interface is expected to \nincrease VLSSE in YIG/C 60/Pt relative to YIG/Pt. 6 \n To provide an in -depth understanding of the interfacial properties of the YIG/C 60/Pt \nsystem in regards to the experimental measurements, we perform first principles simulation \nbased on DFT for the atomic and electronic structure properties of the studied system . Details of \nthe computational approach are given in the Methods section. Due to the limitations imposed by \nthe complexity of the studied composite and the potentially large number of atoms in the \nsupercell, we take advantage of the fact that the majority of the magnetic moment within the YIG \nunit cell is highly localized to the Fe sites30, and thus model the YIG layer by a Fe layer . The \nperiodically distributed C 60 molecules between Fe and Pt layers, each composed of three \nmonolayers, are shown in Fig. 3a, where some characteristic distances are also denoted. To get a \nbetter idea of the atomic bonds of C-Pt and C -Fe, a schematic accentuating the atomic locations \ndirectly above and below of the buckyball are shown in Fig. 3b together with some relative \ndisplacements with respect to the horizontal planes fixed by the rest of the atoms in the Fe and Pt \nlayers. It is found that, in the relaxed configuration, the C 60 molecule is chemisorbed with one \nhexagonal C face atop of one Pt atom, which gets pushed below the initial layer position by \n𝛿𝑃𝑡(1)≈0.4 Å, while the neighboring Pt atoms are pulled above by 𝛿𝑃𝑡(2)≈0.2 Å. The Fe atoms in \nthe immediate vicinity of the C 60 cage are also displaced by 𝛿𝐹𝑒≈0.1 Å forming an armchair. \nThe C atoms in the hexagonal face adjacent to the Pt layer form chemical bonds with a length of \n𝑑𝑃𝑡−𝐶≈2.2 Å, while those in the hexagon close to the Fe layer form bonds with inequivalent \nlengths of 𝛿𝐹𝑒−𝐶(1)≈2 Å and 𝛿𝐹𝑒−𝐶(2)≈2.25 Å, respectively. The distance between the C 60 \nmolecules is 𝑑𝐶60−𝐶60≈4.13 Å and it is larger than the overall separation of 3.13 Å of the \nbuckyball cryst al31, which ensures a minimal interaction between adjacent buckyballs . All \nstructural parameters are summarized in Table 1 , where results including the effects of the van \nder Waals interaction via the DFT -D3 approach are also shown. Our calculations indicate that 7 \n most of the characteristic distances do not change significantly, although 𝐷 is reduced by 0.01 Å, \nwhile 𝛿𝑃𝑡(1) and 𝛿𝑃𝑡(2) are reduced by 0.04 Å and 0.03 Å, respective ly, upon taking the van der \nWaals dispersion into account. \nThe calculated average magnetic moments per layer are also shown in Fig. 3b. The C \natoms in the hexagonal face close to the Fe layer acquire an antiparallel average magnetic \nmoment of about 0.01 μ B. The intercalation of the C 60 molecule decreases the magnetic moments \nof the interfacial Fe atoms in the immediate vicinity of the C 60 cage to 2.27 μ B, while it reduces \nto zero the proximity induced magnetization of the Pt atoms in the first layer near the C 60 cage. \nThis suggests that there is a reduction of the interfacial PMA, which is consistent with our \nmeasurements of HKS in YIG/C 60/Pt, and it is attributed to the hybridiza tion of the Fe dz2 orbitals \nwith C p z orbitals24. \nWe also calculate the electronic density of states (DOS), where the spin -resolved results \nare given in Fig. 3c, while the total density of states is shown in Fig. 3d. In addition to DOS for \nthe Pt/C 60/Fe, we also present the obtained DOS for the Pt/Fe for comparison. The Fe/Pt \nstructure is for med by removing the C 60 molecules and allowing the adjacent layers of Pt and Fe \nto relax and bond. It is interesting to note that while the Pt/Fe system exhibits a spi n-polarized \nDOS near the position of the Fermi level, with the minority spins having the dominant \ncontribution to the transport, for the Pt/C 60/Fe structure both spins contribute almost equally to \nthe DOS at the Fermi level ( Fig. 3c). This ultimately leads to a reduction in the conductivity \nmismatch between the Pt and Fe layers as a result of the C 60 interface. This situation is further \nclarified by the total DOS in Fig. 3d, which shows a significant enhancement of the conduction \nstates near 𝐸𝐹 for the Pt/C 60/Fe heterostructure as compared to the Pt/Fe system. In fact, it is \nfound that DOS at 𝐸𝐹 for Pt/C 60/Fe is about 600% larger than DOS at 𝐸𝐹 for Pt/Fe, which further 8 \n corroborates the giant SSE enhancement in our experiments. Even though the synthes ized \nsamples involve layers with different C 60 thicknesses, we note that the individual buckyball has \nan energy gap between its highest occupied molecular orbital and the lowest unoccupied \nmolecular orbital, which is very similar to the semiconducting gaps of a linear chain of C 60 \nmolecules32, thus the characteristic DOS behavior is due to the interface effects with the Pt and \nFe layers and they are expected to be preserved regardless of the thickness of the C 60 layer. Thus \nthe simulated structure as depict ed in Fig. 3a is expected to be a good representative of the \nmeasured samples. \nEffect of C 60 on the LSSE voltage in the YIG/C 60/Pt structure : Figure s 4a and b show \nthe LSSE voltage (VLSSE) vs. magnetic field (H) curves for YIG/C 60/Pt samples with varying C 60 \nthicknesses ( tC60 = 0, 5, 10, 30, and 50 nm) at two representative temperatures of 140 and 300 K , \nfor a temperature gradient of T = 2 K . It can be seen in this figure that in the low field region ( H \n≤ 0.3 kOe), VLSSE is relatively small (almost zero) and remains almost unchanged with \nincreasing the magnetic field. This low field anomal y has been attributed to the presence of the \nsurface PMA of YIG.18,25 It is worth mentioning in the present case that even after the \nintroduction of the C60 layer between the YIG and Pt layers , the anomalous low field VLSSE (H) \nbehavior is still persistent , underlin ing the same mechanism for LSSE voltage generation in \nYIG/ C60/Pt. Saturated VLSSE has been calculated as the average of positive and negative peak \nvoltage s. At 300 K, YIG/Pt with no C 60 layer has produced VLSSE of 110 nV (Fig. 4a). When a \n5nm C 60 film was introduced between the YIG and Pt interfaces, VLSSE increased to 190 nV. The \nenhancement of VLSSE due to the C 60 intermediate layer bec omes more prominent at low \ntemperature. At 140 K, VLSSE increases from 70 to 660 nV with the insertion of the 5 nm C 60 thin \nfilm (Fig. 4b). As can be summarized in Fig. 4c, when the thickness of C 60 is increased from 5 to 9 \n 50 nm, VLSSE decrease s sharply first and then gradually . At 300 K, YIG/C 60/Pt samples with tC60 \n= 10, 30, and 50 nm show smaller values of VLSSE as compared to YIG/Pt. At 140 K, however, \nthe opposite trend is observed. For the thickest C 60 layer (50 nm), the VLSEE value of YIG/C 60/Pt \nis still greater than that of YIG/Pt. \nTo elucidate th e observed phenomenon , we have studied in detail the temperature \nevolution of VLSEE in YIG/Pt, YIG/C 60(5 nm)/Pt, YIG/C 60(10 nm)/Pt, YIG/C 60(30 nm)/Pt, and \nYIG/C 60(50 nm)/Pt . All measurements were performed from 300 to 140 K , and the results are \nshown in Fig. 5a. It should be recalled that for YIG/Pt (with no C 60 layer) as the temperature was \ndecreased , VLSEE decreas ed with a slope change around 170 K , and this slope change has been \nattributed to the effective magnetic anisotropy change in YIG , due to spin reorientation \ntransition .25 However , all YIG/ C60/Pt samples have shown an opposite temperature dependence \nof VLSEE; VLSEE remain s almost constant up to 20 0 K but below which it starts increasing \nexponentially (Fig. 5a). To better visualize this, the LSSE signal normalized to the signal at 140 \nK is shown in Fig. 5b. The e xponential rise of VLSEE below 200 K is evident from this figure, for \nall C 60-coated samples. All t his suggests a dominant effect of C 60 deposition on the LSSE signal \nin the YIG/C 60/Pt system s. \nIt has been experimentally shown that the spin diffusion length of C 60 possesses an \nexponential increase with lowering temperature just below 200 K when the film thickness is \nbelow 60 nm .33 This logically relates the temperature dependence of VLSEE to that of the spin \ndiffusion length of C 60. In other words, the strong increase of VLSEE with a temperature below \n200 K can be attributed to the strong temperature dependence of the spin diffusion length of C 60 \nin this temperature region. To verify this , the VLSEE (T) data has been fitted to an exponential \nfunction that can be used to describe the temperature dependence of the spin diffusion length of 10 \n C60, and a n example of this fit is shown in the inset of Fig. 5b. This result indicate s that t he long \nspin diffusion length of C 60 has indeed played a crucial role in promoting spin transport in \nYIG/C 60/Pt. \nTo quantitatively explain the C 60 thickness -depende nt LSSE behavior in the YIG/C 60/Pt \nsystems, we have adapted the model proposed for YIG/Pt by Lin et al.12 Since the exchange spin \ntransport mechanism is dominant in the organic material C60, the spin current can be written in \nthe following form: \n𝐽𝑠𝑃𝑡/𝐶60/𝑌𝐼𝐺 =(𝑘∇𝑇𝑒−(𝑥\n𝜆𝑃𝑡) )\n1+𝐺𝑌𝐼𝐺 (1\n𝐺𝑃𝑡\n𝐶60+1\n𝐺𝑃𝑡) 1\ncosh(𝑡𝑐60\n𝜆𝑐60)+𝐺𝑐60(1\n𝐺𝑃𝑡+1\n𝐺𝐶60\n𝑌𝐼𝐺)(sinh(𝑡𝑐60\n𝜆𝑐60))\n , \n (2) \nwhere k is the spin current coefficient, ∇𝑇 is the temperature gradient, G is the spin current \nconductance, 𝜆 is the spin diffusion length of the corresponding material, tC60 is the thickness of \nthe C 60 layer. Since it is difficult to obtain spin current magnitude from LSSE measurements, we \nhave considered the ratio of the spi n currents in both cases for our comparison purpose, \n𝐽𝑠𝑃𝑡/𝐶60/𝑌𝐼𝐺 \n𝐽𝑠𝑃𝑡/𝑌𝐼𝐺 =\n( \n1+((𝐺𝑃𝑡\n𝐶60\n 𝐺𝑃𝑡\n𝑌𝐼𝐺)−1)𝐺𝑃𝑡\n𝐺𝑃𝑡\n𝐶60+𝐺𝑃𝑡\n) \n1\ncosh(𝑡𝑐60\n𝜆𝑐60)+𝐺𝑐60(1\n𝐺𝑃𝑡+1\n𝐺𝐶60\n𝑌𝐼𝐺)(sinh(𝑡𝑐60\n𝜆𝑐60))\n (3) \nIn the spin-wave approximation ,12,34 𝐺𝑃𝑡\n𝑌𝐼𝐺 is proportional to ( T/TC)3/2\n, where TC is the Curie \ntemperature of YIG. Since TC of YIG is very high (~560 K), spin c urrent injection should 11 \n increase as a thin layer C 60 is sandwiched in between YIG and Pt. This can explain the increased \nVLSEE for YIG/C 60(5nm)/Pt relative to YIG/Pt. It was previously reported that the insertion of a \nthin antiferromagnetic NiO layer (~1 nm ) between YIG and Pt increase d VLSEE.12 However, since \n𝜆 of NiO is relatively small (~1-2 nm ), the hyperbolic function in th e denominator of Eq. (3) \nincreases, result ing in reducti on of the spin current , as the NiO thickness is increased . In our \ncase, as the thickness of the C 60 layer is increased, both hyperbolic terms in Eq. (3) increase, \nresulting in an exponential decrease of VLSEE. At the same time, reduction in HKS in YIG/C 60/Pt \ndue to hybridization between the d z2 orbital of Fe and C atoms , which is evident from our TS \nstudies and DFT calculations, would result in a net increase of spin moments at the YIG \nsurface.24 Also , it has recently been shown that the Stoner criteria for magnetism can be beaten in \nC60 by the metal -molecule interface and can induce a magnetic moment in the metal surface .23 \nTheoretical studies have show n that increase in surface magnetic moment density increases the \nspin mixing conductance.14 This explains our observation of the enhanced VLSSE at room \ntemperature in YIG/ 5nm C60/Pt for both single crystal and thin film38 of YIG as compared to \nYIG/Pt, when 𝜆c60 is relatively small at room temperature (~12 nm) . The decrease in VLSSE with \nincreasing the C 60 thickness ( tC60) for the YIG/C 60/Pt systems can be decribed by the relation \nVLSSE e-t/.39 Fiting the C 60 thickness -dependent VLSSE data of YIG/5nm C 60/Pt at 300 K to this \nequation has yielded 𝜆c60 ~11±2 nm, which is similar to th at reported for the Ni 80Fe20/C60/Pt \nsystem (𝜆c60 ~13±2 nm at 300 K ) using the spin pumping method.39 This indicates that the C 60 \nspin current arriving at the C 60/Pt interface is proportional to e-t/. \n In conclusion, we have demonstrated a new, effective approach for enhancing the LSSE \nin a ferromagnetic insulator/metal system like YIG/Pt by adding a thin, intermediate layer of \nhigh spin diffusion length organic semiconductor like C 60. We have shown that the presence of 12 \n C60 reduces significantly the conductivity mismatch between YIG and Pt and the surface \nmagnetic anisotropy of YIG , giving rise to the enhanced spin mixing conductance and hence the \nenhanced LSSE. Results from our first principles simulations demonstrate that the density of \ncarriers at the Fermi level is much enhanced upon inclusion of the interface C 60 layer, which is \nalso accompanied by a reduced magnetic anisotropy. The LSSE of YIG/C 60/Pt strongly depends \non the spin diffusion le ngth of the intermediate layer C60; the temperature dependence of LSSE \nresembles that of the spin diffusion length of C 60. Our study provides a pathway for designing \nnovel hybrid materials with prospective applications in spin caloritronics and other \nmulti functional devices. \nMethods \nSample characterization. Single crystal YIG was purchased from Crystal Systems Corporation, \nHokuto, Yamanashi, Japan , which was grown using Floating zone method along (111) direction. \nVarious layer s of C 60 were deposited on top of the YIG surface , using the therm al evaporation \nmethod with the evaporation rate of 0.2 Å/s at the base pressure of 2 x 10-7 torr. Figure 1b shows \nthe SEM cross -section and EDX color map image of the 50 nm thick C 60 deposited YIG slab. \nFrom the SEM image, it can be concluded that the C 60 was evenly deposited on the surface of the \nYIG single crystal slab. \nMeasurements. Longitudinal spin Seebeck voltage m easurements were performed on a YIG \nsingle crystal of dimension 6 mm 3 mm 1 mm (length width thickness) . A platinum strip \nof 6 mm 1 mm 15 nm was deposited on YIG using DC sputtering. The sputtering chamber \nwas evacuated to a base pressure of 5 × 10−6 Torr and Argon pressure of 7 mT during the \ndeposition. DC current and volt age used for deposition we re 50 mA and ~ 350 V, respectively. \nThe schematic of the LSEE measurement set -up is shown in Fig. 1a. For LSSE measurements 13 \n YIG/Pt w as sandwiched between two copper plates. A Peltier module wa s attached to the bottom \nplate and top plate temper ature was controlled through m olybdenum screws attached to the \ncryogenic system. A temperature gradient of approximately 2 K was achieved by applying 3 A \ncurrent to the Peltier module. K -type thermocouples were used to monitor the temperat ure of the \ntop and bottom pla tes. After stepping the system t emperature and Peltier module current, \nmeasurements were performed after 2 h of stabilization time . The SSE voltage was recorded as \nthe magnetic field wa s swept between positive and negative saturation of YIG, using a Keithley \n2182 Nano voltmeter . \nTransverse susceptibility (TS) measurements were performed using a self -resonant tunnel \ndiode oscillator with a resonant frequency of 12 MHz and sensitivity in resolving frequency shift \non the order of 10 Hz.25,26 The tunnel diode oscillator is integrated with an insert that plugs into a \ncommercial Physical Properties Measurement System (PPMS, Quantum Design), which is used \nto apply dc magnetic fields (up to ±7 T) as well as provide the measurement tempe rature range \n(10 K < T < 300 K). In the experiment, the sample is placed in an inductive coil, which is part of \nan ultrastable, self -resonant tunnel -diode oscillator in which a perturbing small RF field ( HAC ≈ \n10 Oe) is applied perpendicular to the DC field. The coil with the sample is inserted into the \nPPMS chamber which can be varied the temperature from 10 K to 350 K in an applied field up to \n7 T. \nComputational Methods . The first principles simulations are performed using the local spin \ndensity appro ximation to the density functional theory (DFT) as implemented in the Quantum \nESPRESSO package 35. We use ultrasoft pseudopotentials with a kinetic energy cutoff of 320 \neV. The exchange -correlation is treated within the Perdew -Burke -Ernzerhof (PBE) general ized \ngradient approximation36. For the calculations, we construct a supercell consisting of equally 14 \n spaced C 60 buckyballs sandwiched between a Fe layer composed of three bcc Fe (001) \nmonolayers and a Pt layer composed of three fcc Pt (001) monolayers. In total the supercell \nconsists of 156 atoms with 48 Pt atoms, 48 Fe atoms and 60 C atoms. The reciprocal space is \nsampled with a uniform Monkhorst -Pack 4 x 4 x 1 mesh. The outermost Fe and Pt monolayers \nare kept fixed during the relaxation, and all the other atoms are allowed to relax until the change \nin energy is less than 10-5 eV and the forces acting on atoms are less than 0.02 eV/A. We also \nincluded the vdW -D3 dispersion correction37 in the calculations. \nAcknowledgments \nResearch at USF was supported by the Army Research Office through Grant No. W911NF -15-1-\n0626 (Spin -thermo -transport studies) and by the U.S. Department of Energy, Office of Basic \nEnergy Sciences, Division of Materials Sciences and Engineering un der Award No. DE -FG02 -\n07ER46438 (Magnetic st udies) . LW acknowledges support from the US Department of Energy, \nOffice of Basic Energy Sciences , under Grant No. DE-FG02 -06ER46297. The use of the \nUniversity of South Florida Research Computing facilities are also acknowledged. TN \nacknowledges support fr om the STYLENQUAZA LLC. DBA VICOSTONE USA . \nAuthor contributions \nV.K., R.G. and R.D. had equal contributions to the work. M.H.P. and T.N. developed the initial \nconcept. V.K., R.D., R.G., T.N., and M.H.P. designed the study. YIG/C 60 samples were \nfabricated by R. G. and M.P. YIG/C 60/Pt samples were fabricated by V. K. and R.D. Structural \nand m agnetic characterization , and spin Seebeck effect measurements were performed and \nanalyzed by V.K. , and R.D. A.P. and L.M. W. performed DFT calculations and simulations. All 15 \n authors discussed the results and wrote the manuscript. M.H.P. and H.S. jointly led the research \nproject. \nAdditional information \nCompeting financial interests: The authors declare no competing financial int erests. \nCorresponding auth ors: phanm@usf.edu (M.H.P ); \nngtho@uga.edu (N.D.T. ); sharihar@usf.edu (H.S.) 16 \n References \n1Wolf, S. A. et al. Spintronics: A Spin -Based Electronics Vision for the Future. Science 294, \n1488 –1495 (2001). \n2Sinova, J., Valenzuela, S. O., Wunderlich, J., Back, C. H. & Jungwirth, T. Spin Hall \neffects . Rev. Mod. Phys. 87, 1213 –1260 (2015). \n3Mosendz, O. et al. Quantifying spin hall angles from spin pumping: Experiments and theory. \nPhys. Rev. Lett. 104, 046601 (2010). \n4Czeschka, F. D. et al. Scaling behavior of the spin pumping effect in ferromagnet -platinum \nbilayers. Phys. Rev. Lett. 107, 046601 (2011). \n5Bauer, G. E. W., Saitoh, E. & van Wees, B. J. Spin caloritronics. Nature Mater. 11, 391–\n399 (2012). \n6Boona, S. R., Myers, T. C. & Heremans, J. P. Spin caloritronics. Energy Environ. Sci. 7, 885–\n910 (2014). \n7Uchida, K. et al. Observation of the spin Seebeck effect. Nature 455, 778–781 (2008) \n8Uchida, K. et al. Spin Seebeck insulator. Nature Mater. 9, 894–897 (2010) \n9Jaworski, C. M. et al. Observation of the spin -Seebeck effect in a ferromagnetic \nsemiconductor. Nature Mater. 9, 898–903 (2010) \n10Weiler, M. et al. Experimental test of the spin mixing interface conductivity concept. Phys. \nRev. Lett. 111, 176601 (2013). \n11Du, C., Wang, H., Yang, F. & Hammel, P. C. Enhancement of Pure Spin Currents in Spin \nPumping Y 3Fe5O12/Cu/Metal Trilayers through Spin Conductance Matching. Phys. Rev. Appl. 1, \n044004 (2014). \n12 Lin, W., Chen, K., Zhang, S. & Chien, C. L. Enhancement of Thermally Injected Spin Current \nthrough an Antiferromagnetic Insulator. Phys. Rev. Lett. 116, 186601 (2016). \n13Cramer.J et al, Ferroic collinear multilayer magnon spin valve Nat.Commun . 9,1089 (2018) 17 \n 14Kikuchi.D et al, Enhancement of spin -Seebeck effect by inserting ultra -thin Fe 70Cu30 \ninterlayer Appl. Phys. Lett . 106, 082401 (2015). \n15Yuasa, H., Tamae, K. & Onizuka, N. Spin mixing conductance enhancement by increasing \nmagnetic density. AIP Advances 7, 055928 (2017) \n16Isasa, M. et al. Temperature dependence of spin diffusion length and spin Hall angle in Au \nand Pt . Phys. Rev. B 91, 024402 (2015) \n17Aqeel, A. et al. Surface sensitivity of spin Seebeck effect. J. Appl. Phys. 116, 153705 \n(2014). \n18Uchida K. I. et al. Intrinsic surface magnetic anisotropy in Y 3Fe5O12 as the origin of low -\nmagnetic -field behavior of the spin Seebeck effect. Phys. Rev. B 92, 014415 (2015) \n19Rashba, E. I. Theory of electrical spin injection: Tunnel contacts as a solution of the \nconductivity mismatch problem. Phys. Rev. B 62, R16267 -R16270 (2000) \n20Zhang, X. et al. Observation of a large spin -dependent transport length in organic spin \nvalves at room temperature . Nat. Commun. 4, 1392 (2013). \n21Liang, S. et al. Curvature -enhanced Spin -orbit Coupling and Spinterface Effect in Fullerene -\nbased Spin Valves. Sci. Rep. 6, 19461 (2016). \n22Acquah S.F.A. et al Review —the be autiful molecule: 30 years of C 60 and its derivatives. \nECS J. Solid State Sci. Technol ., 6 pp. M3155 -M3162 (2017). \n23Ma´Mari, F. A. et al. Beating the Stoner criterion using molecular interfaces . Nature 524, \n69–73 (2015) \n24Bairagi, K. et al. Tuning the Magnetic Anisotropy at a Molecule -Metal Interface. Phys. Rev. \nLett. 114, 247203 (2015) \n25Kalappattil , V. et al., Roles of bulk and surface magnetic anisotropy on the longitudinal spin \nSeebeck effect of Pt/YIG. Sci. Rep., 7 (1) (2017) \n26Srikanth , H., Wiggins & Rees J. H. Radio -frequency impedance measurements using a tunnel -\ndiode oscillator technique. Review of Scientific Instruments 70, 3097 (1999) 18 \n 27Frey N. A. et al. Magnetic anisotropy in epitaxial CrO 2 and CrO 2/Cr 2O3 bilayer thin films. \nPhys. Rev. B 74, 024420 (2006). \n28Woods G. T. et al. Observation of charge ordering and the ferromagnetic phase transition in \nsingle crystal LSMO using rf transverse susceptibility. J. Appl. Phys . 97, 10C104 (2005). \n29Frey N. A. et al. Transverse susceptibility as a probe of the magnetocrystalline anisotropy -\ndriven phase transition in Pr 0.5Sr0.5CoO 3, Phys. Rev. B 83, 024406 (2011) \n30Xie, L . S., Jin, G . X., He, L., Bauer, G ., Barker, J ., Xia, K. First-principles study of exchange \ninteractions of yttrium iron garnet. Phys. Rev. B 95, 014423 (2017) \n31Krätschmer, W., Lamb, L. D., Fostiropoulos, K. & Huffman, D. R. Solid C 60: a new form of \ncarbon . Nature 347, 354 –358 (1990) \n32Belavin et al, Stability, electronic structure and reactivity of the polymerized fullerite forms, J. \nPhys. and Chem. Of Solids, 61, 1901 (2000) \n33Nguyen, T. D., Wang, F., Li, X. G., Ehrenfreund, E. & Vardeny , Z. V. Spin diffusion in \nfullerene -based devices: Morphology effect. Phys. Rev. B 87, 075205 (2013) \n34Zhang, S. S. L. & Zhang, S. Spin convertance at magnetic interfaces. Phys. Rev. B. 86, 214424 \n(2012) . \n35Paolo, G. et al. QUANTUM ESPRESSO: A modular and open -source software project for \nquantum simulations of materials . J. Phys. Condens. Matter 21, 395502 (2009). \n36Perdew, J. P., Burke, K. & Ernzerhof, M. Generalized gradient approximation made \nsimple . Phys. Rev. Lett. 77, 3865 (1996) \n37 Grimme, S., Antony, J., Ehrlich, S. & Krieg, H. A consistent and accurate ab \ninitio parametrization of density functional dispersion correction (DFT -D) for the 94 elements \nH-Pu. J. Chem. Phys. 132, 154104 (2010) \n38 Das R., Kalappattil V., Geng R., Luong H., Pham M., Nguyen T., Liu T., Wu M.Z., Phan \nM.H., and Srikanth H., Enhanced room -temp erature spin Seebeck effect in a YIG/C 60/Pt \nlayered heterostructure , AIP Advances 8, 055906 (2018 ) 19 \n 39 Liu, H.L., Wang, J.Y., Groesbeck, M., Pan, X., Zhang, C., Valy Vardeny, Z. Studies of spin \nrelated processes in fullerence C 60 devices. J. Mater. Chem. C 6, 3621 (2018) \n \n \n 20 \n Figure captions \n \nFigure 1 (a) Measurement geometry and spin transport through the YIG/C 60/Pt layer. Cross -\nsectional SEM and EDX color map images of the 50 nm thick C 60 deposited YIG slab. \nFigure 2 (a) Magnetic hysteresis ( M-H) loops taken at 300 K for YIG, YIG/Pt, and YIG/C 60/Pt \nstructures; (b) Transverse susceptibly spectra taken at 200 K for YIG, YIG/Pt , and YIG/C 60/Pt \nstructures ; and (c) Temperature dependence of surface/interface perpendicular magnetic \nanisotropy field ( HSK) for YIG , YIG/Pt , and YIG/C 60/Pt structures . \nFigure 3 (a) The Pt/C 60/Fe heterostructure, where Pt and Fe atoms are indicated with grey and \nred colors and C atoms are in yellow. Characteristic interatomic distances are also denoted. (b) \nSchematic representation of the Pt/C 60/Fe relaxed structure, showing the buckling (enhanced to \nhelp visualization) of the innermost Pt and Fe layers due to the intercalation of the C 60 \nmolecules. Some characteristic vertical displacements are also shown. The numbers on the right \nrepresent the calculated average magnetic moments, in Bohr magneton, per layer. The \nconsidered C layers in are composed of the C hexagons closest to the metallic surfaces. (c) Spin \nresolved DOS for the Pt and Fe layers, with and without the C 60 molecule. (d) Total DOS for the \nsame structures as in (c). \nFigure 4 LSSE voltag e vs. magnetic field curves taken at (a) 300 K and ( b) 140 K for \nYIG/C 60/Pt with different thickness es of C 60. \nFigure 5 (a) C60 thickness dependence of the L SSE signal at 300 K and 140 K; (b) Temperature \ndependence of L SSE voltage for YIG/C 60/Pt with different thickness es of C 60; (c) The \nnormalized value of LSSE for different C60 thickness es of YIG/C 60/Pt. Inset of (c) shows the fit 21 \n for the 5 nm C 60 thickness. The temperature dependence of spin diffusion length determined \nfrom our SSE method and the MR method .33 \n \n 22 \n Table 1 \nRelaxed structural parameters of the Fe/C60/Pt layer shown in Fig s 3a and 3b. Specifically, 𝐷, \n𝑑𝐹𝑒−𝐶(1,2), , 𝑑𝑃𝑡−𝐶, 𝑑𝐶60−𝐶60, 𝛿𝑃𝑡(1,2), , and 𝛿𝐹𝑒 (in Å ) represent the separation between the Fe and Pt \nlayers, the lengths of the covalent bonds formed between the Fe and C atoms, between Pt and C \natoms, the distance between the C 60 molecules, and the displacements of the Pt and Fe atoms \nlocated immediately in the vicinity of the C 60 molecule, respectively. The numbers in parenthesis \nrepresent the same distances calculated by including the vdW correctio n. \n𝐷 (Å) 𝑑𝐹𝑒−𝐶(1)(Å) 𝑑𝐹𝑒−𝐶(2)(Å) 𝑑𝑃𝑡−𝐶(Å) 𝑑𝐶60−𝐶60(Å) 𝛿𝑃𝑡(1)(Å) 𝛿𝑃𝑡(2)(Å) 𝛿𝐹𝑒(Å) \n10.33 \n(10.31) 2.021 \n(2.02) 2.25 \n(2.25) 2.21 \n(2.198) 4.13 \n(4.13) 0.44 \n(0.4) 0.22 \n(0.18) 0.11 \n(0.11) \n \n \n \n \n \n \n \n \n 23 \n Figure 1 \n \n \n \n24 \n Figure 2 \n \n \n \n \n25 \n Figure 3 \n \n \n26 \n Figure 4 \n \n \n \n27 \n Figure 5 \n \n \n \n \n \n \n" }, { "title": "1810.07306v1.Spin_torque_oscillation_in_a_magnetic_insulator_probed_by_a_single_spin_sensor.pdf", "content": "Spin-torque oscillation in a magnetic insulator probed by a single-spin sensor H. Zhang1,2, †, M.J.H. Ku1,2, †, F. Casola1,2, C.H. Du2, T. van der Sar2, ‡, M.C. Onbasli3,4, C.A. Ross3, Y. Tserkovnyak5, A. Yacoby2,6, R.L. Walsworth1,2,7,* 1Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138, USA. 2Department of Physics, Harvard University, 17 Oxford Street, Cambridge, MA 02138, USA. 3Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139, USA. 4Koç University, Department of Electrical and Electronics Engineering, Sarıyer, 34450 Istanbul, Turkey. 5Department of Physics and Astronomy, University of California, Los Angeles, 475 Portola Plaza, Los Angeles, CA 90095, USA. 6John A. Paulson School of Engineering and Applied Sciences, Harvard University, Cambridge, MA 02138, USA. 7Center for Brain Science, Harvard University, Cambridge, Massachusetts 02138, USA. †These authors contributed equally to this work. ‡Present\taddress:\tKavli\tInstitute\tof\tNanoscience,\tDelft\tUniversity\tof\tTechnology,\t2628CJ\tDelft,\tNetherlands.\t*e-mail: rwalsworth@cfa.harvard.edu Coherent, self-sustained oscillation of magnetization in spin-torque oscillators (STOs)1,2,3,4 is a promising source for on-chip, nanoscale generation of microwave magnetic fields. Such fields could be used for local excitation of spin-wave resonances, control of spin qubits, and studies of paramagnetic resonance. However, local characterization of fields emitted by an STO has remained an outstanding challenge. Here, we use the spin of a single nitrogen-vacancy (NV) defect in diamond to probe the magnetic fields generated by an STO in a microbar of ferromagnetic insulator yttrium-iron-garnet (YIG). The combined spectral resolution and sensitivity of the NV sensor allows us to resolve multiple spin-wave modes and characterize their damping. When damping is decreased sufficiently via spin injection, the modes auto-oscillate, as indicated by a strongly reduced linewidth, a diverging magnetic power spectral density, and synchronization of the STO frequency to an external microwave source. These results open the way for quantitative, nanoscale mapping of the microwave signals generated by STOs, as well as harnessing STOs as local probes of mesoscopic spin systems. Spin-torque oscillators (STOs) have been proposed as on-chip sources of spin waves2, as nanoscale microwave generators2,3,4, and as building blocks in neural networks2,3,5. While optical methods6,7,8 such as Brillouin light scattering and magneto-optical Kerr effect microscopy have been used to spatially investigate the magnetization dynamics of STOs, the detection of on-chip STO microwave fields with a probe that allows nanoscale spatial imaging and MHz spectral resolution has remained an outstanding challenge. Recently, the electron spin associated with the nitrogen-vacancy (NV) defect in diamond has emerged as a sensitive magnetic-field sensor9 that allows nanometer-scale spatial resolution10,11, sub-Hz spectral resolution12, and excellent magnetic-field sensitivity13. Here, we use NV magnetometry to study the local magnetic fields generated by an STO in a YIG microbar that can be driven into auto-oscillation by a spin-current injected via the spin-Hall effect in a platinum thin film8,14,15. To locally detect the STO magnetic fields, we position a diamond nanobeam containing an individually addressable NV sensor at ~100 nm from a Pt/YIG hybrid microstructure (Fig. 1a). Au electrical leads supply the DC current Idc to the Pt wire for spin injection. A nearby Au stripline (Fig. 1b) delivers microwave signals for both control of the NV spin state and for microwave-driving of spin-wave modes in the YIG bar. Further information on NV physics relevant to sensing of magnetic fields can be found in refs. 9-13,16-18, and details of the fabrication processes can be found in the Methods and in Supplementary Information 1. We start by probing the spin-wave spectrum of the YIG micro-magnet using microwave excitation. We sweep the frequency of a microwave drive field and use the NV spin sensor to detect changes in the stray static magnetic field due to changes in the YIG magnetization upon exciting a spin-wave resonance16. Fig. 1c depicts the sensing sequence, and Fig. 1d shows the spectrum at zero DC current. We observe multiple spectral peaks, the most prominent of which persists as the strongest mode throughout the entire sweep range of the external magnetic field Bext. We attribute this peak to the spatially homogeneous (n=1) ferromagnetic resonance (FMR) of the YIG bar as it couples efficiently to our microwave drive field. The centre frequency of this mode vs Bext follows a Kittel-like dependence (Fig. 1e). Next, we demonstrate control of spin-wave resonance damping by injecting a spin current into the YIG via the Pt contact. As illustrated in Fig. 2a, the effect of a spin current on the dynamics of the magnetization can be described as a spin-orbit torque acting on the YIG magnetization19. Depending on the relative orientation between the injected spins and the equilibrium magnetization, the spin-orbit torque can either reduce or enhance the effective damping of precessional motion of the magnetization vector (see Supplementary Information 3). We observe such damping modification by measuring the response of the YIG stray field to the microwave drive as a function of Idc. In Fig. 2b, we show example FMR spectra of the YIG stray field 𝛥𝐵||, normalized by the microwave drive amplitude b1, as a function of the drive frequency at several Idc. A strong dependence of the peak amplitude on Idc indicates that damping is reduced (enhanced) for positive (negative) Idc. In addition, we observe a shift in the resonance frequency as a function of Idc (Fig. 2b) that is well described by a second-order polynomial8. We attribute the quadratic (symmetric) part of this polynomial to Joule heating, while the linear part may be attributed to a combination of effects such as an Oersted field generated by the current in the Pt, and a change in the YIG magnetization caused by the spin-orbit torque (see Supplementary Information 4). When the intrinsic magnetic damping is compensated by the anti-damping torque exerted by the injected spin current, we expect an increase in the rate of change of the FMR peak amplitude as a function of the microwave drive power. Figure 2c plots the on-resonance peak amplitude of 𝛥𝐵||, extracted from data such as those in Fig. 2b, as a function of the microwave drive power 𝑏!! at different Idc. We observe two distinct regimes: for Idc ≲ 4 mA, the peak amplitude increases approximately linearly over a large range of microwave drive power, while for Idc≳4 mA, the signal increases sharply and then saturates. The distinction between these two regimes is more evident in Fig. 2d, which plots the inverse of the initial slopes of 𝛥𝐵|| vs 𝑏!! (i.e., 𝑑𝑏!!𝑑𝛥𝐵||) as a function of Idc. The trend of the inverse on-resonance slopes quantifies the evolution of the effective damping coefficient 𝛼!\"\", which monotonically changes with 1𝜒!!!∝𝑏!𝑚!~𝑑𝑏!!𝑑Δ𝐵||, where 𝜒\" is the imaginary part of the YIG bar’s magnetic susceptibility, and m is the oscillation amplitude of the transverse magnetization20. In Fig. 2d we observe 𝑑𝑏!!𝑑Δ𝐵|| decreases as a function of Idc (diagonal dashed line) until a certain threshold, after which it plateaus (horizontal dashed line). We interpret the plateau to be due to auto-oscillation of a spin-torque oscillator (STO), with the crossing of the dashed lines corresponding to the STO onset threshold current ~ 3.8 mA. Note that this threshold current value agrees well with an independent estimate obtained from Fig. 4a. To study auto-oscillation of the spin-wave modes in the YIG bar, we characterize the power spectral density of the magnetic-noise generated by the modes as a function of Idc and in the absence of microwave excitation. We use the NV spin as a field-tunable spectrometer via a technique known as NV spin relaxometry16, where the NV spin relaxation rates Γ are measured to quantify the magnetic-noise power spectral density 𝐵!(𝜔) at the NV 𝑚!=0↔±1 transition frequencies 𝜔±=2π(Dgs±γBext) via the relation 𝛤±=!!!𝐵!(𝜔±). Here, γ=2.8 MHz/G is the NV gyromagnetic ratio, Dgs =2.87 GHz is the NV zero-field splitting, and Bext is the external static magnetic field aligned with the NV axis. To characterize NV spin relaxation, we prepare the NV spin in the mS=0 state and determine the spin-relaxation rate 𝛤 in the presence of YIG bar magnetic noise by measuring the spin-dependent photoluminescence 𝑃𝐿𝜏,𝜔=𝑃𝐿(𝜏=0)𝑒!!(!)! after a hold time τ (Fig. 3a). In Fig. 3b, we show an example NV spin relaxometry experiment at Idc=5.8 mA, where we measure the PL at a fixed τ as we vary the external magnetic field that sweeps the lower transition frequency 𝜔! over several spin-wave resonances. In this situation, the rate 𝛤≈𝛤!; Γ+ is negligible as the density of thermal magnons is suppressed at energy 𝜔! which is far detuned from spin-wave resonances. The magnetic field fluctuations produced by the different YIG spin-wave modes increase the NV spin relaxation rate, which results in decreased NV PL21,22. By performing this measurement at multiple Idc, we map the noise spectrum of spin-waves as a function of Bext and Idc (Fig. 3c). The red/blue stars denote the locations of prominent spin-wave resonances (obtained by fitting the peak centres at each Idc), which we call STO1 and STO2. We identify STO1 as the spatially homogeneous (n=1) FMR and STO2 as a higher order (n=2) spin wave mode (Supplementary Information 5). The spectral resolution of the NV sensor allows us to zoom in closely on the regions where the two spin-waves approach each other (Figs. 3d and 3e), and observe what seems to be a mode anti-crossing, hinting at hybridization of the spin-wave modes due to mode interactions. Micromagnetic simulations elucidate the nature of the modes and point to the possibility of mode mixing (Supplementary Information 5). We estimate a mode coupling strength of about 10 MHz (Supplementary Information 6), which is larger than the linewidth of the individual modes (see Fig. 4b). To quantitatively study the power spectral density of the magnetic field noise generated by STO1 and STO2, we tune the NV transition frequency into resonance with the modes by adjusting Bext and extract the NV spin relaxation rate Γ as a function of Idc (Fig. 4a). As we change Idc, we observe a dramatic increase in the STO magnetic-noise power spectral density of up to three orders of magnitude, a key signature of auto-oscillation23. The inset of Fig. 4a maps 1/Γ as a function of Idc, and we use the intersection of a linear fit of 1/Γ at low current with 1/Γ = 0 to indicate the onset (threshold) of auto-oscillation, following the relation24,25 1/𝛤∝1𝑝∝1−𝐼!\"𝐼!!, where p is the peak power spectral density emitted by the STO and Ith is the threshold current. For STO1, we estimate Ith1 ≈ 3.5 mA, close to the estimate made above from the stray-field magnetometry measurements in Fig. 3d. For STO2, we obtain a higher threshold current Ith2 ≈ 4.4 mA. A strong correlation between linewidth reduction (Fig. 4b) and divergence of magnetic fluctuations (Fig. 4a) is consistent with Landau-Lifshitz-Gilbert phenomenology: that is, the spin-orbit torque reduces the damping torque and the associated STO linewidth. As we increase Idc further, we surprisingly observe a reduction in the power spectral density accompanied by linewidth broadening and the appearance of a higher-order STO (STO* as shown in Fig. 3b). This may imply that strong spin injection introduces an additional magnon decay channel and the magnetic system is approaching a re-thermalization scenario26, though we leave a study of this phenomenon to future work. Finally, we demonstrate that the Pt/YIG STO can be synchronized with an external microwave source, as observed previously for STOs in metallic ferromagnets26. We use the measurement scheme shown in Fig. 5a, which is an NV spin relaxometry measurement with an added microwave drive field. We sweep the frequency fMW of this drive field around the free-running STO frequency. By monitoring the magnetic-noise power spectral density at the NV transition frequency, we observe locking of the STO over a frequency interval Δfs (Fig. 5b). Figures 5c and 5d show that the locking interval increases approximately linearly with the drive amplitude b1 as expected for frequency-locked oscillators. We observe an increase of the synchronization bandwidth for larger Idc (Fig. 5d). Frequency locking to an external microwave source can be used to quickly tune the STO in and out of resonance with the control frequency of a target system. In summary, we used the spin of a single NV center in diamond as a nanoscale magnetic sensor to measure the local magnetic fields generated by spin-torque oscillators (STOs) driven by spin-current in a Pt/YIG hybrid microstructure. We demonstrated STO auto-oscillation15 in this magnetic insulator using three independent methods: suppression of the effective damping torque, divergence of the power spectral density at the STO frequency, and STO synchronization to an external microwave source. High spectral resolution is a key capability of NV sensing and can be further improved below ~1 Hz by, for example, quantum interpolation27, synchronized readout12, or modest cooling17. Thus in future work, NV sensors should be able to provide access to the sub-Hz regime of advanced research on STOs3, as well as nanoscale spatial characterization of STO-generated magnetic fields10,11. Spatial mapping at such length scales would provide access to locations of large STO magnetic-field intensity, with the potential to use an STO to drive magnetic excitations in other systems of interest, such as spin waveguides28 and spin qubits29. Finally, studies of spin-torque oscillation may provide insight into phenomena such as magnon thermodynamics30, strongly-correlated many body physics18, and control over magnetic phase transitions31. Methods Hybrid Pt/YIG device preparations. Fabrication of the Pt/YIG device starts with a 17-nm YIG film epitaxially grown on a (111) orientation GGG substrate using pulsed laser deposition32. A 10 nm layer of Platinum (Pt) is sputtered on top of the YIG film, which is first cleaned by an Ar+ plasma at a pressure below 5×10-8 Torr to ensure good Pt purity. The Pt/YIG stripe is defined by electron-beam lithography (Elionix F125, 125 kV) with a PMMA (495A2, ~ 30 nm)/HSQ (XR-1541-006, ~250 nm and FOX-16, ~ 500 nm) resist stack, followed by developing in 25% TMAH. Ar+ ion milling is used to transfer the pattern onto the substrate and form the Pt/YIG hybrid microstructure. Finally, leads for DC current and microwave driving are defined by electron-beam lithography and e-beam evaporation techniques. See Supplementary Information 1 for further details. Experimental setup and nanobeam fabrication. The experimental setup is based on a home-built laser scanning confocal microscope, which has been described previously11. As part of the experimental sensing platform, we pattern bulk diamond containing NVs into a nanobeam structure33 and place it close to the sample of interest, with a single NV sensor within about 100 nm of the Pt/YIG microstructure to access the sample’s relatively weak localized fields. References 1. Chumak, A. V., Vasyuchka, V. I., Serga, A. A. & Hillebrands, B. Magnon spintronics. Nat. Phys. 11, 453–461 (2015). 2. Locatelli, N., Cros, V. & Grollier, J. Spin-torque building blocks. Nat. Mater. 13, 11–20 (2014). 3. Chen, T. et al. Spin-Torque and Spin-Hall Nano-Oscillators. Proc. IEEE 104, 1919–1945 (2016). 4. Demidov, V. E. et al. Magnetization oscillations and waves driven by pure spin currents. Phys. Rep. 673, 1-31 (2017). 5. Torrejon, J. et al. Neuromorphic computing with nanoscale spintronic oscillators. Nature 547, 428–431 (2017) 6. Demokritov, S. O. et al. Bose-Einstein condensation of quasi-equilibrium magnons at room temperature under pumping. Nature 443, 430–433 (2006). 7. Montazeri, M. et al. Magneto-optical investigation of spin–orbit torques in metallic and insulating magnetic heterostructures. Nat. Commun. 6, 8958 (2015). 8. Demidov, V. E. et al. Direct observation of dynamic modes excited in a magnetic insulator by pure spin current. Sci. Rep. 6, 32781 (2016). 9. Maze, J. R. et al. Nanoscale magnetic sensing with an individual electronic spin in diamond. Nature 455, 644–647 (2008). 10. Grinolds, M. S. et al. Subnanometre resolution in three-dimensional magnetic resonance imaging of individual dark spins. Nat. Nanotech. 9, 279–284 (2014). 11. Arai, K. et al. Fourier magnetic imaging with nanoscale resolution and compressed sensing speed-up using electronic spins in diamond. Nat. Nanotech. 10, 859-864 (2015). 12. Glenn, D. R. et al. High Resolution Magnetic Resonance Spectroscopy Using Solid-State Spins. Nature 555, 351 (2018). 13. Rondin, L. et al. Magnetometry with nitrogen-vacancy defects in diamond. Rep. Prog. Phys. 77, 056503 (2014). 14. Hamadeh, A. et al. Full Control of the Spin-Wave Damping in a Magnetic Insulator Using Spin-Orbit Torque. Phys. Rev. Lett. 113, 197203 (2014). 15. Collet, M. et al. Generation of coherent spin-wave modes in yttrium iron garnet microdiscs by spin-orbit torque. Nat. Commun. 7, 10377 (2016). 16. van der Sar, T., Casola, F., Walsworth, R. & Yacoby, A. Nanometre-scale probing of spin waves using single-electron spins. Nat. Commun. 6, 7886 (2015). 17. Bar-Gill, N. et al. Solid-state electronic spin coherence time approaching one second. Nat. Commun. 4, 1743 (2013). 18. Casola, F., van der Sar, T., & Yacoby, A. Probing condensed matter physics with magnetometry based on nitrogen-vacancy centres in diamond. Nature Review Materials 3, 17088 (2018). 19. Slonczewski, J. C. Current-driven excitation of magnetic multilayers. J. Magn. Magn. Mater. 159, L1–L7 (1996). 20. Bailleul, M., Höllinger, R., & Fermon, C. Microwave spectrum of square Permalloy dots: Quasisaturated state. Phys. Rev. B 73, 104424 (2006). 21. Wolfe, C. S. et al. Off-resonant manipulation of spins in diamond via precessing magnetization of a proximal ferromagnet. Phys. Rev. B 89, 180406(R) (2014). 22. Du, C. et al. Control and local measurement of the spin chemical potential in a magnetic insulator. Science 357, 195 (2017). 23. Demidov, V. E. et al. Magnetic nano-oscillator driven by pure spin current. Nat. Mater. 11, 1028–1031 (2012). 24. Slavin, A. & Tiberkevich, V. Nonlinear auto-oscillator theory of microwave generation by spin-polarized current. IEEE Trans. Magn. 45, 1875–1918 (2009). 25. Hamadeh, A. et al. Autonomous and forced dynamics in a spin-transfer nano-oscillator: Quantitative magnetic-resonance force microscopy. Phys. Rev. B 85, 140408 (2012). 26. Demidov, V. E. et al. Synchronization of spin Hall nano-oscillators to external microwave signals. Nat. Commun. 5, 3179 (2014). 27. Ajoy, A. et al. Quantum Interpolation for High Resolution Sensing. Proc. Natl. Acad. Sci. USA 114, 2149-2153 (2017). 28. Collet, M. et al. Spin-wave propagation in ultra-thin YIG based waveguides. Appl. Phys. Lett. 110, 092408 (2017). 29. Sutton, B. & Datta, S. Manipulating quantum information with spin torque. Sci. Reports 5, 17912 (2015). 30. Safranski, C. et al. Spin caloritronic nano-oscillator. Nat. Commun. 8, 117 (2017). 31. Giamarchi, T., Rüegg, C. & Tchernyshyov, O. Bose-Einstein Condensation in Magnetic Insulators. Nat. Phys. 4, 198 (2008). 32. Lang, M. et al. Proximity Induced High-Temperature Magnetic Order in Topological Insulator - Ferrimagnetic Insulator Heterostructure. Nano Lett. 14, 3459 (2014). 33. Burek, M. J. et al. Free-standing mechanical and photonic nanostructures in single-crystal diamond. Nano Lett. 12, 6084 (2012). Acknowledgements The authors acknowledge the provision of diamond samples by Element 6, assistance with nanobeam fabrication from M. Warner and M. Burek, the use of a setup for nanobeam transfer from P. Kim, use of the ion mill facility in the J. Moodera lab, and experimental assistance from K. Arai, M. Han, and J.-C. Jaskula. This material is based upon work supported by, or in part by, the United States Army Research Laboratory and the United States Army Research Office under Contract/Grants No. W911NF1510548 and No. W911NF1110400. A.Y. acknowledges support from the Army Research Office under Grant Number W911NF-17-1-0023. The views and conclusions contained in this document are those of the authors and should not be interpreted as representing the official policies, either expressed or implied, of the Army Research Office or the U.S. Government. The U.S. Government is authorized to reproduce and distribute reprints for Government purposes notwithstanding any copyright notation herein. Work at the Massachusetts Institute of Technology was supported by the Solid-State Solar-Thermal Energy Conversion Center (S3TEC), an Energy Frontier Research Center funded by DOE, Office of Science, BES under award no. DE-SC0001299/DE-FG02-09ER46577. Work at the University of California, Los Angeles, is supported by the U.S. Department of Energy (DOE), Office of Basic Energy Sciences (BES) under award no. DE-SC0012190. F.C. acknowledges support from the Swiss National Science Foundation grant no. P300P2-158417. This research is also funded in part by the Gordon and Betty Moore Foundation’s EPiQS Initiative through Grant GBMF4531, the STC Center for Integrated Quantum Materials, NSF Grant No. DMR-1231319, and by the National Science Foundation under Grant No. EFMA-1542807. This work was performed in part at the Center for Nanoscale Systems (CNS), a member of the National Nanotechnology Coordinated Infrastructure Network (NNCI), which is supported by the National Science Foundation under NSF award no. 1541959. CNS is part of Harvard University. Author contributions H.Z. and M.J.H.K. contributed equally to this work. H.Z, M.J.H.K, A.Y., and R.L.W. conceived the project. R.L.W. and A.Y. supervised the project. H.Z. and M.J.H.K developed measurement protocols, built the experimental setup for NV measurement, performed the measurements, and analyzed the data. H.Z. fabricated the Pt/YIG device and performed micromagnetic simulations. F.C. and H.Z. developed the nanobeam platform and fabricated the nanobeams. F. C. helped with micromagnetic simulations. M.C.O. and C.A.R. provided the YIG sample. H.Z., M.J.H.K., T.v.d.S., F.C., C.H.D., Y.T., A.Y., and R.L.W. contributed to the interpretation. H.Z., M.J.H.K., T.v.d.S., C.H.D., and R.L.W. wrote the manuscript with the help from all co-authors. Competing interests The authors declare no competing financial interests Materials & Correspondence. Correspondence to R. L. Walsworth (rwalsworth@cfa.harvard.edu). Figures \n Figure 1 | Local probing of a Pt/YIG spin-torque oscillator using a single nitrogen-vacancy (NV) sensor spin in diamond. a, Schematic illustration of the device: a diamond nanobeam containing a single NV spin is positioned ~100 nm from a hybrid Pt/YIG structure (2.5×9 µm2). The Pt (YIG) thickness is 10 nm (17 nm). Au wires provide electrical contact to the Pt film. b, False-colored scanning electron micrograph of the device (before positioning the diamond nanobeam). c, Measurement sequence for stray-field magnetometry of spin-wave resonances. A green laser pulse initializes the NV spin. The first microwave π/2 pulse prepares an NV spin superposition, followed by a spin-echo sequence with two π pulses. A spin-wave (FMR) drive is applied during the central 2τ period. A change in the YIG stray field ΔΒ|| imparts a phase ϕ=γΔΒ||2τ on the NV spin state, where γ=2.8 MHz/G is the NV gyromagnetic ratio. The final π/2 pulse converts this phase to an NV spin population difference, which is read out via spin-dependent photoluminescence. A free precession time τ ≈ 5.5 µs is used for such stray-field magnetometry. d, Example of YIG spin-wave resonances measured with the pulse sequence in c, at applied static magnetic field Bext = 337 G aligned with the NV axis. Plotted is the NV-measured stray static magnetic field along the NV axis, ΔB|| , as a function of the spin-wave drive frequency. The signal is normalized by b!!, which is proportional the spin-wave drive power. b1 is independently measured on-chip using the same NV sensor via tuning Bext to bring the m!=0↔−1 transition on resonance with the drive field and measuring the NV Rabi frequency16. Blue dots: data. Red line: double Gaussian fit, yielding FWHM = 8.5(6) MHz for the dominant mode attributed to the spatially homogeneous (n=1) ferromagnetic resonance (FMR) of the YIG bar. e, Green dots: Magnetic-field (Bext) dependence of the fundamental spin-wave resonance frequency extracted from fits to measurements such as shown in d. Blue line: fit reveals characteristic Kittel-like behavior of FMR. Black lines: NV transition frequencies corresponding to the m!=0↔±1 transitions. NV-spin manipulation pulses are applied on the m!=0↔+1 transition. \nFigure 2 | Controlling spin-wave damping using electrically controlled spin injection. a, Sketch of the magnetization dynamics of the Pt/YIG device under the influence of a spin current. The electrical current (Je) injects a spin current (Js) into the YIG, leading to a spin-orbit torque (labeled SOT) that either reduces or enhances magnetic damping depending on the relative orientation between the injected spins and the magnetization M. DT denotes the (intrinsic) damping torque. b, NV-measured, microwave-driven spin-wave resonance spectra in the YIG as a function of the DC current Idc through the Pt. (Measurement sequence shown in Fig. 1c.) Blue traces: normalized change in YIG stray field ∆B||b!! as a function of microwave driving frequency for Idc = 5, 4, 3, 2, 1, 0, -2, -5 mA. Red lines: double Gaussian fit to data. Green dots: centre frequency of the fundamental spin-wave mode vs. Idc. Black curve: parabolic fit to green dots. c, On-resonance ∆B|| as a function of microwave driving power b!! for different values of Idc. Black, red, and pink dots correspond to Idc = 4.5, 4.75, and 5 mA, for which the initial slopes (d∆B||db!!) have no discernable difference. Blue and green squares correspond to Idc = 3 and 3.5 mA, for which initial slopes are significantly smaller. d, Plot of the inverse of the initial slopes, i.e., db!!d∆B||, as a function of Idc. Diagonal and horizontal dashed lines serve as eye guide to illustrate that there exists a current threshold as onset of an auto-oscillating spin torque oscillator (STO). \t\n\tFigure 3 | Spin-wave noise spectroscopy via NV spin relaxometry. a, NV spin relaxometry measurement sequence. The NV spin is initialized into m!=0 by a green laser pulse and let to relax for a time τ, after which the spin population is characterized via the spin-dependent PL during a laser readout pulse. The current Idc enhances or reduces spin-wave damping in the YIG bar and alters the power spectral density of the magnetic-field noise accordingly. Noise that is resonant with an NV transition frequency causes NV spin relaxation. b, Example NV spin relaxometry measurement at Idc=5.8 mA and τ=5 µs. By tuning the magnetic field Bext, the frequency of the m!=0↔−1 transition is swept over three spin-wave (SW) modes in the YIG, whose field-noise causes strong NV spin relaxation and thus dips in the normalized PL signal. c, Performing the measurement shown in panel b for different Idc yields a 2D plot of PL vs Idc and Bext that displays the presence and dispersion of spin-torque oscillators (STOs) in the system. Different delay times τ of 150 µs, 50 µs, 15 µs, 5 µs, and 3 µs are used for the different Idc ranges of [-5 mA:0 mA], [0.2 mA:1.8 mA], [2 mA:3 mA], [3.2 mA:5 mA], and [5.2 mA:6 mA], respectively. Top horizontal axis shows the m!=0↔−1 transition frequency at corresponding Bext. Blue stars indicate fits of peak centres for the first resonance on the left-hand-side (STO1), while red stars are fits of peak centres for the second (STO2). These two STOs are also indicated in panel b. Note that an additional oscillator (data points are orange in color and designated as STO* in panel b) appears when Idc = 5.8 mA and persists for higher current. Inset illustrates mode spatial distribution of STO1 and STO2 along width of Pt/YIG microstructure (W). d&e. Zoomed-in, high-resolution views of c, where spin-wave modes are observed to approach each other. \t\n\tFigure 4 | Detection of spin-torque auto-oscillation by NV spin relaxometry. a, NV spin relaxation rate (Γ) is measured at the current and magnetic field values indicated by the blue and red stars in Fig. 3c, where the spin-torque oscillators (STOs) are resonant with the m!=0↔−1 transition frequency. At each of these current and magnetic field values, we sweep τ, perform an NV spin relaxometry measurement sequence (Fig. 3a), and extract the exponential decay time constant Γ. ΓSTO1 (red) and ΓSTO2 (blue) are plotted as a function of Idc. The dramatic order-of-magnitude increase of the relaxation rate above Idc~3 mA indicates spin-torque induced auto-oscillation of the STOs. Inset shows 1/Γ vs Idc for both STOs. Linear fits at low current (Idc<4 mA) intersect with T1 = 0 at Ith1=3.5 mA and Ith2=4.4 mA, which we define as the auto-oscillation threshold currents. b, Measured STO linewidth ∆B as a function of Idc for STO1 (blue dots) and STO2 (red dots). The vertical axis on the right gives the linewidth in frequency (MHz), calculated from ∆B using the Kittel relation at Bext ~ 250 G and Idc = 0. \n \t\n\tFigure 5 | Locking STO frequency to an external microwave (MW) source. a, NV spin relaxometry measurement sequence as in Fig. 3a, with added MW drive. For synchronization measurement, Bext is tuned such that the NV m!=0↔−1 transition coincides with STO resonance. b, Measured NV photoluminescence (PL) as a function of the MW drive frequency fMW, at Idc = 5.2 mA, Bext = 292 G, and MW drive amplitude b1 = 1.5 G. When the MW drive is resonant with the NV transition frequency, a dip in the PL is observed because the driving depletes the ms=0 population. Over a frequency interval Δfs the STO can be locked to the MW drive and thus detuned from the NV transition, thereby decreasing the NV spin relaxation and correspondingly increasing the measured PL. When the MW drive frequency is detuned beyond the locking interval (i.e., synchronization bandwidth), the STO remains resonant with the NV transition frequency, leading to strong NV-spin relaxation and a corresponding reduced PL. (See Supplementary Information 8 for detailed data analysis.) c, 2D map of PL vs fMW and MW drive amplitude b1. The synchronization bandwidth increases linearly with b1. d, Synchronization bandwidth vs b1 at different Idc (4, 5.2, and 5.6 mA). \t\n" }, { "title": "1803.03799v1.Atomic_scale_structure_and_chemistry_of_YIG_GGG_Interface.pdf", "content": " 1 \nAtomic -Scale Structure and Chemistry of \nYIG/GGG Interface \n \nMengchao Liu1, Lichuan Jin2, Jingmin Zhang1, Qinghui yang2, Huaiwu Zhang2, Peng Gao1,3,4,a), \nDapeng Yu4,5,6 \n \n1Electron Microscopy Laboratory, School of Physics, Peking University, Beijing, 100871, China \n2State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic \nScience and Technology of China, Chengdu 610054, China \n3International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871, \nChina \n4Collaborative Innovation Centre of Quantum Matter, Beijing 100871, China. \n5State Key Laboratory for Mesoscopic Physics, School of Physics, Peking University, Beijing \n100871, People’s Republic of China \n6Institute for Quantum Science and Engineering and Depar tment of Physics, South University of \nScience and Technology of China, Shenzhen 518055, People’s Republic of China \nAuthors to whom correspondence should be addressed: a)p -gao@pku.edu.cn; \n \nKeywords \nYIG, interface, STEM, atomic structure, EELS \n \nAbstract: \nY3Fe5O12 (YIG) is a promising candidate for spin wave devices. In the thin film devices, the \ninterface between YIG and substrate may play important roles in determining the device properties. \nHere, we use spherical aberration -corrected scanning electron micro scopy and spectroscopy to \nstudy the atomic arrangement, chemistry and electronic structure of the YIG/Gd 3Ga5O12 (GGG) \ninterface. We find that the chemical bonding of the interface is FeO -GdGaO and the interface \nremains sharp in both atomic and electronic s tructures. These results provide necessary information \nfor understanding the properties of interface and also for atomistic calculation. 2 \nSpin waves (magnons) that have a large group velocity up to a few tens of μm/ns and a \nfrequency in the gigahertz/tera hertz range,[1-4] are promising for the application of information \ntransport and processing,[5-10] as the conventional semiconductor devices are approaching their \nlimitation.[11] One promising candidate material for the spin -wave devices[14-16] is yttrium iron \ngarnet (Y 3Fe5O12, YIG),[12-20] which has the smallest relaxation parameter, high Curie temperature, \nexcellent chemical stability[5, 21 -23] and a very low damping coefficient and thus allows the magnons \nto propagate over several centimeters in distanc e.[24-28] For the large scale magnonic circuits \nintegration, YIG is usually required to be in the form of thin film with smooth interface and \nthickness in nanometer scale in order to be compatible with conventional silicon technology.[16, 28 -30] \nIn fact, t he energy consumption can also be effectively reduced in the thin film YIG devices.[13, 31 ] \nParticularly, the nanometer -thick YIG film is highly desirable for construction of spin wave \nnonreciprocity logic devices and voltage switched magnetism. However, w hen the thickness of YIG \nfilm decreases, the effects of interface between YIG and the substrate are expected to become \npronounced or even may completely dominate the properties of the entire devices. Therefore, it’s of \ngreat significance to study the atomi c structure, chemistry and electronic structure of interface of \nYIG thin film. \nIn this paper, we employ aberration -corrected scanning transmission electron microscopy \n(AC-STEM) and spectroscopy to study the YIG film on the gadolinium gallium garnet (Gd 3Ga5O12, \nGGG) substrate.[27] The recent advancements of AC -STEM imaging enable us to directly visualize \nthe atomic bonding at the interface. In addition, combining atomically resolved imaging and \nspectroscopy such as energy -dispersive X -ray spectroscopy (EDS) and electron energy loss \nspectroscopy (EELS) in the STEM mode allows us simultaneously to determine the elemental 3 distribution and electronic structures of the heterostructure. By combining these state -of-the-art \nelectron microscopy and spectroscopy techni ques, we reveal the interfacial bonding of YIG/GGG is \nFeO-GdGaO. No significant elemental diffusion is observed at the interface. The EELS \nmeasurements show that the electronic structures of interfacial Fe remain the same with that in the \ninterior film. Su ch atomically sharped interface in both chemistry and electronic structures indicates \nit is possible to fabricate ultrathin YIG film for future nanodevices for which no intrinsic interfacial \nzone exists at the YIG/GGG interface. Th e detailed structure info rmation also provides necessary \ninformation for future atomistic simulation of the interface. \n A cross -sectional atomically resolved high angle annular dark filed (HAADF) image of YIG is \npresented in Figure 1 (a) with the atomic model being overlapped . The red arrows mark the \ninterface of YIG and GGG. Since the HAADF image is Z-contrast (atomic number) image, in which \nthe contrast directly reflects the atomic number of the element, the darker side of the image is YIG \nand the brighter side is GGG. It can be n oticed that O is invisible in the HAADF image . The \nHAADF image shows perfectly epitaxial growth and the interface is atomically sharp . The \noverlapped atomic model highlights the atom positions , which will be discussed below . The crystal \nstructure of YIG is cubic with a dimension 12.376 Å in unit cell and houses 80 atoms. In each unit \ncell, there are twenty Fe3+ ions occupying two different sites. Among of them, 8 Fe3+ ions occupy \noctahedral sites and 12 Fe3+ ions with opposite magnetic moment occupy tetrahe dral sites.[5] YIG \nand GGG have the same garnet structure. The mismatch between YIG and GGG is smaller than \n0.05%.[32] This makes the high quality and defect -free unstressed film fabrication possible. In \naddition, for the best matching, we also dope YIG by lanthanum lightly.[7] Therefore, no \ndislocations are observed at the interfaces for all these YIG thin films. \nThe atomically resolved EDS maps of YIG are shown in Figure 1(b) -(e), which are element Fe, 4 Y , O and Fe along with Y respectively. The atomic mo del on the EDS map in Fig ure 1(e) further \nhighlights the locations of Fe and Y atom columns. Figure 1(f)-(i) show the distribution of elements \nFe, Y , Ga, Gd of the YIG/GGG interface , the yellow arrows mark the interface and the scale bar in \nthese figures i s 1 nm . These EDS maps are acquired at the same area as shown in Fig ure 1(a). The \nyellow arr ows mark the interface position based on the Z -contrast image . There are Fe atoms \ndiffuse across the interface into GGG, while the Y , Gd and Ga remain sharp edges a t the interface \nfrom the EDS mappings . \nFor the YIG grown on GGG (111) substrate, there are two possible interfacial bonding between \nthem, as shown in Figure 2 . Along the [111] direction, there are two types of atom planes of garnet \nstructure, which we cal l A and B atom plane respectively ( see the detail s in the supporting \ninformation ). B atom plan e in YIG (GGG) consists of Fe, Y (Ga, Gd) and O atoms while A atom \nplane in YIG (GGG) consists of Fe (Ga) and O atoms only. The atom planes arrange in ABAB… \norder inside the crystal. Therefore, t he interfacial bonding should be either FeO -GdGaO or \nYFeO -GaO. Based on the atomically resolved EDS maps, the bonding at the interface of YIG/GGG \nis identified to be A/B type, i.e., FeO-GdGaO bonding. The schematic illustra tion of interfacial \nbonding is overlaid with HAADF image in Figure 1 (a). The detailed structure information of the \ninterface viewing from another two zone axis directions is included in the supporting information. \nThe counts of elemental distribution from the EDS maps are averaged along the interface and \ndepicted in Figure 2(e), which shows the width of the interfacial region is ~1.4 nm. The counts of \nFe near the int erface is higher than th ose of Y compared to that in the interior film, due to the \ninterfaci al bonding of FeO-GGG and slight Fe diffusion . We measured 18 EDS maps from different \nlocations in different TEM specimens , and the frequency distribution histogram shown in Figure 2(f) \nindicates the width of the transition area is equal to the width of 1. 9 unit (2.3 nm). However, it 5 should be noted that the practical interfacial region should be even thinner due to the presence of \ndelocalization effects from the EDS measurement. Therefore, we conclude that no significant \ninterdiffusion takes place at the i nterface. \nTo reveal the local electronic structure of the YIG/GGG interface, core -loss electron energy \nloss spectroscopy (EELS) experiments are carried out on the Titan Cubed Themis G2 300 \naberration -corrected transmission electron microscope with the Gat an EnfiniumTMER (Model 977) \nspectrometer. Figure 3(a) is a STEM image of the YIG/GGG interface along \n ] direction. The \nbig green rectangle highlights the location s where the EEL spectra were recorded with a spatial step \nof 4.5 Å . The O -K edge a nd Fe -L2,3 edge of the spectra are shown in Figure 3(b). As marked by the \ndashed line, the peak of Fe -L2,3 edge do es not show any detectable shift when the probe move s \nacross the interface. Furthermore, the intensity ratio of L 3 to L 2 is sensitive to the e lectronic \nstructures of Fe , too. The ratio is calculated in Figure 3(c) marked by star s which show no \ndistinguishable change either. Since the energy of Fe-L2,3 edge is sensitive to the Fe valence, no \npeak shift or ratio change indicates the interfacial Fe remains the same nature with that in the \nfilm.[32-35] The integration of L 3 and L 2 (marked by rhombus) is shown in Fig ure 3(c), from which \nwe can obtain the width of the transition area is 1.8 nm, which is consistent with the EDS \nmeasurements . \n The na ture of the interface usually plays important role s in the properties for thin film devices. \nParticularly for those devices with nanometer scale, the interface properties could be dominated. By \ncombining atomically resolved imag e and EDS results , we reveal that at the interface the FeO atom \nplane of YIG bonds with GdGaO atom plane of GGG. Slight Fe diffusion in the GGG is also \nobserved. The EELS measurements show that the electronic structures of Fe remain unchanged at \nthe interface . The atomically sharped interface in structure and electronic structures may indicate 6 there are no intrinsic interfacial effects for YIG thin film devices . The finding of a tomic \narrangement of interface structure provides necessary information for the future atomistic \nsimulation such as density functional theory calculations. \n \nSupp orting Information \nSupporting Information is available from the Wiley Online Library or from the author. \n Acknowledgements \nThe authors greatly acknowledge the helpful discussion from Prof. Xiaoyan Z hong and Prof. Jing \nZhu from Tsinghua University, and Prof. Jia Li from Peking University. This work was supported \nby the National Key R&D Program of China (2016YFA0300804), National Natural Science \nFoundation of China (51672007, 51502007), the National Pr ogram for Thousand Young Talents of \nChina and “2011 Program” Peking -Tsinghua -IOP Collaborative Innovation Center of Quantum \nMatter. The authors also acknowledge Electron Microscopy Laboratory in Peking University for the \nuse of Cs corrected electron micros cope. \n 7 \nReference s \n[1] S. Neusser, D. Grundler, Adv. Mater. 2009 , 21, 2927. \n[2] C. Mathieu, J. Jorzick, A. Frank, S. O. Demokritov, A. N. Slavin, B. Hillebrands, B. Bartenlian, \nC. Chappert, D. Decanini, F. Rousseaux, E. Cambril, Phys. Rev. Lett. 1998 , 81, 3968. \n[3] M. Jamali, J. H. Kwon, S. -M. Seo, K. -J. Lee, H. Yang, Sci. Rep. 2013 , 3, 3160. \n[4] M. Covington, T. M. Crawford, G . J. Parker, Phys. Rev. Lett. 2002 , 89, 237202. \n[5] A. A. Serga, A. V . Chumak, B. Hillebrands, J. Phys. D. Appl. Phys. 2010 , 43, 264002. \n[6] A. V . Chumak, A. A. Serga, B. Hillebrands, Nat. Commun. 2014 , 5, 4700. \n[7] A. V . Chumak, V . I. Vasyuchka, A. A. Serga, B. Hillebrands, Nat. Phys. 2015 , 11, 453. \n[8] K. V ogt, F. Y . Fradin, J. E. Pearson, T. Sebastian, S. D. Bader, B. Hille brands, A. Hoffmann, H. \nSchultheiss, Nat. Commun. 2014 , 5, 3727. \n[9] F. Gertz, A. V . Kozhevnikov, Y . A. Filimonov, D. E. Nikonov, A. Khitun, IEEE J. Explor. \nSolid -State Comput. Devices Circuits 2015 , 1, 67. \n[10] T. Schneider, A. A. Serga, B. Leven, B. Hi llebrands, R. L. Stamps, M. P. Kostylev, Appl. Phys. \nLett. 2008 , 92, 022505. \n[11] E. M. V ogel, Nat. Nanotechnol. 2007 , 2, 25. \n[12] M. Collet, X. de Milly, O. d. A. Kelly, V . V . Naletov, R. Bernard, P. Bortolotti, J. Ben Youssef, \nV . E. Demidov, S. O. Demo kritov, J. L. Prieto, M. Munoz, V . Cros, A. Anane, G. de Loubens, O. \nKlein, Nat. Commun. 2016 , 7, 10377. \n[13] A. Hamadeh, O. D. Kelly, C. Hahn, H. Meley, R. Bernard, A. H. Molpeceres, V . V . Naletov, M. \nViret, A. Anane, V . Cros, S. O. Demokritov, J. L. Pri eto, M. Munoz, G. de Loubens, O. Klein, Phys. \nRev. Lett. 2014 , 113, 197203. 8 [14] Z. H. Wang, Y . Y . Sun, M. Z. Wu, V . Tiberkevich, A. Slavin, Phys. Rev. Lett. 2011 , 107, \n146602. \n[15] L. J. Cornelissen, J. Liu, R. A. Duine, J. Ben Youssef, B. J. van Wees, Nat. Phys. 2015 , 11, \n1022. \n[16] C. Hauser, T. Richter, N. Homonnay, C. Eisenschmidt, M. Qaid, H. Deniz, D. Hesse, M. \nSawicki, S. G. Ebbinghaus, G. Schmidt, Sci. Rep. 2016 , 6, 20827. \n[17] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Y . Y . So ng, Y . Y . Sun, M. Z. Wu, \nPhys. Rev. Lett. 2011 , 107, 066604. \n[18] Y . Y . Sun, H. C. Chang, M. Kabatek, Y . Y . Song, Z. H. Wang, M. Jantz, W. Schneider, M. Z. \nWu, E. Montoya, B. Kardasz, B. Heinrich, S. G. E. te Velthuis, H. Schultheiss, A. Hoffmann, Phys. \nRev. Lett. 2013 , 111, 106601. \n[19] R. C. Lecraw, E. G. Spencer, C. S. Porter, Phys. Rev. 1958 , 110, 1311. \n[20] V . V . Kruglyak, S. O. Demokritov, D. Grundler, J. Phys. D. Appl. Phys. 2010 , 43, 264001. \n[21] S. Neusser, B. Botters, D. Grundler, Phys. Rev. B 2008 , 78, 054406. \n[22] E. Schlomann, J. J. Green, U. Milano, J. Appl. Phys. 1960 , 31, S386. \n[23] Y . Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida, M. Mizuguchi, H. Umezawa, H. Kawai, \nK. Ando, K. Takanashi, S. Maekawa, E. Saitoh, Nature 2010 , 464, 262. \n[24] C. W. Sandweg, Y . Kajiwara, A. V . Chumak, A. A. Serga, V . I. Vasyuchka, M. B. Jungfleisch, E. \nSaitoh, B. Hillebrands, Phys. Rev. Lett. 2011 , 106, 216601. \n[25] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Y . -Y . Song, Y . Sun, M. Wu, Phys. \nRev. Lett. 2011 , 107, 066604. \n[26] H. L. Wang, C. H. Du, Y . Pu, R. Adur, P. C. Hammel, F. Y . Yang, Phys. Rev. B 2013 , 88, \n100406. 9 [27] E. A. Giess, J. D. Kuptsis, E. A. D. White, J. Cryst. Growth 1972 , 16, 36. \n[28] C. Dubs, O. Surzhenko, R. Linke , A. Danilewsky, U. Brueckner, J. Dellith, J. Phys. D. Appl. \nPhys. 2017 , 50, 204005. \n[29] Y . Sun, Y . -Y . Song, H. Chang, M. Kabatek, M. Jantz, W. Schneider, M. Wu, H. Schultheiss, A. \nHoffmann, Appl. Phys. Lett. 2012 , 101, 152405. \n[30] M. Balinskiy, S. Ojh a, H. Chiang, M. Ranjbar, C. A. Ross, A. Khitun, J. Appl. Phys. 2017 , 122, \n123904. \n[31] O. d'Allivy Kelly, A. Anane, R. Bernard, J. Ben Youssef, C. Hahn, A. H. Molpeceres, C. \nCarretero, E. Jacquet, C. Deranlot, P. Bortolotti, R. Lebourgeois, J. C. Mage, G . de Loubens, O. \nKlein, V . Cros, A. Fert, Appl. Phys. Lett. 2013 , 103, 082408. \n[32] D. Song, L. Ma, S. Zhou, J. Zhu , Appl. Phys. Lett. 2015 , 107, 042401 . \n[33] P. A. van Aken, B. Liebscher, Phys. Chem. Miner. 2002 , 29, 188. \n[34] R. F. Egerton, Electron e nergy -loss spectroscopy in the electron microscope , Vol. 30, Springer , \nUS, 2011 , p. 94. \n[35] L. A. J. Garvie, P. R. Buseck, Nature 1998 , 396, 667. 10 \nFigures and caption \n \nFigure 1. Atomic structure of YIG/GGG interface. (a) Atomically resolved STEM image of a \nYIG/GGG interface along the [10\n ] direction. The red arrows mark the interface. The left side is \nYIG which appears dark contrast in the HAADF image. (b) -(e) Atomically resolved EDS maps of \n(b) element Fe, (c) element Y , (d) element O, and (e) overlap of element Fe and Y in YIG. The \natomic arrangement model is overlapped on Figure 1(e). (f) -(i) Atomically resolved EDS maps of \ninterface. (f) element Fe, (g) element Y , (h) element Ga and (i) element Gd. The yellow arrows mark \nthe interface. \n 11 \n \nFigure 2. The atomic arrangement of the interface of YIG and GGG. (a) Two alternative atom planes \nof YIG along [111] direction. The oxygen is invisible for clarity. (b) A/B type bonding model at the \ninterface between YIG and GGG. This mode is in good agreem ent with experimental data. (c) Two \nalternative atom planes of GGG along [111] direction. The oxygen is invisible for clarity. (d) B/A \ntype bonding model at the interface between YIG and GGG. (e) The EDS results of the interface. \nD marks the width o f the transition area of the YIG/GGG interface. (f) The frequency distribution of \nD of altogether 18 EDS results. 12 \n \nFigure 3. EELS measurements of the YIG/GGG interface. (a) A STEM image of the YIG/GGG \ninterface along [10\n ] direction. The ar ea selected to get EELS spectr a is marked by the big green \nrectangle (consists of many small rectangles with different colors). (b) The averaged elemental line \nprofile across the YIG/GGG interface. The spectr a presented by colored lines correspond to those \nrectangles with the same color in (a). (c) The Fe L 2,3 white line ratio and sum across the YIG/GGG \ninterface. The sum indicates the location of interface; while the ratio remain unchanged at the \ninterface indicates no distinguished chemical shift in Fe at th e interface. 13 Supporting information \nThe red arrows mark the same atom plane of two different zone axis directions which are [\n 21] \nin Figure S1 (a) and [3\n ] in Figure S1(b), though the atoms surrounding them seems to be quite \ndiffer ent from each other. It illustrates that the atom planes which consists Fe atoms only are all \nequivalent. Also , the atom planes which consist of Fe and Y atom are of the same kind. \n Two cross sectional atomically resolved STEM images of YIG/GGG interfac e with different \nviewing directions are shown in Figure S2 (a) and Figure S3 (a), and the corresponding FFT \npatterns are presented in Figure S2(b) and Figure S3(b) respectively. The simulations of the electron \ndiffraction in Figure S2(c) and Figure S3(c) con firm the directions of the zone axis are [\n21] for \nFigure S2(a) and [11\n] for Figure S3(a), respectively. The atomistic models of these two zone axes \nare overlapped on the STEM images. As marked by A and B plane in Figure S2(a) and Figure S3(a), \nit is clear that there are two kinds of atom planes which are parallel to the (111) interface. B atom \nplane of YIG (GGG ) consists of Fe, Y (Gd, Ga) and O atoms , while A atom plane of YIG (GGG) \nconsist s of Fe (Ga) and O atoms only . The atom pl anes arrange in ABAB… order inside the crystal. \nFigure S2(d) -(g) and Figure S3(d) -(g) show the EDS results and the yellow arrows in these figures \nmark the interface. It can be noted that only Fe atoms diffuse across the interface for both [\n21] and \n[11\n] directions. This also confirms the point of view in the main text that the YIG/GGG interface \nbelongs to A/B type as shown in Figure 2(c). The atomic structure of interface data from different \nzone axis directions means observing the sample from different viewing directions which are all \nparallel to the (111) interface. They present different information of the sample and support each \nother. \n \n \n \n 14 \n \nFigure S1. (a) The atomic model of YIG along [\n 21] zone axis direction. The oxygen is invisible for \nclarity. (b) The atomic arrangement model of YIG along [3\n ] zone axis direction. The oxygen is \ninvisible for clarity. The red arrows mark the same atom plane of the two zone axis direction s. \n \n \n \n \n \n \n \n \n \n \n 15 \n \nFigure S2. (a) Atomically resolved STEM image with viewing direction of [\n21] direction with \natomic model and labeled atom planes on it. The red arrows mark the interface. The yellow arrows \nmark the two kinds of atom plane of YIG. (b) The Fourier transfor mation pattern. (c) The simulation \nof electron diffraction. (d)-(g) EDS maps of (d)element Fe, (e) element Y, (f) element Ga and (g) \nelement Gd. T he scale bar is 1 nm. The yellow arrows mark the interface. 16 \n \nFigure S3. (a) Atomically resolved STEM image with viewing direction of [\n ] direction with \natomic model and labeled atom planes on it. The red arrows mark the interface. The yellow arrows \nmark the two kinds of atom plane of YIG. (b) The Fourier transformation pattern. (c) The simu lation \nof electron diffraction. (d)-(g) EDS maps of (d)element Fe, (e) element Y , (f) element Ga and (f) \nelement Gd. T he scale bar is 1 nm. The yellow arrows mark the interface. \n " }, { "title": "1405.4203v2.Damping_of_Confined_Modes_in_a_Ferromagnetic_Thin_Insulating_Film__Angular_Momentum_Transfer_Across_a_Nanoscale_Field_defined_Interface.pdf", "content": "Damping of Con\fned Modes in a Ferromagnetic Thin Insulating Film: Angular\nMomentum Transfer Across a Nanoscale Field-de\fned Interface\nRohan Adur, Chunhui Du, Hailong Wang, Sergei A. Manuilov, Vidya P.\nBhallamudi, Chi Zhang, Denis V. Pelekhov, Fengyuan Yang,\u0003and P. Chris Hammely\nDepartment of Physics, The Ohio State University, Columbus OH 43210, USA\n(Dated: August 4, 2018)\nWe observe a dependence of the damping of a con\fned mode of precessing ferromagnetic magne-\ntization on the size of the mode. The micron-scale mode is created within an extended, unpatterned\nYIG \flm by means of the intense local dipolar \feld of a micromagnetic tip. We \fnd that damping\nof the con\fned mode scales like the surface-to-volume ratio of the mode, indicating an interfa-\ncial damping e\u000bect (similar to spin pumping) due to the transfer of angular momentum from the\ncon\fned mode to the spin sink of ferromagnetic material in the surrounding \flm. Though unex-\npected for insulating systems, the measured intralayer spin-mixing conductance g\"#= 5:3\u00021019m\u00002\ndemonstrates e\u000ecient intralayer angular momentum transfer.\nSpin pumping driven by ferromagnetic resonance\n(FMR) is a powerful and well-established technique for\ngenerating pure spin currents in magnetic multilayers [1{\n4]. Understanding the mechanism that couples precess-\ning magnetization to spin transport is an important step\nin utilizing this phenomenon. In addition, probing the ef-\nfect of spin pumping on the damping of individual nanos-\ntructures is vital for the development of practical spin-\ntronic devices, such as spin-torque oscillators [5, 6]. Con-\nventional FMR studies at these sub-micron lengthscales\nbecome di\u000ecult due to the confounding e\u000bects arising\nfrom interfaces in multilayer materials and from sensi-\ntivity limitations in detecting lateral transport in single\ncomponent systems at these length scales. Recent stud-\nies have shown that individual nanoscale elements ex-\nhibit size-dependent e\u000bects, such as nonlocal damping\nfrom edge modes [7] and wavevector-dependent damping\nin perpendicular standing spin wave modes [8]. These\nexperiments have revealed the e\u000bect of damping due to\nintralayer spin pumping, which is the transfer of angu-\nlar momentum in systems with spatially-inhomogeneous\ndynamic magnetization.\nA primary challenge in these measurements is distin-\nguishing intralayer spin pumping from other mechanisms\nthat cause variations in linewidth from sample to sample,\nsuch as surface and edge damage [9, 10]. In this paper\nwe measure size-dependent angular momentum transport\nacross a clean interface without growth-de\fned defects or\nlithography-induced edge damage. This is achieved non-\ninvasively in a single sample by con\fning the magneti-\nzation precession to a mode within an area de\fned by\nthe controllable dipolar \feld from a nearby micron-sized\nmagnetic particle [11]. This enables a unique investiga-\ntion of changes in relaxation due to angular momentum\ntransfer across the \feld-de\fned interface between pre-\ncessing magnetization within a mode to the spin sink\nprovided by the surrounding quiescent material.\nWe investigate the size dependence of interfacial damp-\ning using the technique of localized mode ferromagnetic\nresonance force microscopy (FMRFM) [11]. By adjusting\nthe magnitude of the dipolar \feld from the probe we can\nn = 1 n = 2Uniform\nMode200\n150\n100\n50Cantilever amplitude (nm)\n3300 3200 3100 3000\nExternal Field Hext (Oe)Height = 7900 nm\nHeight = 5900 nm\nHeight = 4600 nm\nHeight = 3400 nm\nHeight = 2400 nmprobe\nfield welln=1n=2Field\nLateral positionFIG. 1. Localized mode FMRFM spectra for thin \flm YIG at\nseveral probe-sample separations. The dashed line indicates\nthe position of the uniform mode peak that does not shift\nwith probe-sample separation. As probe-sample separation is\nreduced the localized modes shift to higher \feld relative to\nthe uniform mode peak. Inset: transverse magnetization of\nthe \frst two spin wave modes con\fned by the magnetic \feld\nwell of the probe magnet. The energy of the con\fned modes\nis dictated by the depth of the \feld well.\ncontrol the con\fnement radius. Localized modes have\npreviously been observed in permalloy when the probe\n\feld is out-of-plane [11], in-plane [12] and at intermedi-\nate angles [13]. The azimuthal symmetry of the out-of-\nplane geometry permits simple numerical analysis based\non cylindrically symmetric Bessel function modes with\na well-de\fned localization radius [11], similar to those\nseen in perpendicularly magnetized dots [14]. In addi-\ntion, this geometry eliminates the e\u000bect of eigenmode\nsplitting, which can cause additional broadening [15].\nWe demonstrate the control of con\fnement radius byarXiv:1405.4203v2 [cond-mat.mtrl-sci] 7 Aug 20142\nthe observation of discrete modes in an FMRFM exper-\niment in the out-of-plane geometry in an unpatterned\nepitaxial yttrium iron garnet (YIG) \flm of thickness 25\nnm grown by o\u000b-axis sputtering [16] on a (111)-oriented\nGd3Ga5O12substrate. The probe \feld is provided by\na high coercivity Sm 1Co5particle that is milled to 1.75\n\u0016m after being mounted on an uncoated, diamond atomic\nforce microscope cantilever. The magnetic moment and\ncoercivity of the particle are measured by cantilever mag-\nnetometry to be 3 :9\u000210\u00009emu and 10 kOe respectively.\nWhen the applied \feld is anti-parallel to the tip mo-\nment, the tip creates a con\fning \feld well in the sample\nthat localizes discrete magnetization precession modes\nimmediately beneath it [11, 17], analogous to the discrete\nmodes in a quantum well [18]. The microwave frequency\nmagnetic \feld that excites the precession is provided by\nplacing the sample near a short in a microstrip trans-\nmission line. A force-detected ferromagnetic resonance\nspectrum is obtained by modulating the amplitude of\nthe microwaves at the cantilever frequency ( \u001918 kHz)\nand measuring the change in cantilever amplitude as a\nfunction of swept external magnetic \feld. Measurements\nwere made over a range of microwave frequencies: 2-6.5\nGHz.\nFigure 1 shows the evolution of the FMRFM spectra as\na function of tip-sample separation obtained at a particu-\nlar microwave frequency of 4 GHz. At large probe-sample\nseparation we observe a peak at the expected resonance\n\feld for the uniform mode in the out-of-plane geometry.\nAs expected, several discrete peaks emerge and shift to-\nward higher applied \feld as the probe-sample separation\ndecreases, thus increasing the (negative) probe \feld at\nthe sample, while the uniform mode stays at constant\nresonance \feld. The resonance frequency !of a con\fned\nmode for wavevectors kt\u001c1 is given by [11]\n!\n\r=Hext\u00004\u0019Ms+hHpi+\u0019Mskt+ 4\u0019Msaexk2(1)\nwhere\r= 2\u0019\u00022:8 MHz/Oe is the gyromagnetic ratio,\nHextis the external applied magnetic \feld, 4 \u0019Ms= 1608\nOe is the saturation magnetization, aex= 3:6\u000210\u000012\ncm2is the exchange constant of the material, kis the\nwavevector of the mode, tis the thickness of the \flm and\nhHpiis the spatial average of the dipole \feld from the\nprobe magnet weighted by the mode m\nhHpi=R\nSHp(r)m2(r) d2rR\nSm2(r) d2r(2)\nThe \flm is su\u000eciently thin relative to the size of the\nprobe particle that the dipole \feld is constant across the\nthickness of the \flm, and so the integration is performed\nover the sample surface S. Both the averaged probe \feld\nhHpiand the wavevector kare functions of the mode\nshape and mode radius R, so the frequency is obtained\nby numerical minimization with variation of radius [11].\nDue to cylindrical symmetry the magnetization pro\fle of\nMnM=M1\nMnM=M2\nMnM=M3\nMnM=M43300\n3250\n3200\n3150\n3100\n3050\n3000ResonanceMFieldM(Oe)\n10 8 6 4 2\nProbe-sampleMseparationM(mm)12\n10\n8\n6\n4\n2\n0ModeMRadiusM(mm)\n10 8 6 4 2\nProbe-sampleMseparationM(mm)MnM=M1\nMnM=M2\nMnM=M3FIG. 2. Resonance \felds of the \frst four localized modes\nas a function of probe-sample separation at 4 GHz. Filled\nmarkers indicate experimental peaks and solid lines indicate\nexpected resonance \feld obtained numerically. Inset: radius\nof the \frst three localized modes obtained from the numerical\nminimization procedure described in the text.\nthe mode can be described by a zeroth order Bessel func-\ntionm=J0(kr), with boundary conditions that de\fne\ndiscrete wavevectors kn=\u001fn=Rwhere\u001fnare the zeros\nof the Bessel function J0(\u001fn) = 0. The minimization of\nfrequency at \fxed \feld is equivalent to the maximiza-\ntion of \feld at \fxed frequency. Hence the deeper \feld\nwell shifts the modes to higher \feld when the microwave\nfrequency is \fxed, as seen in Fig. 1. This modeling pro-\ncedure provides both the resonance \feld and the radius\nof the mode, and these are given in Fig. 2. We see that\nthe resonance \felds of the experimental peaks are well\ndescribed by the model, con\frming the accuracy of the\ncalculated mode radius.\nTo measure damping of a con\fned mode we obtain\nFMRFM spectra for a \fxed mode radius Rat multiple\nfrequencies, one example of which can be seen in Fig. 3.\nThe \feld shift of the localized modes, relative to the uni-\nform mode Huniform =!\n\r+ 4\u0019Msis constant for a \fxed\nwavevector k=kn=\u001fn=R, independent of frequency !,\nas predicted by Equation (1).\nBy \ftting a Lorentzian lineshape to the n = 1 and\nn = 2 peaks we obtain the full-width at half-maximum\nlinewidth of the localized modes and plot this as a func-\ntion of microwave frequency to separate intrinsic and ex-\ntrinsic linewidth broadening mechanisms [19]. Following\nfrom the Landau-Lifshitz-Gilbert equation, the linewidth\n\u0001His given by\n\u0001H= \u0001H0+2\u000b!\n\r(3)3\nCantilever amplitude (nm)120\n100\n80\n60\n40\n20\n80 40 0 -40\nH-Huniform (Oe)2GHz\n4GHz\n6GHzUniform\nModen = 1 n = 2\nFIG. 3. FMRFM spectra at multiple microwave frequencies\nat a \fxed probe-sample separation of 3700 nm, equivalent to\na mode radius R= 1860 nm. Spectra are o\u000bset for clarity and\nthe external \feld His plotted relative to the uniform mode\nresonance \feld Huniform =!\n\r+ 4\u0019Ms.\nwhere the slope and intercept of the frequency-dependent\nlinewidth measure, respectively, the Gilbert damping pa-\nrameter\u000band inhomogeneous broadening \u0001 H0due to\nspatial variation of magnetic properties. We measure\nthis frequency dependence at several probe-sample sepa-\nrations corresponding to several mode radii R.\nThe key result of our study is the observation of en-\nhanced damping that is unambiguously dependent on the\nradius of the mode, as seen from the change in slope of the\n\frst localized mode linewidth with mode radius as seen\nin Fig. 4. The Gilbert damping parameter \u000b, for both\nthe \frst and second localized modes, shows a surprising\nlinear behavior when plotted against R\u00001, the recipro-\ncal of the mode radius, as seen in Fig. 5. An enhanced\ndamping is reminiscent of spin pumping observed when\na ferromagnetic layer is placed in contact with a normal\nmetal layer [1]. In this bilayer geometry the damping\nenhancement \u000bspscales inversely with thickness tof the\nFM \flm, which is equal to the ratio of the area of the\nferromagnet/metal interface to the volume of the ferro-\nmagnet, and is given by [20]\n\u000bsp=\r~g\"#\n4\u0019Ms1\nt(4)\nwhere ~is the reduced Planck constant and g\"#is the\nspin-mixing conductance parameter that describes the ef-\n\fciency of spin pumping. By analogy to this interfacial\ndamping due to spin pumping we suggest the possibility\nof an interfacial damping mechanism for con\fned modes\nFirst Localized Mode Linewidth DH (Oe)\nFrequency (GHz)10\n8\n6\n4\n2\n0\n8 6 4 2 0 R = 1600 nm | a = 1.3 x 10-3\n R = 1860 nm | a = 1.1 x 10-3\n R = 2230 nm | a = 1.0 x 10-3FIG. 4. Linewidths of \frst localized mode for mode radii R=\n1600 nm (red triangles), R= 1860 nm (blue squares) and R=\n2230 nm (green diamonds). Filled markers are experimental\nlinewidths and solid lines are linear \fts to the data. Gilbert\ndamping parameters \u000bare determined for each mode radius\nfrom the slope of the linear \ft.\nthat scales with the surface-to-volume ratio of the mode,\nwhere the volume of the on-resonant disk-like mode is\n\u0019R2tand relaxation to the surrounding material, which\nis o\u000b resonance, occurs through the curved surface 2 \u0019Rt\naround the edge of the disc. Hence, the enhanced damp-\ning of a con\fned mode with radius Ris\n\u000bsp=\r~g\"#\n4\u0019Ms2\nR(5)\nFrom Equation (5) and the linear \ft (solid black line)\nto the enhanced damping versus mode the reciprocal of\nthe mode radius, as shown in Fig. 5, we obtain g\"#=\n(5:3\u00060:2)\u00021019m\u00002for this system.\nIt is interesting and somewhat remarkable that we\nobserve angular momentum transport in this insulating\nsystem and that its e\u000eciency, characterized by g\"#, is\nlarger than the spin-mixing conductance measured in\nYIG-metal bilayers [16, 22, 23]. We suggest that g\"#\nmeasured in this study is an intralayer spin-mixing con-\nductance that describes a generalization of spin pump-\ning as the transport of energy and angular momentum\nfrom an on-resonance spin source to an o\u000b-resonance\nspin sink, even in the absence of both a material in-\nterface [7] and conduction electrons [24]. We describe\nthis e\u000bect as YIG-YIG intralayer spin pumping: the en-\nergy and angular momentum from the precessing con-\n\fned mode can be absorbed by the surrounding ferro-\nmagnetic material of the unpatterned \flm, as depicted4\n2.4x10-3\n2.0\n1.6\n1.2\n0.8\n0.4\n0.0\n20x103 15 10 5 0\nSurface/Volumed=d2/Rdbcm-1Ld1stdlocalizeddmode\nd2nddlocalizeddmode\ndk-dependentdintralayerdspindpumpingdb1stdModeL\ndk-dependentdintralayerdspindpumpingdb2nddModeL\ndconfined-modedintralayerdspindpumpingGilbertddampingda\n0mtmaxLocalized\nPrecession\nVolume\nAngular\nMomentum\nTransfer\nFIG. 5. Comparison of the measured size-dependent Gilbert\ndamping parameter \u000bof the \frst two localized modes with\ntheory. The solid black line is a linear \ft to con\fned-mode\nintralayer spin pumping that scales with the surface-volume\nratio of the mode as described by Eq. (5). The dashed red line\nis a \ft to the \frst localized mode linewidth using wavevector-\ndependent intralayer spin pumping theory [21] that scales as\nk2[7]. The dashed blue line is the prediction of wavevector-\ndependent intralayer spin pumping for the second localized\nmode. Inset: Cross-section showing intralayer angular mo-\nmentum transfer from the volume of the con\fned mode to\nsurrounding material through the surface of the mode. Color\nscale denotes magnitude of the transverse, precessing magne-\ntizationmt.\nin the inset of Fig. 5. The relatively large value of\ng\"#= (5:3\u00060:2)\u00021019m\u00002we obtain for YIG-YIG can\nbe compared to g\"#= (6:9\u00060:6)\u00021018m\u00002previously\nmeasured for YIG-Pt [23]. This enhancement may arise\nbecause the interface, rather than involving a material\ndiscontinuity, is de\fned by a magnetic \feld that occurs in\na uniform, essentially defect-free \flm leading to a strong\n\"interfacial\" coupling characterized by the YIG-YIG ex-\nchange interaction itself. In addition, it might be unex-\npected for the con\fned mode to relax via the surrounding\nmaterial where the lowest energy state, which is the uni-\nform mode, is well above the energy of the con\fned mode\ninside the well. However, previous experiments by Hein-\nrich et al. [4, 20] have shown that ferromagnets do act\nas good spin sinks when the precession frequency of the\nspin current source is not at a resonance frequency of the\nspin sink ferromagnet.\nWe consider the possible role of transverse spin di\u000bu-\nsion [21] used previously to describe enhanced damping\ndue to the interaction between itinerant electrons and\nspatially-inhomogeneous dynamic magnetization [7, 8].\nWe \fnd that the prediction of this wavevector-dependent\nintralayer spin pumping theory does not agree with ourexperimental data. In particular, this enhanced damp-\ning due to intralayer spin pumping is predicted [21] to\ndepend on wavevector k:\n\u000bsp=\u001bT\r\nMsk2(6)\nwhere\u001bTis the transverse spin conductivity and the\nwavevector k=\u001fn=Ris given by the Bessel zeros \u001f1\n= 2.405,\u001f2= 5.520. The spin conductivity due to itin-\nerant electrons is expected to be zero in YIG, but we\nnevertheless allow it to be a free parameter and \ft to the\n\frst localized mode linewidth; this \ft to the wavevector-\ndependent intralayer spin pumping theory is shown as\nthe red dashed line in Fig. 5. We \fnd that the spin con-\nductivity that describes this \ft, \u001bT= 1:5\u000210\u000022kg m/s,\nis two orders of magnitude larger than that measured in\na metallic ferromagnet [7]. In addition, using the same\nspin conductivity to estimate the linewidth of the second\nlocalized mode (blue dashed line) results in a prediction\nthat does not accurately describe the measured second\nmode linewidth (blue solid circles), while con\fned-mode\nintralayer spin pumping that scales as the surface-volume\nratio of the mode (black solid line) described by Eq. (5)\naccurately describes both sets of data. Hence our ob-\nservations do not follow the wavevector-dependent in-\ntralayer spin pumping theory observed previously [7, 8],\nbut manifests as a surface-volume intralayer relaxation\nspeci\fc to spatially-con\fned precession within an ex-\ntended \flm, previously predicted for nanocontact spin-\ntorque oscillators [25].\nOther mechanisms for linewidth broadening are ruled\nout by analysis of the phenomenology of our result. The\ndipolar \feld from the micromagnetic tip is a potential\nsource of linewidth broadening as it is produces an in-\nhomogneous \feld in the sample of several hundred gauss\nthat would dominate inhomogeneous spectral broaden-\ning in a paramagnetic sample [26, 27]. Inhomogeneous\nbroadening from the tip can be ruled out as the source of\nincreased damping in this study for two reasons. First,\nany inhomogeneous broadening would be frequency in-\ndependent, and hence would lead to a change in the in-\ntercept of the frequency-dependence of linewidth shown\nin Fig. 4, while the change in slope alone is a clear indi-\ncation of a Gilbert damping enhancement. Second, the\nferromagnetic resonance excitations of a ferromagnet are\neigenmodes [11, 26], in which the inhomogeneous \feld\nfrom the tip is cancelled by the dynamic \feld from the\nprecession. This allows the e\u000bective \feld to be equal at\nevery position inside the mode, and hence it can be de-\nscribed as an eigenmode with a single well-de\fned eigen-\nfrequency. Other well-established mechanisms for size-\nor wavevector-dependent relaxation can also be elimi-\nnated due to their insu\u000ecient magnitude and di\u000bering\nphenomenology; 3-magnon con\ruence [28, 29] manifests\nas a linewidth broadening that is linear in kbut indepen-\ndent of frequency, while 4-magnon scattering [30] scales\nask2.5\nTo conclude, we observe robust intralayer spin pump-\ning within an insulating ferromagnet, which manifests\nas enhanced damping of micrometer-scale con\fned spin\nwave modes. This result has consequences for devices\nthat induce spin precession in con\fned regions, such\nas spin-torque oscillators in the nanocontact geometry\n[31, 32]. In addition, our study highlights the power of\nlocalized mode FMRFM for illuminating local spin dy-\nnamics and in particular for spectroscopic studies of the\nimpact of mode relaxation across a controllable, \feld-\nde\fned interface.\nThe authors wish to thank Yaroslav Tserkovnyak for\nuseful discussions. This work was primarily supported\nby the U.S. Department of Energy (DOE), O\u000ece of Sci-\nence, Basic Energy Sciences (BES), under Award # DE-\nFG02-03ER46054 (FMRFM measurement) and Award #\nDE-SC0001304 (sample synthesis). This work was par-\ntially supported by the Center for Emergent Materials,\nan NSF-funded MRSEC under award # DMR-0820414\n(structural characterization). This work was supported\nin part by Lake Shore Cryotronics (magnetic charac-\nterization) and an allocation of computing time from\nthe Ohio Supercomputer Center (micromagnetic simu-\nlations). We also acknowledge technical support and as-\nsistance provided by the NanoSystems Laboratory at the\nOhio State University.\n\u0003fyyang@physics.osu.edu\nyhammel@physics.osu.edu\n[1] Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys.\nRev. Lett. 88, 117601 (2002).\n[2] A. Brataas, Y. Tserkovnyak, G. E. W. Bauer, and B. I.\nHalperin, Phys. Rev. B 66, 060404 (2002).\n[3] X. Wang, G. E. W. Bauer, B. J. van Wees, A. Brataas,\nand Y. Tserkovnyak, Physical Review Letters 97, 216602\n(2006).\n[4] B. Heinrich, Y. Tserkovnyak, G. Woltersdorf, A. Brataas,\nR. Urban, and G. E. W. Bauer, Phys. Rev. Lett. 90,\n187601 (2003).\n[5] M. Tsoi, A. G. M. Jansen, J. Bass, W.-C. Chiang,\nV. Tsoi, and P. Wyder, Nature 406, 46 (2000).\n[6] S. I. Kiselev, J. C. Sankey, I. N. Krivorotov, N. C. Emley,\nR. J. Schoelkopf, R. A. Buhrman, and D. C. Ralph,\nNature 425, 380 (2003).\n[7] H. T. Nembach, J. M. Shaw, C. T. Boone, and T. J.\nSilva, Phys. Rev. Lett. 110, 117201 (2013).\n[8] Y. Li and W. E. Bailey, arXiv:1401.6467 [cond-mat.mtrl-\nsci].\n[9] J. M. Shaw, T. J. Silva, M. L. Schneider, and R. D.\nMcMichael, Phys. Rev. B 79, 184404 (2009).[10] S. Noh, D. Monma, K. Miyake, M. Doi, T. Kaneko,\nH. Imamura, and M. Sahashi, Magnetics, IEEE Trans-\nactions on 47, 2387 (2011).\n[11] I. Lee, Y. Obukhov, G. Xiang, A. Hauser, F. Y. Yang,\nP. Banerjee, D. V. Pelekhov, and P. C. Hammel, Nature\n466, 845 (2010).\n[12] H.-J. Chia, F. Guo, L. M. Belova, and R. D. McMichael,\nPhys. Rev. Lett. 108, 087206 (2012).\n[13] E. Nazaretski, D. V. Pelekhov, I. Martin, M. Zalalut-\ndinov, D. Ponarin, A. Smirnov, P. C. Hammel, and\nR. Movshovich, Physical Review B (Condensed Matter\nand Materials Physics) 79, 132401 (2009).\n[14] G. N. Kakazei, P. E. Wigen, K. Y. Guslienko,\nV. Novosad, A. N. Slavin, V. O. Golub, N. A. Lesnik,\nand Y. Otani, Appl. Phys. Lett. 85, 443 (2004).\n[15] K. Eason, M. Patricia Rouelli Garcia Sabino, M. Tran,\nand Y. Fook Liew, Applied Physics Letters 102, 232405\n(2013).\n[16] H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Hammel,\nand F. Y. Yang, Phys. Rev. B 88, 100406 (2013).\n[17] B. Kalinokos, N. Kovshikov, P. Kolodin, and\nI. Panchurin, Elektronnaij Tehnika Ser. 1 382, 53 (1985).\n[18] E. Schl omann, Journal of Applied Physics 35, 159 (1964).\n[19] O. Klein, V. Charbois, V. V. Naletov, and C. Fermon,\nPhysical Review B (Condensed Matter and Materials\nPhysics) 67, 220407 (2003).\n[20] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz,\nE. Girt, Y.-Y. Song, Y. Sun, and M. Wu, Phys. Rev.\nLett. 107, 066604 (2011).\n[21] Y. Tserkovnyak, E. M. Hankiewicz, and G. Vignale,\nPhys. Rev. B 79, 094415 (2009).\n[22] H. J. Jiao and G. E. W. Bauer, Phys. Rev. Lett. 110,\n217602 (2013).\n[23] H. L. Wang, C. H. Du, Y. Pu, R. Adur, P. C. Hammel,\nand F. Y. Yang, Physical Review Letters 112, 197201\n(2014).\n[24] C. Hahn, G. De Loubens, V. V. Naletov, J. B. Youssef,\nO. Klein, and M. Viret, arXiv:1310.6000 [cond-\nmat.mtrl-sci].\n[25] A. N. Slavin and P. Kabos, Magnetics, IEEE Transac-\ntions on 41, 1264 (2005).\n[26] P. C. Hammel and D. V. Pelekhov, \\Handbook of mag-\nnetism and advanced magnetic materials,\" (John Wiley\n& Sons, Ltd., New York, NY, 2007) Chap. 4.\n[27] A. Suter, D. V. Pelekhov, M. L. Roukes, and P. C. Ham-\nmel, J. Mag. Reson. 154, 210 (2002).\n[28] T. Kasuya and R. C. LeCraw, Phys. Rev. Lett. 6, 223\n(1961).\n[29] M. Sparks, Ferromagnetic-Relaxation Theory , Vol. 71\n(McGraw-Hill New York, 1964).\n[30] F. J. Dyson, Phys. Rev. 102, 1217 (1956).\n[31] M. Madami, S. Bonetti, G. Consolo, S. Tacchi, G. Car-\nlotti, G. Gubbiotti, F. B. Manco\u000b, M. A. Yar, and\nJ.\u0017Akerman, Nature Nanotechnology 6, 635 (2011).\n[32] V. E. Demidov, S. Urazhdin, H. Ulrichs, V. Tiberkevich,\nA. Slavin, D. Baither, G. Schmitz, and S. O. Demokritov,\nNature Materials 11, 1028 (2012)." }, { "title": "1305.3117v2.Exchange_magnetic_field_torques_in_YIG_Pt_bilayers_observed_by_the_spin_Hall_magnetoresistance.pdf", "content": "arXiv:1305.3117v2 [cond-mat.mes-hall] 1 Jul 2013Exchange magnetic field torques in YIG/Pt bilayers observed by the spin-Hall\nmagnetoresistance\nN. Vlietstra,1J. Shan,1V. Castel,1J. Ben Youssef,2G. E. W. Bauer,3,4and B. J. van Wees1\n1)Physics of Nanodevices, Zernike Institute for Advanced Mate rials, University of Groningen, Groningen,\nThe Netherlands\n2)Laboratoire de Magn´ etisme de Bretagne, CNRS, Universit´ e de Bretagne Occidentale, Brest,\nFrance\n3)Kavli Institute of NanoScience, Delft University of Techno logy, Delft, The Netherlands\n4)Institute for Materials Research and WPI-AIMR, Tohoku Univ ersity, Sendai,\nJapan\n(Dated: 23 July 2018)\nThe effective field torque of an yttrium-iron-garnet film on the spin a ccumulation in an attached Pt film\nis measured by the spin-Hall magnetoresistance (SMR). As a result , the magnetization direction of a ferro-\nmagnetic insulating layer can be measured electrically. Experimental transverse and longitudinal resistances\nare well described by the theoretical model of SMR in terms of the d irect and inverse spin-Hall effect, for\ndifferent Pt thicknesses [3, 4, 8 and 35nm]. Adopting a spin-Hall angle of PtθSH= 0.08, we obtain the spin\ndiffusion length of Pt ( λ= 1.1±0.3nm) as well as the real ( Gr= (7±3)×1014Ω−1m−2) and imaginary part\n(Gi= (5±3)×1013Ω−1m−2) of the spin-mixing conductance and their ratio ( Gr/Gi= 16±4).\nKeywords: spin-Hall magnetoresistance, yttrium iron garnet, YI G, spin-mixing conductance, effective field\ntorque\nIn spintronics, interfaces between magnets and nor-\nmal metals are important for the creation and detec-\ntion of spin currents, which is governed by the difference\nof the electric conductance for spin up and spin down\nelectrons.1–3Another important interaction between the\nelectron spins in the magnetic layer and those in the\nnormal metal, that are polarized perpendicular to the\nmagnetization direction, is governed by the spin-mixing\nconductance G↑↓,4which is composed of a real part and\nan imaginary part ( G↑↓=Gr+iGi).Gris associ-\nated with the “in-plane” or “Slonczewski” torque along\n/vector m×/vector µ×/vector m,5–7where/vector mis the direction of the magnetiza-\ntion of the ferromagnetic layer and /vector µis the polarization\nofthe spin accumulationat the interface. Gidescribes an\nexchangemagneticfieldthat causesprecessionofthespin\naccumulation around /vector m. This “effective-field” torque as-\nsociated with Gipoints towards /vector µ×/vector m.\nWhile several experiments succeeded in measuring\nGr,3,4,7–10Giis difficult to determine experimentally,\nmainly because it is usually an order of magnitude\nsmallerthan Gr.4The recentlydiscoveredspin-Hallmag-\nnetoresistance (SMR)11–14offers the unique possibility\nto measure Gifor an interface of a normal metal and a\nmagnetic insulator by exposing it to out-of-plane mag-\nnetic fields. Althammer et al.15carried out a quan-\ntitative study of the SMR of Yttrium Iron Garnet\n(YIG)/Platinum (Pt) bilayers. They obtained an esti-\nmate of Gi= 1.1×1013Ω−1m−2by extrapolating the\nhigh field Hall resistances to zero magnetic field.16\nIn this paper, we report experiments in which the con-\ntribution of GrandGican be controlled the magnetiza-\ntion direction of the YIG layer by an external magnetic\nfield. Thereby either GrorGican be made to dominate\nthe SMR. By fitting the experimental data by the the-\noretical model for the SMR,11the magnitude of Gr,Giand the spin diffusion length λin Pt are determined.\nFor SMR measurements, Pt Hall bars with thicknesses\nof 3, 4, 8 and 35nm were deposited on YIG by dc\nsputtering.12Simultaneously, a referencesample wasfab-\nricated on a Si/SiO 2substrate. The length and width of\nthe Hall bars are 800 µm and 100 µm, respectively. The\nYIG has a thickness of 200nm and is grown by liquid\nphase epitaxy on a single crystal Gd 3Ga4O12(GGG)\nsubstrate.17The magnetization of the YIG has an easy-\nplane anisotropy, with an in-plane coercive field of only\n0.06mT. To saturate the magnetization of the YIG in the\nout-of-planedirectionafieldabovethesaturationfield Bs\n(µ0Ms= 0.176T)17has to be applied. All measurements\nare carried out at room temperature.\nThe magnetization of the YIG is controlled by sweep-\ning the out-of-plane applied magnetic field with a small\nintended in-plane component (see insets of Fig. 1(a,b)).\nFig. 1(a) shows out-of-plane magnetic field sweeps for\nvarious directions of the in-plane component of B(and\nthusM), while measuring the transverse resistance (us-\ning a current I= 1mA). Above the saturation field\n(B > B s), alinearmagneticfield dependence isobserved,\nthat can be partly ascribed to the ordinary Hall effect,\nbut its slope is slightly larger, which suggests the pres-\nence of another effect (discussed below). Furthermore,\nextrapolation of the linear regime for the positive and\nnegative saturated fields to B= 0mT, reveals an off-\nset between both regimes, that, as shown below, can\nbe ascribed to Gi. When Bis smaller than the satu-\nration field, the observed signal strongly depends on the\nangleαbetween the direction of the charge current Je\nand the in-plane component of the magnetic field. This\nα-dependence is not observed for B > B s. The maxi-\nmum/minimum magnitude of the peak/dip observed in\nthe non-saturated regime exactly follows the SMR be-2\n(b)(a)\nB\nV\nJez\n- yx\nα\nz\n- yxV\nB\nJeα-800 -600 -400 -200 0 200 400 600 800-80-60-40-20020406080\n-800 -600 -400 -200 0 200 400 600 800-1.2-0.8-0.40.0-800 -400 0 400 800-20-1001020\nPt [3nm] RT [mΩ ]\nB [mT]α =\n 00\n 450\n 900\n 1350 RL-R0 [Ω]\nPt [3nm] \nB [mT]α =\n 00\n 450\n 900\n RT [mΩ]\nB [mT]α = 900\nFIG. 1. (a) Transverse and (b) longitudinal resistance of Pt\n[3nm] on YIG under an applied out-of-plane magnetic field.\nαis the angle between Jeand the small in-plane component\nof the applied magnetic field. The insets show the configu-\nration of the measurements, as well as a separate plot of the\ntransverse resistance for α= 90◦, where the contribution of\nGiis most prominent. R0is the high-field resistance of the\nPt film, here 1695Ω.\nhaviour for in-plane magnetic fields.12,13By increasing\nthe magnetic field strength, the magnetization is tilted\nout of the plane and less charge current is generated by\ntheinversespin-Halleffectinthetransverse(andalsolon-\ngitudinal) direction, resulting in a decrease of the SMR\nsignal. The sharp peak observed around zero applied\nfield can be explained by the reorientation of Min the\nfilm plane when Bis swept through the coercive field of\nthe YIG.\nThe corresponding measurements of the longitudinal\nresistance are shown in Fig. 1(b) (For currents I=\n1−100µA). In this configuration, the signal for B > B s\ndoes not show a field dependence nor an offset between\npositive and negative field regimes when linearly extrap-\nolated to zero field.\nThe observed features for the transverse (Fig. 1(a))\nas well as the longitudinal (Fig. 1(b)) resistance can be\ndescribed by the following equations11\nρT= ∆ρ1mxmy+∆ρ2mz+(∆ρHall+∆ρadd)Bz(1)\nρL=ρ+∆ρ0+∆ρ1(1−m2\ny) (2)\nwhereρTandρLare the transverse and longitudinal re-\nsistivity, respectively. ρis the electrical resistivity of thePt. ∆ρHallBzdescribes the change in resistivity caused\nby the ordinaryHall effect and ∆ ρaddBzis the additional\nresistivity change on top of ∆ ρHallBz, as observed for\nsaturated magnetic fields.18Bzis the magnetic field in\nthez-direction. mx,myandmzare the components\nof the magnetization in the x-,y- andz-direction, re-\nspectively, defined by mx= cosαcosβ,my= sinαcosβ\nandmz= sinβ, where αis the in-plane angle be-\ntween the applied field BandJe, andβis the angle by\nwhichMis tilted out of the plane. For an applied field\nin thez-direction, from the Stoner-Wohlfarth Model,19\nβ= arcsin B/Bs. ∆ρ0, ∆ρ1and ∆ρ2are resistivity\nchanges as defined below11\n∆ρ0\nρ=−θ2\nSH2λ\ndNtanhdN\n2λ(3)\n∆ρ1\nρ=θ2\nSHλ\ndNRe/parenleftBigg\n2λG↑↓tanh2dN\n2λ\nσ+2λG↑↓cothdN\nλ/parenrightBigg\n(4)\n∆ρ2\nρ=−θ2\nSHλ\ndNIm/parenleftBigg\n2λG↑↓tanh2dN\n2λ\nσ+2λG↑↓cothdN\nλ/parenrightBigg\n(5)\nwhereθSH,λ,dN,G↑↓andσare the spin-Hall angle, the\nspin relaxation length, the Pt thickness, the spin-mixing\nconductance ( G↑↓=Gr+iGi) and the bulk conductivity,\nrespectively.\nFrom Eq. (1), Giis most dominant in the transverse\nconfigurationwhen the product mxmyvanishes (∆ ρ2is a\nfunction of Gi). This is the case for α= 0◦andα= 90◦,\nas is shown in Fig. 1(a). As mzscales linearly with B,\nthe term ∆ ρ2mz, contributes an additional linear depen-\ndence for B < B sthat causes an offset between resis-\n-800 -600 -400 -200 0 200 400 600 800-20-1001020304050607080\n RT [mΩ]\nB [mT]Pt thickness [nm]\n 3\n 4\n 8\n 35\nα= 4500 0.1 0.2 0.3 0.4-16-12-8-40\n \n SiO2/ Pt\n YIG / Pt∆RT/∆B [ µΩ/mT]\n1/d [nm-1]\nFIG. 2. Out-of-plane magnetic field sweeps on YIG/Pt for\ndifferent Pt thicknesses [3, 4, 8 and 35nm], fixing α= 45◦.\nIn the saturated regime ( B > B s), linear behaviour is ob-\nserved. The inset shows the measured slope ∆ RT/∆Bin the\nsaturated regimes (red dots). The expected (black line) and\nmeasured (black dots) curves display the slopes for the ordi -\nnary Hall effect on a SiO 2/Pt sample. The red dotted line is\na guide for the eye.3\ntances for positive and negative saturation fields. This\nbehaviour is clearly observed in the inset of Fig. 1(a),\nwhere the measurement for α= 90◦is separately shown.\nForα= 45◦(135◦), the product mxmyis maximized\n(minimized) and a maximum (minimum) change in re-\nsistance is observed.\nThese measurements were repeated for a set of sam-\nples with different Pt thicknesses [3, 4, 8 and 35nm].\nResults of the thickness dependent transverse resistance\nare shown in Fig. 2. For α= 45◦, at which both\nGrandGicontribute to a maximum SMR signal, a\nclear thickness dependence is observed at all field val-\nues. The thickness dependence of the slope ∆ RT/∆B\nat saturation fields is shown in the inset of Fig. 2,\nwhere the red dots represent the experiments. The black\nline (dots) shows the expected (observed) slope from\nthe ordinary Hall effect (measured on a SiO 2/Pt sam-\nple) given by the equation (∆ RT/∆B)Hall=RH/dN,\nwhereRH=−0.23×10−10m3/C is the Hall coefficient\nof Pt.20∆RT/∆Bfor YIG/Pt behaves distinctively dif-\nferent. When decreasing the Pt thickness, ∆ RT/∆Bof\nYIG/Pt increases faster than expected from the ordinary\nHall effect. This discrepancy cannot be explained by the\npresent theory for the SMR and may thus indicate a dif-\nferent proximity effect. The red dotted line in the inset\nof Fig. 2 is a guide for the eye and represents the term\n∆ρHall+∆ρaddin Eq. (1).\nThe SMR, including the resistance offset obtained by\nlinear extrapolation of the high field regimes, is only sig-\nnificant for the thin Pt layers [3, 4 and 8nm]. The thick\nPt layer [35nm] shows no (or very small) SMR.\nUsing Eqs. (1) and (2), all experimental data can be\nfitted simultaneously by the adjustable parameters θSH,\nλ,GrandGi.ρ= 1/σfollows from the measured\nresistances R0for each Pt thickness given in the cap-\ntion of Fig. 3. The quality of the fit is demonstrated\nby Fig. 3(a)-(f) for θSH= 0.08,λ= 1.2nm,Gr=\n4.4×1014Ω−1m−2andGi= 2.8×1013Ω−1m−2. The\nmeasurementsareverywelldescribed bythe SMR theory\n(Eqs. (1) and (2)), for all Pt-thicknesses and magnetic\nfield strength and direction. However, due to the cor-\nrelation between the fitting parameters, similarly good\nfitting results can be obtained by other combinations of\nθSH,λ,GrandGi, notwithstanding the good signal-to-\nnoise-ratio of the experimental data. We therefore fixed\nthe Hall angle at θSH= 0.08, which is within the range\n0.06 to 0.11 obtained from the fitting and consistent with\nresults published by several groups.12,21–24By fixing θSH\nthe quality of the fits is not reduced, but the accuracy\nof the parameter estimations improves significantly. By\nFig. 4 it is observed that a strong correlation exists be-\ntween both GrandGi, andλ, whereas the ratio Gr/Gi\ndoes not significantly change (see inset Fig. 4). A good\nfit cannot be obtained for λ >1.4nm. For λ <0.8nm\nthe error bars become very large and for λ <0.4nm a\ngood fit can no longer be obtained. Inspecting Fig. 4\nwe favour λ= 1.1±0.3nm,Gr= (7±3)×1014Ω−1m−2\nandGi= (5±3)×1013Ω−1m−2, where the higher val-(a) (d)\n(f)(e)\n(c)(b)\n-100102030\n RT [mΩ ]\n-800-600-400-200 0 200 400 600 800-4-2024\n RT [mΩ ]\nB [mT]-20020406080\nPt [8nm]Pt [3nm]\nPt [4nm] Pt [4nm]Pt [3nm] RT [mΩ ]α = 450\nα = 900\nPt [8nm]-1200-900-600-3000\n \n RL-R0 [mΩ ]\nα = 00\nα = 450\nα = 900\n-800-600-400-200 0 200 400 600 800-60-40-200\n RL-R0 [mΩ ]\nB [mT]-500-400-300-200-1000\n RL-R0 [mΩ ]\nFIG. 3. Theory Eqs. (1,2) (solid lines) fitted to (a)-(c) tran s-\nverse and (d)-(f)longitudinal observed resistances (open sym-\nbols) for different αand Pt thicknesses 3, 4 and 8nm, respec-\ntively, using θSH= 0.08,λ= 1.2nm,Gr= 4.4×1014Ω−1m−2\nandGi= 2.8×1013Ω−1m−2.R0is the high-field longitudinal\nresistance of the Pt film of 1695Ω, 930Ω and 290Ω for the 3,\n4 and 8nm Pt thickness, respectively.\n0.8 0.9 1.0 1.1 1.2 1.3 1.4024681012\n0.8 0.9 1.0 1.1 1.2 1.3 1.4812162024\n \n Gr (x1014 ) \n Gi (x1013 )Gr,i [ Ω-1m-2] \nλ [nm]θSH = 0.08\n G r / G i\nλ [nm]\nFIG. 4. Obtained magnitude and uncertainties of GrandGi\n(Gr/Giin the inset) as a function of λ, forθSH= 0.08.\nues ofGrandGicorrespond to smaller λ. The ratio\nGr/Gi= 16±4 does not depend on λ.\nInsummary,byemployingtheSMR,includingthecon-\ntribution of the imaginary part of the spin-mixing con-\nductance, it is possible to fully determine the magne-\ntization direction of an insulating ferromagnetic layer,\nby purely electrical measurements. The experimental\ndata are described well by the spin-diffusion model of\nthe SMR, for all investigated Pt thicknesses and mag-\nnetic configurations. By fixing θSH= 0.08, we find the\nparameters λ= 1.1±0.3nm,Gr= (7±3)×1014Ω−1m−2,\nGi= (5±3)×1013Ω−1m−2andGr/Gi= 16±4 for\nYIG/Pt bilayer structures.4\nWe would like to acknowledge B. Wolfs, M. de Roosz\nand J. G. Holstein for technical assistance. This work\nis part of the research program of the Foundation for\nFundamental Research on Matter (FOM), EU-ICT-7\n”MACALO” and DFG Priority Programme 1538 ”Spin-\nCaloric Transport” (BA 2954/1-1) and is supported by\nNanoNextNL, a micro and nanotechnology consortium\nof the Government of the Netherlands and 130 partners,\nby NanoLab NL and the Zernike Institute for Advanced\nMaterials.\n1C. Burrowes, B. Heinrich, B. Kardasz, E. A. Montoya, E. Girt,\nY. Sun, Y.-Y. Song, and M. Wu, Applied Physics Letters 100,\n092403 (2012).\n2Y. Tserkovnyak, A. Brataas, and G. E. W. Bauer, Phys. Rev. B\n66, 224403 (2002).\n3Y. Kajiwara, S. Takahashi, S. Maekawa, and E. Saitoh, Magnet -\nics, IEEE Transactions on 47, 1591 (2011).\n4K. Xia, P. J. Kelly, G. E. W. Bauer, A. Brataas, and I. Turek,\nPhys. Rev. B 65, 220401 (2002).\n5D. Ralph and M. Stiles, Journal of Magnetism and Magnetic\nMaterials 320, 1190 (2008).\n6Z. Wang, Y. Sun, Y.-Y. Song, M. Wu, H. Schultheiß, J. E.\nPearson, and A. Hoffmann, Applied Physics Letters 99, 162511\n(2011).\n7Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando, K. Takanashi,\nS. Maekawa, and E. Saitoh, Nature (London) 464, 262 (2010).\n8X. Jia, K. Liu, K. Xia, and G. E. W. Bauer, EPL (Europhysics\nLetters) 96, 17005 (2011).\n9F. D. Czeschka, L. Dreher, M. S. Brandt, M. Weiler, M. Altham-\nmer, I.-M. Imort, G. Reiss, A. Thomas, W. Schoch, W. Limmer,\nH. Huebl, R. Gross, and S. T. B. Goennenwein, Phys. Rev. Lett.\n107, 046601 (2011).\n10V. Castel, N. Vlietstra, J. Ben Youssef, and B. J. van Wees,\nApplied Physics Letters 101, 132414 (2012).\n11Y.-T.Chen, S. Takahashi, H.Nakayama, M.Althammer, S. T. B.\nGoennenwein, E. Saitoh, and G. E. W. Bauer, Phys. Rev. B 87,\n144411 (2013).12N. Vlietstra, J. Shan, V. Castel, B. J. van Wees, and\nJ. Ben Youssef, Phys. Rev. B 87, 184421 (2013).\n13H. Nakayama, M. Althammer, Y.-T. Chen, K. Uchida, Y. Kaji-\nwara, D. Kikuchi, T. Ohtani, S. Gepr¨ ags, M. Opel, S. Takahas hi,\nR. Gross, G. E. W. Bauer, S. T. B. Goennenwein, and E. Saitoh,\nPhys. Rev. Lett. 110, 206601 (2013).\n14C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V. Naletov, and\nJ. Ben Youssef, Phys. Rev. B 87, 174417 (2013).\n15M. Althammer, S. Meyer, H. Nakayama, M. Schreier, S. Alt-\nmannshofer, M. Weiler, H. Huebl, S. Gepr¨ ags, M. Opel, R. Gro ss,\nD. Meier, C. Klewe, T. Kuschel, J.-M. Schmalhorst, G. Reiss,\nL. Shen, A. Gupta, Y.-T. Chen, G. E. W. Bauer, E. Saitoh, and\nS. T. B. Goennenwein, Phys. Rev. B 87, 224401 (2013).\n16The authors of Ref.15obtained Giby adding the saturation mag-\nnetization to the applied magnetic field to obtain the total m ag-\nnetic field in the Pt. In our opinion the saturation magnetiza tion\nshould not be included, which leads to a different zero-field e x-\ntrapolation resulting in Gi= 1.7×1013Ω−1m−2, which is more\nclose to the uncertainty interval of our results.\n17V. Castel, N. Vlietstra, B. J. van Wees, and J. Ben Youssef,\nPhys. Rev. B 86, 134419 (2012).\n18From the measurements for α= 90◦, shown in the inset of\nFig. 1(a) and in Figs. 3(a)-(c), we deduce that also in the non -\nsaturated regime this additional effect likely scales linea rly with\nB. The dominant linear effect observed in the non-saturated\nregime is attributed to Gi. The remaining linear signal is ex-\nplained by the sum of the ordinary hall effect and the addition al\nterm as defined in Eq. (1).\n19E. Stoner and E. Wohlfarth, IEEE Transactions on Magnetics\n27, 3475 (1991).\n20C.M.Hurd, The Hall Effect in Metals and Alloys (Plenum Press,\nNew York, 1972).\n21L. Liu, R. A. Buhrman, and D. C. Ralph, arXiv:1111.3702v3\n[cond-mat.mes-hall].\n22L. Liu, T. Moriyama, D. C. Ralph, and R. A. Buhrman, Phys.\nRev. Lett. 106, 036601 (2011).\n23K. Ando, S. Takahashi, K. Harii, K. Sasage, J. Ieda, S. Maekaw a,\nand E. Saitoh, Phys. Rev. Lett. 101, 036601 (2008).\n24A. Azevedo, L. H. Vilela-Le˜ ao, R. L. Rodr´ ıguez-Su´ arez, A . F.\nLacerda Santos, and S. M. Rezende, Phys. Rev. B 83, 144402\n(2011)." }, { "title": "1601.00304v1.Detection_of_DC_currents_and_resistance_measurements_in_longitudinal_spin_Seebeck_effect_experiments_on_Pt_YIG_and_Pt_NFO.pdf", "content": "Detection of DC currents and resistance measurements in longitudinal spin\nSeebeck e\u000bect experiments on Pt/YIG and Pt/NFO\nDaniel Meier,1,a)Timo Kuschel,1Sibylle Meyer,2Sebastian T.B. Goennenwein,2Liming Shen,3Arunava Gupta,3\nJan-Michael Schmalhorst,1and G unter Reiss1\n1)Center for Spinelectronic Materials and Devices, Department of Physics, Bielefeld University, Universit atsstra\u0019e 25,\n33615 Bielefeld, Germany\n2)Walther-Meissner-Institut, Bayerische Akademie der Wissenschaften, Walther-Meissner-Strasse 8, 85748 Garching,\nGermany\n3)Center for Materials for Information Technology, University of Alabama, Tuscaloosa, Alabama 35487,\nUSA\n(Dated: 10 June 2021)\nIn this work we investigated thin \flms of the ferrimagnetic insulators Y 3Fe5O12and NiFe 2O4capped with\nthin Pt layers in terms of the longitudinal spin Seebeck e\u000bect (LSSE). The electric response detected in the\nPt layer under an out-of-plane temperature gradient can be interpreted as a pure spin current converted into\na charge current via the inverse spin Hall e\u000bect. Typically, the transverse voltage is the quantity investigated\nin LSSE measurements (in the range of \u0016V). Here, we present the directly detected DC current (in the range\nof nA) as an alternative quantity. Furthermore, we investigate the resistance of the Pt layer in the LSSE\ncon\fguration. We found an in\ruence of the test current on the resistance. The typical shape of the LSSE\ncurve varies for increasing test currents.\nIn the recent years, the spin Seebeck e\u000bect (SSE), the thermal generation of a pure spin current, has been attracted\nmuch attention in spintronics1and has opened the branch of spin caloritronics.2The \frst observation on thin Ni 81Fe19\n(permalloy - Py) \flms3in the now called transverse con\fguration (TSSE) could not be reproduced by many groups.4{7\nAdditionally, unintended charge transport phenomena like the anomalous Nernst or planar Nernst e\u000bect appeared in\nmost attempts to investigate the TSSE which disguised the voltage measured. These unintended Nernst e\u000bects are\ne\u000bected by temperature gradients in unintended directions7or small parasitic magnetic \felds.8\nMagnetic insulators like Y 3Fe5O12(yttrium iron garnet - YIG) or NiFe 2O4(nickel ferrite - NFO) seem to be a more\npromising material class for TSSE investigations.9The lack of free charge carriers suppress the appearance of any\nthermally driven charge current phenomena. However, it could be shown very recently that the TSSE on YIG and\nNFO could also not be reproduced.10Nevertheless, a pure spin current generation could be observed in spite of that.\nThis was accomplished by a spin Seebeck e\u000bect in the longitudinal con\fguration (LSSE).11Here, the spin current is\ngenerated longitudinal to the temperature gradient which is applied perpendicular to the spin detector/ferromagnet\nbilayer system.\nThe LSSE on ferromagnetic or ferrimagnetic insulators is now a well established phenomena and could be reproduced\nin many groups.12{16The generally used quantity which is presented in all of the given publications is the voltage\nwhich arises in the spin detector material transverse to the generated spin current due to the inverse spin Hall e\u000bect\n(ISHE). The ISHE describes the conversion of a spin current into a charge current due to spin dependent scattering of\nthe electrons in a heavy metal.17Generally, the voltage is used as the electrical response quantity that is measured in\nISHE experiments. Recently, Omori et al. have investigated the detection of the converted charge current in lateral\nspin valve structures by means of the ISHE.18The direct detection of the charge current generated in the spin detector\nmaterial in LSSE experiments will be presented in this work.\nAnother transport phenomena which is connected with Pt/magnetic insulator bilayers is the recently observed spin\nHall magnetoresistance (SMR).19,20Here, an interplay of the spin Hall e\u000bect and the ISHE leads to a magnetore-\nsistance e\u000bect when an electrical current \rows through the Pt \flm. Most of the given literature show \feld rotation\nmeasurements to distinguish between the SMR and the anisotropic magnetoresistance. The latter e\u000bect could appear\nif the Pt is spin polarized at the interface by the ferromagnetic or ferrimagnetic material due to a magnetic proximity\ne\u000bect.12This is still under discussion for the investigated Pt/YIG systems21,22, but could be excluded for Pt/NFO\nby x-ray resonant magnetic re\rectivity23,24and for Pt/CoFe 2O4by x-ray magnetic circular dichroism very recently.25\nThe SMR can also emerge as symmetric peaks in magnetic \feld loop measurements due to magnetic anisotropies.\nIn this work we present measurements on Pt/YIG and Pt/NFO in the LSSE con\fguration (Fig. 1 (f)). The electric\nresponse detected in the Pt layer is shown as a function of the external magnetic \feld Hfor various angles \u000bwith\nrespect to the x-direction. Here, we present the voltage as the typically used quantity for reference and compare\nthis with the directly detected DC current as an alternative quantity. Furthermore, we show how the resistance\na)Electronic mail: dmeier@physik.uni-bielefeld.de; www.spinelectronics.dearXiv:1601.00304v1 [cond-mat.mtrl-sci] 3 Jan 20162\nvaries during the LSSE measurement. We could observe di\u000berent behaviour when the test current is increased. Large\ntest currents lead to a dominant SMR which suppresses the appearance of the LSSE. Therefore, we will show that\nthe LSSE and the SMR can be created simultaneously with di\u000berent sources. While the LSSE is generated by the\ntemperature gradient, the SMR is produced by a charge current through the Pt. Furthermore, we used the same\ncontacts for the applied current and the voltage measurement. Slightly di\u000berent experiments are reported by Schreier\net al.26and Vlietstra et al.27about simultaneous LSSE/SMR measurements with the same source.\nThetY IG= 60 nm thick YIG \flm investigated in this work was deposited on 0.5 mm thick yttrium aluminium garnet\n(Y3Al5O12) (111)-oriented single crystal substrates with 5 mm \u00022 mm in dimension by pulsed laser deposition from a\nstoichiometric polycrystalline target.20The KrF excimer laser had a wavelength of 248 nm, a repetition rate of 10 Hz\nand an energy density of 2 J/cm2. The YIG \flm was capped by a 2 nm thin Pt \flm deposited by e-beam evaporation.\nThetNFO = 1\u0016m thick NFO \flm was deposited by direct liquid injection-chemical vapour deposition on 0.5 mm thick\nMgAl 2O4(100)-oriented substrates with 8 mm \u00025 mm in dimension.28The NFO \flm was cleaned with ethanol in an\nultrasonic bath after a vacuum break and was capped by a 10 nm thin Pt \flm deposited by dc magnetron sputtering.\nThe LSSE measurements were performed in a vacuum chamber with a base pressure of 1 \u000110\u00006mbar. The samples\nwere clamped between two copper blocks with a piece of 0.5 mm thick sapphire substrate for electrical isolation between\nthe Pt and the top copper block. The temperature gradient through the sample stack was established by heating\nthe top copper block by Joule heating. Two 25 \u0016m thin aluminium bonding wires at the sample edges measured the\nelectrical response transverse to the temperature gradient and perpendicularly to the external magnetic \feld which\nwas applied in the sample plane. For Pt/YIG and Pt/NFO the electrical contacts had a distance of about 4 mm and\n7 mm, respectively. The measurement con\fguration and all observed responses are consistent if a rigorous sign check\nis applied.16\n-8-4048\nvoltage V (µV)\n-200 -100 0100 200\nmagnetic field H (Oe)!T = 35K\n 0°\n 80°\n 90°\n 100°\n-10-50510\ncurrent I (nA)\n-200 -100 0100 200\nmagnetic field H (Oe)!T = 35K\n 0°\n 80°\n 90°\n 100° -60-3003060\ncurrent I (nA)\n-400 -200 0200 400\nmagnetic field H (Oe) 2.8 K\n 11.6 K\n 15.4 K\n 21.4 K!T-6-3036\nvoltage V (µV)\n-400 -200 0200 400\nmagnetic field H (Oe) 2.8 K\n 10.6 K\n 15.5 K\n 19.4 K!T9\n6\n3\n0voltage Vsat (µV)\n25 20 15 105 0\ntemperature di fference T (K)90\n60\n30\n0\ncurrent Isat (nA)Pt/YIG Pt/NFO\n(a)\n(b)(c) (e)\n(d)(f)\nMAO[YAG] NFO[YIG] PtV ∆\nT\nH a\nxyz\nz\nNSHi(+)Lo(-)\nFIG. 1. (a) The transverse voltage Vis shown as a function of the external magnetic \feld Hfor various angles \u000bwith respect\nto the x-direction measured on Pt/YIG. The temperature di\u000berence between the top and bottom is \u0001 T= 35K. (b) The\ntransverse current Imeasured at the Pt/YIG bilayer is shown as a function of Hfor various angles \u000b. (c) The voltage Vshown\nas a function of Hfor various temperature di\u000berences \u0001 Ton Pt/NFO. (d) The current Imeasured at the Pt/NFO bilayer\nplotted against H. (e) The saturated voltage Vsatand saturated current Isatshown as a function of \u0001 Tfor Pt/YIG. (f) The\nmeasurement con\fguration with the temperature gradient rTz, the magnetic \feld vector Hand the connections as well as\npolarity of the electrical measurement.\nIn the \frst LSSE measurements shown in Fig. 1 (a) the voltage Von the Pt/YIG bilayer was obtained as a function\nof the external magnetic \feld Hwith a \fxed temperature di\u000berence \u0001 T= 35Kfor various angles \u000bof the magnetic\n\feld vector with respect to the x-direction. The voltage in saturation is about 6 :8\u0016Vfor\u000b= 0\u000ewhich decreases for\nangles up to \u000b= 90\u000ewhere it reaches zero due to the cross product of the ISHE given by E/JS\u0002\u001b, with the\nelectric \feld E, the spin current JSand the spin-polarization vector \u001bof the electrons in the Pt. For angles \u000babove\n90\u000ethe voltage in saturation changes its sign. For magnetic \feld values Haround the coercive \feld of the YIG the\nvoltage also switches its sign. This can be seen for all angles \u000b. However, for angles around 90\u000ethere are two peaks\naround the coercive \feld. These peaks results from a switching behaviour for materials with a magnetic anisotropy3\nwhich was investigated recently.15While Kehlberger et al. could observe an antisymmetric switching with respect to\nH we observe a symmetric switching. This can be a result of a symmetric reversal process of the magnetization vector\nwhich rotates between 0\u000eand 180\u000epassing the 90\u000edirection for both hysteresis branches and never rotating over the\n270\u000edirection. Additionally, this symmetric curve can be reminiscent to a magnetoresistive switching in a manner like\nthe SMR and will be part of future investigations. In Fig. 1 (b) the current Imeasured at the Pt contacts is plotted\nas a function of H. The current generated by the ISHE conversion in the Pt shows the same angle dependency of the\nsaturated value compared to the voltage. The current in saturation decreases for angles \u000bbetween 0\u000eand 90\u000euntil\nit vanishes. This shows the same behaviour expected for the LSSE via the ISHE. The peaks in the voltage for angles\n\u000bnear 90\u000e(Fig. 1 (a)) are vaguely perceptible in the current due to the di\u000berent measurement accuracy.\nIn the additional system Pt/NFO the current Iand the voltage Vgenerated by the LSSE were studied. A\ndetailed LSSE investigation for this system was previously reported.14In Fig. 1 (c) Vis shown as a function of Hfor\nvarious temperature di\u000berences \u0001 T. The magnitude of these curves is shown in Fig. 1 (e) which shows the typical\nproportionality expected for the LSSE. This could be con\frmed for the current Idirectly measured at the Pt \flm\n(Fig. 1 (d)) for slightly divergent temperature di\u000berences. However, the linearity between Iand \u0001Tbecomes obvious\nin Fig. 1 (e) in spite of the poorer accuracy. Therefore, the current Ishows the expected LSSE behaviour for the\n\u0001Tproportionality as well as the angle dependency regarding the ISHE exemplarily shown for each material system,\nPt/YIG and Pt/NFO. This makes it an equivalent quantity for LSSE investigations.\nantisym. partsym. part714.25714.00713.75713.50R (Ω)100 µA exp. data\n-200-1000100200magnetic field H (Oe)antisym.sym. partpart715.50715.00714.50714.00713.50R (Ω)exp. data 10 µA\nantisym. partsym. part713.80713.75713.70R (Ω)-200-1000100200magnetic field H (Oe)1000 µA exp. data(a)(d)(b)(e)(c)(f)1.20.80.40.0∆R (Ω)100806040200inverse current 1/IT (1/mA)(g)\n(h)-8-4048voltage V (µV)-200-1000100200magnetic field H (Oe) V I•R\nFIG. 2. The resistance Rmeasured on Pt/YIG transverse to the applied external magnetic \feld Hfor \u0001T= 35Kwith\ndi\u000berent test currents ITin (a) 10\u0016A, (b) 100\u0016A and (c) 1000 \u0016A. The experimental data was separated mathematically into\nthe antisymmetric and symmetric part (d), (e) and (f) with respect to H. (g) The directly measured LSSE voltage compared\nto the product of the measured DC current and the residual resistance of the Pt \flm. (h) The margin of the saturated values\nin the antisymmetric parts \u0001 Rplotted against the inverse test current 1 =IT.\nIn further investigations, we measured the resistance of the bilayers for \u0001 T= 35 K. The measurement is performed\nas a voltage measurement with di\u000berent test currents applied. In Fig. 2 (a), (b) and (c) the resistance Ris shown\nas a function of Hfor three di\u000berent test currents (10 \u0016A, 100\u0016A and 1000 \u0016A). For low test currents, e.g., 10 \u0016A\nthe resistance is antisymmetric with respect to Hand shows a hysteretical behaviour. The experimental data can be\nseparated mathematically into a complete antisymmetric and symmetric part which is shown in Figs. 2 (d), (e) and\n(f). Since the experimental data are nearly completely antisymmetric for IT= 10\u0016A the symmetric part shows only\na mean resistance without any magnetic \feld dependent behaviour (Fig. 2 (d)). For larger test currents, however,\nthe mathematical separation shows a switching behaviour with two peaks around the coercive \felds of the YIG \flm\nwhich is symmetric with H(Fig. 2 (e)). The magnitude of the antisymmetric part, i.e., the di\u000berence of the saturated\nvoltages for positive and negative magnetic \felds \u0001 R, decreases. When the test current is further increased the\nsymmetric e\u000bect is more dominant in the experimental data compared to the antisymmetric contribution. Here, the\nantisymmetric part shows a very low magnitude (Fig. 2 (f)) and the symmetric part is more dominant even in the\nexperimental data. The LSSE contribution normalized to the used test current is always the same. This can be shown\nby the proportionality between \u0001 Rand the inverse test current 1/ ITin Fig. 2 (h). When the the measured ISHE4\ncurrent (Fig. 1 (a)) is multiplied by the residual resistance of the Pt \flm the obtained curve is similar to the previously\nmeasured ISHE voltage (Fig. 2 (g)).\nRecently, Schreier et al. have shown that the temperature gradient can also be established by heating the top of the\nsample with a large current through the Pt layer which is the spin detector at the same time.26The Joule heating of a\nlarge d.c. current (about 10 mA) transverse to the voltage measurement generated the LSSE which is antisymmetric\nwith the external magnetic \feld H. Furthermore, the current generated a SMR represented by large symmetric peaks.\nBoth could be separated by taking the di\u000berence of two measurements with the reversed current applied at the Pt.\nFor the test currents used in this work there is no additional heating which would be manifest in a deviation of the\nlinearity between \u0001 Rand 1=ITin Fig. 2 (h).\nVery recently, Vlietstra et al. have extended these investigations by using a.c. currents in a similar range of the\nabsolute value compared to Schreier et al. They measured the \frst- and second-harmonic voltage in order to separate\nthe SMR and LSSE contribution which are generated by the same current source.27\nIn conclusion, we have shown that the direct measurement of the d.c. current is an equivalent quantity in LSSE\nexperiments which shows the same properties of the saturated values compared to the commonly used voltage.\nFurthermore, the resistance was measured in the LSSE con\fguration by applying di\u000berent test currents. The same\nswitching behaviour expected for the LSSE could be obtained for low enough test currents applied. However, large\nenough test currents can a\u000bect the result and create an additional contribution given by the SMR. The variation of the\ntest current can obscure the real interpretation of magnetoresistive experiments which become extremely worthwhile\nfor the sample systems used in LSSE experiments.\nThe authors thank Michael Schreier for valuable discussions and gratefully acknowledge \fnancial support by the\nEMRP JRP EXL04 SpinCal and the Deutsche Forschungsgemeinschaft (DFG) within the priority programme SpinCaT\n(KU 3271/1-1 and RE 1052/24-2).\n1A. Ho\u000bmann and S. D. Bader, Phys. Rev. Applied 4, 047001 (2015).\n2G. E. W. Bauer, E. Saitoh, and B. J. van Wees, Nat. Mater. 11, 391 (2012).\n3K. Uchida, S. Takahashi, K. Harii, J. Ieda, W. Koshibae, K. Ando, S. Maekawa, and E. Saitoh, Nature 455, 778 (2008).\n4S. Huang, W. Wang, S. Lee, J. Kwo, and C. Chien, Phys. Rev. Lett. 107, 216604 (2011).\n5A. Avery, M. Pufall, and B. Zink, Phys. Rev. Lett. 109, 196602 (2012).\n6M. Schmid, S. Srichandan, D. Meier, T. Kuschel, J. M. Schmalhorst, M. Vogel, G. Reiss, C. Strunk, and C. H. Back, Phys. Rev. Lett.\n111, 187201 (2013).\n7D. Meier, D. Reinhardt, M. Schmid, C. H. Back, J. M. Schmalhorst, T. Kuschel, and G. Reiss, Phys. Rev. B 88, 184425 (2013).\n8A. S. Shestakov, M. Schmid, D. Meier, T. Kuschel, and C. H. Back, arXiv.org (2015), 1510.07241v1.\n9K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda, T. Ota, Y. Kajiwara, H. Umezawa, H. Kawai, G. E. W. Bauer, S. Maekawa,\nand E. Saitoh, Nat. Mater. 9, 894 (2010).\n10D. Meier, D. Reinhardt, M. van Straaten, C. Klewe, M. Althammer, M. Schreier, S. T. B. Goennenwein, A. Gupta, M. Schmid, C. H.\nBack, J.-M. Schmalhorst, T. Kuschel, and G. Reiss, Nat. Commun. 6, 8211 (2015).\n11K. Uchida, H. Adachi, T. Ota, H. Nakayama, S. Maekawa, and E. Saitoh, Appl. Phys. Lett. 97, 172505 (2010).\n12S. Y. Huang, X. Fan, D. Qu, Y. P. Chen, W. G. Wang, J. Wu, T. Y. Chen, J. Q. Xiao, and C. L. Chien, Phys. Rev. Lett. 109, 107204\n(2012).\n13D. Qu, S. Y. Huang, J. Hu, R. Wu, and C. L. Chien, Phys. Rev. Lett. 110, 067206 (2013).\n14D. Meier, T. Kuschel, L. Shen, A. Gupta, T. Kikkawa, K. Uchida, E. Saitoh, J. M. Schmalhorst, and G. Reiss, Phys. Rev. B 87, 054421\n(2013).\n15A. Kehlberger, G. Jakob, M. C. Onbasli, D. H Kim, C. A. Ross, and M. Kl aui, J. Appl. Phys. 115, 17C731 (2014).\n16M. Schreier, G. E. W. Bauer, V. I. Vasyuchka, J. Flipse, K. Uchida, J. Lotze, V. Lauer, A. V. Chumak, A. A. Serga, S. Daimon,\nT. Kikkawa, E. Saitoh, B. J. van Wees, B. Hillebrands, R. Gross, and S. T. B. Goennenwein, J. Phys. D: Appl. Phys. 48, 025001 (2015).\n17E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Appl. Phys. Lett. 88, 182509 (2006).\n18Y. Omori, F. Auvray, T. Wakamura, Y. Niimi, A. Fert, and Y. Otani, Appl. Phys. Lett. 104, 242415 (2014).\n19H. Nakayama, M. Althammer, Y. T. Chen, K. Uchida, Y. Kajiwara, D. Kikuchi, T. Ohtani, S. Gepr ags, M. Opel, S. Takahashi, R. Gross,\nG. E. W. Bauer, S. T. B. Goennenwein, and E. Saitoh, Phys. Rev. Lett. 110, 206601 (2013).\n20M. Althammer, S. Meyer, H. Nakayama, M. Schreier, S. Altmannshofer, M. Weiler, H. Huebl, S. Gepr ags, M. Opel, R. Gross, D. Meier,\nC. Klewe, T. Kuschel, J.-M. Schmalhorst, G. Reiss, L. Shen, A. Gupta, Y.-T. Chen, G. E. W. Bauer, E. Saitoh, and S. T. B.\nGoennenwein, Phys. Rev. B 87, 224401 (2013).\n21Y. Lu, Y. Choi, C. Ortega, X. Cheng, J. Cai, S. Huang, L. Sun, and C. Chien, Phys. Rev. Lett. 110, 147207 (2013).\n22S. Gepr ags, S. Meyer, S. Altmannshofer, M. Opel, F. Wilhelm, A. Rogalev, R. Gross, and S. T. B. Goennenwein, Appl. Phys. Lett.\n101, 262407 (2012).\n23T. Kuschel, C. Klewe, J. M. Schmalhorst, F. Bertram, O. Kuschel, T. Schemme, J. Wollschl ager, S. Francoual, J. Strempfer, A. Gupta,\nM. Meinert, G. G otz, D. Meier, and G. Reiss, Phys. Rev. Lett. 115, 097401 (2015).\n24T. Kuschel, C. Klewe, P. Bougiatioti, O. Kuschel, J. Wollschl ager, L. Bouchenoire, S. Brown, J.-M. Schmalhorst, D. Meier, and G. Reiss,\nsubmitted for publication (2015).\n25M. Valvidares, N. Dix, M. Isasa, K. Ollefs, F. Wilhelm, A. Rogalev, F. S\u0013 anchez, E. Pellegrin, A. Bedoya-Pinto, P. Gargiani, L. E. Hueso,\nF. Casanova, and J. Fontcuberta, arXiv.org (2015), 1510.01080v2.\n26M. Schreier, N. Roschewsky, E. Dobler, S. Meyer, H. Huebl, R. Gross, and S. T. B. Goennenwein, Appl. Phys. Lett. 103, 242404 (2013).\n27N. Vlietstra, J. Shan, B. J. van Wees, M. Isasa, F. Casanova, and J. Ben Youssef, Phys. Rev. B 90, 174436 (2014).\n28N. Li, Y.-H. A. Wang, M. N. Iliev, T. M. Klein, and A. Gupta, Chem. Vap. Deposition 17, 261 (2011)." }, { "title": "1901.05073v1.SiC_YiG_X_band_quantum_sensor_for_sensitive_surface_paramagnetic_resonance_applied_to_chemistry__biology__physics.pdf", "content": "SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n1 \n \n \n \nSiC-YiG X band quantum sensor for \n \nSensitive Surface Paramagnetic Resonance \n \napplied to chemistry, biology, physics . \n \n \n \nJérôme TRIBOLLET \n \nInstitut de Chimie de Strasbourg, Strasbourg University, UMR 7177 (CNRS -UDS), \n4 rue Blaise Pascal, CS 90032, F -67081 Strasbourg Cedex, France \nE-mail : tribollet@unistra.fr \n \n \n \nABSTRACT \nHere I pr esent the SiC-YiG Quantum Sensor, allowing electron paramagnetic resonance (EPR) \nstudies of monolayer or few nano meter s thick chemical, biological or physical samples \nlocated on the sensor surface . It contains two parts , a 4H-SiC substrate with many \nparamagnetic silicon vacancies (V2) located below its surface, and YIG ferrimagnetic \nnanostripes. S pins sensing properties are based on optically detected double electron -\nelectron spi n resonance under the strong magnetic field gradient of nanostripes. Here I \ndescribe fabrication, magnetic, optical and spins sensing properties of th is sensor. I show \nthat the target spins sensitivity is at least five order s of magnitude larger than the o ne of \nstandard X band EPR spectrometer, for which it constitutes , combined with a fiber bundle, a \npowerful upgrade for sensitive surface EPR . This sensor can determine the target spins \nplanes EPR spectrum, their positions with a nanoscale precision of +/ - 1 nm , and their 2D \nconcentration down to 1/(2 0nm)2. \n \n \n \n \n \n \n \n \n SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n2 \n \n Electron paramagnetic resonance1 (EPR) investigation of electron spins localized \ninside, at surfaces, or at interfaces of ultra thin films is highly relevant . In the field s of \nphotovoltaic2 and photochemistry3, EPR is useful to study the spins of photo -created \nelectron -hole pair s, their dissociation, and their eventual transport or chemical reaction \noccurring at some relevant interface . In opto -electronics with 2D semiconductors4, spins of \ndefects limiting device performance can be identified and quantified by EPR . In magnetic \ndata storage science5 and in spin-based quantum comput ing science using molecules6 \ngrafted, tethered, encapsulated or physisorbed on a so lid substrate , it is relevant to study by \nEPR the magnetic properties of those molecules , always modified by their interaction with \nthe substrate7. In solid supp orted heterogeneous catalysis , it is relevant to study spins \ninvolved in catalytic reactions , using EPR8 and eventually spin trapping methods9. In \nstructural biology , it is relevant to study by EPR spin labeled proteins10,11 introduced in \npolymer suppo rted or tethered lipid bilayers membranes12,13. In the context of the \ndevelopment of new theranosti c agents for nano medi cine, it is relevant to study ligand -\nprotein molecular recognition events occurring on surfaces by EPR, using for example, \nbifunctional spin labels14. As various nanotechnologies now allow to produce nanoscale \nthickness samples , one ne eds to perform sensitive Surface EPR (S -EPR). However, \ncommercial EPR spectrometers have not enough sensitivity15 for EPR study of those few \nmonolayers thick ultra -thin films , particularly when target spins are diluted and when \nsamples stacking is not poss ible. \n Home -made EPR experimental setups have been d eveloped recently , in the context \nof quantum sensors16-20 and quantum computers , reaching single spin sensitivity by \noptically17,18,21, electrically22 or mechanically23 detected EPR . Some of them achiev ed the \nnanoscale resolution imaging , when combined with magnetic devices moving over \nsurfaces24,25. Other recent advances in the field of inductively detected EPR have also \nconsiderably improved sensitivity, but at the price of operating home -made microwav e \ndevices at unco nventional millik elvin temperatures26. Thus, c learly, there is today a gap \nbetween performance s of standard X band EPR spectrometers already used worldwide by \nmost of chemists, biologists and physicists , and the ones of the bests unconvent ional EPR \nsetups found in just few laboratories worldwide . \n Here I present the theory of a new Optically Detected M agnetic Resonance (ODMR) \nbased electron spins Quantum Sensor, allowing to study target electron spins of ultra thin \nparamagnetic samples located on the sensor surface . It has nanoscale resolution in one \ndimension, a high sensitivity due to spins ensemble ODMR , and importantly , is designed as \nan upgrade of standard X band pulsed EPR spectrometers . The design of the magnetic \nproperties of the sen sor is inspired from the one s of the hybrid paramagnetic -ferromagnetic \nquantum computer device27 I previously proposed . However, here, it is adapted to \nconstrain ts of standard X band (10 GHz, 0.35 T, 5 mm sample access ) pulsed EPR resonators \nand spectromet ers and thus to fiber bundle based ODMR28,29. The quantum sensor contains \ntwo parts. The first is a 4H-SiC semiconductor substrate containing, just below its surface, \nisolated negatively charged silicon vacancies (V2) used as quantum coherent ODMR spin \nprobes20,21,30 ,31,45. The second part is an ensemble of ferrimagnetic YIG (Yttrium Iron Garnet) \nnanostripes32 having narrow sp in wave resonances at X band . A fixed spacer fabricated on \nedges adjust the relative distance between the two parts. Next, I present the fabrication \nmethodology, magnetic and optical properties , and finally spins sensing properties , based on \nPELDOR spectroscopy1,10,11, 18,33, of this SiC-YiG quantum sensor. SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n3 \n The quantum sensor device proposed can be obtained by fabricating its two parts \nseparately and then integrat ing them (fig.1 a, b). As said in introduction , the first par t of the \nquantum sensor is a 4H -SiC semiconductor sample , in which silicon vacancies spin \nprobes20,21,30,31 ,45 called V 2 are created just below the 4H -SiC surface, and on which the ultra -\nthin paramagnetic film of i nterest will have to be deposit ed, anchored or self assembled \n(fig.1 ). This is necessary because the spins sensing principle is related to the many long range \ndipolar coupling s that exist between a given singl e V 2 spin probe and the many neighbor \ntarget spins (fig.1c ), those couplings affecting the spin coherence time of V 2 spins probes \nand being revealed by PELDOR spectroscopy1,10,11,18,33. The 4H -SiC sample can be a 4H -SiC \nsubstrate terminated on one side by an isotopica lly purified 4H -SiC grown layer, having no \nnuclear spins21 and a very low residual n type doping (< 1014 cm-3) 21. However, a \ncommercially available 4H -SiC substrate with low n doping and a natural low amount of non-\nzero nuclear spins is also a good starting point . \n \nfigure 1 : a/ two parts of the Q uantum Sensor : the paramagnetic 4HSiC one , with V2 spins on front side of \nthe truncated cone shape island (45°), and a cone shaped dip (45°) on back side; and the ferrimagnetic one, \nwith many identi cal YIG nanostripes on GGG substrate (only one stripe shown here for clarity, thus not at \nscale) . Also shown on b/ , their integration by a spacer (not at scale) and introduction in a standard pulsed \nEPR spectrometer microwave cavity, as well as the fiber b undle and the GRIN microlens (yellow) used for \nfiber bundle based ODMR. b/: Zoom showing the many dipolar couplings (dark lines) existing between V2 \nspins probes in 4H -SiC and target spins in the sample, used for quantum sensing by OD PELDOR spectroscopy. \nMolecular target spins and V2 probe spins a re here separated by a capping layer of few nanometers. W eff \nindicate the width along z direction over which the dipolar magnetic field produced by a nearby YIG \nnanostripe can be considered as homogeneous. dx is t he distance between the plane of V2 spins and t he \nplane of target molecular spins considered here. d1+d2=dx. Orders of magnitude: C 2D,V2= 1/ (30nm)2 et \nC2D,Target= 1/ (5nm)2, dx=10 nm, et d2 =2nm, d1=8 nm, weff =60nm for a nearby YIG nanostripe \n(T=100nm/W=500nm ), whose center is located at a distance x opt=150 nm here from the V2 spin s plane. \n The fabrication process of silicon vacancies V2 spins probes in 4H -SiC that I propose \nhere is described on top of fig.2 . It is based on an implantation -etching approach , combined \nwith SiC sculpting , in order to define the appropriate photonic structure for the optical \nexcitation and detection of V 2 spins probes . After cleaning of th e 4H -SiC surface, 5 nm of \nsacrificial SiO 2 are fabricated on the surface of the 4H -SiC substr ate (thickness of 400 µm) . \nThose 5 nm of Si O2 can be obtained, either by slow oxidation of the 4H -SiC surface34 into \nSiO 2 at around 11 50 °C , or by a lower temperature thin film d eposition method like by \nPECVD35 or atomic layer deposition ( ALD)36 at 150°C . High temperature oxidation should SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n4 \n advantageously remove residual V 2 silicon vacancies initially present in the 3D bulk of the \n4H-SiC sample, as V 2 vacancies are annealed out31 at around 700°C . Then, 20 nm of a \nstopping sacrificial layer of zinc oxide (ZnO ) are deposited on top of SiO 2/4H-SiC, by \nsputtering or by ALD. Then 22 keV As+ ions are implanted in this tri-layer sample at a dose \ncomprised between 1.6 1012 cm-2 and 1.6 1013 cm-2. The target dose here is around 8.3 \n1012cm-2, which corresponds, accordin g to SRIM simulations (see SI), to a 2D effective \nconcentration of As+ ions in the first 2 nm of 4H -SiC of C 2D, As+ = 1/(32nm )2. SRIM simulation s \nalso indicate that the concentration of As+ ions rapidly decay with depth in 4H -SiC and is \nalmost zero after t he first 10 nm of 4H -SiC. SRIM simulations also indicate that such \nimplantation of As+ ions produce 1.3 silicon vacancy per As+ ion in those firs t 2 nm of 4H -SiC. \nOne can thus consider that we obtain a 2D effective concentration of silicon vacancies V 2 in \nthe first 2 nm of 4H -SiC of C 2D, eff, V2 = 1/(32nm )2. This concentration rapidly decays to zero in \nthe next few nanometers in 4H -SiC. Then, 4H -SiC micro -sculpting is performed either by \ndiamond machining37,38, by laser ablation39, by FIB40 or by another mi cromachining method41. \nThe aim is to produce , on front side , a truncated cone shape island with V 2 spins on top, and \non back side , a cone shape dip (cone edge angle of 45° in both cases), both cones sharing the \nsame symmetry axis and having an optical qual ity surface roughness (fig.2 top) . Then , ZnO is \netched by HC l, and SiO 2 is etched by HF42. This leads to a sculpted sample with shallow \nsilicon vacancies created mainly 2 nm below the surface of the 4H-SiC truncated cone shape \nisland . A post implantation -sculpting -etching annealing , at a temperature inferior to 600-\n700°C, can eventually be performed to remove some unwanted created defects . Then, a \ntreatment passivate s the truncated cone shape 4H-SiC island surface, like a H+N plasma \ntreatment43 at 400°C, reducing its surface de nsity of state to 6 1010 cm-2. Then, eventually \n(not shown on fig.2) , a few nm capping layer , easy to functio nalize , can be deposited on th is \npassivated 4H -SiC surface , for example using ALD of silicon oxide at low temperature36. Then, \na spacer of appropriate thickness , 200 nm here , for example a ring shape spacer made of \nsilicon oxide, is fabricated by standard lithography and deposition , on the edge s of th e top \nsurface of the 4H -SiC or 4H -SiC/SiO 2 island , under which the V 2 spins prob es were created . \nThe diameter of this top 4H -SiC island surface is around 900 µm. The spacer will allow the \nintegration of the two parts of the quantum sensor device by contacting them (fig.1 b). \nFinally, the few monolayers paramagnetic film of i nterest can be created on top of the \nsensor surface . It is either chemically anchored or physically adsorbed on the sensor surface , \neventually pre -functionalized. Note also that it is possible to first deposit a nanoscale \nthickness solid thin film on the s ensor surfa ce and then to fabricate a spacer on it, with the \nappropriate thickness. \n The fabrication process of the YIG ferrimagnetic nanostripes array on the GGG \n(Gadolinium Gallium Garn et) substrate, necessary for the second part of this quantum \nsensor (fig.2 botto m), follows process es recently published32,44. Tho se process es were \nsuccessful in producing YIG nanostructured thin films with narrow spin wave resonances at X \nband32,44. Shortly, t hose process es use a reactive magnetron sputtering system operating at \nroom temperature with a YIG target. The deposition has to be done through a mask \nfabricated on GG G, obtained by electron beam lithography (fig.2 bottom ). After the YIG \ndeposition and mask removal , a ther mal treatment at around 750-800°C under air flow or \noxyg en atmosphere, during around 1 or 2 hours , has to be performed32,44. SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n5 \n \n \nfigure 2 : Fabrication of the quantum sensor device : 4H -SiC part (top) and YIG/GGG part (bottom) ; see text \nfor details on the various successive fabrication processes . When possible , and if it is ad vantageous, the \norder of some processes can be modified , as long as the key targeted quantum sensor properties are \nconserved. \n Quantum sensing16-20,24,25 by optically detected17,18,21 PELDOR spectroscopy1,10,11,18,33 \n(fig.5) is only possible if the V 2 spins probes created and coherently manipulated at the \nmicrowave probe frequency fs are sufficiently quantum coherent intrinsically, that is without \nany nearby target spin bath, in order to be able to feel the added spin decoherence1,17,18,24 \nproduced by the spin bath of the sample of study , when it is driven at the microwave pump \nfreque ncy fp (fig.5 ). Let us discuss firstly the electron spin coherence time expected for the \nspin S=3/2 of a 4HSiC silicon vacancy (V 2) 21,30,31 ,45 created by this fabrication process few \nnanometers below the surface. Nuclear spin bath spectral diffusion21 is small in 4HSiC which \ncontains very few non -zero nuclear spins, and it can be elimi nated by isotopic purification . \nBulk electron spin bath spectral diffusion is sm all in lightly n -doped 4HSiC and can be \nreduced by chemical purification and doping control21. Spin-lattice relaxation should be quite \ninefficient for V 2 spins probe s, in view of the very long spin coherence time of 100 µs \nobserved already at room te mperat ure for bulk V 2 spins probes21,30,31. Spin decoherence \ninduced by the residual paramagnetic states present at the 4H -SiC passivated surface is \nnegligi ble for most V 2 spin probes , due to the low 2D residual defect concent ration after \npassivation43 (6.1010 cm-2). Thus, the dominant intrinsic decoherence process for V 2 spins \nprobes in this quantum sensor device is expected to be instantaneous diffusion1 in 2D , \noccu rring among the V 2 spins probe s having the same resonant magnetic field , at fixed \nmicrowave probe frequency and under the strong dipolar magnetic field gradient produced SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n6 \n by the YIG nanostripes . Note that YIG is fully saturated at X band because its saturation \nfield32,44 is Bsat=1700 G and the external B0 field applied for EPR is around 3500 G . \n \nfigure 3 : YIG nanostripes magnetic properties assuming the following d imensions , width W=500 nm , \nthickness T=100 nm, length L=100µm , and Bsat=1700 G . a/ and b/ Electron spin resonance spectrum at X (9.7 \nHz) and Q (34 GHz) band respectively, showing, in b lue, the YIG nanostripes spin wave resonances and, in red, \nthe shifted paramagnetic resonance of reference g=2.00 electron spin s, placed at x opt=150 nm above the YIG \nnanostripe center (x=0) . Paramagnetic and f errimagnetic resonances have linewidth of 1 G h ere. c/ One \ndimensional eigenenergies of the spin waves along z axis (horizontal lines) represented on top of the \ninhomogeneous effective confining potential inside a YIG nanostripe saturated along its width (here \nz*=300+z ) ; z=0 corresponds to the center of the stripe . d/ z component of the dipolar magnetic field of the \nYIG nanostripe as a function of x (black), as well as its gradient along x (red) multiplied here by 100 for \nclari ty. e/ and f/ Total effective Zeeman splitting at X band (dot line), expres sed in Gauss (thus divided by (g \nµB), assuming g=2.00 ), as well as its two contributions: the one of Bdz to first order in blue, and the one of \nBdx in red to second order, as produced by the YIG nanostripe , respectively at xopt (e/) and at xopt -10 nm \n(f/), and both plotted versus z , to show the lateral homogeneity of this effective Zeeman splitting . \n \n The figure 3 summarizes the static and dynamic magnetic properties of the YIG \nnanostripes. The fig. 3d shows that the maximum magnetic field gradient in th e x direction, \nperpendicular to the GGG and 4HSiC surfaces, is of around 0.5 G/nm and is obtained at a \ndistance x opt=150 nm from the center of a given YIG nanostripe. That is why the spacer ha s \nto hav e a thickness of xopt + T/2 =200 nm, such that the V 2 spins probes feel the maximum \nmagnetic field gradient. The magnetic field gradient produced by such a YIG nanostripe is \nnot rigorously one dimensional along x. However , as I previously explained in the context of \nquantum computing27, locally , around x opt = 150 nm here , and laterally at z=0 +/ - 30 nm \nalong z, detailed calculations clearly show (fig. 3e) that in this portion of plane above each \nYIG nanostr ipe, the dipolar magnetic field can be considered as laterally homogeneous with \na precision of 0.1 G. Even in the portion of plane located at around x opt - 10 nm, and laterally \nat z=0 +/ - 30 nm along z, which is a possible position where target spins could be found, the \ndipolar magnetic field can be considered as laterally homogeneous with a precision of 0.3 G SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n7 \n (fig. 3f) . As the V 2 spins probes in 4HSiC have a narrow linewidth21,30,31 ,45,46 of less than 1 G, \nwith a gradient here of 0.5 G/nm, one can thus consider that all the V 2 spins probes located \nbetween xopt and xopt-2nm (fig 1 c), just below the 4HSiC surface , and with z=0 +/ - 30 nm \nalong z (weff=60 nm) , have the same resonant magnetic field with a precision of around 1 G. \nAs their 2D concentration obtained by fabrication is 1/(32nm)2, their decoherence time \nassociated to instantaneous diffusion in 2D is nume rically calculated to be T ID,2D= 12.5 µs, \nand i s independent of the temperature . Selective microwave pulses1 can thus excite th is V2 \nspins probes plane , without exciting the other more diluted V2 spins planes located in the \nnext few nanometers of 4HSiC . The V2 plane - target spins plane distance is thus measured \nhere with a precision of around +/- 1nm. \n \nfigure 4 : Some optical properties of the quantum sensor described here: a/ ODMR setup: fibers bundle (6+1, \nin blue), GRIN lens (NA=0.5, 0.25 pitch, diam eter: 500 µm, in yellow ) for collimation after the central fiber, \nEPR tube (in gray), and 4H -SiC sculpted sam ple (edges in red, cone angles are 45°); all are inserted inside a \nmicrowave resonator like the MD5 flexline resonator (the YIG part of the sensor , supporting the SiC one, is \nnot shown here for clarity); also shown on a/, static B0z and microwave magnetic field B 1x(t), some near \nsurface V 2 electric dipoles aligned along the c axis of 4H -SiC (in violet, maximum emission along the z axis , \northogonal to the c axis), and some relevant optical rays for geometric optics investigation of the excitation \nand collec tion efficiencies of this new ODMR based setup for quantum sensing. Blue ray is an optical \npumping ray with many TIR on SiC faces. Black rays are also optical pumping rays, but TIR are not shown for \nclarity. Violet rays are photoluminescence rays emitted a t 10° with respect to the horizontal and they are still \ncollected by TIR in lateral fibers (NA=0.44, diameter: 500µm). See also zoom in SI. b/ sec tion view of the fiber \nbundle just above the SiC sample. c/Negatively charged silicon vacancy V 2 energy level scheme, explaining \nthe optical readout cycle and the optical pumping cycle. Level names31,4 5,46: 1: (Ground State, S=3/2, M sz= -\n3/2 ( or +3/2 )), 2: (Excited State) , 3: (Meta -stable excited state) , 4: (Ground State, S=3/2, M sz= -1/2 ( or +1/2 )), \nk12 is lase r induced optical absorption/emission rate, k 21 is photoluminescence rate, k 23=k32=kISC is the \nintersystem crossing rate, k 34 is a non-radiative relaxation rate. d/ and e/ : Numerical simulations of \npopulations, based on rate equations, showing the optical pumping31,4 5,46 time necessary to saturate the \npopulation of V 2 spins in the - 1/2 states (green curve) to its maximum value of 0.5 (Note: one can also show \nthat under such OP, the population of V 2 spins in the + 1/2 state also saturates to 0.5, using a similar energy \nlevel scheme and OP/OD cycles). In d/, k23=k32=1 /(17 ns) at 300K31,4 5,46, and in e/, k23=k32=1/(1700 ns) \nassumed at 5K, and for bo th, k21=1/(6ns), k 34=1/(107 ns), k 12sat=2.6 ns-1. Populations shown: N 1 in black, N 2 in \nred, N 3 in blue, N 4 in green. One finds an optical pumping time of around 20 µs at 5K, and 2 µs at 300K, with \nthose parameters. \n SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n8 \n \n It must be also noted here that microwa ve driving of any spin wave resonance of the \nYiG nanostripes of the quantum sensor, during the ODPELDOR sequence used for quantum \nsensing, would add unwanted decoherence27 to V 2 spins probes. That is why the \nferrimagn etic insulating YIG nanostripes were ca refully designed here such that there is no \nspectral overlap between their confined spin wave resonances27 (fig. 3 a, b, c), which are \nnarrow in YIG32,44, and the shifted paramagnetic resonances of the V 2 spins probes (fig. 3a, b). \nNote also that according to my previous theoretical calculation27, thermal fluctuations of Y iG \ndo not contribute to decoherence of V 2 spin probes, due to the reduce d saturation \nmagnetization of Yi G compared to the one of Permalloy previously considered in the context \nof quantum co mputing27. Note also that, as instantaneous diffusion is temperature \nindependent and as Y iG is still ferrimagnetic at room temperature, this hybrid Si C-YiG \nquantum sensor can be used in principle between 4K and 300K. \n \n The ODMR at X band of the ensemble o f V 2 spins probes used for sensitive quantum \nsensing , is based on efficient optical pumping21,30,31,4 5,46 (fig. 4 a,c,d,e ), as well as on the \nefficient collection of V 2 spins probes photoluminescence21,30,31,4 5,46 (fig. 4 a,b), by means of a \nfiber bundle28,29, a small GRIN microlens (fig . 1a,b and fig. 4 a,b ), and the man y total internal \nreflexion19 (TIR) occuring both in the sculpted 4HSiC sample (n=2.6) and in the optical fibers \n(fig 4 a and see also SI) . All components of this ODMR setup can be introduc ed inside \nstandard X band pulsed EPR microwave resonator1,29 allowing PELDOR spectroscopy, like the \nMD5 flexline resonat or47, which accept EPR tubes with external diameter up to 5 mm . \n One can show that the photoluminescence signal Spl, integrated during T by the \nphotodetector, in the ODPLEDOR sequence (fig. 5a ), is given by ( see SI ): Spl = S0.(1-f) , with \nS0 = pex.pcoll.pdet.(T/ԎV2).(N V2/8) and f, a function that depends on the parameters: 2.t1, 2.t2, \nTid,2D, td, C 2D,T, pB(fpump) (see SI for definitions and details). Note that pB(fpump) is equal to 1 \nwhen fpump equal the target spins resonant frequency, and 0, when fpump is far o ff reso nance \nwith the target spin s resonant frequency . In optimal experimental conditions, the Noise N pl \nis dominated by optical shot noise, Npl = (Spl(pB=0))0.5. Thus the \"net signal\" to \"noise\" ratio R \nis given by R=(S pl(pB=1) - Spl(pB=0 )) / Npl . The detailed sensitivity analysis of this quantum \nsensor (see SI) shows, that in optimal exper imental conditions, one could obtain the 200 \nMHz ODPELDOR spectrum shown on fig. 6b (100 points, one point each 2MHz assumed \nhere) in 1.2 s, with a large signal to no ise ratio R=2600. \n The numerically simulated (see SI) spins quantum sensing properties, o btained by \nODPELDOR (fig.5a), are shown on fig. 6. The figure 6a presents the shifted field sweep EPR \nspectrum at 9.7 GHz of V 2 spins probes located at x opt= 150 nm f rom YIG nanostripes (in \ngreen) and of two kinds of target spins S=1 located at x opt-dx=145 nm, that is on the sensor \nsurface (in blue and red, see legend for details), as it could be obtained by direct detected \nEPR, if it would be sensitive enough for Surf ace Paramagnetic Resonance. The edge spin \nwave resonance of Y iG nanostripes having the hig hest resonance field at 9.7 GHz has also \nbeen added to this spectrum (in pink). The shifted EPR line of V 2 at highest field is chosen \nhere for ODPELDOR, which means t hat B 0z is set to this field resonance value, and fs is set to \n9.7 GHz, while f pump is sca nned during ODPELDOR (fig. 5a). The figure 6b shows the resulting \nexpected X band ODPELDOR spectrum versus f pump-fs, scanned over around 200 MHz. The \nfigure 6c indica tes how the normalized ODPELDOR net signal to noise ratio (see SI), given by \nR/R opt= 1-VDeer(td, dx, C 2D,T), depends on 1-VDeer, VDeer being the DEER11 signal, and thus how \nit depends on the relative distance dx between spins probes plane and target spins plane, on SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n9 \n the target spin plane concentration C 2D,T , and on time constant td. Thus clearly, this SiC -YiG \nquantum sensor can determine rapidly the target s pins plane EPR spectrum and its 2D \nconcentration down to 1/(20nm)2, with a sufficiently high net sign al to noise ratio, still \nassuming a V 2 spins probes planar concentration of 1/(32nm)2, and an associated \ninstantaneous diffusion decoherence time in 2D of T ID,2D= 12.5 µs. \n \n \n \n \nfigure 5: a/ X band OD -PELDOR quantum sensing sequence and b/ X band ODMR spin echo decay sequence \nfor characterization of spin coherence time T2 of V 2 spins probes. The spins states -1/2 and +1/2 are prepared \nsimultaneously by optical pumping (laser pulse of 100 µs assumed here). The microwave probe frequency fs, \nand static fie ld B0z, are adjusted to obtain the paramagnetic resonance at this frequency fs with the chos en \noptically pumped EPR transition of V 2 probes spins, either (-3/2 < --> -1/2), or (+1/2 < --> +3/2 ). Both a/ and b/ \ntime resolved ODMR experiments corresponds nearl y to standard PELDOR and Echo Decay experiment s1, but \nthey start after optical pumping and t hey are complemented by a last + /-Pi/2 pulse in order to transform \ntransverse magnetization Mx (tSRT - T - twait), into populations of V 2 spins , which have differen t spin \ndependent photoluminescence and relaxation properties under laser excitation . This allow s the final optical \ndetection of EPR, the so-called spins ensemble ODMR , by means for example, of a gated Photomultiplier \ntube (PMT) . As a first approximation here, and to better understand the hybrid optical -microwave pulses \nsequences , spins states -1/2 and +1/2 are assumed Dark states, while spins states -3/2 and +3/2 are assumed \nBright photo -luminescent states45,46. \n Now I compare the sensitivity of this Si C-YiG fiber bundle based ODMR quantum \nsensor with other setups. Firstly, it must be noted that the same ODPELDOR spectrum as the \none of fig.6b could be obtained also in 1.2 s with a quantum sensor having a single V 2 spin \nprobe, assuming identical experimen tal parameters, but at the price of a reduced net signal \nto noise ratio of only R=2 (see SI) . This new spin ensemble quantum sensor48 is thus 1000 \ntimes more sensitive than a similar single spin-based quantum sensor . It is thus \nadvantageous in terms of bot h measurement time and sensitivity. Of course, ensemble \nmeasurements imply an additional statistical averaging of target spins plane properties, \nwhich is not present in single spin probe measurements, but such stati stics is often a \nrelevant information, li ke in biology10,11 and in realistic solid state devices5,6. Also, this spins \nensemble quantum sensor has a nanoscale spatial resolution in 1D due to the static gradient SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n10 \n used, but no scanning and thus no 3D imaging capabilities, contrary to some scanning s ingle \nspin sensors. Thus, those two kinds of quantum sensors are quite complementary research\n \n \n \n \nfigure 6 : Spins sensing properties of the quantum sensor. a/ The theoretical shifted field sweep EPR \nspectrum at fs= 9.7 GHz of spins S=3/2 of V2 spins probes (giso=2.0028, uniaxial magnetic anisotropy along c \naxis Dc= +35 MHz, C3V) located at xopt =150 nm (in green) and of two different ensembles of anisotropic \nmolecular nanomagnets with target spins S=1 (S1=1, g iso,1=2.0028,D c,1=20MHz, C3V, and S 2=1, \ngiso,2=2.0028,D c,2=180MHz, C3V) located at xopt -dx=145 nm here , thus on the sensor surface (assuming 3nm of \nSiO2 capping layer ). V2 spins and nanomagnets are assumed here to have their C3V c axis orthogonal to B0z. \nEPR simulation in a/ performed with Easyspin software. b/ ODPELDOR spectrum versus fpump -fs, \nassociated to spectrum a/, assuming B0 z is set equal to the highest EPR resonance of V2 on a/. c/ \nDependence of ODPELDOR normalized net signal to noise ratio (see SI) , R/Roptimum=1 -V, on the relati ve \ndistance dx between spins probes plane and target spins plane (dx= 5 nm (1/) , 10 nm (2/), or 15 nm (3/), \nfrom top to bottom), as well as on the target spin plane concentration (C 2D,Target =1/(d2), with d in nm). Dark \ntrace is for td= 5µs, red trace is for td=3µs, blue trace is for td= 1µs (see fig.5 for definition of td) . \n \ntools. However, this new quantum sensor based on spins probes ensemble , has not only the \nadvantage of being much more sensitive and fast er, but also to be compatible with standard \nX ba nd pulsed EPR spectrometers, such that it should be widely used in a soon future by \nmany researchers , already using standard EPR and who want to improve its performances . \nThe detailed comparison (see SI) of the sensitivity of standard X band direct inducti vely \ndetected EPR (DD -EPR) with the one of this quantum sensor upgrade d EPR (noted here \nQUSU -EPR) , shows that t he sensitivity gain on target spins number is at least of five orders of a/ b/ \nc/ dx = 5 nm \ndx = 10 nm \ndx = 15 nm SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n11 \n magnitude . It thus clearly allows to perform surface EPR using this quan tum sensor \ncombined with a commercial X band pulsed EPR spectromete r and an optical fiber bundle . \nThis quantum sensor upgraded EPR spectroscopy should thus open new research directions , \nlike in the field s of surface chemistry and photovoltaic , in structur al biology and \nnanomedicine , as well as in optoelectronics , spintronics and quantum information \nprocessing . \n As a last remark, one can note that th is theoretical work, as well as the e xperimental \ndevelopment29 of this hybrid SiC -YiG quantum senso r, can be viewed as intermediate steps \ntowards the future development of an intermediate scale hybrid YiG -SiC spins qubits -based \nquantum computer, following the guidelines I previously published27. This not scalable \nquantum computer design could however still be very useful for efficient quantum \nsimulations of new potential molecular drugs49. The advantage s of th is YiG-SiC quantum \ncomputer proposal compared to my previous Permalloy -SiC quantum computer proposal are, \nthe n arrow spin wave resonances of Yi G, the coherent microwave manipulations of SiC spin \nqubits at the standard X band, optical initialization and optical detection of EPR of spins \nqubits ensemble , and probably a high operation temperature for SiC spins qubits, some of \nthem remain ing quantum coherent over hundred microseconds, even at room \ntemperature21,30. \n \n \nREFERENCES : \n1/ Principles of pulse electron paramagnetic resonance (2001) . A. Schweiger and G. Jeschke, \nbook from Oxford University Press, Oxford UK; New York (2001). \n \n2/ Time Resolved EPR study of electron -hole dissociations influenced by alkyl side chains at \nthe photovoltaic polyalkylthiophene:PC BM interface . T. Miura et al., J. Phys. Chem. Lett. \n(2014), 5, p 30. \n \n3/ EPR investigation of photoinduced radical pair formation and decay t o a triplet state in \na carotene -porphyrin -fullerene triad . D. Carbonera et al., J. Am. Chem. Soc. (1998), 120, p \n4398. \n \n4/ Paramagnetic intrinsic defects in polycristalline large -area 2D MoS2 films grown on SiO2 \nby Mo sulfurization . A. Stesmans et al., Na noscale Res Lett. (2017), 12, p 283. \n \n5/ Magnetic memory from site isolated Dy (III) on silica materials . F. Allouche et al., ACS \nCent. Sci. (2017), 3, p 244. \n \n6/ A porphyrin spin qubit and its 2D framework nanosheets . A. Urtizberea et al., Adv. Funct. \nMater. (2018), 28, p 1801695. \n \n7/ Probing magnetic excitations and correlations in single and coupled spin s ystems with \nscanning tunneling spectroscopy. M. ternes, Progress in Surface Science (2017), 92, p 83. \n SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n12 \n 8/ EPR characterization and reactivity of su rface -localized inorganic radicals and radicals’ \nions. M. Chiesa et al., Chem. Rev. (2010), 110, p 1320. \n \n9/ Electron paramagnetic resonance spectroscopy of catalytic surfaces. M. Chiesa et al., \nChem. Rev. (2010), 110, p 1320. \n \n10/ Identifying conformation al changes with site -directed spin labeling. W.L. Hubb el et al., \nNature Structural Biology (2000), 7, p 735. \n \n11/ Direct conversion of EPR dipolar time evolution data to distance distributions. G. \nJeschke et al., Journal of Magnetic Resonance (2002), 155, p.72. \n \n12/ Tethered and polymer supported bilayer lipi d membranes: structure and function. J. \nAndersson et al., Membranes (2016), 6, 30, p 1 -14. \n \n13/ Polymer supported lipid bilayers. I. P. McCabe et al., Open Journal of Biophysics (2013), \n3, p 59. \n \n 14/ A bifunctional spin label for ligand recognition on surfaces . M. A. Hollas et al., Angew. \nChem. Int. Ed. (2017), 56, p 9449. \n \n15/ Electron spin resonance probe based on a 100 µm planar microcoil. G. Boero et al., \nReview of Scientific Instruments (2003), 74, p 4794. \n \n16/ Quantum sensing. C.L. Degen et al., Rev. Mod. Phys. (2017), 89, p 35002. \n \n \n17/ Nanoscale sensing using point defects in single crystal diamond: recent progress on \nnitrogen vacancy center -based sensors. E. Bernardi et al., Crystals (2017 ), 7, p 124. \n \n18/ Sensing external spins with nitrogen -vacancy diamond. B. Grotz et al., New Journal of \nPhysics (2011), 13, p 55004. \n \n19/ Broadband magnetometry and temperature sensing with a light -trapping diamond \nwaveguide. H. Clevenson et al., Nature Physics (2015), 11, p 393. \n \n20/ Magnetic field and temperature sensing with atomic scale spin defects in silicon \ncarbide. H. Kraus et al., Scientific Reports (2014), 4, article number: 5303. \n \n21/ Coherent control of single spins in silicon carbide at room temperature. M. Widmann \net al., Nature Materials (2015), 14, p 164. \n \n22/ Single shot readout of an electron spin in silicon. A. Morello et al., Nature (2010), 467, p \n687. \n \n23/ Single spin detection by magnetic resonance force microscopy. D. Rugar et al., Nature \n(2004), 4 30, p 329. SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n13 \n \n24/ Subnanometer resolution in three -dimensional magnetic resonance imaging of \nindividual dark spins. M. S. Grinolds et al., Nature Nanotechnology (2014), 9, p 279. \n \n25/ Nanoscale spin manipulation with pulsed magnetic gradient fields from a ha rd disc \ndrive writer. S. Bodenstedt et al., Nano Lett. (2018), 18, p 5389. \n \n26/ Reaching the quantum limit of sensitivity in electron spin resonance. A. Bienfait et al., \nNature Nanotechnology (2016), 11, p 253. \n \n27/ Hybrid paramagnetic -ferromagnetic qua ntum computer design based on electron spin \narrays and a ferromagnetic nanostripe. J. Tribollet, Eur. Phys. J. B. (2014), 87: 183. \n \n28/ Implementation of optically detected magnetic resonance spectroscopy in a \ncommercial W -band cylindrica l cavity. G. Jans sen et al., Rev. Sci. Instrum. (2001), 72, p 4295. \n \n29/ First experimental development s towards quantum sensing with a standard X band \nEPR spectrometer and a hybrid paramagnetic -ferrimagnetic quantum sensor device . J. \nTribollet, to appear in 2019 . \n \n30/ Point defects in SiC as a promissing basis for single -defect, single -photon spectroscopy \nwith room temperature controllable quantum states. P.G. Baranov et al. , Materials Science \nForum (2013), 740 -742, p 425. \n \n31/ Optical spectroscopy on silicon vacancy defects in silicon carbide. Franzsiska Fuchs, PhD \nthesis (2017), Wurzburg University. \n \n32/ Epitaxial patterning of nanometer -thick Y 3Fe5O12 films with low magnetic damping . S. \nLi et al., Nanoscale (2016), 8 (issue 1), p 388. \n \n33/ Three p ulse ELDOR theory revisited. K.M. Salikhov et al., Appl. Magn. Reson. (2014), 45, \np 573. \n \n34/ Growth rates of dry thermal oxidation of 4H -silicon carbide. V. Simonka et al., Journal \nof Applied Physics (2016), 120, p 135705. \n \n35/ Influence of PECVD of SiO2 passivation layers on 4H -SiC Schottky rectifiers. S. Nigam et \nal., Electrochem. Solid state Lett. (2003), 6, G4 -G6. \n \n 36/ Low temperature silicon dioxide by thermal atomic layer deposition: investigation of \nmaterial properties. D. Hiller et al., Jo urnal of Applied Physics (2010), 107, p 64314. \n \n37/ The current understanding on the diamond mach ining of silicon carbide. S. Goel, J. \nPhys. D: Appl. Phys. (2014), 47, p 243001. \n \n38/ Brittle -ductile transition during diamond turning of single crystal sil icon carbide. S. \nGoel et al., International Journal of Machine Tools and Manufacture (2013), 65, p 15. SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n14 \n \n39/ Review of laser microscale processing of silicon carbide. B. Pecholt et al., Journal of \nLaser Applications (2011), 23, p 12008. \n \n40/ Solid immer sion lenses for enhancing the optical resolution of thermal and \nelectroluminescence mapping of Ga N-on-SiC transistors. J.W. Pomeroy et al., Journal of \nApplied Physics (2015), 118, p 144501. \n \n41/ Machining processes of silicon carbide: a review. P. Pawa r et al., Rev. Adv. Mater. Sci. \n(2017), 51, p 62. \n \n42/ The effectiveness of HCl and HF cleaning of Si 0.85Ge 0.15 surface. Y. Sun et al., Journal of \nVacuum Science and Technology A (2008), 26, p 1248. \n \n43/ Chemical and electronic passivation of 4H -SiC s urface by hydrogen -nitrogen mixed \nplasma. B. Liu et al., Applied Physics Letters (2014), 104, p 202101. \n \n44/ Patterned growth of crystalline Y 3Fe5O12 nanostructures with engineered magnetic \nshape anisotropy. N. Zhu et al., Applied Physics Letters (2017), 110, p 252401. \n \n45/ Spin and optical properties of silicon vacancies in silicon carbide - a Review. S.A. \nTarasenko et al., Phys. Status Solidi B (2018), 255, p 1700258. \n \n46/ Highly efficient optical pumping of spin defects in silicon carbide for stimu lated \nmicrowave emission. M. Fischer et al., Phys. Rev. Applied (2018), 9, p 54006. \n \n47/ Exploiting the symmetry of the resonator mode to enhance PELDOR sensitivity. E. \nSalvado ri et al., Appl. Magn. Reson. (2015), 46, p 359. \n \n48/ Subpicotesla diamond m agnetometry . T. Wolf et al., Phys . Rev. X (2015), 5, p 41001 . \n \n49/ Hardware -efficient variational quantum eigensolver for small molecules and quantum \nmagnets . A. Kandala et al., Nature (201 7), 549, p 242. \n \n \n \n \n \n \n \n \n \n \n \n \n \n SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n15 \n \nSUPPLEMENT ARY INFORMATIONS \n The number of V 2 spins probes having the same resonant magnetic field placed at \nxopt=150 nm above a given YIG nanostripe (500 nm*100 nm*100 µm) , and within an \neffective width of W eff =60 nm around z=0 (fig. 1b), is estimated to be at least equal to 3000 , \ntaking C2D, V2=1/(32nm)2. Assuming the YIG nanostripes are laterally separated by 5 µm, one \nhas an ensemble of around 500 identical YIG nanostripes over the useful squar e surface of \nthe sensor estimated to be Su= 500 µm*500 µm, taking into account the spac er width. Thus, \none has around 1.5 106 identical V 2 spins probes on the sensor surface which have the same \nresonant magnetic field at fixed microwave frequency, that means under the strong gradient \nproduced by the nanostripes . Note also that the surface S* associated to target spins having \nthe same resonant magnetic field is approximately given by S*= (60 nm*100 µm) * 500 = 0.3 \n10-4 cm2. \n The ODMR at X band of the ensemble of V 2 spins probes used for quantum sensing, \nis based on efficient optical pumping21,30,31,4 5,46 (fig. 4a and 4b), as well as, on efficient \nphotoluminescence collection21,30,31,4 5,46 (fig. 4b and 4c) of the V2 spins probes in the 4H -SIC \nsculpted sample , by means of a fiber bundle containing seven fibers (fig. 1a and 4c) and of a \nsmall GRIN (gradient index) microlens (fig. 1a) , as described in details below . \n The central fiber sends exciting l ight, for example at 780 nm or at 805 nm , along an \noptical axe common to the GRIN microl ens and to the cone shape dip of the 4H -SiC substrate \n(45° is the half angle of the cone) . The GRIN lens, 0.25 pitch plan -plan, allows collimation of \nthe light emerging from the central fiber. Then , by means of a first refraction at the \nair(Helium)/SiC interface and then by means of the many total internal refle xions (TIR) \noccuring inside the SiC substrate (n=2.6) (fig.4b), the geometric configuration of the 4H -SIC \nsculpted sample allow s many optical rays to excite the V2 spins located on the useful sensor \nsurface at the top of the truncated cone shape 4H -SIC isl and. This TIR strategy is inspired \nfrom a previous one adopted for sensors fabrica ted with NV centers in diamond19, but with \nhere a different sample design , difficult to implement w ith diamond technology , because \ndiamond is harder than Si C and diamond has not a single defect axis common to all spins \nprobes , like the V 2 center in 4H -SiC (the c axis of 4H -SiC is the only axis for V 2). This new \ndesign allows both optimization of optical excitation and of photoluminescence collection in \nthe restricted volume of an EPR tube of less than 5 mm in external diameter , as required for \nusing standard X band pulsed EPR resonator and spectrometer . Note that t he oblique \nincidence of the exciting light at the senso r surface (incidence angle of around 29° on sensor \nsurface with this design ), after the first refraction , provides a non zero optical electric field \ncomponent parallel to the c axis and thus allows the efficient V2 electric dipole \nexcitation21,30,31,4 5,46.Here, I also assume that the optical excitation power at 780 nm or at \n805 nm, at the output of the central fiber , is sufficiently high to allow the full saturation of \nthe optical transition , during OD and OP sequences. It was previously shown46 that the \noptical power necessary to obtain saturation values of optical V2 spins pumping is inversely \nproportional to their longitudinal spin -lattice relaxation time T1(T), at the temperature T . As \nT1(T) increases up to several tens of second at 5K46, then less than 1 mW at 780 nm spread \nover a 1mm*1mm square sample is suffic ient at 5K for obtaining such optical pumping \nsaturation. Of course, at room temperature, much more power is required, typically more SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n16 \n than 100 mw46. Thus, from the above considerations, I consider here an optical excitation \nefficiency for V 2 spins located on the useful sensor surface of pex=1. \n The photoluminescence of excited negatively charged silicon vacancies V2 in 4H -SiC is \nemitted at 915 nm at low temperature (zero phonon line21,30,31,4 5,46 at 5K ). The excited V2 \nelectric dipoles, aligned along the c axis of 4H -SiC, emit their photoluminescence \npreferentially in the plane perpendicular to the c axis, which means here, at the horizon tal. \nThe edges at 45° of the truncated 4H-SiC cone shape island thus allow , by one reflexion , to \ndirect most of the V 2 spins probes photoluminescence vertically, towards the six lateral \nfibers, in which it is efficiently propagated by TIR, till the infrared photoluminescence \ndetector . In order to evaluate more quantitatively the collection efficiency of this fiber \nbundle based optical setup , defined as the ratio of the collected optical power over the \nemitted optical power by V 2 dipoles , one can use the classical model of a linear dipole \naligned along the c axis for the V 2 dipole and its emission profile determined using the \nPointing vector expression . Using geometric optics (see fig. 4a) and considering the various \ndimensions of the setup and the relevant refractive index of the materials of the setup \n(nSiC=2.6, n air=1, an d for fibers n glass=1.5 and NA=0.44), one can determin e that almost all rays \nemitted by the V2 dipole s of the useful sensor surface around the horizontal direction at +/- \n10° (= π/18 radians ), can, after relevant reflexion s (TIR) on the 4H -SiC sample surfaces, enter \ninto the lateral optical fibers with a suff iciently small angle such that TIR allows the \npropagation of those rays without loss till the end of the fibers, towards the photodetector. \nConsidering the Pointing vector expression associated to the V 2 dipole in spherical \ncoordinates , one can approximate the collection efficiency pcoll by the ratio between the \nemitted PL and the collected PL, assuming that the PL is collected by the fiber bundle setup \nwhen Ө is comprised between (π/2 - π/18) and (π/2 + π/18). \npcoll is thus given by the formula : \npcoll = ( ꭍ sin3(Ө) dӨ, π/2 - π/18, π/2 + π/18) /( ꭍ sin3(Ө) dӨ, 0, π) \nand thus one finds here pcoll = 0.25 . \n The photodetector can be a near infrared sensitive photomultiplier tube with low \ndark counts, or another low noise in frared photodetect ion setup . Here I assume a standard \ninfrared photodetector efficiency pdet=0.01 . Note also that the bundle is divided, outside the \nstandard EPR cryostat (like the CF935 fro m OXFORD for Bruker EPR resonators ), into a single \nfiber, the central one used for optical excitation, and in to a bundle of the six lateral fibers \ncollecting the photoluminescence, further directed towards the photodetector. \n \n Now let us evaluate the net s ignal to noise ratio R of this ODPELDOR experiment and \nthen the sensitivity of this YiG-SiC fiber bundle -based quantum sensor. Starting from the \nDEER experiment expression1,11,33, directly related to the ODPELDOR experiment shown on \nfig. 5a, and considerin g the optical detection of V 2 spins probes and thus the last additional \nπ/2 microwave pulse, one obtains a photoluminescence signal expression Spl, integrated \nduring T by the photodetector , given by: Spl = S0.(1-f) , with S0 = pex.pcoll.pdet.(T/ԎV2).(N V2/8) \nand f, a function that depends on the parameters: 2.t1, 2.t2, Tid,2D, td, C 2D,T, pB. The function f \nis given by f = exp( -((2.t1 + 2.t2)/ Tid,2D)2/3).( (1-pB) + p B.Vdeer(td, dx, C2D,Target ) ), where Vdeer is \nthe standard DEER signal. It can be numerically computed using the linear approximation \nand shell factorization model11. This model was previously introduced for calculating the \nstandard DEER time domain signal in the case of a three -dimensional distributions of spins. \nHere, this model ha s been adapted to take into account the bidimensional random \ndistribution of the target spins in their well-defined plane, parallel to the SiC substrate SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n17 \n surface . The function p B depends on the frequency detuning between the microwave pump \nfrequency and the target spin resonance frequency at f ixed B 0z. Thus , pB=1 on resonance , \nand p B=0 far off resonance for an appropri ate duration π microwave pulse . The function p B is \ngiven by the us ual probability transition formula describ ing the Rabi oscillation between the \ntwo appropriate spins quantum states under application of a microwave pulse . \n \nIn optimal experimental conditions, the Noise N pl is dominated by the optical shot noise, \ngiven by Npl = (Spl(pB=0))0.5. Thus the \"net signal\" to \"noise\" ratio R is given by the formula \nR=(S pl(pB=1) - Spl(pB=0 )) / Npl . Thus, introducing Ropt, the optimal signal to noise ratio, R is \ngiven, in the general case, by: R=R opt*(1- VDeer(td, dx, C2D,T)), with Ropt given by the formula: \nRopt = (S0)0.5. exp( -((2.t1 + 2.t2)/ Tid,2D)2/3) / (1- exp( -((2.t1 + 2.t2)/ Tid,2D)2/3) )0.5 . Note here that \nR/R opt = 1-VDeer, that is why 1-VDeer is plotted on fig.6. Note also that Ropt depends o n the spin \ncoherence time T id,2D of V 2 spins probes and on the parameters t 1 and t 2 used in the \nODPELDOR exp eriment . R of course depends on the concentration of target spins C2D,T. \n \nNow, assuming a sensor operating with t 1=0.5 µs, t 2=5.75 µs and 2 t1 + 2 t2=Tid,2D =12.5µs, \nand assuming C 2D,T=1/(10nm)2 , ie suf ficiently large such that when td=5 µs, V Deer(td,C 2D,T)=0 \nie 1 - VDeer(td, dx, C2D,T)=1 (fig.6c top black curve), then one finds the simple following \nexpression for the best expected signal to noise ratio: R= (1/e) .(S0)0.5. With pex=1, pcoll=0.25 , \npdet=0.01 , a V 2 radiative recombina tion time ԎV2=6ns , and around N V2=1.5.106 V2 spins \nprobes hav ing the s ame resonant magnetic field in the sensor (see above ), and choosing a \nphotoluminescence integration time per ODPELDOR sequence T =6 µs for example, one finds \napproximately R=260, for a single \"one shot one point\" ODPELDOR experiment . The opti cal \nre-pumping time of V 2 spins is numerically evaluated to T OPump = 20 µs at 5K assuming k ISC (5K) \n=1/ (1700 ns) (see fig. 4e), but the laser pulse is assumed to last 100 µs here for safety, \nconsider ing the unmeasured value of k ISC at 5K (only known is k ISC(300K) =1/17ns at 300K31, \nsee fig. 4 d). The ODPELDOR microwave pulse s sequence after optical initialization of V 2 spins \nlast around 20 µs, such that the shot repetition time of full ODPELDOR is thus taken here to \nbe T exp=120µs. Both T tot,exp =N shot*Texp and T tot=N shot*T, increase proportionally to N shot, but R \nonly increase proportionally to (Nshot)0.5. Assuming N shot=100 per point and a 100 points \nODPELDOR spectrum as a function of f pump (1 point each 2 MHz, 200 MHz scanned), one \ncould obtain such a 200 MHz spectrum ( see fig. 6b) in 1.2 s with a signal to noise ratio \nR=2600, assuming negligible hardware and software delays for chang ing t he pumping \nmicrowave frequency (o therwise, the experimental time is determined by those delays ). \n \nIt is here also releva nt to compare standard X band direct inductively detected EPR (DD -EPR) \nsensitivity , with the one of this quantum sensor upgraded EPR method . Assuming a 2D target \nspins concentration C 2D,T=1/(10nm )2, and estimating the surface S* of target spins seen by V 2 \nspin probes and having the same resonant magnetic field to around S*=0.3 10-4 cm2 (see \nabove), one finds that around 3. 107 target spins are sensed by the V 2 spins probes in 12 ms \nper point (one point each 2 MHz, 100 shots per point), with R=2600 . As in DD -EPR15 at X \nband one can typically measure 1011 spins at 300K or 109 spins at 3K in 1 s with R DDEPR =3 \n(assuming a 1G linewidth for spins and a 1 Hz detection bandwidth) , one finds that in order \nto obtain R=2600 in 12 ms, one would need 1015 targe t spins at 300K or 1013 target s pins at \n3K with DD -EPR. Th e sensitivity gain on target spins number with this quantum sensor is thus \ncomprised between 5 and 8 orders of magnitude. Note that the probe spin s sensitivit y is SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n18 \n considerably higher than the target spins sensitivity, and it could in principle reach the single \nV2 probe spin sensitivity with long enough accumulation times . \n \n Below , I also provide some results (fig. aux. 1) of the SRIM simulation s of 22 keV As+ \nions implantation in the trilayer Zn0 (20 nm )/SiO 2(5 nm )/4H-SiC (type n <5.1015 cm-3), \nallowing, after etching of ZnO and SiO 2, to produce shallow silicon vacancies around 2 nm \nbelow the surface of 4H -SiC with an average 2D concentration of C 2D,V2= 1/(32nm)2. SRIM \nsimulations also confirms the advantage of using a trilayer and not just a Zn0/4H -SiC bilayer , \nbecause one can see on fig. aux. 2, that some Zn atoms can reach the SiO 2 layer due to the \nimplantation process and related collisions (SiO 2 is furthe r removed by etching ), but not the \nSiC substrate, thus avoiding pollution with the Zn element of the SiC substrate surface , used \nfor quantum sensing with the silicon vacancies also produced by this implantation process . \n180 200 220 240 260 280 300 320 340 360 380 400 4200,04,0x1048,0x1041,2x1051,6x105220 240 260 280 300 320 340 360 380 400 420-0,010,000,010,020,030,040,050,06As+ ions @ 22 kev \n(cm-1)\ndepth (A°) As22kevTrilVSi (per ion As+ \n@ 22 kev and per A°)\ndepth (A°) VSi\n \nfig.A ux.1: SRIM simulation of As+ ions implantation at 22 keV in this trilayer system (100 000 shots) . \n SiC-YiG X band quantum sensor . (J. Tribollet - 01/2019 ) \n19 \n \n \n \n \nfig.Aux. 2: SRIM simulation of As+ ions implantation at 22 keV in this trilayer system (here 6000 shots). \n \n Below, I also provide (fig. aux. 3) a zoom of fig. 4a used for the di scussion of \ngeometric optics in the fiber bundle based ODMR setup adapted to the SiC -YiG quantum \nsensor described here. \n \nfig.Aux. 3: Zoom of the setup for geometric optics analysis. \n \n " }, { "title": "1404.2311v2.Modulation_of_pure_spin_currents_with_a_ferromagnetic_insulator.pdf", "content": "Modulation of pure spin currents with a ferromagnetic insulator\nEstitxu Villamor,1Miren Isasa,1Sa¨ul V ´elez,1Amilcar Bedoya-Pinto,1Paolo\nVavassori,1, 2Luis E. Hueso,1, 2F. Sebasti ´an Bergeret,3, 4and F `elix Casanova1, 2\n1CIC nanoGUNE, 20018 Donostia-San Sebastian, Basque Country, Spain\n2IKERBASQUE, Basque Foundation of Science, 48011 Bilbao, Basque Country, Spain\n3Centro de F ´ısica de Materiales (CFM-MPC) Centro Mixto CSIC-UPV/EHU,\n20018 Donostia-San Sebastian, Basque Country, Spain\n4Donostia International Physics Center (DIPC), 20018 Donostia-San Sebastian, Basque Country, Spain\nWe propose and demonstrate spin manipulation by magnetically controlled modulation of pure spin currents\nin cobalt/copper lateral spin valves, fabricated on top of the magnetic insulator Y 3Fe5O12(YIG). The direction\nof the YIG magnetization can be controlled by a small magnetic field. We observe a clear modulation of the\nnon-local resistance as a function of the orientation of the YIG magnetization with respect to the polarization of\nthe spin current. Such a modulation can only be explained by assuming a finite spin-mixing conductance at the\nCu/YIG interface, as it follows from the solution of the spin-diffusion equation. These results open a new path\ntowards the development of spin logics.\nSpintronics is a rapidly growing field that aims at using and\nmanipulating not only the charge, but also the spin of the elec-\ntron, which could lead to faster data processing speed, non-\nvolatility and lower electrical power consumption as com-\npared to conventional electronics [1]. Sophisticated applica-\ntions such as hard-disk read heads and magnetic random ac-\ncess memory (MRAM) have been introduced in the last two\ndecades.\nFurther progress could be achieved with pure spin currents,\nwhich are an essential ingredient in an envisioned spin-only\ncircuit that would integrate logics and memory [2]. The most\nbasic unit in such a concept is the spin analog to the transistor,\nin which the manipulation of pure spin currents is crucial. The\noriginal proposal by Datta and Das [3], which is also applica-\nble to pure spin currents [4], suggested a spin manipulation\nthat would arise from the spin precession due to the spin-orbit\ninteraction modulated by an electric field (Rashba coupling).\nHowever, a fundamental limitation appears here, because the\nbest materials for spin transport are those showing the lowest\nspin-orbit interaction and, therefore, there has been no success\nin electrically manipulating the spins and propagating them at\nthe same environment, with few exceptions [4].\nAlternative ways to control pure spin currents are\nthus desirable. One could take advantage of the spin-\nmixing conductance concept [5, 6] at nonmagnetic metal\n(NM)/ferromagnetic insulator (FMI) interfaces, which gov-\nerns the interaction between the spin currents present at the\nNM and the magnetization of the FMI. This concept is at\nthe basis of new spin-dependent phenomena, including spin\npumping [6–12], spin Seebeck effect [6, 13], and spin Hall\nmagnetoresistance (SMR) [6, 14–18]. In these cases, a NM\nwith large spin-orbit coupling is required to convert the in-\nvolved spin currents into charge currents via the inverse Spin\nHall effect [19].\nIn this Rapid Communication, we demonstrate an alterna-\ntive way of modulating pure spin currents based on magnetic,\ninstead of electric, gating. To that end, we use lateral spin\nvalves (LSVs). These devices allow an electrical injection\nand detection of pure spin currents in a NM channel by us-ing ferromagnetic (FM) electrodes in a nonlocal configuration\n[20–29]. The LSVs have been fabricated on top of a FMI, in\norder to enable the magnetic gating of the pure spin currents.\nThe basic idea is depicted in Fig. 1: when the spin polariza-\ntion ( s) has the same direction as the magnetization ( M) of the\nFMI, the spin current reaching the detector will not vary with\nrespect to the case where no FMI is used [Fig. 1(a)]. How-\never, when sandMare noncollinear, part of the spin current\nwill be absorbed by Mvia spin-transfer torque [30–32], lead-\ning to maximum spin absorption for perpemdicular Mands\n[Fig. 1(b)]. By using LSVs, we are able to extract the spin-\nmixing conductance of NM/FMI interfaces in the absence of\ncharge currents, which otherwise could lead to spurious ef-\nfects, as suggested by some authors [33, 34]. Furthermore,\nthe use of NM metals with low atomic number, employed in\nLSVs, rules out spin-orbit interaction effects that might exist\nfor other systems, such as Pt/YIG [35].\nWe chose Y 3Fe5O12(YIG) [36] as a magnetic gate be-\ncause it is ferromagnetically soft and has a negligible mag-\nnetic anisotropy. Mas a function of the applied in-plane mag-\nnetic field ( H) measured by a vibrating sample magnetometer\n(VSM) saturates at \u0018100 Oe [Fig. 2(a)], allowing control\nofMabove this field. Cobalt (Co)/copper (Cu) LSVs were\nfabricated on top of YIG by two-step electron-beam lithogra-\nphy, ultrahigh-vacuum evaporation, and a lift-off process [Fig.\n2(b)] [37]. Ar-ion milling was performed prior to the Cu de-\nposition in order to remove resist leftovers [37]. To overcome\nthe low spin injection of Co when using transparent interfaces\n[21–23], an oxide layer was created at the Co/Cu interface by\nletting Co oxidize after milling and before Cu deposition. The\npresence of an interface resistance, estimated to be RI\u00155W,\nis known to enhance the spin injection efficiency [24, 25]. The\nLSVs were bridged by the same Cu channel, with thickness\nt\u0018100 nm, width w\u0018200 nm, and different edge-to-edge\ndistances ( L) between the FM electrodes [37].\nAll measurements were performed using a ”dc reversal”\ntechnique [27] in a liquid-He cryostat with an applied mag-\nnetic field Hat a temperature of 150 K. The sample can be\nrotated in plane in order to change the direction of H, whicharXiv:1404.2311v2 [cond-mat.mes-hall] 18 Feb 20152\n!\"#$!\"$%\"$\"$&'$!\"$($(b) FMI\t\r x y z NM\t\r I V- V+ \n!\"#$!\"$%\"$\n\"$&'$!\"$($(a) FMI\t\r x y z NM\t\r V- V+ I FM\t\r FM\t\r FM\t\r FM\t\r \nFIG. 1. (Color online) Scheme of the device used to modulate a\npure spin current with magnetic gating. It consists of a ferromag-\nnetic (FM)/ nonmagnetic (NM) lateral spin valve on top of a ferro-\nmagnetic insulator (FMI). The nonlocal measurement configuration\nis shown. The x,yandzaxes are indicated as used in the text. (a)\nWhen the magnetization of the FMI ( M) and the polarization ( s) of\nthe injected pure spin current ( js) are parallel, there will be no spin\nabsorption. (b) When Mandsare perpendicular, the spin absorption\nwill be maximum.\nis given by the angle adefined in Fig. 2(b). The nonlocal volt-\nageVNLmeasured at the detector, normalized to the injected\ncurrent I, is defined as the nonlocal resistance RNL=VNL=I\n[Fig. 2(b) shows a measurement scheme]. First, in order to\ncheck the standard performance of the LSV , the direction of H\nwas fixed parallel to the FM electrodes ( a=0\u000e) and its value\nwas swept from positive to negative, and vice versa, while\nRNLwas measured. This is plotted in Fig. 2(c), where RNL\nchanges from positive to negative when the relative magneti-\nzation of the FM electrodes changes from parallel (P) to an-\ntiparallel (AP) by sweeping H. This measurement is an unam-\nbiguous demonstration that a pure spin current is transported\nalong the Cu channel [20–29]. It is worth noting that the rel-\native magnetization of the Co electrodes changes at H\u0015400\nOe, far above the saturation field of YIG ( \u0018100 Oe). This\ndetail is important for the performance of the next measure-\nment, which consists in measuring RNLwhile fixing the value\nofHand sweeping a. As shown in Fig. 2(d), this was done\nfor both the P and AP configurations of the Co electrodes,\nwhich can be chosen with the proper magnetic field history.\nIn this case, Hwas fixed to 250 Oe [see the dots in Fig. 2(c)],\nwhich is large enough to control Mof YIG but not to rotate the\nmagnetization of the Co electrodes, as confirmed by magneto-\noptic Kerr effect (MOKE) microscopy [37, 38]. As intended,\nFig. 2(d) shows a clear modulation of the measured RNL(i.e.,\nFIG. 2. (Color online) (a) Magnetization of YIG ( M) as a function of\nthe applied in-plane magnetic field Hmeasured at 150 K. (b) Colored\nscanning electron microscopy (SEM) image of a LSV . The nonlocal\nmeasurement configuration, materials, direction of Hand its angle a\nwith respect to the FM electrodes are shown. (c) Nonlocal resistance\n(RNL) measured at 150 K as a function of Hwitha=0\u000efor a LSV\nwith a separation distance between Co electrodes of L=1:6mm. The\nsolid (dashed) line indicates the decreasing (increasing) sweep of H.\nA constant background of 0 :14 mWis subtracted from the data. Blue\nand red dots correspond to the value of RNLat the parallel (P) and\nantiparallel (AP) configurations of the Co electrodes, respectively, at\nH=250 Oe. (d) RNLas a function of a, measured for both the P and\nAP configurations, at 150 K with H=250 Oe for the same LSV .\na modulation of the spin current) when Mof YIG is rotated\nin plane, clearly demonstrating a direct magnetic gating to a\npure spin current. The reflection symmetry between the P and\nAP modulations again rules out the possibility of a relative\ntilting between the magnetization of Co electrodes [39]. In\naddition, the measurements were repeated in a control sam-\nple, fabricated on a SiO2 substrate, in order to exclude any\nother possible artifacts [37].\nThe total change in RNL, caused by the spin absorption at\nthe Cu/YIG interface, is defined as the nonlocal modulation\ndRNL=RNL(a=0\u000e)\u0000RNL(a=90\u000e)(tagged in Fig. 3). This\nfigure contains the same data from Fig. 2(d), although, for the\nsake of clarity, P and AP configurations are plotted separately.\nIn this case, for an Lof 1:6mm,dRNLhas a magnitude of \u0018\n0:025 m W. We can define the factor b=dRNL=RNL(a=0\u000e)\nas an analog of a magnetoresistance, which gives a measure\nof the efficiency of the magnetic gating. Here, b=8:33% is\nobtained for the LSV with L=1:6mm, whereas b=2:96%\nforL=570 nm, showing that longer channels provide more\nefficient modulations.\nIn order to quantify the observed modulation of RNL, we3\nFIG. 3. (Color online) Nonlocal resistance (black solid squares) as a\nfunction of the angle abetween the FM electrodes and the applied\nmagnetic field H, measured for the parallel (a) and antiparallel (b)\nconfiguration, at 150 K and H=250 Oe for a LSV with a separation\ndistance of L=1:6mm. The red solid line corresponds to the fit of\nthe data to Eq. 2. The blue dashed line corresponds to Eq. 2 in the\nabsence of the spin-mixing conductance of the FMI/NM interface.\nThe nonlocal modulation dRNLis tagged.\nsolve the spin-diffusion equation [20, 21, 24] in the NM chan-\nnel,\nÑ2~ms=~ms\nl2+1\nl2m~ms\u0002ˆn; (1)\nwhere ~msis the spin accumulation at the NM metal and the\nvector refers to the spin-polarization direction. lis the spin-\ndiffusion length of the NM and lm=q\nD¯h\n2mBjBjis the magnetic\nlength determined by the amplitude of the magnetic field Bˆn\n( ˆnis the unit vector giving its direction). The last term in Eq.\n1 describes the well-known spin precession due to the applied\nfield [40, 41]. Bis proportional to Hand, for Cu, we can\napproximate B\u0018m0H.Dis the electronic diffusion constant\nof the NM, and mBis the Bohr magneton. Assuming t\u001cl,\nwe can integrate Eq. 1 in the zdirection and use the Brataas-\nNazarov-Bauer boundary condition at the NM/FMI interface\n[5]. From the solution one can obtain an expression for the\nnonlocal resistance at the FM detector that reads [37, 42, 43]\nRNL=P2\nIRN\n2\u0014\ncos2ae\u0000L=l+sin2aRe\u0012l1\nle\u0000L=l1\u0013\u0015\n;(2)\nwhich is only valid in the high interface resistance limit, i.e.,\nifRI\u001dRN.PIis the spin polarization of the FM/NM interface\nat both the FM injector and detector, RN=rl=wtis the spin\nresistance of the NM, and ris its electrical resistivity. The\nlength l1is defined as\nl1=lr\n1+2rGrl2\nt+i\u0010\nl\nlm\u00112; (3)\nFIG. 4. (Color online) Representation (solid lines) of the bfac-\ntor, based on Eq. 2 for an applied magnetic field H=250 Oe, as\na function of (a) the distance ( L) between FM electrodes, (b) the\nthickness ( t) of the NM channel, and (c) the spin-mixing conduc-\ntance per unit area ( Gr) of the NM/FMI interface. The parame-\nters used for the simulation are: (a) l=522 nm, r=2:1mWcm,\nGr=5\u00021011W\u00001m\u00002, and t=100 nm. (b) l=522 nm, r=\n2:1mWcm,Gr=5\u00021011W\u00001m\u00002, and L=1:6mm. (c) l=522\nnm,r=2:1mWcm,L=1:6mm, and t=100 nm.\nwhere Gris the real part of the spin-mixing conductance\nper unit area [5] of the FMI/NM interface. We have dis-\nregarded the imaginary part of the spin-mixing conductance\nin accordance with Refs. [14, 32]. Notice that for a=0\u000e,\ntheRNLfor the case without FMI [24, 28, 29] is recovered:\nRNL=P2\nIRN\n2e\u0000L=l. At a=90\u000ewe obtain a similar expres-\nsion for RNLas in the a=0\u000ecase, but with a reduced spin-\ndiffusion length Re (l1):RNL=P2\nIRN\n2Re\u0010\nl1\nle\u0000L=l1\u0011\n. Equa-\ntion 3 shows that two quantities renormalize the spin-diffusion\nlength: the spin-mixing conductance by means of the real term\n2rGrl2=t, and the imaginary Hanle term i(l=lm)2originat-\ning from the applied field. While the former leads to a reduc-\ntion of ldue to the torque exerted by the NM/FMI interface to\nthe spins [30, 32], the latter causes, in addition, the precession\nof the spins when sandHare noncollinear [40].\nAt a first glance, one might think that the Hanle term could\nbe enough to explain the observed modulation of RNLas a\nfunction of a. However, as shown in Fig. 3, a field of 250\nOe in the absence of Grleads to a modulation of RNL(blue\ndashed line) which is one order of magnitude smaller than\nthe measured one. This is experimentally confirmed in the\ncontrol sample performed on top of SiO 2[37]. Increasing H\nwould eventually lead to a Hanle effect of the same order as\ntheGreffect. Nevertheless, our experiment is limited to low\nmagnetic fields ( H<400 Oe), to avoid the magnetization of\nthe Co electrodes being affected by the direction of H, and\nthus the Hanle term will not be dominant.\nConsidering both the Grand Hanle terms, Eq. 2 accurately\nfits the measured RNL(Fig. 3), reproducing the observed mod-\nulation of the spin current. Note also that Eq. 2 reproduces the\nreflection symmetry between the P and AP configurations, be-\ncause the product P2\nIhas opposite sign for each configuration.\nThe fact that the modulation is observed in a pure spin current\nin a metal such as Cu excludes any proximity effect as the ori-4\ngin of the modulation [33, 34], confirming the validity of the\nGrconcept.\nThere are two fitting parameters: PIandGr, whereas w,t\nandLare known geometrical parameters, and r(2.1mWcm)\nandl(522 nm) are obtained from resistance measurements\nandRNLmeasurements as a function of L[37].\nFrom the fitting for the LSV with L=1:6mm (Fig. 3),\nwe obtained PI=0:128\u00060:001 and Gr= (4:28\u00060:06)\u0002\n1011W\u00001m\u00002for the P state [Fig. 3(a)], and PI=0:129\u0006\n0:001 and Gr= (5:63\u00060:07)\u00021011W\u00001m\u00002for the AP state\n[Fig. (b)], which are almost identical for both magnetic con-\nfigurations. Therefore, the value of Grobtained for this par-\nticular Lis(4:96\u00060:68)\u00021011W\u00001m\u00002. The same fitting\nwas performed for the LSV with L=570 nm, where it was\nalso possible to measure RNLas a function of a, obtaining\nPI=0:123\u00060:001 and Gr= (2:82\u00060:66)\u00021011W\u00001m\u00002.\nSince Gris extracted separately for each device, this trans-\nfers the unavoidable device-to-device dispersion (spin trans-\nport is very sensitive to any minor defect) into the value of\nGr. The difference, which is less than a factor of 2, can\nthus be considered to be small, taking into account that, in\norder to observe a relevant variation in b, a much larger\nchange in Gris needed [Fig. 4(c)]. Whereas PIis within\nthe range reported in similar systems [22, 28, 29], Gris sub-\nstantially smaller than the values obtained for Pt/YIG (rang-\ning from 1 :2\u00021012to 6:2\u00021014W\u00001m\u00002) [? ? ], Ta/YIG\n(4:3\u00021013W\u00001m\u00002) [16], and Au/YIG (between 3 :5\u00021013\nand 1 :9\u00021014W\u00001m\u00002) [10, 11] either by SMR or spin\npumping experiments.\nThere is a possibility of underestimating Grif the assump-\ntion for Eq. 2, RI\u001dRN, is not fulfilled. For b\u00188%, Gr\nwould increase by a factor of \u00182, to\u00188\u00021011W\u00001m\u00002, by\nconsidering transparent interfaces [37], which is still low com-\npared to other NM/YIG interfaces. Another possible reason\nfor the low Grvalue could be the Ar-ion milling performed\nbefore the Cu deposition [12] or the YIG surface quality. We\nrule this out by performing a control experiment in Pt/YIG\nwhere we obtain Gr=3:34\u00021013W\u00001m\u00002from SMR mea-\nsurements [37, 44, 45]. Particularities of the grain structure\nand the growth condition of the evaporated Cu on YIG could\nalso lead to an effective reduction of Grat the interface. Alter-\nnatively, the spin-orbit interaction effects that might exist for\nPt/YIG, Au/YIG or Ta/YIG [35] could lead to an overestima-\ntion of the obtained Grin these systems. Such effects are un-\nlikely in Cu/YIG. It is worth noting that the Grof a NM/YIG\ninterface, for a NM with a negligible spin-orbit coupling, was\nnot experimentally measured before due to the need of the\ninverse Spin Hall effect (and thus a high spin-orbit coupling\nmetal) in the experiments made so far [6–16].\nFinally, a representation of b, based on Eq. 2, is plotted\nin Fig. 4 as a function of different parameters ( L,tandGr)\nwhich can be controlled in order to improve the efficiency of\nthe magnetic gating. The values of the different parameters\nused for the representation are listed in the caption and corre-\nspond to realistic values taken from our devices. bincreases\nlinearly with the length ( L) between the FM electrodes, reach-ing\u001830% for L=5mm [Fig. 4(a)]. When the spin current\nflows over a longer distance, the spin scattering and absorp-\ntion caused by the NM/FMI interface will be enhanced (i.e.,\nbwill be larger). This is in agreement with our experimen-\ntal results discussed above. However, there is an experimen-\ntal limit, since the nonlocal signal decays exponentially and\nwill be negligible when L\u001dl[23, 26]. By decreasing the\nthickness ( t) of the Cu channel, bincreases asymptotically\nwhen tapproaches 0 [Fig. 4(b)]. In this case, by decreasing t,\nthe relative contribution of the NM/FMI interface to the spin-\nflip scattering processes increases, enhancing b. For instance,\nwhen t\u001820 nm, balready increases to \u001850%. However, the\ndecrease of lwith t[26], which has not been taken into ac-\ncount for the representation, will lower b. The most effective\nway of improving bseems to be increasing Gr[Fig. 4(c)].\nBy increasing it by two orders of magnitude, i.e., for a Grof\nthe order that Pt/YIG systems have, breaches almost up to a\n100%, which would lead to a perfect magnetic gating of the\npure spin currents. This seems feasible by improving the in-\nterface between Cu and YIG or by using another NM material\nwith a high spin-mixing interface conductance with YIG.\nTo conclude, we present an approach to control and ma-\nnipulate spins in a solid state device, by means of a magnetic\ngating of pure spin currents in Co/Cu LSV devices on top of\nYIG. A modulation of the pure spin current is observed as a\nfunction of the relative orientation between the magnetization\nof the FMI and the polarization of the spin current. Such mod-\nulation is explained by solving the spin-diffusion equation and\nconsidering the spin-mixing conductance at the NM/FMI in-\nterface. The accuracy between the measured data and the ex-\npected modulation provides an effective way of studying the\nNM/FMI interface. From our results, a spin-mixing conduc-\ntance of Gr\u00184\u00021011W\u00001m\u00002is obtained for the Cu/YIG\ninterface. An increase of this value will enhance the efficiency\nof the magnetic gating. This can be achieved by carefully tun-\ning the fabrication parameters. Our experiment paves the way\nfor different manners of spin manipulation, bringing closer\npure spin currents and logic circuits.\nACKNOWLEDGEMENTS\nWe thank Professor Joaqu ´ın Fern ´andez-Rossier for fruit-\nful discussions. This work was supported by the Euro-\npean Commission under the Marie Curie Actions (256470-\nITAMOSCINOM), NMP Project (263104-HINTS) and the\nEuropean Research Council (257654-SPINTROS), by the\nSpanish MINECO under Projects No. MAT2012-37638, No.\nMAT2012-36844, and No. FIS2011- 28851-C02-02, and by\nthe Basque Government under Project No. PI2012-47 and\nUPV/EHU Project No. IT-756-13. E.V . and M.I. thank the\nBasque Government for support through a Ph.D. fellowship\n(Grants No. BFI-2010-163 and No. BFI-2011-106). F.S.B.\nthanks Professor Martin Holthaus and his group for their kind\nhospitality at the Physics Institute of the Oldenburg Univer-5\nsity.\n[1] Spin Current, edited by S. Maekawa, S. O. Valenzuela, E.\nSaitoh and T. Kimura (Oxford University Press, Oxford, UK,\n2012).\n[2] B. Behin-Aein, D. Datta, S. Salahuddin, and S. Datta, Nat. Nan-\notech. 5, 266 (2010).\n[3] S. Datta and B. Das, Appl. Phys. Lett. 56, 665 (1990).\n[4] H. C. Koo, J. H. Kwon, J. Eom, J. Chang, S. H. Han, and M.\nJohnson, Science 325, 1515 (2009).\n[5] A. Brataas, Y . V . Nazarov, and G. E. W. Bauer, Phys. Rev. Lett.\n84, 2481 (2000); A. Braatas, Y . V . Nazarov, and G. E. W. Bauer,\nEur. Phys. J. B 22, 99 (2001).\n[6] M. Weiler, M. Althammer, M. Schreier, J. Lotze, M. Pernpeint-\nner, S. Meyer, H. Huebl, R. Gross, A. Kamra, J. Xiao, Y .-T.\nChen, H. Jiao, G. E W. Bauer, and S. T. B. Goennenwein, Phys.\nRev. Lett. 111, 176601 (2013).\n[7] Y . Kajiwara, K. Harii, S. Takahahi, J. Ohe, K. Uchida, M.\nMizuguchi, H. Umezawa, H. Kawai, K. Ando, K. Takanashi,\nS. Maekawa, and E. Saitoh, Nature 464, 262 (2010).\n[8] L. Qiu, K. Ando, K. Uchida, Y . Kajiwara, R. Takahashi, H.\nNakayama, T. An, Y . Fujikawa, and E. Saitoh, Appl. Phys. Lett.\n103, 092404 (2013).\n[9] V . Castel, N. Vlietstra, B. J. van Wees, and J. Ben Youssef,\nAppl. Phys. Lett. 101, 132414 (2012).\n[10] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt,\nY .-Y- Song, Y . Sun, and M. Wu, Phys. Rev. Lett 107, 066604\n(2011).\n[11] C. Burrowes, B. Heinrich, B. Kardasz, E. A. Montoya, E. Girt,\nY . Sun, Y .-Y . Song, and M. Wu, Appl. Phys. Lett. 100, 092403\n(2012).\n[12] M. B. Jungfleisch, V . Lauer, R. Neb, A. V . Chumak, and B.\nHillebrands, Appl. Phys. Lett. 103, 022411 (2013).\n[13] K. Uchida, J. Xiao, H. Adachi, J. Ohe, S. Takahashi, J. Ieda, T.\nOta, Y . Kajiwara, H. Umezawa, H. Kawai, G. E. W. Bauer, S.\nMaekawa, and E. Saitoh, Nature Materials 9, 894 (2010).\n[14] H. Nakayama, M. Althammer, Y .-T- Chen, K. Uchida, Y . Ka-\njiwara, D. Kikuchi, T. Ohtani, S. GeprŁgs, M. Opel, S. Taka-\nhashi, R. Gross, G. E. W. Bauer, S. T. B. Goennenwein, and E.\nSaitoh, Phys. Rev. Lett. 110, 206601 (2013).\n[15] N. Vlietstra, J. Shan, V . Castel, and B. J. van Wees, Phys. Rev.\nB87, 184421 (2013).\n[16] C. Hahn, G. de Loubens, O. Klein, M. Viret, V . V . Naletov, and\nJ. Ben Youssef, Phys. Rev. B 87, 174417 (2013).\n[17] Y .-T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T.\nB. Goennenwein, E. Saitoh, and G. E. Bauer, Phys. Rev. B 87,\n144411 (2013).\n[18] M. Isasa, A. Bedoya-Pinto, S. V ´elez, F. Golmar, F. S ´anchez, L.\nE. Hueso, J. Fontcuberta, and F. Casanova, Appl. Phys. Lett.\n105, 142402 (2014).\n[19] S. O. Valenzuela and M. Tinkham, Nature 442, 176 (2006).\n[20] M. Johnson and R. H. Silsbee, Phys. Rev. Lett. 55, 1790 (1985).\n[21] F. J. Jedema, M. S. Nijboer, A. T. Filip, and B. J. van Wees,\nPhys. Rev. B 67, 085319 (2003).\n[22] Y . Ji, A. Hoffmann, J. E. Pearson, and S. D. Bader, Appl. Phys.\nLett. 88, 052509 (2006).\n[23] E. Villamor, M. Isasa, L. E. Hueso, and F. Casanova, Phys. Rev.\nB88, 184411 (2013).\n[24] S. Takahashi and S. Maekawa, Phys. Rev. B 67, 052409 (2003).\n[25] G. Mihajlovi ´c, D. K. Schreiber, Y . Liu, J. E. Pearson, S. D.Bader, A. K. Petford-Long, and A. Hoffmann, Appl. Phys. Lett.\n97, 112502 (2010).\n[26] E. Villamor, M. Isasa, L. E. Hueso, and F. Casanova, Phys. Rev.\nB87, 094417 (2013).\n[27] F. Casanova, A. Sharoni, M. Erekhinsky, and I. K. Schuller,\nPhys. Rev. B 79, 184415 (2009).\n[28] F. J. Jedema, H. B. Heersche, A. T. Filip, J. J. A. Baselmans,\nand B. J. van Wees, Nature 416, 713 (2002).\n[29] X. J. Wang, H. Zou, and Y . Ji, Phys. Rev. B 81, 104409 (2010);\nH. Zou, S. T. Chui, X. J. Wang, and Y . Ji, Phys. Rev. B 83,\n094402 (2011).\n[30] J. C. Slonczewski, J. Magn. Magn. Mater. 159, L1 (1996); L.\nBerger. Phys. Rev. B 54, 9353 (1996).\n[31] D.C. Ralph and M. D. Stiles, J. Mag. Magn. Mater. 320, 1190\n(2008).\n[32] X. Jia, K. Liu, K. Xia, and G. E. W. Bauer, Europhys. Lett. 96,\n17005 (2011).\n[33] S. Y . Huang, X. Fan, D. Qu, Y . P. Chen, W. G. Wang, J. Wu,\nT. Y . Chen, J. Q. Xiao, and C. L. Chien, Phys. Rev. Lett. 109,\n107204 (2012).\n[34] Y . M. Lu, J. W. Cai, S. Y . Huang, D. Qu, B. F. Miao, and C. L.\nChien, Phys. Rev. B 87, 220409 (2013).\n[35] A. B. Cahaya, O. A. Tretiakov, and G. E. W. Bauer, Appl. Phys.\nLett. 104, 042402 (2014).\n[36] The YIG was grown by liquid phase epitaxy on a (111) gadolin-\nium gallium garnet (GGG) single crystal at Innovent e. V . (Jena,\nGermany).\n[37] See Supplemental Material for experimental details, control ex-\nperiments, and theory.\n[38] E. Nikulina, O. Idigoras, P. Vavassori, A. Chuvilin, and A.\nBerger, Appl. Phys. Lett. 100, 142401 (2012).\n[39] A modulation in RNLoriginating from the rotation of mag-\nnetization of the Co electrodes (angles q1,q2from the easy\naxis defined by the shape anisotropy) would be given by RNLµ\ncosq, where qis the relative angle between Co magnetizations.\nThe observed\u00188% modulation would correspond to q\u001823\u000e.\nSince q=q1\u0000q2in the P case, whereas in the AP case\nq=180\u000e\u0000q1\u0000q2, this would lead to a different amplitude\nmodulation not observed in our experiment. Only in the special\ncase where the narrower electrode does not rotate at all ( q2\u00180)\nwould the modulations corresponding to the P and AP cases be\nidentical. The wider electrode should then rotate as much as\nq1\u001823\u000e, which has been ruled out by MOKE microscopy as\ndescribed in Ref. [37].\n[40] Y . Fukuma, L. Wang, H. Idzuchi, S. Takahashi, S. Maekawa,\nand Y . Otani, Nat. Mater. 10, 527 (2011).\n[41] M. Johnson and R. H. Silsbee, Phys. Rev. B 37, 5312 (1988).\n[42] F. S. Bergeret, I. V . Tokatly, Phys. Rev. B 89, 134517 (2014).\n[43] M. Popinciuc, C. J ´ozsa, P. J. Zomer, N. Tombros, A. Veligura,\nH. T. Jonkman, and B. J. van Wees, Phys. Rev. B 80, 214427\n(2009).\n[44] L. Liu, R. A. Buhrman and D. C. Ralph, arXiv:1111.3702.\n[45] J.-C. Rojas-S ´anchez, N. Reyren, P. Laczkowski, W. Savero, J.-\nP. Attan ´e, C. Deranlot, M. Jamet, J.-M. George, L. Vila, and H.\nJaffr`es, Phys. Rev. Lett. 112, 106602 (2014).6\nModulation of pure spin currents with a ferromagnetic insulator\nSUPPLEMENTAL MATERIAL\nEstitxu Villamor, Miren Isasa, Sa ¨ul V´elez, Amilcar Bedoya-Pinto,\nPaolo Vavassori, Luis E. Hueso, F. Sebasti ´an Bergeret, and F `elix Casanova\nS1. Experimental details\nLateral spin valves (LSVs) were fabricated by a two-step electron-beam lithography, ultra-high-vacuum evaporation and lift-\noff process (see Fig. 2(b) from the main text for a SEM image of the device). Since yttrium iron garnet (YIG) on gadolinium\ngallium garnet (GGG) is an insulating substrate, a thin gold (Au) layer of 2.5 nm was sputtered on top of the PMMA resist before\neach lithography step. This prevents the charging of the substrate during the e-beam exposure, which otherwise would distort\nthe pattern. After the e-beam exposure and before developing the patterned resist, the Au layer was removed with Au etchant.\nIn the first lithography step, FM electrodes were patterned and cobalt (Co) was electron-beam evaporated with a base pressure\n\u00141\u000210\u00009mbar. In the second lithography step, the NM channel was patterned and copper (Cu) was thermally evaporated with\na base pressure\u00141\u000210\u00009mbar. Ar-ion milling was performed prior to the Cu deposition in order to remove resist left-overs;\nthe parameters used for the Ar-ion milling are an Ar flow of 15 standard cubic centimeters per minute, an acceleration voltage\nof 50 V , a beam current of 50 mA, and a beam voltage of 300 V for 30 s, as described in Ref. [1]. To overcome the low spin\ninjection of Co when using transparent interfaces [1, 2], an oxide layer was created at the Co/Cu interface by letting the Co\noxidize after the milling and before the Cu deposition. The presence of an interface resistance is known to enhance the spin\ninjection efficiency [3]. An interface resistance RI\u00155Wis estimated in this case. Both Co electrodes have a thickness of 35 nm\nand different widths (115 nm and 175 nm) to obtain different switching fields by means of shape anisotropy. Three LSVs were\nfabricated, bridged by the same Cu wire (of width w\u0018200 nm and thickness t\u0018100 nm) with edge-to-edge distances between\nthe Co electrodes of L= 250 nm, 570 nm and 1600 nm.\nSuch configuration allows the measurement of the four-point resistance Rof the wire as a function of L(where the electrodes\nbelong to the same LSV or to two contiguous LSVs). By performing a linear fitting of Ras a function of L(see lower inset in\nFig. S1) and knowing the dimensions of the Cu wire, it is straightforward to obtain its electrical resistivity, which has the value\nofr=2:1mWcm at a temperature of 150 K.\nThe non-local resistance RNL=VNL=I, i.e. the non-local voltage Vmeasured at the detector normalized to the value of the\ninjected current I, is measured as a function of the applied magnetic field Hfor the three LSVs. Note that the LSV with L=\n250 nm broke after measuring RNLas a function of Hata=0\u000eand, for this reason, the results of the RNLmeasurement as a\nfunction of aare not included in the main text. RNLchanges from positive to negative when the relative magnetization of the\nFM electrodes changes from parallel (P) to antiparallel (AP) by sweeping H(see upper inset of Fig. S1). The difference between\npositive and negative RNLis defined as the spin signal ( DRNL), which, for LSVs with a high interface resistance, can be expressed\nas [3–6]:\nDRNL=P2\nIRNe\u0000L=l; (S1)\nwhere PIis the spin polarization of the Co/Cu interface, RN=rl=wtis the spin resistance of Cu and lis the spin diffusion\nlength of Cu. Figure S1 shows the measured DRNLas a function of L(black squares), which is fitted to Eq. (S1) (red solid\nline) in order to obtain PIandl. The obtained values at 150 K are PI=0:18\u00060:01 and l=522\u000625 nm. Even though PI\nis within the range of values that are observed in literature in similar systems [2, 4–7], it is slightly higher than the PIvalues\nobtained in the main text for the fitting of Eq. 2. This is due to the dispersion of the interface quality between different LSVs.\nThe device with L=250 nm has a higher PI, which enhances the averaged PIobtained from the fitting of Eq. (S1). The value\nobtained for lis similar but slightly lower than our previous values obtained in Py/Cu LSVs on top of Si/SiO 2measured at 150\nK (l=680\u000615 nm) [1]. This could be due to the different growth of Cu on top of YIG as compared to SiO 2.7\nFIG. S1. Spin signal as a function of the separation distance between Co electrodes ( L) for three Co/Cu LSVs, measured at 150 K with the\napplied field ( H) parallel to the electrodes (black squares). Red line is a fit to Eq. (S1). Upper inset: Non-local resistance measured as a\nfunction of Hfor the LSV with L=570 nm. A constant background of 0.38 m Wis subtracted from the data. Solid (dashed) line indicates\nthe decreasing (increasing) sweep of H. Spin signal DRNLis tagged in the plot. Lower inset: Four-point resistance as a function of L(black\nsquares). Red line is a linear fit.\nS2. Control experiment: MOKE measurements to rule out magnetization rotation in Co electrodes\nA tilting of the magnetization direction in the Co electrodes during the measurement of RNLas a function of the angle a\nbetween the Co electrodes ( ydirection, see Fig. 1 from the main text) and the direction of the applied magnetic field H, could\nin principle be invoked to explain the observed modulation of RNLwithabecause RNLµcosq, where q(a)is the relative\nangle between the magnetizations of both electrodes. This tilting could be caused by the torque exerted directly by the applied\nmagnetic field or/and by a coupling between the Co electrodes and the YIG substrate. A modulation of \u00188%, such as the\nobserved one, could correspond to q\u001823\u000e. Even if the reflection symmetry between the RNLmodulation observed in the\nparallel and anti-parallel magnetization states of the Co electrodes is sufficient to rule out such explanation (as stated in the main\ntext), to further exclude a magnetization rotation of the electrodes, MOKE microscopy measurements were performed at room\ntemperature directly on the same sample used for the magnetic gating experiment. The capability of our MOKE microscope\nto measure the field induced magnetization reorientation of ultra-small ferromagnetic nanostructures was demonstrated earlier\n[8]. The MOKE measurements were performed on top of the widest electrode, which is the one whose magnetization can rotate\nmore easily due to shape anisotropy.\nFigure S2 shows hysteresis loops of the Co electrode (red circles) and of the YIG (black squares), i.e.the projection of the\nmagnetization in the ydirection, My, is measured as a function of the magnetic field applied in the ydirection, Hy, and normalized\nto the saturation magnetization, Ms. In both cases,the MOKE signal was acquired from a subset of the pixels of the CCD detector\nthat corresponds to an area on the sample surface equal to 100 \u0002800 nm2[8]. The coercive field of the Co electrode is 500 Oe, in\nagreement with the RNLmeasurements as a function of Hshown in Fig. 1(c) from the main text. For the YIG substrate, magnetic\nsaturation around 100 Oe is observed, in agreement with the VSM measurements shown in Fig 1(a) from the main text.\nTo check for a possible rotation of the magnetization of the Co electrode, its My=Mswas measured while the direction\nof the magnetic field H, which had a fixed intensity of 250 Oe, was rotated by avaried from 0 to 360\u000e. Figure S3 shows\nMy=Msof the Co electrode and the YIG substrate. Whereas the magnetization of YIG coherently rotates with the direction\nofH(My=Msµcosa), given that His largely exceeding the saturation field of YIG, the magnetization of the Co electrode\nis constant for every a. Based on the signal-to-noise ratio of our measurements, the smallest detectable change in My=Ms\ncorresponds to a rotation of Msof less than 5\u000e. Therefore, from our measurements, we can directly conclude that the rotation\nof the Co magnetization, if any, is less than 5\u000e, which could only explain a variation of less than 0.4% in RNL, well below the\nexperimentally observed \u00188% .8\n-800-4000 4 008 00-1.0-0.50.00.51.0 \nCo \nYIG \n My /MsH\ny [Oe]\nFIG. S2. Projection of the magnetization in the ydirection, My, of the YIG (black squares and line) and of the Co electrodes (red circles and\nline) normalized to the saturation magnetizations, Ms, measured as a function of the magnetic field applied in the ydirection, Hy. Measurements\nare performed at 300 K.\n09 01 802 703 60-1.0-0.50.00.51.01.5H\nα\ny(250 Oe) \n \nYIG \nCo stripeMy/Msα\nFIG. S3. Projection of the magnetization in the ydirection, My, of the YIG (black squares) and of the Co electrodes (red circles) normalized\nto the saturation magnetizations, Ms, measured as a function of the angle abetween the direction of the Co electrodes ( y) and the applied\nmagnetic field, H=250 Oe. Measurements are performed at 300 K.9\nS3. Control experiment: Non-local resistance measurements on top of a silicon oxide substrate\nEven though a possible rotation of the FM electrodes, which could explain a variation in the non-local resistance as the one\nwe observe, was excluded with the previous control experiment (section S2), an additional control experiment was performed in\norder to rule out any other possible artifact. With this purpose, the main experiment was repeated in a LSV fabricated on top of\nSiO 2instead of YIG. Fig S4(b) shows RNLmeasured at 150 K, applying a magnetic field of 250 Oe, as a function of afor both\nthe parallel (P) and antiparallel (AP) magnetizations of the FM electrodes in a LSV with L=750 nm. Apparently, no periodic\nmodulation of RNLis observed. However, by taking a closer view (Figs. S4(c) and S4(d)), one can guess a periodic modulation\nof the order of the noise, which behaves as the one observed in the main experiment, including a reflection symmetry between\nthe P and AP case. The observed modulation corresponds to a \u00181%, which is the estimated value for the Hanle effect at this L,\nand is certainly smaller than the b=2:96% and b=8:33% observed in the main experiment for L=570 nm and L=1:6mm,\nrespectively. This confirms experimentally that, for these values of LandH, the Hanle contribution is much smaller than the\nmodulation due to spin-mixing conductance, and also excludes any other possible artifact.\nFIG. S4. (a) Non-local resistance ( RNL) measured at 150 K as a function of Hwitha=0\u000efor a LSV fabricated on top of SiO 2with L=750\nnm. (b) RNLas a function of ameasured for both the (c) P and (d) AP configuration, at 150 K with H=250 Oe for the same LSV .10\nS4. Control experiment: Spin Hall magnetoresistance measurements in a Pt/YIG sample\nIn order to see if the low Grvalue obtained for Cu/YIG interfaces originates from the quality of the YIG substrate or from\nany effect that might be induced at the YIG substrate for the Ar-ion milling process (see section S1), we fabricated a Pt/YIG\ncontrol sample and tested it within the Spin Hall magnetoresistance (SMR) framework. The SMR arises from the simultaneous\neffect of the spin Hall effect and the inverse spin Hall effect in the Pt layer in combination with the interaction of the generated\nspin current with the magnetization of the YIG surface. Depending on the relative orientation between the spin polarization\nvector and the direction of the magnetic moment of the YIG surface, the spin current might be absorbed via spin-transfer torque\nresulting in a modulation of the resistance of the Pt layer, which is fundamentally related to the spin-mixing conductance Grof\nthe Pt/YIG interface [9, 10].\nWith this purpose, a 7-nm-thick Pt Hall bar (with a width W=100mm and a length L=800mm) was sputtered on top of a\nYIG substrate grown as the one used for the fabrication of the LSV . Prior to the Pt deposition, the YIG surface was subjected to\nthe same Ar-ion milling process (see section S1). Angular dependent magnetoresistance (ADMR) measurements were performed\nby rotating a fixed Halong the three main rotation planes of the system: XY , YZ and XZ, with the corresponding angles a,band\ng. A large enough His applied to ensure the magnetization of the YIG substrate follows the direction of the applied magnetic\nfield. The resistance was measured using both longitudinal ( RL) and transverse ( RT) configurations. Figure S5(a) shows a sketch\nof the resulting device with the definition of the axes and the transverse configuration. As expected from the SMR theory [9, 10]:\n(i) no ADMR is observed in RL(g), (ii) a large modulation is observed in RL(a)andRL(b), with the same amplitude and a cos2\ndependence, and ( iii)RT(a)shows a sin a\u0001cosadependence, with the same amplitude as in RL(a)but with a L=Wfactor. As\nillustrative example, the transverse resistance RT(a)obtained for H= 1 kOe and 150 K is plotted in Fig. S5(b).\nFIG. S5. (a) Sketch of the Pt Hall bar on YIG. Charge current ( JC) and applied external magnetic field ( H), measurement configuration, axes\nand the angle ( a) between HandJCare indicated. (b) Transverse resistance ( RT) measured as a function of a. A small spurious baseline\nresistance RT0was subtracted.\nAccording to the SMR theory, the amplitude of the observed magnetoresistance is related to the microscopic properties of the\nPt layer by [9, 10]:\nDr\nr=q2\nSH2rl2\ntGrtanh2t\n2l\n1+2rlGrcotht\nl; (S2)\nwhere qSHis the spin Hall angle, lis the spin diffusion length, ris the electrical resistivity, tis the thickness of the Pt and Gr\nis the real part of the spin-mixing conductance per unit area of the Pt/YIG interface. In our case, with a measured longitudinal\nresistance of RL=281:5Wat 150 K, one can determine r=24:7mWcm and the SMR signal Dr=r=5:48\u000210\u00005. Knowing\nthe values of qSHandlin Pt, one can extract the Grvalue of the Pt/YIG interface using Eq. S2. These values cannot be inferred\nfrom our measurements, but can be obtained from literature. Despite there is a big dispersion of the given values for qSHandl\n[9, 11, 12], we will use the ones recently reported in Ref. [12] ( qSH= 0.056 and l= 3.4 nm), since they are highly consistent\nwithin different methods used to determine them. A Gr=3:4\u00021013W\u00001m\u00002is thus obtained for our Pt/YIG interface, which\nis in agreement with the previously reported range of values [9, 13–18]. We can take this result as a proof of the good quality of\nthe YIG substrates used in the present experiments and as an indication that the Ar-ion milling process in the LSV experiment is\nnot at the origin of the low Grobtained.11\nS5. Theory\nIn order to model the experimental results, we consider the geometry shown in Fig. 1 from the main text. It consists of a\nnormal (NM) layer, Cu in our case, deposited on top of a ferromagnetic insulator (FMI), YIG in this case. At x=0 there is\na ferromagnetic (FM) electrode, Co in our case, that injects a charge current Ithat flows in x<0 direction. Coming from a\nFM, this current induces a spin accumulation ma\ns(adenotes the spin polarization direction) in the NM layer. In the absence of\nspin-orbit coupling, a spin current density in the NM ja\nk(kdenotes the flow direction) is then originated by the gradient of the\nspin accumulation ma\ns\nja\nk=\u00001\n2er¶kma\ns; (S3)\nwhere ris the electrical resistivity of the NM and ethe absolute value of the electron charge. In a normal metal, the mean free\npath lis smaller than other characteristic lengths, and therefore the spin accumulation is determined by the Bloch equation with\nan added diffusion term [19, 20], which, in the steady state, has the simple form:\nÑ2~ms=~ms\nl2+1\nl2m~ms\u0002ˆn: (S4)\nHere ~ms= (mx\ns;my\ns;mz\ns),ldenotes the spin diffusion length which is related to the diffusion coefficient Dand the spin-flip\nrelaxation time ts fbyl=pDts f, and lm=p\nD¯h=2mBBis the magnetic length determined by the amplitude of the applied\nmagnetic field Bˆn( ˆnis a unit vector along the magnetic field direction). Alternatively, Eq. (S4) can be derived from the Keldysh\nGreen’s function formalism [21, 22]. In the case of an intrinsic spin-orbit coupling, due to an inversion asymmetry, Eqs. (S3-\nS4) have the same form if one substitutes the gradient by a SU(2) covariant derivative [21]. In the case of extrinsic spin-orbit\ncoupling, due to random impurities, these equations acquire some extra terms [22]. However, spin-orbit effects are negligible in\naccordance in our NM, Cu.\nWe assume that the system is invariant in ydirection and therefore the spin accumula-\ntion only depends on xandz:ms(x;z). In order to solve the diffusion equation (S4) for the spin accumulation one needs proper\nboundary conditions. At the upper interface of the NM with the vacuum the spin current should vanish:\n¶zmsjz=t=0; (S5)\nwhere tis the thickness of the NM. We are assuming z=0 at the NM/FMI interface, and z=tat the NM/vacuum interface. At\nthe interface with the FMI we use the Brataas-Nazarov-Bauer boundary condition [23]:\n¶z~msjz=0=\u00002r[Grˆm\u0002(ˆm\u0002~ms)+Giˆm\u0002~ms]; (S6)\nwhere ˆ mis a unit vector along the magnetization of the FMI, and Gmix=Gr+iGiis the the complex spin-mixing interface\nconductance per unit area [23]. In the experiment the thickness tof the NM layer is smaller than the characteristic scale of\nvariation of ms(\u0019l) and therefore we can integrate Eq. (S4) over zassuming that msdoes not depend on z. By performing this\nintegration and using Eqs. (S5-S6) we obtain the following (1D) equation for ~ms:\n¶2\nxx~ms=~ms\nl2+\u00121\nl2m+1\nl2\ni\u0013\n~ms\u0002ˆm\u00001\nl2rˆm\u0002(ˆm\u0002~ms); (S7)\nwhere we have considered an in-plane magnetization of the FMI, ˆ m= (sina;cosa;0), and defined l\u00002\nr=2rGr=tandl\u00002\ni=\n2rGi=t. The latter term acts as an effective magnetic field parallel to the magnetization of the FMI which is assumed to be\nparallel to the applied magnetic field.\nEquation (S7) describes the spatial dependence of the spin accumulation in a thin FM layer in contact with a FMI. It consists\nof three coupled linear second order differential equations. In order to solve it we have to write the boundary conditions\ncorresponding to the experimental situation: at x=0 an electric current Iis injected. This induces at x=0 a spin current equal to\nPII, where PIis the spin polarization of the FM/Cu interface. At a distance Lfrom the injection point there is a detector. The spin\naccumulation and its derivative are continuous in the NM layer. The boundary conditions for ~ms(x)at the injector and detector12\nare obtained from the spin current continuity and read:\nPIIˆy=\u0000l\neRN¶x~msjx=0\u0000\u0000l\neRN¶x~msjx=0+ (S8)\n0=\u0000l\neRN¶x~msjx=L\u0000\u0000l\neRN¶x~msjx=L+; (S9)\nwhere the spin current at both sides of the FM injector (detector) is considered. RN=rl=wtis defined as the spin resistance,\nwhere wis the width of the NM channel. The FM injector is polarized in ydirection (whose unit vector is ˆ y) due to shape\nanisotropy, and, thus, the injected spin current as well. In order to obtain the boundary conditions Eqs. (S8-S9), a high interface\nresistance ( RI) was considered at the interfaces between the NM and the FM injector ( x=0) and between the NM and the FM\ndetector ( x=L) [3], i.e. RI\u001dRN. IfRIis of the order of RN, a spin current that might flow back into the FM electrodes [20, 24]\nhas to be taken into account.\nIn the case considered above, it is rather straightforward to solve Eq. (S7) with the conditions Eqs. (S8-S9), in order to obtain\nthe spin accumulation in all three spin polarization directions:\nmx\ns(x) =PIIeR Ncosasina\u0014\ne\u0000x=l+Re\u0012l1\nle\u0000x=l1\u0013\u0015\n; (S10)\nmy\ns(x) =PIIeR N\u0014\ncos2ae\u0000x=l+sin2aRe\u0012l1\nle\u0000x=l1\u0013\u0015\n; (S11)\nmz\ns(x) =\u0000PIIeR NsinaIm\u0012l1\nle\u0000x=l1\u0013\n; (S12)\nwhere the characteristic length in the second exponential is defined as\nl1=lp1+g(S13)\nwithg=gr+igi,gr=l2=l2\nrandgi=l2(1=l2\nm+1=l2\ni).\nIt is interesting to note that, even if the injected spin current is polarized in the ydirection, a spin accumulation is created\nwith the spins polarized in the xdirection, due to both the torque exerted by Mat the NM/FMI interface and the spin precession\ncaused by the magnetic field perpendicular to the spin polarization, and in the zdirection only due to the spin precession caused\nby the magnetic field perpendicular to the spin polarization.\nSince the magnetization of the injector and detector are in ydirection, only my\nscan be detected at x=L. From Eq. (S11) we\ncan determine the non-local resistance ( RNL) defined in terms of the non-local voltage VNLmeasured at the detector [3, 24]:\nRNL=VNL\nI=PImy\ns(L)\n2eI; (S14)\nwhere we assume that the polarization at the detector contact is the same as at the injector. By inserting Eq. (S11) into this last\nexpression we finally obtain\nRNL=P2\nIRN\n2\u0014\ncos2ae\u0000L=l+sin2aRe\u0012l1\nle\u0000L=l1\u0013\u0015\n: (S15)\nIt follows that, in the absence of the spin-mixing conductance and if the magnetic field is in the xdirection ( i.e.a=90\u000e), the\nexpression is identical to the one obtained in Ref. [20] in which the Hanle effect was studied.\nEq. (S15) is a general expression that describes the non-local resistance in the NM/FMI structure and takes into account both\nthe effect of the external applied field and the spin-mixing conductance describing the magnetic interactions at the interface. We\nhave fitted our measurements of the RNL(a)dependence with Eq. (S15) by neglecting the imaginary part of the spin-mixing\nconductance which, according to first-principle calculations [25] and our discussion below, seems to be a good approximation.\nAs we can see in Fig. 3 from the main text, the effect of the applied field on the RNL(a)modulation is small in comparison to\nthe one induced by Gr. This demonstrates that the modulation observed can only be explained by the effect of the spin-mixing\nconductance. According to our estimations, Gr\u00194\u00021011W\u00001m\u00002. This value is in principle smaller than the value reported in13\nprevious works [9, 13–18, 26, 27]. This discrepancy is discussed in the main text.\nFIG. S6. Non-local resistance measured as a function of the angle abetween the spin polarization and the applied magnetic field H. Two\nmeasurements have been done for H=250 Oe and H=350 Oe, with identical results.\nThe experimental results shown in the main text have been obtained for an applied magnetic field of 250 Oe. Measurements\nhave been performed also at 350 Oe with almost identical results (see Fig. S6). From theory, the difference in RNLfor these two\nfields is of the order of the measurement noise and, thus, not detectable in principle. For such values, (l=lm)2\u001c1. But also\n(l=lr)2(and presumably also (l=li)2) are very small according to our estimation of Gr. For a Gr\u00194\u00021011W\u00001m\u00002, and with\nthe parameters of the used LSVs, (l=lr)2=0:037 is obtained. Therefore, one can go analytically one step further by treating\nthe parameter gin Eq. (S13) perturbatively.\nInstead of focusing in RNLlet us analyze the amplitude of the effect when varying a(the field direction), as shown in Fig.\n2(b) in the main text. We introduce the dimensionless parameter bdefined as\nb=1\u0000RNL(a=90\u000e)\nRNL(a=0\u000e): (S16)\nIn the limit g\u001c1 we obtain up to lowest order in g\nb\u0019gr\n2L+l\nl=l(L+l)rGr\nt; (S17)\nwhile the lowest correction in giis of second order and therefore negligible in this approach. If we insert here the value for\nGr\u00194\u00021011W\u00001m\u00002, we obtain an amplitude of the effect b\u00199:3% (b\u00194:8%) for the LSV with L=1600 nm ( L=570\nnm), which is in good agreement with the experimentally obtained ones.\nAs explained above, all the previous results have been obtained assuming the high RIlimit ( RI\u001dRN). However, if one\nallows for an arbitrary value of RI=RN, one should take into account the possible back flow of spin current in Eqs. (S8-S9). An\nexpression for RNLwith arbitrary RIin a perpendicular magnetic field (without FMI) has been presented in Ref. [20]. In such a\ncase only the lengths landlmenter in Eq. (S7). After inspection of the latter equation, it turns out that the general expression\nforRNLderived in Ref. [20] is also valid in the presence of the FMI layer, if one substitutes the magnetic length by l1of Eq.\n(S13). This result can be used to determine the parameter busing Eq. (S16). In Fig. S7 we show the dependence of bas a\nfunction of Grin both the RI\u001dRNandRI=0 cases. We see that for the value obtained from our measurements ( b\u00198%) Gr\nis slightly larger (by a factor of \u00182) in the transparent case. We can conclude from this that the actual value of Grlies between\n4\u00021011W\u00001m\u00002and 8\u00021011W\u00001m\u00002.\nIt is also worth noticing that, according to Fig. S7, in the hypothetical case that Gris of the order of 1013\u00001014W\u00001m\u00002a\nfull modulation ( b=100%) can be achieved. This means that RNLcan be switched between a finite value and 0 by switching\nthe field from a=0\u000etoa=90\u000e, respectively.14\nFIG. S7. bfactor as a function of Grfor the RI=0 and RI\u001dRNcases.15\n[1] E. Villamor, M. Isasa, L. E. Hueso, and F. Casanova, Phys. Rev. B 87, 094417 (2013); E. Villamor, M. Isasa, L. E. Hueso, and F. Casanova,\nPhys. Rev. B 88, 184411 (2013).\n[2] Y . Ji, A. Hoffmann, J. E. Pearson, and S. D. Bader, Appl. Phys. Lett. 88, 052509 (2006).\n[3] S. Takahashi, and S. Maekawa, Phys. Rev. B 67, 052409 (2003).\n[4] F. J. Jedema, H. B. van Heersche, A. T. Filip, J. J. A. Baselmans, and B. J. van Wees, Nature 416, 713 (2002).\n[5] X. J. Wang, H. Zou, and Y . Ji, Phys. Rev. B 81, 104409 (2010).\n[6] H. Zou, S. T. Chui, X. J. Wang, and Y . Ji, Phys. Rev. B 83, 094402 (2011).\n[7] X. J. Wang, H. Zou, and Y . Ji, J. Appl. Phys. 105, 093907 (2009).\n[8] E. Nikulina, O. Idigoras, P. Vavassori, A. Chuvilin, and A. Berger, Appl. Phys. Lett. 100, 142401 (2012).\n[9] H. Nakayama, M. Althammer, Y .-T- Chen, K. Uchida, Y . Kajiwara, D. Kikuchi, T. Ohtani, S. Gepr ¨ags, M. Opel, S. Takahashi, R. Gross,\nG. E. W. Bauer, S. T. B. Goennenwein, and E. Saitoh, Phys. Rev. Lett. 110, 206601 (2013).\n[10] Y .-T. Chen, S. Takahashi, H. Nakayama, M. Althammer, S. T. B. Goennenwein, E. Saitoh, and G. E. W. Bauer, Phys. Rev. B 87, 144411\n(2013).\n[11] L. Liu, R. A. Buhrman and D. C. Ralph, arXiv: 1111.3702.\n[12] J.-C. Rojas-S ´anchez, N. Reyren, P. Laczkowski, W. Savero, J.-P. Attan ´e, C. Deranlot, M. Jamet, J.-M. George, L. Vila, and H. Jaffr `es,\nPhys. Rev. Lett. 112, 106602 (2014).\n[13] M. Weiler, M. Althammer, M. Schreier, J. Lotze, M. Pernpeintner, S. Meyer, H. Huebl, R. Gross, A. Kamra, J. Xiao, Y .-T. Chen, H. Jiao,\nG. E. W. Bauer, and S. T. B. Goennenwein, Phys. Rev. Lett. 111, 176601 (2013).\n[14] Y . Kajiwara, K. Harii, S. Takahahi, J. Ohe, K. Uchida, M. Mizuguchi, H. Umezawa, H. Kawai, K. Ando, K. Takanashi, S. Maekawa, and\nE. Saitoh, Nature 464, 262 (2010).\n[15] L. Qiu, K. Ando, K. Uchida, Y . Kajiwara, R. Takahashi, H. Nakayama, T. An, Y . Fujikawa, and E. Saitoh, Appl. Phys. Lett. 103, 092404\n(2013).\n[16] V . Castel, N. Vlietstra, B. J. van Wees and J. Ben Youssef, Appl. Phys. Lett. 101, 132414 (2012).\n[17] N. Vlietstra, J. Shan, V . Castel, and B. J. van Wees, Phys. Rev. B 87, 184421 (2013).\n[18] C. Hahn, G. de Loubens, O. Klein, M. Viret, V . V . Naletov, and J. Ben Youssef, Phys. Rev. B 87, 174417 (2013).\n[19] M. Johnson, and R. H. Silsbee, Phys. Rev. B 37, 5312 (1988).\n[20] Y . Fukuma, L. Wang, H. Idzuchi, S. Takahashi, S. Maekawa, and Y . Otani, Nature Materials 10, 527 (2011).\n[21] F. S. Bergeret and I. V . Tokatly, Phys. Rev. B 89, 134517 (2014).\n[22] R. V . Shchelushkin and A. Brataas, Phys. Rev. B 71, 045123 (2005).\n[23] A. Brataas, Yu. V . Nazarov, and G. E. W. Bauer, Phys. Rev. Lett. 84, 2481 (2000); Eur. Phys. J. B 22, 99 (2001).\n[24] M. Popinciuc, C. Jozsa, P. J. Zomer, N. Tombros, A. Veligura, H. T. Jonkman, and B. J. van Wees, Phys. Rev. B 80, 214427 (2009).\n[25] X. Jia, K. Liu, K. Xia, and G. E. W. Bauer, Europhys. Lett. 96, 17005 (2011).\n[26] B. Heinrich, C. Burrowes, E. Montoya, B. Kardasz, E. Girt, Y .-Y- Song, Y . Sun, and M. Wu, Phys. Rev. Lett 107, 066604 (2011).\n[27] M. B. Jungfleisch, V . Lauer, R. Neb, A. V . Chumak, and B. Hillebrands, Appl. Phys. Lett. 103, 022411 (2013)." }, { "title": "1408.5772v1.Measurements_of_the_exchange_stiffness_of_YIG_films_by_microwave_resonance_techniques.pdf", "content": "Measurements of the exchange sti\u000bness of YIG \flms\nby microwave resonance techniques\nS Klingler1, A V Chumak1, T Mewes2, B Khodadadi2,\nC Mewes2, C Dubs3, O Surzhenko3, B Hillebrands1, A Conca1\n1Fachbereich Physik and Landesforschungszentrum OPTIMAS, Technische\nUniversit at Kaiserslautern, 67663 Kaiserslautern, Germany\n2Department of Physics and Astronomy, MINT Center, University of Alabama,\nTuscaloosa, Alabama 35487, USA\n3INNOVENT e.V. Technologieentwicklung, 07745 Jena, Germany\nE-mail: klingler@physik.uni-kl.de\nAbstract. Measurements of the exchange sti\u000bness Dand the exchange constant A\nof Yttrium Iron Garnet (YIG) \flms are presented. The YIG \flms with thicknesses\nfrom 0.9\u0016m to 2.6\u0016m were investigated with a microwave setup in a wide frequency\nrange from 5 to 40 GHz. The measurements were performed when the external static\nmagnetic \feld was applied in-plane and out-of-plane. The method of Schreiber and\nFrait [1], based on the analysis of the perpendicular standing spin wave (PSSW) mode\nfrequency dependence on the applied out-of-plane magnetic \feld, was used to obtain\nthe exchange sti\u000bness D. This method was modi\fed to avoid the in\ruence of internal\nmagnetic \felds during the determination of the exchange sti\u000bness. Furthermore, the\nmethod was adapted for in-plane measurements as well. The results obtained using\nall methods are compared and values of Dbetween (5:18\u00060:01)\u000110\u000017T\u0001m2and\n(5:34\u00060:02)\u000110\u000017T\u0001m2were obtained for di\u000berent thicknesses. From this the exchange\nconstant was calculated to be A= (3:65\u00060:38) pJ/m.arXiv:1408.5772v1 [cond-mat.mtrl-sci] 25 Aug 20142\n1. Introduction\nIn order to employ the degree of freedom of the spin in future information technology,\nmaterials with low Gilbert damping and long spin-wave propagation distances are\nneeded for data transport. Yttrium Iron Garnet (YIG) is a material which ful\flls\nthe aforesaid requirements. New technologies employing YIG are being developed and\nnew physical phenomena were investigated. Logic operations with spin waves in YIG\nwaveguides [2, 3, 4], data-bu\u000bering elements [5] and magnon transistors [6] are only a\nfew examples for the latest technology progress. Especially, YIG \flms of nanometer\nthickness [7, 8, 9, 10, 11, 12] are of large importance since they allow for the realization\nof nano- and microstructures [6, 8, 13, 14] and an enhancement of spin-transfer-torque\nrelated e\u000bects [12, 15]. In this context the material parameters of YIG are of crucial\nimportance for its application potential.\nIn a magnetic system, the exchange interaction contributes strongly to the energy of\nthe system. From a classical point of view, this interaction is responsible for the parallel\nalignment of adjacent spins, thus, it strongly in\ruences the spin-wave characteristics.\nThe strength of the exchange interaction is given by the exchange sti\u000bness D, but the\nexisting approaches for its measurement are often in\ruenced by internal magnetic \felds\ndepending consequently on crystal anisotropies and the saturation magnetization. Thus,\nmethods are required for the exact determination of the exchange sti\u000bness without the\nuncertainties added by the aforementioned parameters. Here, such a method is presented\nand compared to the results obtained by commonly used data evaluation methods.\nFirstly, the classical approach of Schreiber and Frait [1] is used for the determination\nof the exchange sti\u000bness when the external static magnetic \feld is applied out-of-plane.\nSecondly, the method is modi\fed to avoid any in\ruence of the anisotropy \felds and\nthe saturation magnetization in order to achieve highly-precise values for D. Thirdly,\nthe method of Schreiber and Frait is adapted and used for in-plane measurements. All\nvalues ofDobtained by using the di\u000berent methods are compared and, then, values of\nthe exchange constant Aare calculated for our YIG samples.\n2. Theory\nThe precessional motion of the magnetization in an e\u000bective magnetic \feld is described\nby the Landau-Lifshitz and Gilbert equation [16]. The e\u000bective magnetic \feld depends\non various parameters, such as the applied static and time dependent magnetic \felds\n(\u00160H0and\u00160h(t), respectively), anisotropy \felds (the cubic anisotropy \feld \u00160Hc,\nthe uniaxial out-of-plane \u00160Hu?and in-plane \u00160Hukanisotropy \felds), as well as the\nexchange \feld \u00160Hex=Dk2which describes the exchange interaction in the investigated\nmaterial. Here, D=2A\nMSis the exchange sti\u000bness, Athe exchange constant and MSthe\nsaturation magnetization. The wavevector kis the wavevector of perpendicular standing\nspin-waves which is quantized over the sample thickness. Under the assumption of\nperfect pinning of the spins at the sample surface kis de\fned by k=n\u0019=d [1], wheren3\nTable 1. Parameters of the studied YIG samples. The average growth rate \u0017was\ncalculated from the thickness dand the deposition time which was 5 min for all YIG\n\flms.\nSampleThickness Growth rate Lattice mis\ft\nd(\u0016m)\u0017(\u0016m/min) \u0001 a?=aGGG(10\u00004)\nE1 2:59\u00060:01 0.52 +5 :33\u00060:07\nE2 1:59\u00060:02 0.32 +7 :68\u00060:02\nE3 0:903\u00060:003 0.18 +8 :72\u00060:03\nis the mode number. The case n= 0 corresponds to the classical case of ferromagnetic\nresonance.\nThe resonant precession frequency for cubic crystals is presented in reference [17].\nFor the case when the static magnetic \feld is applied in-plane one obtains\n\u0012!k\nj\rj\u00132\n=\u00162\n0(H0+Hex) (H0+Hex+MS\u0000Hu?\u0000Hc): (1)\nThis equation is valid if the magnetization of the sample points along the h110i-axis of\nthe crystal. If the static magnetic \feld is applied out-of-plane the frequency is given by\n!?\nj\rj=\u00160\u0012\nH0+Hex\u0000MS+Hu?\u00004\n3Hc+Huk\u0013\n: (2)\nHere,!?and!kare the applied microwave frequencies, \ris the gyromagnetic ratio and\n\u00160H0is the applied static magnetic \feld.\n3. Samples and Experimental Setup\nThe YIG \flms were grown by liquid phase epitaxy (LPE) on (111)-oriented Gadolinium\nGallium Garnet (GGG) substrates. Due to the di\u000berence in the lattice parameters\nof Czochralski-grown GGG (a GGG = 12:383\u0017A) and pure YIG (a YIG = 12:376\u0017A)\n[18] the \flms exhibit a room temperature lattice mis\ft \u0001 a?=aGGG\u0000aYIGwhich\nresults in strained epitaxial \flms. This strain is one of the main factors de\fning the\nuniaxial anisotropy \felds \u00160Hu?and\u00160Huk. In the case of LPE growth of garnet \flms\nincorporation of lead ions from the PbO solvent plays an important role in adjusting the\n\flm mis\ft [19]. Therefore, the mis\ft essentially depends on growth parameters (growth\ntemperature, growth rate, etc.). For this reason, the growth rate \u0017was varied to obtain\n(Y1\u0000xPbx)3Fe5O12\flms (0:005\u0014x\u00140:015 [20]) with reduced lattice mis\fts. In Tab. 1\nimportant material parameters of the samples are shown. It can be seen that the lattice\nmis\ft increases with decreasing growth rate. The \flm thickness dwas measured by\na prism coupler technique, and the YIG/GGG lattice mis\ft values were determined\nby X-ray di\u000braction. Then, the samples were cut in sizes of 3 \u00023 mm2for microwave\nstudies.4\nFor measuring the exchange sti\u000bness, a waveguide microwave resonance setup was\nused. An electromagnet is used to apply external \felds up to \u00160Hdc<1650 mT\u00060:1 mT,\nwhere a low-amplitude ( \u00160Hac= 0:1 mT) rf-frequency ( f\u001c1 MHz) modulation \feld\nis used by a lock-in ampli\fer as reference signal. The scan of a Lorentzian absorption\npeak with the modulation \feld results in an output voltage which has the form of the\nderivative of the original signal. A microwave \feld with a power of 10 dBm is applied\nin a wide frequency range from 5 GHz to 40 GHz with a rotatable coplanar waveguide\n(CPW) so that the angle between the \feld and the sample surface can be varied from 0\u000e\nto 360\u000e. For the in-plane measurements the external magnetic \feld is applied along the\nedges of the sample which is positioned in the middle of the CPW. In all measurements\nthe frequency is \fxed and the \feld is swept.\n4. Determination of exchange sti\u000bness\n4.1. Method of Schreiber and Frait\nA typical dependence of the lock-in signal on the applied static \feld from the out-of-plane\nmeasurements is shown in Fig. 1(a). The ferromagnetic resonance ( n= 0) can be found\nat the highest \feld values, whereas the thickness modes are located at lower \feld values.\nIn any case mainly resonances with an even mode number are observed. This e\u000bect can\nbe understood with the assumption of \\perfect pinning\", since in this case, only the\neven modes absorb energy from the homogeneous antenna \feld [21]. The experimental\nobservation of odd PSSWs can be caused by small microwave inhomogeneities across\nthe \flm thickness.\nIn the classical approach of Schreiber and Frait the exchange sti\u000bness is determined\nin the out-of-plane con\fguration using Eq. (2). Here, the anisotropy \felds and the\nsaturation magnetization are absorbed in the e\u000bective magnetization Me\u000b;?. Then, the\nresonance \feld for a certain frequency is de\fned by:\n\u00160Hres\n0(n) =\u00160Me\u000b;?+!?\nj\rj\u0000D\u00192\nd2n2, where (3)\nMe\u000b;?=MS\u0000Hu?+4\n3Hc\u0000Huk (4)\nIn a plot where the resonance \feld is drawn over the square of the mode number\nn2, the exchange sti\u000bness can be extracted with the slope of a linear function,\nwhere the y-intercept delivers information about the e\u000bective magnetization. The\npresence of resonances with odd mode numbers introduces some ambiguity regarding\nthe identi\fcation of the modes. However, the mode intensity together with the n2-\ndependence of the resonance \feld shift enables a consistent identi\fcation, as can be\nseen in Fig 1(b). Here, the resonance \felds of sample E2 are shown for di\u000berent\nfrequencies. The slopes of the linear functions are the same for all measurements and\nthey-intercepts are di\u000berent due to the use of di\u000berent excitation frequencies. In the\nperformed measurements no deviations from the linear functions were detected which5\n850 860 870 880Lock-in signal (arb. units)30\n20\n10\n0\n-10\n-20\n-30\n-40odd mode numbereven mode numberFMR\nx5030 GHz\n25 GHz\n20 GHz\n15 GHz\n10 GHz\n7.5 GHz\nSquare of mode number ² n20 0 40 60 80 100 120 140Resonance field µ (0.1 T)0 0Hresa) b)\n456789101112\nExternal field µ (mT)0 0H\nFigure 1. (a) Example spectrum for sample E2 in the out-of-plane con\fguration\nat 20 GHz. The spectrum on the left hand side of the dashed lines is magni\fed by a\nfactor of 50. (b) Line positions found in the spectrum of sample E2 in out-of-plane\ncon\fguration. It is obvious that the line positions follow a linear function in dependence\nofn2. SinceDis a shared \ftting parameter, it is the same for every frequency. The\ndashed lines show the positions of the resonances with even mode numbers.\nTable 2. Results for the YIG samples with di\u000berent thicknesses. The shown errors\nare the statistical \ftting errors. The values in the column with the out-of-planey\nmeasurement are obtained using the original method of Schreiber and Frait. The\nvalues in the column with the out-of-plane\u0003measurements are obtained based on the\ndi\u000berence between the resonance \feld of higher modes and the ferromagnetic resonance\n\feld.\nD(10\u000017T\u0001m2) or 10\u00009(erg/G\u0001cm)\nSample out-of-planeyout-of-plane\u0003in-plane\nSchreiber and Frait\nE1 5 :33\u00060:09 5 :18\u00060:01 5:29\u00060:04\nE2 5 :32\u00060:09 5 :34\u00060:02 5:30\u00060:02\nE3 5 :29\u00060:05 5 :31\u00060:02 5:40\u00060:02\nwould occur for small ndue in\ruence of the surface anisotropy. Thus, the assumption\nof perfect pinning is justi\fed. From the slope the exchange sti\u000bness values from all\nsamples are extracted, which are presented in the left column of Tab. 2. The average\nvalue for samples E1-E3 is D= (5:32\u00060:07)\u000210\u000017T\u0001m2. With the shown method, the\nslope and the e\u000bective magnetization are optimized together during the \ftting process,\ni.e. the residuum is minimized. The optimization of both parameters at the same time\nleads to a mutual in\ruence of the parameters. This e\u000bect is clearly visible in the size\nof the error bars, if compared to the modi\fed method which is presented in the next\nsection.6\n30 GHz\n25 GHz\n20 GHz\n15 GHz\n9.29 GHz\n5 GHz\n20 0 40 60 80 100\nSquare of mode number ² nExchange field µ (mT)0 exH70\n60\n50\n40\n30\n20\n10\n0\nFigure 2. The plotted exchange \felds of sample E3 are obtained building\nthe di\u000berence between the resonance \felds of the higher modes ( n6= 0) and the\nferromagnetic resonance ( n= 0) \feld. The exchange \felds only depend on the square\nof the mode number and not on the frequency.\n4.2. Modi\fed method of Schreiber and Frait\nAs shown before, the method of Schreiber and Frait requires several parameters to be\ntaken into account in order to obtain the exchange sti\u000bness. Here, a method which\nis completely independent on assumptions for the anisotropy \felds and the saturation\nmagnetization is presented. For this the ferromagnetic resonance \feld \u00160Hres\n0(0) is\nsubtracted from the resonance \felds of the higher modes \u00160Hres\n0(n6= 0) in order to\ndetermine the exchange \feld \u00160Hexof the thickness modes. Since the resonance \feld\nof the ferromagnetic resonance contains all information about the anisotropy \felds and\nMS, as can be seen in Eq. (4), the exchange \feld only depends on D:\n\u00160Hex=\u00160Hres\n0(n)\u0000\u00160Hres\n0(0) =D\u00192\nd2n2(5)\nIn Fig. 2 the exchange \felds are shown as a function of n2. One can see that the measured\nexchange \felds for di\u000berent frequencies collapse in a point for each mode number.\nThis indicates that the exchange \felds are independent on any external parameter.\nFurthermore, all collapsed data points lie on a linear function with Hex(0) = 0. This\ndata can now be analyzed using a simple linear \ft with no o\u000bset, i.e. only one \ftting\nparameter is used. Thus, any mutual in\ruence of parameters is avoided which is the\nreason for a signi\fcantly reduced statistical error. The results are shown in the middle\ncolumn of Tab. 2. All values are in the same range as obtained with the former method.\nHowever, it is visible that the exchange sti\u000bness of sample E1 is signi\fcantly smaller\nthat the others. This di\u000berence can be understood by a larger saturation magnetization\nfor sample E1 than for samples E2 and E3 as shown below. In comparison to the method\nof Schreiber and Frait, the error is decreased by a factor of up to 9 due to the avoided\nin\ruence of the e\u000bective magnetization during the data evaluation. This allows for the7\neven mode number FMR\nx130\nodd mode number\n620.0 622.5 625.0 627.5\nExternal field µ (mT)0 0HLock-in signal (arb. units)40\n30\n20\n10\n0\n-10\n-20a) b)\nSquare of mode number ² n5 0 10 15 20 25 30 350510152025\nExchange field µ (mT)0 exH\nFigure 3. (a) Example spectrum for the YIG sample E2 in in-plane con\fguration\nat 20 GHz. The \frst two resonances overlap in such a way that a multiple resonance\n\ft had to be used to extract the linewidth and position of both resonances. The\nspectrum on the left hand side of the dashed lines is magni\fed by a factor of 130. (b)\nThe exchange \felds of the sample E3 follow a linear function of the square of the mode\nnumber. The slope of the function is proportional to the exchange constant. Each\npoint in the graph stands for the exchange \feld of one resonance. Even modes are\nmarked with dashed lines.\nidenti\fcation of the exchange sti\u000bness with a high accuracy.\n4.3. Method for in-plane measurements\nClassically the method of Schreiber and Frait is used for the determination of the\nexchange sti\u000bness in out-of-plane con\fguration. Here, the method is adapted for the use\nin in-plane con\fguration. A sample spectrum of the in-plane measurements is shown\nin Fig. 3(a). It is slightly modi\fed in comparison to the out-of-plane spectrum. The\nresonances are shifted to smaller \feld values due to decreased demagnetizing e\u000bects. In\nthe in-plane case, the former methods cannot be used for data evaluation since !kis not\nlinearly dependent on the static \feld in Eq. (1). However, the former procedure can\nbe applied to the pure exchange \feld of the PSSWs. For this we propose the following\nsteps.\nFirstly, Eq. (1) must be rewritten in a way which is convenient for the \ftting\nprocess:\n!k=j\rj\u00160q\n(H0+Hex)\u0000\nH0+Hex+Me\u000b;k\u0001\n: (6)\nHere, the di\u000berent \feld contributions, including the saturation magnetization, are\nsummarized in Me\u000b;k=MS\u0000Hu?\u0000Hc. Now the e\u000bective magnetization is obtained\nby \ftting the n= 0-mode (FMR mode).\nSecondly, the same equation is used to obtain the exchange \feld \u00160Hexof the higher\nPSSW modes ( n6= 0). For this, the obtained value of Me\u000b;kis used as a constant during8\nTable 3. Exchange constants of the YIG samples are shown for di\u000berent methods.\nThe proposed modi\fed method of Schreiber and Frait (middle column) with excluded\nin\ruence of anisotropies and MSgives the best agreement for di\u000berent samples.\nA(10\u000012J/m) or (10\u00007erg/cm)\nSample out-of-planeyout-of-plane\u0003in-plane\nSchreiber and Frait\nE1 3 :64\u00060:40 3 :65\u00060:38 3:71\u00060:39\nE2 3 :64\u00060:43 3 :65\u00060:38 3:63\u00060:38\nE3 3 :76\u00060:44 3 :66\u00060:37 3:73\u00060:40\nthe data evaluation process. The resulting exchange \felds \u00160Hexof the PSSW modes\ndepend on the square of a mode number which is unknown at \frst. As in the case\nof the out-of-plane measurements there is an ambiguity regarding the identi\fcation of\nthe mode number nfor each observed mode. The identi\fcation procedure of the mode\nnumbers is shown next.\nThirdly, the exchange \felds of the modes are varied manually over the presumed\nmode numbers (see Fig. 3(b)). As \frst indicator, the peak height of the resonance can\nbe used to determine whether a mode is an even mode or not. To proove the mode\nnumbering a graphical feedback can be obtained by plotting \u00160Hex(n) overn2, where a\nwrong mode numbering would be directly visible. If the exchange \feld follows a linear\nfunction, as shown in Fig. 3(b), the exchange sti\u000bness is given by the slope of this\nfunction.\nFor all three samples the values of the exchange sti\u000bnesses are shown in the right\ncolumn of Tab. 2. They are in the same range with the other methods which supports\nthe value of this method. However, the data evaluation is much more complicated and\nthe systematic uncertainties are increased in comparison to the other methods.\n5. Determination of the exchange constant\nFor the determination of the exchange constant A=DM S=2 of our YIG samples, the\nsaturation magnetization MSmust be known. Vibrating sample magnetometry (VSM)\nwas used to de\fne MSand values of 141 kA/m, 136 kA/m and 137 kA/m for samples\nE1, E2 and E3, respectively, are found with an accuracy of 10 %. The large error is\ndue to the error in volume determination of the YIG \flms. The results obtained for\nAusing di\u000berent methods of the de\fnition of Dare shown in Tab. 3. One can see\nthat all values agree within the error bars. However, only the proposed out-of-plane\u0003\nmethod gives practically the same value for all samples. This is due to the increased\naccuracy in the de\fnition of the exchange sti\u000bness. The exchange constant of the YIG\n\flms is determined to be (3 :65\u00060:38) pJ/m, which is the average value of the out-of-\nplane\u0003method. The presented result is in good agreement with the values obtained by\nother groups [22]. Finally, one can state that the YIG \flms have the same material9\ncharacteristics independent on the lattice mismatch and thickness, which speaks for the\nhigh quality of the YIG \flms (see Tab. 1).\n6. Conclusion\nDi\u000berent methods were developed and compared to estimate the exchange sti\u000bness D\nfrom the microwave absorption spectra. Firstly, the method of Schreiber and Frait [1]\nwas used to estimate Dof the out-of-plane magnetized sample. The method was shown\nto be in\ruenced by anisotropy \felds and the saturation magnetization choice. Therefore,\nthe exchange sti\u000bnesses Dwere accompanied with appreciable errors which resulted in\ndi\u000berent values for the exchange constant A. This problem was solved with the proposed\nmethod by avoiding additional \ft parameters including anisotropy \felds by preliminary\nextraction of the pure exchange \feld contributions [see Eq. (5)]. The method was\ndemonstrated to give more accurate results which is the reason for the similar values\nof the exchange constant A. The modi\fed method is recommended for determination\nof the exchange sti\u000bness in general. Finally, the former method of was adapted for the\nin-plane con\fguration. The in-plane estimates of the exchange sti\u000bness Dwere found\nto agree well with those obtained in the out-of-plane con\fguration. However, because\nof the nonlinear dependence of the PSSW mode frequency versus n2, an evaluation of\nthe in-plane measurement data was more complicated and resulted in a similar spread\nof the exchange constant Aas in the original method of Schreiber and Frait [1].\nFinally, it was also proven that the exchange constant in thin YIG \flms remain\nnearly independent of the YIG/GGG lattice mis\ft and a value of A= (3:65\u00060:38) pJ/m\nwas extracted.\n7. Acknowledgements\nWe thank the Nano Structuring Center in Kaiserslautern for technical support. Part\nof this work was supported by NSF-CAREER Grant #0952929 and by EU-FET grant\nInSpin 612759. The measurements were performed during the annual MINT Summer\nInternship Program of the University of Alabama.\n[1] Schreiber F and Frait Z 1996 Phys. Rev. B 546473\n[2] Khitun A, Bao M and Wang K L 2008 IEEE Transactions on Magnetism 442141\n[3] Schneider T, Serga A A, Leven B, Hillebrands B, Stamps R L and Kostylev M P 2008 Appl. Phys.\nLett.92022505\n[4] Klingler S, Pirro P, Br acher T, Leven B, Hillebrands B, Chumak A V 2014 Design of a spin-wave\nmajority gate employing mode selection arXiv:1408.3235 [cond-mat.mtrl-sci]\n[5] Chumak A V, Vasyuchka V I, Serga A A, Kostylev M P, Tiberkich V S and Hillebrands B 2012\nPhys. Rev. Lett. 108257207\n[6] Chumak A V, Serga A A and Hillebrands B 2014 Nat. Commun. 54700\n[7] Jung\reisch M B, Chumak A V, Kehlberger A, Lauer V, Kim D H, Onbasli M C, Ross C A,\nKl aui M and Hillebrands B 2013 Thickness and power dependence of the spin-pumping e\u000bect in\nY3Fe5O12/Pt heterostructures measured by the inverse spin Hall e\u000bect arXiv:1308.3787 [cond-\nmat.mes-hall].10\n[8] Pirro P, Br acher T, Chumak A V, L agel B, Dubs C, Surzhenko O, G ornert P, Leven B and\nHillebrands B 2014 Appl. Phys. Lett. 104012402\n[9] d'Allivy Kelly O, Anane A, Bernard R, Ben Youssef J, Hahn C, Molpeceres A H, Carretero C,\nJacquet E, Deranlot C, Bortolotti P, Lebourgeois R, Mage J C, de Loubens G, Klein O, Cros V\nand Fert A 2013 Appl. Phys. Lett. 103082408\n[10] Liu T, Chang H, Vlaminck V, Sun Y, Kabatek M, Ho\u000bmann A, Deng L and Wu M 2014 J. Appl.\nPhys. 11517A501\n[11] Sun Y, Chang H, Kabatek M, Song Y-Y, Wang Z, Jantz M, Schneider W, Wu M, Montoya E,\nKardasz B, Heinrich B, te Velthuis S G E, Schultheiss H and Ho\u000bmann A 2013 Phys. Rev. Lett.\n111106601\n[12] Hahn C, de Loubens G, Klein O, Viret M, Naletov V V and Ben Youssef J 2013 Phys. Rev. B 87\n174417\n[13] Hahn C, Naletov V V, de Loubens G, Klein O, d'Allivy Kelly O, Anane A, Bernard R, Jacquet\nE, Bortolotti P, Cros V, Prieto J L and Mu~ noz M 2014 Appl. Phys. Lett. 104152410\n[14] Ciubotaru F, Chumak A V, Grigoryeva N Y, Serga A A and Hillebrands B 2012 J. Phys. D: Appl.\nPhys. 45255002\n[15] Kajiwara Y, Harii K, Takahashi S, Ohe J, Uchida K, Mizuguchi M, Umezawa H, Kawai H, Ando\nK, Takanashi K, Maekawa S and Saitoh E 2010 Nature 464262\n[16] Landau L and Lifshitz E 1935 Phys. Z. Sowjetunion 16914\n[17] Bobkov V B, Zavuslyak I V and Romanyuk V F 2003 J. Commun. Technol. & El. 48196\n[18] Wang H, Du C, Hammel C and Yang F 2013 Strain-tunable magnetocrystalline anisotropy in\nepitaxial Y 3Fe5O12thin \flms arXiv:1311.0238 [cond-mat.mtrl-sci]\n[19] Hergt R, Pfei\u000ber H, G ornert P, Wendt M, Keszei B and Vandlik J 1987 Phys. Stat. Sol. (a) 104\n769\n[20] Pb contents in formular units were estimated from the lattice mis\ft by comparison with literature\ndata [23].\n[21] Kittel 1958 Phys. Rev. 1101295\n[22] Hoekstra B. 1978 Spin wave resonsance studies of inhomogeneous La,Ga:YIG epitaxial \flms ,\nDissertation\n[23] Sure S 1995, Herstellung magnetischer Granat\flme durch Fl ussigphasen-Epitaxie f ur Anwendungen\nin der Integrierten Optik , Dissertation" }, { "title": "1903.05865v1.Electrical_control_of_spin_mixing_conductance_in_a_Y__3_Fe__5_O___12___Platinum_bilayer.pdf", "content": "Electrical control of spin mixing conductance in a Y 3Fe5O12/Platinum bilayer\nLedong Wang, Zhijian Lu, Jianshu Xue, Peng Shi, Yufeng Tian, Yanxue Chen, Shishen Yan,\u0003and Lihui Baiy\nSchool of Physics, State Key Laboratory of Crystal Materials,\nShandong University, 27 Shandanan Road, Jinan, 250100 China\nMichael Harder\nDepartment of Physics, Kwantlen Polytechnic University,\n12666 72 Avenue, Surrey, BC V3W 2M8 Canada\n(Dated: 2019/03/15/00:38:20)\nWe report a tunable spin mixing conductance, up to \u000622%, in a Y 3Fe5O12/Platinum (YIG/Pt)\nbilayer. This control is achieved by applying a gate voltage with an ionic gate technique, which\nexhibits a gate-dependent ferromagnetic resonance line width. Furthermore, we observed a gate-\ndependent spin pumping and spin Hall angle in the Pt layer, which is also tunable up to \u000613.6%.\nThis work experimentally demonstrates spin current control through spin pumping and a gate\nvoltage in a YIG/Pt bilayer, demonstrating the crucial role of the interfacial charge density for the\nspin transport properties in magnetic insulator/heavy metal bilayers.\nI. INTRODUCTION\nSpin currents in Y 3Fe5O12/Platinum (YIG/Pt) bilay-\ners have attracted much attention in the past decade due\nto the unique spin current transport properties in mag-\nnetic insulators and spin charge conversion in heavy met-\nals [1{14]. Mechanisms including spin pumping at the bi-\nlayer interface [15], spin di\u000busion [16] and the inverse spin\nHall e\u000bect in heavy metals [17], have been established to\ninterpret the generation, transfer and conversion of spin\ncurrent [18]. This understanding has advanced the devel-\nopment of spintronic devices, as evidenced by, for exam-\nple, nano oscillators. However spintronic devices based\non spin pumping require additional control beyond the\nbasic generation and detection of spin current [19]. In\nthis regard improvement of spin current transport at the\ninterface of the YIG/Pt bilayer is the kernel toward the\napplication of spin current due to spin pumping.\nThe e\u000eciency with which the spin current crosses a\nbilayer interface is characterized by the spin mixing con-\nductance,g\"#, which is a constant for a given sample and\nis usually measured by comparing the ferromagnetic res-\nonance (FMR) line width in a bilayer device to that of\na bare YIG layer. Some attempts have been made to\nchange this interfacial spin transport. For example, a\nthin NiO [20, 21] or CrO [22] layer inserted at the inter-\nface has been reported to enhance or suppress the spin\ncurrent, respectively. Additionally, Pt alloyed with Al or\nAu was demonstrated to enhance the spin transfer torque\nat the interface [23]. Importantly, such previous works\nhint that the conductivity in the Pt layer may change\nthe boundary at the interface, hence changing the spin\ntransport properties as well as the spin mixing conduc-\ntance.\nTo control the spin transport properties of bilayer sam-\nples a gate voltage can be used to control interfacial\n\u0003shishenyan@sdu.edu.cn\nylhbai@sdu.edu.cnboundary conditions. Interestingly gate voltage tech-\nniques, originally developed to control the carrier den-\nsity in semiconductors, have recently been applied to thin\nmetal \flms [24]. In this context the addition of an ionic\ngate has been shown to enlarge the \feld e\u000bect in metallic\n\flms due to the huge number of ions accumulated at the\ninterface under certain bias voltage conditions [25]. As a\nresult it has been reported that the carrier density and\nanomalous Hall e\u000bect in Pt may be modulated [26, 27].\n(a)\n(b) (c)\nVG\nxyz\nGateGate\nJsJs\nYIGPt\nYIG\nPt/s32/s33/s32/s34\n/s32/s33/s32/s32\n/s35/s32/s33/s32/s34Rxy (Ω)\n/s35/s36/s32/s36\nµ0H (T) VG = 2 V\n VG = 0 V\n VG = -2 V\nFIG. 1. (a) Spin pumping measurement setup using a YIG/Pt\nbilayer with a gate voltage VGapplied using an ionic gate tech-\nnique. An external magnetic \feld Hwas applied along the\ny-axis perpendicular to the measurement direction ( x-axis).\n(b) A Hall measurement in a 3-nm-thick Pt \flm indicates\nthat the carrier density was modulated by the gate voltage.\n(c) Sketch of the carrier density change due to the gate volt-\nage, which changes the boundary conditions at the YIG/Pt\ninterface, in\ruencing the spin current.arXiv:1903.05865v1 [cond-mat.mes-hall] 14 Mar 20192\nThis brings about the interesting possibility to develop\nadditional control techniques to manipulate spin trans-\nport at the YIG/Pt interface [28].\nIn this work we applied a gate voltage to a YIG/Pt\nbilayer using an ionic gate technique to modulate the\ncharge accumulation at the bilayer interface. We exper-\nimentally observed that the FMR line width is both en-\nhanced and suppressed depending on the polarity of the\ngate voltage. By compare the FMR line width in the bi-\nlayer to that of the bare YIG thin \flm, we found a gate\ntunable spin mixing conductance in the YIG/Pt bilayer.\nAdditionally, the observation of a shift in the FMR reso-\nnance \feld towards both high and low \felds, depending\non the polarity of the gate voltage, allows us to rule out\nthe Joule heating e\u000bect. This shift in the FMR resonance\n\feld indicates that the e\u000bective \feld of the magnetization\nwas changed by the gate voltage which may be induced by\ncharge accumulation at the interface. Using the Landau-\nLifshitz-Gilbert equation and spin pumping theory, we\nevaluated the spin current and the spin Hall e\u000bect in Pt,\n\fnding a strong gate voltage dependence. Thus we have\nexperimentally demonstrated control of the spin current\ntransport at a bilayer interface, which will be useful for\nunderstanding spin transfer at the interfaces of magnetic\ninsulator and heavy metal bilayers.\nII. EXPERIMENTAL METHODS\nTo fabricate the YIG/Pt bilayer a 20-nm thick YIG\nlayer was \frst deposited on a GGG substrate using pulsed\nlaser deposition. The YIG had a saturation magnetiza-\ntion of\u00160Ms= 0.175 T and a Gilbert damping of \u000bY IG\n= 0.00049. A 2.5-nm-thick Pt layer was then deposited\non top of the YIG using magneto sputtering in which the\nbase pressure and the sputtering pressure were 2 \u000210\u00005\nPa and 0.68 Pa, respectively. The Gilbert damping of the\nbilayer was \u000bY IG=Pt = 0.00157 which is 3 times larger\nthan that of the bare YIG \flm. The lateral dimensions\nof the bilayer were 5 mm \u00022.5 mm, and a Hall bar was\nfabricated using lithography techniques for reference and\nHall measurements.\nTo measure the spin current signal due to spin pump-\ning the YIG/Pt bilayer was driven to FMR by microwaves\napplied through a coplanar waveguide beneath the sam-\nple. The microwave output power was 158 mW and the\nmodulation frequency of the microwave power (used for\nlock-in measurements) was 8.33 kHz. An external mag-\nnetic \feld Hwas applied in-plane and perpendicular to\nthe Pt strip to enhance the spin pumping signal. The\nspin pumping voltage was measured along the x-axis of\nthe Pt layer using a lock-in technique while sweeping the\nmagnetic \feld Hat room temperature.\nAn ionic gate, composed of a composite solid elec-\ntrolyte, was placed on top of the Pt layer and a gate\nvoltageVGwas applied between a contact (labelled as\nGate) on top of the solid electrolyte, and the Pt layer.\nThe composite solid electrolyte used for gating was pre-pared using 81 wt% of acrylic resin, 14.6 wt% of succi-\nnonitrile, and 4.4 wt% of cesium perchlorate. As shown\nin Fig. 1 (b) the sheet carrier density in the 3-nm-thick\nPt layer was found to be 1.8 \u00021017cm\u00002when no gate\nvoltage was applied, VG= 0 V, assuming the single band\nrelationRH= 1=ne(eis the electron charge and nis\nthe carrier density). This is comparable to the reported\nresults for Pt [26]. However, as indicated by the squares\nand triangles for VG= 2 V and -2 V respectively, the Hall\nresistance, and hence the carrier density, changes signi\f-\ncantly when a gate voltage is applied. For a 3-nm-thick\nPt thin \flm it is expected that the boundary at the in-\nterface of the YIG and Pt layer is strongly dependent on\nthe carrier density as well as the spin orbit interaction in\nthe Pt layer as shown in Fig. 1 (c). Thus the change in\nboundary conditions due to charge accumulation at the\nYIG/Pt interface will change the interfacial spin trans-\nport properties, which was experimentally observed in\nthe FMR measurement. In our work we de\fned a pos-\nitive gate voltage when the carrier density was reduced\nand vice versa. As a reference the FMR absorption in\na bare YIG \flm was also measured as a function of the\ngate voltage[29]. In this case the voltage induced FMR\nchanges were found to be small and ignorable.\nIII. RESULTS AND DISCUSSION\nA. VG-dependent spin mixing conductance\nFigure 2 (a) shows the spin pumping voltage measured\nin the YIG/Pt bilayer for a variable gate voltage VG, with\nthe FMR absorption signal in the YIG bare layer plotted\nfor reference. Here the FMR spectra has been shifted\nby the resonance \feld H0and normalized to the max-\nimum signal amplitude, in order to highlight the FMR\nline width change due to the gate voltage. The signi\f-\ncant broadening of the YIG/Pt line width, as compared\nto the FMR line width in the bare YIG layer, has been\nexperimentally observed and theoretically studied pre-\nviously [15, 30]. Here we also observe that the bilayer\nline width is dependent on the applied gate voltage VG.\nFigure 2 (b) shows the FMR line width \u0001 H(half-width-\nhalf-maximum) in both the YIG and YIG/Pt samples.\nThe Gilbert damping, determined from the gradient of\nthe line width as a function of the microwave frequency,\nis enhanced in the YIG/Pt bilayer compared to that in\nYIG. Clearly the gate voltage applied to the YIG/Pt bi-\nlayer suppresses and enhances the Gilbert damping of the\nbilayer. The inhomogeneous broadening \u0001 H0is around\n0.1 mT which is one order smaller than the line width\n\u0001Hat 6.8 GHz in both the YIG/Pt and YIG samples.\nFurthermore \u0001 H0was barely a\u000bected by the gate volt-\nage. Therefore it is a good approximation to subtract\nthe Gilbert damping directly using the line with \u0001 Hat\n6.8 GHz. Such a line width change as a function of VG\nis summarized in Fig. 2 (c), where the dashed horizontal\nline indicates the FMR line width of the bare YIG. In a3\n/s32/s33/s34\n/s35/s33/s34\n/s36/s33/s34µ0ΔH (mT)\n/s37/s38 /s37/s35 /s34 /s35 /s38\nVG (V)YIG/Pt\nYIGη = − 0.038ω/2π = 6.8 GHz(a)\n/s35/s33/s39\n/s35/s33/s34\n/s36/s33/s39/s32↑↓ (×1018 m-2)\n/s37/s38 /s37/s35 /s34 /s35 /s38\nVG (V)/s38\n/s35\n/s34µ0ΔH (mT)\n/s36/s34/s39/s34\nω/2π (GHz)VG\n-4 V\n0 V\n4 V\nYIG(b)\n(c)\n(d)/s36\n/s34Normalized VSP\n/s37/s38/s34/s38\nµ0(H-H0) (mT)-4 V\n0 V\n4 Vω/2π= 6.8 GHz\nVG\nYIG\nFIG. 2. Spin pumping voltage in the YIG/Pt bilayer, normal-\nized by the maximum amplitude to highlight the line width\nchanges induced by the gate voltage VG. The FMR absorption\nsignal (squares) from a bare YIG layer is used as a reference.\n(b) The FMR line width as a function of microwave frequency\ndepends on the gate voltage. (c) The FMR line width \u0001H is\nplotted as a function of the gate voltage VGand compared to\nthat in bare YIG. The solid line indicates the \ftting results\nto Eq. (1). Here the microwave frequency is 6.8 GHz. (d)\nSpin mixing conductance is subtracted and predicted based\non Eqs. (2) and (1).\n\frst order approximation we assume that the line width\n\u0001His in\ruenced by the gate voltage VG, according to\n\u0001H= \u0001H0+!\n\r\u000bY IG=Pt (1 +\u0011VG): (1)\nHere \u0001H0is the frequency independent inhomoge-\nneous broadening, the gyromagnetic ratio \r= 2\u0019\u00160\u000228\nGHz/T, the Land\u0013 e factor g= 2,\u0016Bis the Bohr mag-\nneton,!is microwave angular frequency, \u000bY IG=Pt is the\nGilbert damping of the YIG/Pt bilayer and \u0011is a pro-\nportionality factor that characterizes the in\ruence of the\ngate voltage on the Gilbert damping. The units of \u0011\nareV\u00001. Here we \fnd \u0011= -0.038V\u00001by \ftting theline width of the YIG/Pt bilayer to Eq. (1). Such a\nVG-dependent line width was also observed for various\ndi\u000berent frequencies (not shown here). The spin mixing\nconductance g\"#was experimentally evaluated by com-\nparing the FMR line width \u0001 HY IG=Pt in the YIG/Pt\nbilayer to the FMR line width \u0001 HY IG in the bare YIG\nlayer [30],\ng\"#=4\u0019Ms\rtY IG\ng\u0016B!(\u0001HY IG=Pt\u0000\u0001HY IG);(2)\nwhereMsis the saturation magnetization and tY IG is\nthe thickness of the YIG layer.\nBy comparing the line width of FMR in the YIG/Pt\nbilayer to that in the YIG thin \flm according to Eq.\n(2), one can evaluate the spin mixing conductance g\"#as\nsummarized in Fig. 2 (d). We \fnd that g\"#is roughly\nlinearly-dependent on the gate voltage VGas indicated by\nthe solid line, which can be predicted by Eq. (2) using\n\u0011= -0.038V\u00001. This indicates that we have experimen-\ntally manipulated the YIG/Pt interfacial spin transport\nproperties, which is a key issue concerning spin injection\nin the spintronics community. We note that here the spin\nmixing conductance is an e\u000bective value since spin back\n\row will play a role in the 2.5-nm-thick Pt [31, 32].\nB. Spin current manipulated by VG\nSince the spin mixing conductance is VGtunable we\nmay expect that the spin current due to spin pumping\nis also controlled by the gate voltage. As evidenced by\nthe broadened line width, the gate voltage enhanced spin\nmixing conductance is the key source of additional FMR\ndamping. This leads to a reduced FMR amplitude and\nthus a (1/\u000b)2decrease in the spin current pumped by\nFMR. Therefore even though the enhanced spin mixing\nconductance leads to a large spin current transparency at\nthe bilayer interface, the observed spin current amplitude\nis reduced. Figure 3 (a) shows the spin pumping voltage\nat di\u000berent values of VG. The amplitude of VSPis en-\nhanced by applying a positive voltage and suppressed by\na negative voltage, with a total change up to \u000646.3% as\nshown in Fig. 3 (b). We also carefully examined the re-\nsistance change of the Pt layer as a function of VG, which\nshows a much smaller change ( \u00063.4%) as highlighted in\nFig. 3 (c). Fig. 3 (d) displays the VG-dependent charge\ncurrentJC;SP which has been generated from the spin\ncurrent through the inverse spin Hall e\u000bect of the Pt\nlayer. The gate voltage dependence of the charge current\ncan be evaluated by considering the Polder tensor for\nFMR in the YIG layer, the spin mixing conductance at\nthe interface, the spin di\u000busion into the Pt layer, and the\ninverse spin Hall e\u000bect in the Pt layer. For a given mi-\ncrowave frequency and power the spin current produced\nby the FMR and injected into the Pt layer at the inter-\nface will have the form js;0/g\"#=\u000b2\nY IG=Ptand therefore\nthe charge current may be written as,\nJC;SP =k\u0012SHg\"#=\u000b2\nY IG=Pt: (3)4\n/s32\n/s33\n/s34\n/s35VSP (µV)\n/s34/s36/s35 /s34/s37/s35 /s34/s32/s35\nµ0H (mT)VG = 4 V\nVG = 0 V\nVG = -4 V\n/s32/s38/s36\n/s33/s38/s36\n/s34/s38/s36L\n/s39/s37/s39/s33/s35/s33/s37\nVG (V)/s37/s35/s35\n/s32/s35/s35\n/s33/s35/s35R (Ω)\n/s39/s37/s39/s33/s35/s33/s37\nVG (V)\n/s34/s38/s33\n/s34/s38/s35\n/s35/s38/s40θSH / θSH,0\n/s39/s37/s35/s37\nVG (V)/s40/s38/s35\n/s41/s38/s36\n/s36/s38/s35JC,SP (nA)\n/s39/s37 /s39/s33 /s35 /s33 /s37\nVG (V) VG-independent θSH\n VG-dependent θSH\n ζ = 0.034(a)\n(b) (c)\n(d)\nFIG. 3. (a) Spin pumping voltages VSPat various VG, demon-\nstrating a gate voltage dependence. The spin pumping voltage\nVSPand the resistance of the YIG/Pt bilayer are plotted in\n(b) and (c) respectively as a function of the gate voltage VG.\n(d) The charge current due to spin pumping JC;SP was com-\npared to the predictions with and without the VG-dependent\nspin Hall angle. The inset displays the spin Hall angle as a\nfunction of the gate voltage. Here, the microwave frequency\nis 6.8 GHz.\nHerekis aVG-independent constant which depends on\nthe microwave frequency and power, saturation mag-\nnetization of the YIG and the spin current di\u000busion\nproperties of the Pt layer. Based on this analysis we\ncan predict the VG-dependent charge current using Eq.\n(3) as shown by the dashed line in Fig. 3 (d), where\nk\u0012SH= 7:64\u000210\u000024nA\u0001m2. Although the predicted\ndashed line does have a VG-dependence (due to the VG-\ndependent spin mixing conductance), it does not match\nthe experimental observation. This indicates that for a\ngiven spin Hall angle, although the spin mixing conduc-\ntance was enhanced, less spin current was produced than\nthat required to generate the necessary charge current.\nTherefore, in order to compare with the observed JC;SP\nit is reasonable to assume that the spin Hall angle, \u0012SH\nin Eq. (3), is also VG-dependent,\n/s32\n/s33\n/s34\n/s35VSP (µV)\n/s34/s36/s37 /s34/s32/s38 /s34/s32/s34\nµ0H (mT)/s34\n/s35\nFMR absorption (a.u.)ω/2π = 6.8 GHzVG 4 V0 V-4 V\nYIGYIG/Pt\n/s34/s36/s39\n/s34/s36/s35\n/s34/s32/s39µ0H0 (mT)\n/s40/s36 /s40/s33 /s35 /s33 /s36\nVG (V)YIG\nYIG/PtYIG/Pt(a)\n(b)FIG. 4. (a) The FMR resonance \feld H0was shifted to the\nhigh \feld and low \feld sides for positive and negative gate\nvoltages, respectively. The FMR absorption in bare YIG is\ndisplayed for comparison. (b) The FMR resonance \feld H0\nas a function of the gate voltage is summarized and compared\nto that in a bare YIG layer. Here, the microwave frequency\nwas 6.8 GHz.\n\u0012SH=\u0012SH;0(1 +\u0010VG): (4)\nHere\u0010de\fnes the gate voltage dependence of the spin\nHall angle and has units of V\u00001and\u0012SH;0is the spin\nHall angle for VG= 0 V. By combining Eqs. (3) and (4),\nwe predict the charge current due to spin pumping as\nshown by the solid line in Fig. 3 (b), which matches well\nwith the experimental data. In this calculation k\u0012SH;0=\n7:58\u000210\u000023nA\u0001m2, which is the same as k\u0012SHused for\nEq. (3) (dashed line), and \u0010= 0.034V\u00001indicating that\nthe spin Hall angle in the Pt layer is also tunable by the\ngate voltage. Therefore, we \fnd a spin Hall angle which\ncan be tuned by up to \u000613.6% as shown by the inset\nin Fig. 3 (d). This tendency is opposite the behaviour\nobserved for the VG-dependent spin mixing conductance.\nC. VG-dependent anisotropy \feld\nAn analogous electrically tunable anomalous Hall\ne\u000bect in Pt was previously reported using an ionic\ngate technique [26] and may involve similar underlying\nphysics, related to a strongly charge density dependent\nspin orbit interaction. However in previous results the\ngate voltage in\ruence on the resistance and Hall e\u000bect\nwas irreversible, whereas the spin mixing conductance\ncontrol in our work can be repeated many times and may5\ntherefore be utilized to control spin current transport\nin future spintronic devices. We have performed spin\npumping measurements in YIG(20 nm)/Pt( tnm) for a\nvariety of Pt thickness t[29]. Compared to the large VG-\ntunable e\u000bect in the 2.5-nm-thick-Pt sample discussed\nabove, the spin pumping signal was greatly reduced in a\n4-nm-thick-Pt sample and barely observable in a 10-nm-\nthick-Pt sample. These results further indicate that the\ne\u000bective spin mixing conductance change is due to charge\naccumulation at the YIG/Pt interface, which is greatly\nenhanced in the thin Pt samples.\nThe physics underlying the tunable spin mixing con-\nductance and spin Hall angle is the change in carrier\ndensity due to the gate voltage, which will also induce\na boundary change at the bilayer interface as we high-\nlighted in Fig. 1 (c). Interestingly this also appears to\ninduce an anisotropy change in the YIG/Pt bilayer as a\nfunction of the gate voltage. In Fig. 4 (a) we have plot-\nted the FMR signals in the bare YIG layer and in the\nYIG/Pt bilayer with di\u000berent gate voltages while the ex-\nternal magnetic \feld was applied in the \flm plane. The\nvertical dashed lines highlight the resonance positions.\nThe large 6.8 mT shift to low \felds which is observed in\nthe bilayer device, compared to the bare YIG, is due to\nthe in\ruence of the Pt layer on the boundary conditions\nof the bare YIG surface. When we apply a gate volt-\nage the FMR resonance \feld H0shifts to higher \felds\nby 2.06 mT for VG= 4.0 V and to lower \felds by 2.07\nmT forVG= -4.0 V. The VG-dependent H0is summa-\nrized in panel (b) and shows nearly a linear dependence.\nA more complex anisotropy change was observed in dif-\nferent samples and the mechanism is still an open ques-tion for future work, but bears an interesting analogy to\nthe electrical \feld induced anisotropy changes in systems\nsuch as CoFeB/MgO [24] and CoO/Co [33, 34].\nIV. CONCLUSION\nIn summary, we report the modulation of the charge\ncarrier density at the interface of a Y 3Fe5O12/Platinum\n(YIG/Pt) bilayer using an ionic gate technique. We elec-\ntrically detected the ferromagnetic resonance (FMR) at\nvariable gate voltages, observing three major features:\n(1) The line width of FMR is controlled by a gate volt-\nage, which indicates that the spin mixing conductance\nin the bilayer can be tuned; (2) The voltage amplitude\nof spin pumping is strongly dependent on the gate volt-\nage. To model the voltage change we found that the spin\nHall angle in Pt should be a function of the gate voltage;\n(3) The anisotropy change indicates that the boundary\nconditions at the interface of the bilayer are changed by\nthe gate voltage. Thus, we experimentally demonstrated\ncontrol of spin current due to spin pumping in a YIG/Pt\nbilayer using a gate voltage. This observation may be\nused to better understand spin transfer at magnetic in-\nsulator/heavy metal interfaces.\nV. ACKNOWLEDGMENTS\nThis work is supported by the `National Young 1000\nTalents' Program and by the National Natural Science\nFoundation of China (NSFC No. 11774200) grants (Lihui\nBai).\n[1] Y. Kajiwara, K. Harii, S. Takahashi, J. Ohe, K. Uchida,\nM. Mizuguchi, H. Umezawa, H. Kawai, K. Ando,K.\nTakanashi, S. Maekawa, and E. Saitoh, Transmission of\nelectrical signals by spin-wave interconversion in a mag-\nnetic insulator, Nature 464, 262 (2010).\n[2] Lei Lu, Yiyan Sun, Michael Jantz, and Mingzhong Wu\nControl of Ferromagnetic Relaxation in Magnetic Thin\nFilms through Thermally Induced Interfacial Spin Trans-\nfer, Physical Review Letters, 108, 257202 (2012).\n[3] Lihui Bai, P. Hyde, Y. S. Gui, C.-M. Hu, V. Vlaminck,\nJ. E. Pearson, S. D. Bader, and A. Ho\u000bmann, Univer-\nsal Method for Separating Spin Pumping from Spin Rec-\nti\fcation Voltage of Ferromagnetic Resonance, Physical\nReview Letters 111, 217602 (2013).\n[4] C. Hahn, G. de Loubens, O. Klein, M. Viret, V. V.\nNaletov, and J. Ben Youssef, Comparative measurements\nof inverse spin Hall e\u000bects and magnetoresistance in\nYIG/Pt and YIG/Ta, Phys. Rev. B 87, 174417, (2013).\n[5] Yiyan Sun, Houchen Chang, Michael Kabatek, Young-\nYeal Song, Zihui Wang, Michael Jantz, William Schnei-\nder, Mingzhong Wu, E. Montoya, B. Kardasz, B. Hein-\nrich, Suzanne G. E. te Velthuis, Helmut Schultheiss,\nand Axel Ho\u000bmann, Damping in Yttrium Iron Garnet\nNanoscale Films Capped by Platinum, Phys. Rev. Lett.\n111, 106601, (2013).[6] V. Castel, N. Vlietstra, B. J. van Wees, and J. Ben\nYoussef, Yttrium iron garnet thickness and frequency\ndependence of the spin-charge current conversion in\nYIG/Pt systems, Phys. Rev. B 90, 214434, (2014).\n[7] P. Hyde, Lihui Bai, D. M. J. Kumar, B. W. Southern,\nC.-M. Hu, S. Y. Huang, B. F. Miao, and C. L. Chien,\nElectrical detection of direct and alternating spin current\ninjected from a ferromagnetic insulator into a ferromag-\nnetic metal, Phys. Rev. B 89, 180404(R), (2014).\n[8] M. Haertinger, C. H. Back, J. Lotze, M. Weiler, S.\nGepr ags, H. Huebl, S. T. B. Goennenwein, and G.\nWoltersdorf, Spin pumping in YIG/Pt bilayers as a func-\ntion of layer thickness, Phys. Rev. B 92, 054437, (2015).\n[9] Hengan Zhou, Xiaolong Fan, Li Ma, Qihan Zhang, Lei\nCui, Shiming Zhou, Y. S. Gui, C.-M. Hu, and Desheng\nXue, Spatial symmetry of spin pumping and inverse spin\nHall e\u000bect in the Pt/Y3Fe5O12 system, Phys. Rev. B 94,\n134421, (2016).\n[10] S. Dushenko, H. Ago, K. Kawahara, T. Tsuda, S. Kuwa-\nbata, T. Takenobu, T. Shinjo, Y. Ando, and M. Shiraishi,\nGate-Tunable Spin-Charge Conversion and the Role of\nSpin-Orbit Interaction in Graphene, Phys. Rev. Lett.\n116, 166102, (2016).\n[11] Hailong Wang, James Kally, Joon Sue Lee, Tao Liu,\nHouchen Chang, Danielle Reifsnyder Hickey, K. An-6\ndre Mkhoyan, Mingzhong Wu, Anthony Richardella,\nand Nitin Samarth, Surface-State-Dominated Spin-\nCharge Current Conversion in Topological-Insulator-\nFerromagnetic-Insulator Heterostructures, Phys. Rev.\nLett. 117, 076601, (2016).\n[12] Devin Wesenberg, Tao Liu, Davor Balzar, Mingzhong Wu\nand Barry L. Zink, Long-distance spin transport in a\ndisordered magnetic insulator, Nature Physics 13, 987,\n(2017).\n[13] A. Kapelrud and A. Brataas, Spin pumping, dissipation,\nand direct and alternating inverse spin Hall e\u000bects in\nmagnetic-insulator/normal-metal bilayers, Phys. Rev. B\n95, 214413, (2017).\n[14] S. Keller, J. Greser, M. R. Schweizer, A. Conca, V.\nLauer, C. Dubs, B. Hillebrands, and E. Th. Papaioan-\nnou, Relative weight of the inverse spin-Hall and spin-\nrecti\fcation e\u000bects for metallic polycrystalline Py/Pt,\nepitaxial Fe/Pt, and insulating YIG/Pt bilayers: Angu-\nlar dependent spin pumping measurements, Phys. Rev.\nB96, 024437, (2017).\n[15] Yaroslav Tserkovnyak, Arne Brataas, and Gerrit E. W.\nBauer, Enhanced Gilbert Damping in Thin Ferromag-\nnetic Films, Phys. Rev. Lett. 88, 117601, (2002).\n[16] Eric Montoya, Bret Heinrich, and Erol Girt, Quantum\nWell State Induced Oscillation of Pure Spin Currents in\nFe/Au/Pd(001) Systems, Phys. Rev. Lett. 113, 136601,\n(2014).\n[17] E. Saitoh, M. Ueda, H. Miyajima, and G. Tatara, Con-\nversion of spin current into charge current at room tem-\nperature: Inverse spin-Hall e\u000bect, Appl. Phys. Lett. 88,\n182509, (2006).\n[18] Yaroslav Tserkovnyak, Arne Brataas, Gerrit E. W.\nBauer, and Bertrand I. Halperin, Nonlocal magnetization\ndynamics in ferromagnetic heterostructures, Rev. Mod.\nPhys. 77, 1375, (2005).\n[19] Igor \u0014Zuti\u0013 c, Jaroslav Fabian, and S. Das Sarma, Spintron-\nics: Fundamentals and applications, Rev. Mod. Phys. 76,\n323, (2004).\n[20] C. H. Du, H. L. Wang, Y. Pu, T. L. Meyer, P. M. Wood-\nward, F. Y. Yang, and P. C. Hammel, Probing the Spin\nPumping Mechanism: Exchange Coupling with Expo-\nnential Decay in Y 3Fe5O12/Barrier/Pt Heterostructures,\nPhys. Rev. Lett. 111, 247202, (2013).\n[21] Hailong Wang, Chunhui Du, P. Chris Hammel, and\nFengyuan Yang, Antiferromagnonic Spin Transport from\nY3Fe5O12into NiO, Phys. Rev. Lett. 113, 097202,\n(2014).\n[22] Zhiyong Qiu, Dazhi Hou, Joseph Barker, Kei Yamamoto,\nOlena Gomonay and Eiji Saitoh, Spin colossal magne-\ntoresistance in an antiferromagnetic insulator, Nature\nMaterial 17, 577, (2018).\n[23] Minh-Hai Nguyen, Mengnan Zhao, D. C. Ralph, and R.\nA. Buhrman, Enhanced spin Hall torque e\u000eciency in\nPtAl and PtHf alloys arising from the intrinsic spin Hall\ne\u000bect, Appl. Phys. Lett. 108, 242407, (2016).\n[24] Wei-Gang Wang, Mingen Li, Stephen Hageman and C. L.\nChien, Electric-\feld-assisted switching in magnetic tun-\nnel junctions, Nature Materials 11, 64, (2012).\n[25] Y. Yamada, K. Ueno, T. Fukumura, H. T. Yuan, H. Shi-\nmotani, Y. Iwasa, L. Gu, S. Tsukimoto, Y. Ikuhara, M.\nKawasaki, Electrically Induced Ferromagnetism at Room\nTemperature in Cobalt-Doped Titanium Dioxide, Science\n3321065, (2011).[26] Sunao Shimizu, Kei S. Takahashi, Takafumi Hatano,\nMasashi Kawasaki, Yoshinori Tokura, and Yoshihiro\nIwasa, Electrically Tunable Anomalous Hall E\u000bect in Pt\nThin Films, Phys. Rev. Lett. 111, 216803, (2013).\n[27] L. Liang, J. Shan, Q. H. Chen, J. M. Lu, G. R. Blake,\nT. T. M. Palstra, G. E. W. Bauer, B. J. van Wees,\nand J. T. Ye, Gate-controlled magnetoresistance of a\nparamagnetic-insulator/platinum interface, Phys. Rev. B\n98, 134402, (2018).\n[28] Mengmeng Guan, Lei Wang, Shishun Zhao, Ziyao Zhou,\nGuohua Dong, Wei Su, Tai Min, Jing Ma, Zhongqiang\nHu, Wei Ren, ZuoGuang Ye, CeWen Nan, and Ming\nLiu, Ionic Modulation of the Interfacial Magnetism in\na Bilayer System Comprising a Heavy Metal and a Mag-\nnetic Insulator for Voltage-Tunable Spintronic Devices,\nAdvanced Materials 30, 1802902, (2018).\n[29] See Supplemental Material at [URL will be inserted by\npublisher] for more details on the gate voltage applied\nto a bare YIG layer and the Pt-thickness dependence in\nYIG/Pt bilayers.\n[30] Mathias Weiler, Matthias Althammer, Michael Schreier,\nJohannes Lotze, Matthias Pernpeintner, Sibylle Meyer,\nHans Huebl, Rudolf Gross, Akashdeep Kamra, Jiang\nXiao, Yan-Ting Chen, HuJun Jiao, Gerrit E. W. Bauer,\nand Sebastian T. B. Goennenwein, Experimental Test of\nthe Spin Mixing Interface Conductivity Concept, Phys.\nRev. Lett. 111, 176601, (2013).\n[31] HuJun Jiao and Gerrit E. W. Bauer, Spin Back\row and\nac Voltage Generation by Spin Pumping and the Inverse\nSpin Hall E\u000bect, Phys. Rev. Lett. 110, 217602, (2013).\n[32] Chunhui Du, Hailong Wang, Fengyuan Yang, and P.\nChris Hammel, Enhancement of Pure Spin Currents in\nSpin Pumping Y 3Fe5O12/Cu/Metal Trilayers through\nSpin Conductance Matching, Phys. Rev. Applied 1,\n044004, (2014).\n[33] Kun Zhang, Yan-ling Cao, Yue-wen Fang, Qiang Li, Jie\nZhang, Chun-gang Duan, Shi-shen Yan, Yu-feng Tian,\nRong Huang, Rong-kun Zheng, Shi-shou Kang, Yan-xue\nChen, Guo-lei Liu and Liang-mo Mei, Electrical control\nof memristance and magnetoresistance in oxide magnetic\ntunnel junctions, Nanoscale 9, 6336, (2015).\n[34] Jing Wang, Qikun Huang, Peng Shi, Kun Zhang, Yufeng\nTian, Shishen Yan, Yanxue Chen, Guolei Liu, Shishou\nKang and Liangmo Mei, Electrically tunable tunnel-\ning recti\fcation magnetoresistance in magnetic tunneling\njunctions with asymmetric barriers, Nanoscale 9, 16073,\n(2017)." }, { "title": "2308.07718v1.Global_biasing_using_a_Hardware_based_artificial_Zeeman_term_in_Spinwave_Ising_Machines.pdf", "content": "Global biasing using a Hardware-based artificial Zeeman term in\nSpinwave Ising Machines\nVictor H. Gonz ´alez1, Artem Litvinenko1, Roman Khymyn1, and Johan ˚Akerman1\n1Department of Physics, University of Gothenburg, Gothenburg, 41296, Sweden,\nvictor.gonzalez@physics.gu.se and johan.akerman@physics.gu.se\nA spinwave Ising machine (SWIM) is a newly proposed type of time-multiplexed hardware solver for combinatorial optimization\nthat employs feedback coupling and phase sensitive amplification to map an Ising Hamiltonian into phase-binarized propagating\nspin-wave RF pulses in an Yttrium-Iron-Garnet (YIG) film. In this work, we increase the mathematical complexity of the SWIM\nby adding a global Zeeman term to a 4-spin MAX-CUT Hamiltonian using a continuous external electrical signal with the same\nfrequency as the spin pulses and phase locked with with one of the two possible states. We are able to induce ferromagnetic\nordering in both directions of the spin states despite antiferromagnetic pairwise coupling. Embedding a planar antiferromagnetic\nspin system in a magnetic field has been proven to increase the complexity of the graph associated to its Hamiltonian and thus this\nstraightforward implementation helps explore higher degrees of complexity in this evolving solver.\nIndex Terms —combinatorial optimization problems, Ising machines, spinwaves, unconventional computing, physical computing,\nspinwaves.\nIN THE LANDSCAPE of physical computation schemes,\nIsing machines (IM) have attracted considerable attention\nand investment over the last decade, in both academic and\nindustrial research [1]–[6], for their applicability to combi-\nnatorial optimization problems, potential for scalability and\nprogressive increase in mathematical complexity. In this work,\nwe contribute to the latter as we implement a global bias to\nartificial spin states in a spinwave Ising machine (SWIM).\nA SWIM is a newly proposed [2] time-multiplexed hard-\nware solver circuit for problems in the NP (nonpolynomial\ntime) complexity class that employs feedback coupling and\nphase sensitive amplification to map an Ising Hamiltonian\ninto spinwave (SW) RF pulses propagating in an Yttrium-\nIron-Garnet (YIG) film. Spinwaves are suitable for Ising\nmachines because of their GHz oscillation frequencies, which\npermits the development of multiphysical systems using cheap\nand efficient off-the-shelf microwave components for signal\nprocessing [7], [8] and amplification which results in a small\ncircuitry footprint and high per-spin power efficiency. In this\nwork, we use an external continuous microwave signal to im-\nplement a global biasing to propagating spinwave RF artificial\nspin states. Exploring the complexity limits of this circuit\nimplementation thus holds significant interest for technical and\ncommercial applications.\nAn IM operates as an mapping of an objective function into\nthe Ising Hamiltonian of a device composed of an array of N\nbinarized physical units referred to as spins si=±1:\nH=−1\n2NX\ni=1NX\nj=1Jijsisj−NX\ni=1hisi (1)\nThe objective function associated with the NP problem to\nsolve is encoded into the pairwise coupling Jijand external\nZeeman bias hisuch that ground state of the system represents\nthe solution to it. Combinatorial problems of practical use,\nsuch as the traveling salesman and knapsack with integer\nPSC1C2\nPSA\nωrefCoupling delay\nVAS1 �\nLNAC3S2\nGGGYIGωbiasFig. 1. Zeeman-biased spinwave Ising machine. PSA and LNA stand for\nphase-sensitive and low noise amplifiers, respectively. The propagating RF\npulses have frequency of 3.13 GHz. The sign of the coupling is controlled\nby the total phase accumulation in the coupling delay and the Zeeman field\namplitude and sign is controlled by the amplitude and phase of the injected\nsignal ωbias.\nweights, have been shown to be encodable if one can add\nconstraints to the degrees of freedom of the system [9].\nAdding a global bias (i.e. the hiis identical for all si) to an\nantiferromagnetic planar graph has been shown as a straight-\nforward way to increase its mathematical complexity [10].\nImplementation of a global Zeeman term using software has\nalso shown to improve the stability and performance of time-\nmultiplexed IMs with frustated lattices [11]. Thus, exploring\nhardware-based alternative schemes can lend versatility to\nsmall scale low-power devices.arXiv:2308.07718v1 [cond-mat.mes-hall] 15 Aug 20230 π\n02π\nπPulse phasePhase (rad)\n3.11 3.15 ωrefωrefPower spectral density \n(dBm/Hz)\nFrequency (GHz)(a)\n(b)\nTime (ns)50 100 150 200 250 300-15 dBm\nh<0\nh=0\nh>0\n-100-500-100-500h<0\nh=0\nh>0\n(c) Pulse amplitude (V)h<0\nh=0\nh>024\n18APSA (dB)Fig. 2. Influence of Zeeman term at different signs of magnetic field. (a) Measured potential landscape shift of the artificial spin states. The sign of his given\nby the phase between ωrefandωbias. The total phase sensitive amplification of the circuit APSA favors either 0 or πdepending on hand thus changes the\nmagnetic ordering of the spins. (b) Power spectral densities (PSD) as a functions of frequency for different hsigns. We observe that the modulation harmonics\ncan be used to identify the type of solution achieved, with both ferromagnetic states having the same spectra. (c) Time traces of the RF pulses colored with\ntheir respective instantaneous phase for different signs of h. The non-zero signals have an amplitude of -15 dBm. ωbias allows us to change the ordering and\ndirection of the artificial spin state.\nThe circuit of the SWIM with a hardware implemented\nZeeman term is shown in Fig. 1. The SWIM’s construction of\nthe artificial spin state relies in phase-sensitive amplification\n(PSA) of a reference signal ωref =3.13 GHz. The PSA\nbinarizes the phase of the signal by amplifying only its in-\nphase ( ϕ= 0) and out-of-phase ( ϕ=π) components. The\nsignal is then simultaneously injected into a YIG waveguide\nand a coaxial delay line using coupler C2. The electrical signal\nexcites spin waves within waveguide that propagate at a much\nslower speed, allowing the coupling delay to re-inject a shifted\nsignal using coupler C1. Switch 1 (S1) then pulses the signal\nand a low noise amplifier (LNA) compensates propagation\nlosses as the cycle starts again. The resulting time-multiplexed\npulse train is our artificial spin state, where each pulse is an\nartificial spin, with their electrical amplitudes representing the\nnorm of siand their individual phases representing its sign.\nThe coupling delay’s length is such that every pulse interferes\n(or couples) with the previous nearest neighbor. Phase shifter\nPS ensures that the coupling term Ji,i+1is antiferromagnetic\nand variable attenuator V A controls its strength. The Zeeman\nterm is implemented with external signal ωbiasapplied to the\npropagating pulses after PSA.\nThe role of ωbiasis to unbalance the potential landscape of\nthe artificial spin states and favor one phase over the other.\nFig.2(a) shows the changes in PSA amplitude ( APSA) for\ndifferent signs of h. The effective sign of the Zeeman term\nis given by its relative phase with respect to the reference\nsignal, with negative hbeing in-phase and positive out-of-\nphase. The effective magnitude of his given by the signals’\namplitude, -15 dBm in this case. The amplification imbalance\nallows us to change the phase sensitivity of the circuit and\nglobally bias the state of the spins. The consequences of the\nbias are shown in Fig.2(b) and (c). In (b), we observe that the\nmodulation harmonics present in the spectra depend on the\nspin state, with the biased signal containing a central carriercorresponding to ωrefthat is absent for the unbiased solutions.\nωbias, whose frequency is the same as the reference signal’s,\ndrives the oscillators as they all acquire the same phase (i.e.\nalign their spin direction). We complement this picture in (c)\nusing the time traces of the RF pulses colored with their\nrespective instantaneous phase and associated graph. It is clear\nthat despite antiferromagnetic coupling, we are able to induce\na change in ordering in both possible directions using ωbias\nalone. Combining time trace and spectrum analyses, we have\ndifferent tools to study the effectiveness of the biasing as\nwell as develop differentiation and operation protocols that\nallow us to program more complex problems. Although this\nis very promising in terms of exploration of higher complex-\nity schemes, unexpected states also appear for intermediate\namplitudes of h.\nWhile it is expected that there will be a sudden breaking of\nup and down spin symmetry at h= 2, a gradual spin flipping\noccurs. Mixed spin states appear at intermediate values of\nωbiasamplitude ( ≈-20 dBm), as seen in Fig.3(a), resembling\na chain with magnetic domains. Although the system is indeed\nsynchronized with ωbias, as shown in fig.3(b), these 3+1\nstates (three spins up and one down, or vice versa) are not a\nminimum energy solution of eq.1 and suggest an unintended\nincrease of the degrees of freedom of the system.\nIn Fig.3(c) we show the phase diagram for a ring-shaped\n4 spin system under an external Zeeman field where one of\nthe spin’s amplitude |S1|can be less than one, i.e. one spin is\nshorter. The shortening of S1results in a phase transition of\nthe 4-spin system and appearance of the 3+1 states. In fact,\nfrom the time traces shown in Fig.3, we can directly observe\nthat the spins have different electrical amplitudes and the odd\none out has the smallest one. Since electrical amplitude and\nspin amplitude are proportional to each other, the emergence\nof the 3+1 states can give us information about the operation\nof our circuit and its limitations.02π\nπPulse phase-20 dBm\nPulse amplitude (V)\n50 300 100 150 200 250\nTime (ns)\nAFM FM\n0.60.81.0\n0.0 0.5 1.0 1.5 2.03+1\nZeeman fieldSpin amplitde |s1|(a)\n(b)\n(c)3.11 3.15 ωrefPSD (dBm/Hz)\nFrequency (GHz)-100-500\nh>0h<0h>0h<0Fig. 3. Mixed artificial spin states for ωbias with -20 dBm. (a) Colored time\ntraces of the RF spins. The appearance of 3+1 states is evidence of additional\ndegrees of freedom in the Hamiltonian of the system. (b) Phase diagram of\na ring-shaped 4 spin Ising machine with variable spin amplitude. The 3+1\nstates are a consequence of phase-dependent amplification of the spins. (c)\nPSD for both solutions with 3+1 states, we observe the same synchronization\nas in fig.2(b), but the modulation peaks are characteristic to these solutions.\nProbing into the origin of this amplitude mismatch, we\npropose that the emergence of the 3+1 states depends on\nthe non-linearity of the saturation of the LNA. Since we are\ninjecting additional power to the system with the Zeeman\nsignal, the LNA saturates and thus gain compression occurs\nbeyond its linear range. Even if they are very close in the\npower transfer curve [12], its non-linearity results in spins of\ndifferent signs being amplified differently with the minority\nspin shortening. An amplifier with a higher linear regime\nwould mitigate this state degeneration. If compression gain is\nunavoidable, a stronger coupling between spins and a smoother\nsaturation curve for the LNA would suppress 3+1 states. Dig-\nital feedback using a field programmable gate array (FPGA)\nhas been employed successfully in previous time-multiplexed\nIsing machines to improve amplitude stability [13] and can\nalso be used instead to the delay line to modify pairwise or all-\nto-all coupling. These findings can be implemented in future\ncircuit designs to improve the quality and complexity of the\nsolutions with larger amounts of spins.\nIt is worthwhile to mention that spectral analysis can help\nus understand the synchronization dynamics of the system as\nwell as use for soution differentiation. As we see in fig.2(b)\nand fig.3(b), the peaks at ωrefshow that ωbias drives the\nTime (ns)350 1000.00.5\n-0.50.00.5\n-0.5\n150 200 250 300Pulse amplitude (V)\nh>0h<0-15 dBm-23 dBm\n-23 dBmPSD (dBm/Hz)\n3.10 3.14 ωref\nFrequency (GHz)-100-500\n-100-500\n-15 dBm\n(a)\n(b)\n02π\nπPulse phaseFig. 4. Five spin states at two different ωbias amplitudes. (a) Time traces\nat -15 dBm and -20 dBm. We can observe that although the bias manages\nto stabilize the phase, the solution is not phase binarized. (b) Power spectral\ndensities (PDS) of the solutions at the same amplitudes. We see that each\nsolution is synchronized to ωbias has a characteristic spectrum.\noscillators. Additionally, both biased solutions have their own\ncharacteristic spectrum. Thus, including this information in the\ndigital feedback can allow us to design differentiation metrics\nand stopping conditions for relaxation and annealing protocols\nin bigger and more complex systems.\nFinally, we tried to recreate a stable globally biased 3+2\nsolution with five spins as it would appear in a spin ring.\nEvidently, a ring with an odd number of spins will not have\na stable unbiased solution as the phase difference on each\ncirculation period will never be zero. It was clear that for\na large enough bias, we do see all spins parallel to each\nother, as shown for -15 dBm in fig.4(a). The phase transition\nmentioned before and shown in fig.3 could be an indication\nthat a 3+2 state could be viable and could allow us to construct\na magnetic system analog with two clearly defined domains.\nAn amplitude of -23 dBm (fig.4(a)), is unable to produce such\nsolution because the spins do not synchronize with the external\nfield (we can observe that the highest peak in fig.4(b) is not\natωref). Instead, the resulting state’s phase is not binarized\nand produces spins do not comply with the definition of eq.1.\nWe believe that frustration is responsible for this phase slip\nand stable solutions are achievable with digital coupling and\nindividual bias, both implementable with the aforementioned\nFPGA.\nWe have shown the broad features of a globally biased\nartificial spin state space composed of RF pulses in a YIG\nwaveguide. Despite antiferromagnetic coupling between near-\nest neighbors in a 4 spin ring, we are able to induce fer-\nromagnetic ordering by injecting an external signal of the\nsame frequency to emulate the role of the Zeeman term in\nthe Ising Hamiltonian. Intermediate values of this Zeeman\nsignal introduce degeneracy in the amplification of the pulses\nand, consequently, 3+1 spin states. These effects be mitigatedby alternative amplification schemes whose implementation\nwould guide future work in enabling all-to-all spin coupling\nfor tackling non-trivial optimization tasks. The present work\nimproves upon the emerging technology of commercially\nfeasible IM hardware accelerators. The SWIM concept has\na high potential for further scaling in terms of spin capacity,\nphysical size and low-power low-footprint circuits for applied\ncombinatorial optimization.\nREFERENCES\n[1] N. Mohseni, P. L. McMahon, and T. Byrnes, “Ising machines as hard-\nware solvers of combinatorial optimization problems,” Nature Reviews\nPhysics , vol. 4, no. 6, pp. 363–379, 2022.\n[2] A. Litvinenko, R. Khymyn, V . H. Gonz ´alez, A. A. Awad, V . Ty-\nberkevych, A. Slavin, and J. ˚Akerman, “A spinwave ising machine,”\narXiv preprint arXiv:2209.04291 , 2022.\n[3] D. I. Albertsson, M. Zahedinejad, A. Houshang, R. Khymyn,\nJ.˚Akerman, and A. Rusu, “Ultrafast ising machines using spin torque\nnano-oscillators,” Applied Physics Letters , vol. 118, no. 11, p. 112404,\n2021.\n[4] A. Houshang, M. Zahedinejad, S. Muralidhar, R. Khymyn, M. Rajabali,\nH. Fulara, A. A. Awad, J. ˚Akerman, J. Checi ´nski, and M. Dvornik,\n“Phase-binarized spin hall nano-oscillator arrays: Towards spin hall ising\nmachines,” Physical Review Applied , vol. 17, no. 1, p. 014003, 2022.\n[5] T. Honjo, T. Sonobe, K. Inaba, T. Inagaki, T. Ikuta, Y . Yamada,\nT. Kazama, K. Enbutsu, T. Umeki, R. Kasahara, K. ichi Kawarabayashi,\nand H. Takesue, “100,000-spin coherent ising machine,” Science Ad-\nvances , vol. 7, no. 40, p. eabh0952, 2021.\n[6] K. Tatsumura, M. Yamasaki, and H. Goto, “Scaling out ising machines\nusing a multi-chip architecture for simulated bifurcation,” Nature Elec-\ntronics , vol. 4, no. 3, pp. 208–217, 2021.\n[7] A. Litvinenko, R. Khymyn, V . Tyberkevych, V . Tikhonov, A. Slavin, and\nS. Nikitov, “Tunable magnetoacoustic oscillator with low phase noise,”\nPhysical Review Applied , vol. 15, no. 3, p. 034057, 2021.\n[8] A. Litvinenko, S. Grishin, Y . P. Sharaevskii, V . Tikhonov, and S. Niki-\ntov, “A chaotic magnetoacoustic oscillator with delay and bistability,”\nTechnical Physics Letters , vol. 44, no. 3, pp. 263–266, 2018.\n[9] A. Lucas, “Ising formulations of many np problems,” Frontiers in\nphysics , vol. 2, p. 5, 2014.\n[10] F. Barahona, “On the computational complexity of ising spin\nglass models,” Journal of Physics A: Mathematical and General ,\nvol. 15, no. 10, p. 3241, oct 1982. [Online]. Available: https:\n//dx.doi.org/10.1088/0305-4470/15/10/028\n[11] Y . Inui, M. D. S. H. Gunathilaka, S. Kako, T. Aonishi, and\nY . Yamamoto, “Control of amplitude homogeneity in coherent\nising machines with artificial zeeman terms,” Communications\nPhysics , vol. 5, no. 1, p. 154, Jun 2022. [Online]. Available:\nhttps://doi.org/10.1038/s42005-022-00927-x\n[12] MiniCircuits. Coaxial low noise amplifier zx60-83ln12+. [Online].\nAvailable: https://www.minicircuits.com/pdfs/ZX60-83LN12+.pdf\n[13] H. Takesue, K. Inaba, T. Inagaki, T. Ikuta, Y . Yamada, T. Honjo,\nT. Kazama, K. Enbutsu, T. Umeki, and R. Kasahara, “Simulating ising\nspins in external magnetic fields with a network of degenerate optical\nparametric oscillators,” Phys. Rev. Appl. , vol. 13, p. 054059, May 2020.\n[Online]. Available: https://link.aps.org/doi/10.1103/PhysRevApplied.\n13.054059" }, { "title": "2112.04001v2.Coupling_function_from_bath_density_of_states.pdf", "content": "Coupling function from bath density of states\nS. Nemati1, C. Henkel1andJ. Anders1;2\n1University of Potsdam, Institut f ur Physik und Astronomie, 14476 Potsdam, Germany.\n2Department of Physics and Astronomy, University of Exeter, Stocker Road, Exeter EX4 4QL, UK.\nPACS 03.65.Yz { Decoherence; open systems; quantum statistical methods\nPACS 63.20.Dj { Phonon states and bands, normal modes, and phonon dispersion\nPACS 67.57.Lm { Spin dynamics\nAbstract { Modelling of an open quantum system requires knowledge of parameters that specify\nhow it couples to its environment. However, beyond relaxation rates, realistic parameters for speci\fc\nenvironments and materials are rarely known. Here we present a method of inferring the coupling\nbetween a generic system and its bosonic (e.g., phononic) environment from the experimentally mea-\nsurable density of states (DOS). With it we con\frm that the DOS of the well-known Debye model\nfor three-dimensional solids is physically equivalent to choosing an Ohmic bath. We further match\na real phonon DOS to a series of Lorentzian coupling functions, allowing us to determine coupling\nparameters for gold, yttrium iron garnet (YIG) and iron as examples. The results illustrate how to\nobtain material-speci\fc dynamical properties, such as memory kernels. The proposed method opens\nthe door to more accurate modelling of relaxation dynamics, for example for phonon-dominated spin\ndamping in magnetic materials.\nIntroduction. { Quantum technologies face many chal-\nlenges, often arising due to the unavoidable coupling of any\nsystem to its environment. The prediction of their dynam-\nics requires open quantum system methods that include such\ncoupling e\u000bects, for example the Caldeira-Leggett model [1]\nand the spin-boson model [2]. These methods are success-\nfully employed in many physical contexts, e.g., quantum\noptics [3{5], condensed matter [6{11], quantum computa-\ntion [12{14], nuclear physics [15] and quantum chemistry\n[16]. For instance, modelling circuit quantum electrodynam-\nics with the spin-boson model shows that the heat trans-\nport of a superconducting qubit within a hybrid environment\nchanges signi\fcantly, depending on the qubit-resonator and\nresonator-reservoir couplings [6].\nIn the mathematical treatment of an open quantum sys-\ntem, a coupling function C!is typically introduced that de-\nscribes how strongly the system interacts with bath degrees of\nfreedom (DoF). Its functional form determines the temporal\nmemory of the bath and whether the noise is coloured or not\n[1, 2, 17], critically a\u000becting the system dynamics [8, 18, 19].\nA large body of theoretical results exist for various toy mod-\nels that make speci\fc assumptions on the coupling function\nC![1,2,20]. However, a major drawback is a somewhat lack-\ning connection to system- or material-speci\fc characteristics\nto which these methods could be applied: for a given DoF,\nin a given material, which coupling function C!should one\nchoose to model its dynamics?\nAn alternative approach is taken in the condensed matter\nliterature, where open quantum systems are usually char-\nacterized by the density of states (DOS) of their environ-ment [21]. Measurement of, for example, the phonon DOS\nis well-established using di\u000berent inelastic scattering tech-\nniques [22, 23]. Modes in the environment typically cou-\nple to the system with a wave vector-dependent strength\ngk[2, 24, 25], which in many cases can be captured by a\nfrequency-dependent g!.\nIn this paper, we present a useful relation that trans-\nlates the coupling function C!of an open quantum system\ninto an experimentally measurable DOS D!, and vice versa.\nWhile a similar relation has previously been reported for one-\ndimensional quantum spin impurities [26,27], the relation ob-\ntained here is valid for a generic system coupled to a bosonic\nbath, capturing dimensionality and anisotropy. It paves the\nway to parametrizing realistic coupling functions for a range\nof applications, for example, for spins in a magnetic material\nthat experience damping through the coupling to the crystal\nlattice [17, 28] or for nitrogen vacancy centers, a solid-state\nanalogue of trapped atoms, whose coherence lifetime in op-\ntical transitions is also limited by interaction with phonons\n[29, 30]. The link is explicitly established for a generic quan-\ntum system that couples locally to a bosonic environment.\nExtensions to other environments, such as fermionic environ-\nments, will be possible using similar arguments.\nThe paper is organised as follows: we \frst introduce the\ntwo approaches involving D!andC!, respectively. Setting\nup the dynamics of the environment, we evaluate its memory\nkernel and establish the link between D!andC!, allowing\nfor generalg!. In the second part of the paper, we choose a\n\ratgfor simplicity, and illustrate the application of the rela-\ntion with a few examples. We demonstrate that the widely\np-1arXiv:2112.04001v2 [quant-ph] 15 Dec 2022S. Nemati et al.\nFig. 1: Schematic picture of two equivalent approaches to mod-\nelling the open quantum systems. (a) Wave vector approach:\nEach bath frequency !includes several wave vectors fkgwhere\neach bath wave vector kcouples to the system with strength gk.\n(b) Frequency approach: Every bath frequency !couples to the\nsystem with a strength given by C!.\nused Debye approximation is equivalent to the well-known\nOhmic coupling function. While this approximation su\u000eces\nat low frequencies, experimental DOS show peaks at higher\nfrequencies, leading to non-trivial dissipation regimes. We\nparametrize two measured phonon DOS, those of gold and\niron (see Supplementary Material (SM)), and one theoret-\nically computed phonon DOS of yttrium iron garnet (YIG)\nand extract key parameters for the corresponding coupling\nfunctionsC!. These give direct insight into the impact of\nmemory for any phonon-damped dynamics in these materi-\nals.\nTwo approaches. { The Hamiltonian of a quantum sys-\ntem in contact with a bath is\n^Htot=^HS+^HB+^HSB; (1)\nwhere the bath Hamiltonian ^HBand the system Hamiltonian\n^HSmay contain the internal interactions among their own\ncomponents. The system-bath interaction is assumed to be\nof product form,\n^HSB=\u0000^S\u0001^B; (2)\nwhere ^Sis a (Hermitian) system operator and ^Bis a bath\noperator, each with dscomponents. The form of the bath\nHamiltonian ^HBand of the bath operator ^Bdepends on the\ncontext. We consider here a bosonic bath, i.e. an in\fnite\nset of harmonic oscillators. In the literature, one can broadly\ndistinguish two representations of the bath, working either in\nwave vector (WV) or frequency (F) space, as illustrated in\nFig. 1.\nThe wave vector approach is common in condensed matter\nphysics [2, 21] where the bath Hamiltonian is expressed as asum over all possible modes k\n^HWV\nB =X\nk\u0016h!k\u0012\n^by\nk^bk+1\n2\u0013\n: (3)\nHere!=!kgives the dispersion relation of a normal\nmode with wave vector kand^bk(^by\nk) are bosonic annihi-\nlation (creation) operators of a mode excitation with com-\nmutation relations [^bk;^by\nk0] =\u000ekk0. Usually one consid-\ners a three-dimensional ( 3D) structure with wave vectors\nk= (kx;ky;kz). For example, in a cubic 3D lattice with\nnumber of lattice sites N, lattice constant aand volume\nV=Na3, each component of kruns through the range\u0010\n\u00003p\nN\u00001\n2;:::; 0;:::;3p\nN\u00001\n2\u0011\n2\u0019\n3p\nNa. For largeNandV, and\nfor any function f(!k)that only depends on the frequency\n!k, one can approximate sums over the wave vectors as\n1\nVX\nkf(!k)\u0018=Zd3k\n(2\u0019)3f(!k) =:Z\nd!D!f(!):(4)\nThis equation de\fnes D!as the DOS per unit volume of\nbath modes at frequency ![21].\nFor bosonic baths, we choose the standard interaction [2]\nwhere the bath operator ^Bis linear in the bosonic mode\noperators (single phonon processes),\n^BWV=1p\nVX\nk\u0018k^bk+h.c.; (5)\nwhere\u0018k=\u000fk\u0000\n\u0016hg2\nk=(2!k)\u00011=2with\u000fkads-dimensional\nunit polarisation vector [1] and gkthe wave vector-dependent\ncoupling, see Fig. 1. Eq. (2) may be generalized to the sit-\nuation that several system components ^Smare located at\ndi\u000berent positions Rm, and sum over interaction terms, i.e.\n^HSB=\u0000P\nm^Sm\u0001^B(Rm). The \feld operators would then\nbeR-dependent, i.e. ^BWV(R) =1p\nVP\nk\u0018k^bkeik\u0001R+h.c..\nFor simplicity, we will concentrate in the following on just one\nsystem site and drop summation over magain.\nAnother approach to setting up the bath Hamiltonian ^HB\nand the interaction ^HSBis based on a frequency expansion\noften employed in the open quantum systems literature [1,2].\nIn contrast to Eq. (3), here ^HBis written directly as a sum\nor integral over frequencies,\n^HF\nB=1\n2Z1\n0d!\u0010\n^P2\n!+!2^X2\n!\u0011\n; (6)\nwhere ^P!and ^X!are3D [in general, d-dimensional ( dD)]\nmomentum and position operators, respectively, for the\nbath oscillator with frequency !. Their components obey\n[^X!;j;^P!0;l] = i\u0016h\u000ejl\u000e(!\u0000!0). In this approach, the bath\noperator in Eq. (2) is often chosen as [17]\n^BF=Z1\n0d!C!^X!; (7)\nwhere the coupling function C!(in general a ds\u0002dtensor)\nis weighting the system-bath coupling at frequency !. The\np-2Coupling Function From Bath Density Of States\nsystem operators couple isotropically to the bath if C!CT\n!=\n1dsC2\n!. The scalar coupling function C!is related to the\nbath spectral density J!, which alternatively quanti\fes the\ne\u000bect of the environment on the system as J!/C2\n!=![1,2].\nThe bath dynamics can be categorised [2] based on the low- !\nexponent of the spectral density, J!/!s, into three di\u000berent\nclasses, called Ohmic ( s= 1), sub-Ohmic ( s<1), and super-\nOhmic (s>1).\nThe di\u000berence between wave vector approach and fre-\nquency approach is that at a \fxed frequency !, there is in\nEq. (7) just one bath operator ^X!that couples to the sys-\ntem, while according to Eq. (5), the interaction is distributed\nover several wave vector modes kwith weighting factors \u0018k,\ntheir number being set by the DOS D!(see Fig. 1).\nWe now want to address the question of the connection\nbetween the DOS D!and the coupling function C!. To\nachieve this, we consider one relevant quantity in both ap-\nproaches and equate the corresponding formulas. In the fol-\nlowing, we choose the memory kernel Kwhich encodes the\nresponse of the bath to the system operator ^S. Note that\nthe choice of ^Bin Eq. (5) restricts the discussion to the lin-\near response of the bath, as is reasonable for a bath that is\nthermodynamically large [1, 2].\nMemory kernel in both approaches. { To \fnd an ex-\nplicit relation in the wave vector approach for the dynamics\nof the bath operator ^BWVin Eq. (5), the starting point is\nthe equation of motion for ^bk,\nd^bk\ndt=\u0000i!k^bk+i\n\u0016hp\nV\u0018y\nk\u0001^S; (8)\nwhose retarded solution contains two terms\n^bk(t) = ^bk(0) e\u0000i!kt(9)\n+i\n\u0016hp\nV\u0018y\nk\u0001Zt\n0dt0^S(t0) e\u0000i!k(t\u0000t0):\nTherefore, the time evolution of the bath operator can be\nwritten as ^BWV(t) = ^BWV\ninduced (t) + ^BWV\nresponse (t). The\n\frst term represents the internally evolving bath which is\ngiven by ^BWV\ninduced (t) =1p\nVP\nk^bk(0)e\u0000i!t\u0018k+h.c., while\n^BWV\nresponse (t)contains information about the system's past\ntrajectory,\n^BWV\nresponse (t) =Z1\n0dt0KWV(t\u0000t0)^S(t0); (10)\nwhereKWV(t\u0000t0)is the memory kernel (a tensor),\nKWV(t\u0000t0) =\u0002(t\u0000t0)\nVX\nkg2\nk\u000fk\u000fy\nksin!k(t\u0000t0)\n!k:(11)\nHere, the\u0018khave been expressed by the unit polarisation\nvectors\u000fk[see after Eq. (5)] and \u0002(t\u0000t0)is the Heaviside\nfunction, which ensures that the bath responds only to the\npast state of the system, i.e. t0